patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
abstract
The cooling duct assembly for a control element drive mechanism (CEDM) includes a skirt that is combined on a circumference of a reactor head and has first air channels in an inner side thereof; a lower duct that is combined with an upper side of the skirt, has second air channels that are connected to the first air channels, and is disposed to surround a circumference of the CEDM; and an upper duct, an edge of which is combined with the cooling air handling device, and other edge of which is detachably combined with the lower duct, wherein air that cools the CEDM is discharged to the outside after sequentially passing the first air channels, the second air channels, the upper duct, and the cooling air handling device, and the upper duct separated from the lower duct is lifted together with the cooling air handling device.
claims
1. In combination with a nuclear power station having a reactor core and fuel elements, a loading machine for transferring the fuel elements in the nuclear power station, comprising: a trolley movable in a horizontal plane in the nuclear power station between a point above the reactor core and a further point above a set-down location; a hollow mast held by said trolley, said hollow mast having an open end pointing downward from said trolley; gripping devices, guiding devices and holding devices movable together with said hollow mast for gripping the fuel elements individually, moving the fuel elements up and down in said hollow mast and, at the same time, guiding and holding the fuel elements inside said hollow mast; said hollow mast having an inner cross section adapted to a cross section formed by two of the fuel elements closely adjacent to one another, corresponding to a position of the fuel elements in the reactor core, said hollow mast being divided into two mast parts, the two fuel elements being received simultaneously in said hollow mast; said gripping devices, said guiding devices and said holding devices each being assigned to a respective one of the two fuel elements for gripping, holding, moving up and down and guiding the two fuel elements independently of one another; and said two mast parts being movable on said trolley in a horizontal direction relative to one another, each respective one of said two mast parts being movable together with respective ones of said gripping devices, said guiding devices and said holding devices assigned the respective one of the two fuel elements. 2. The loading machine according to claim 1 , wherein at least one of said two mast parts is rotatable about a longitudinal axis, together with respective ones of said gripping devices, said guiding devices and said holding devices assigned to said at least one of said two mast parts. claim 1 3. A loading machine, comprising: a lifting device for raising and lowering two elongate articles selectively simultaneously and independently of one another, the two elongate articles each having a longitudinal axis oriented geodetically vertically; a moving device for equidirectionally moving the two elongate articles in a geodetically longitudinal direction and a geodetically transverse direction; a rotating device for rotating the two elongate articles about one of a common horizontal axis and independent horizontal axes through at least 90xc2x0 in a first direction of rotation and through at least 180xc2x0 in a second direction of rotation opposite the first direction of rotation; and a further moving device for moving the two elongate articles relative to one another in a geodetically horizontal direction. 4. The loading machine according to claim 3 , wherein said lifting device handles the two elongate articles selectively in a narrow position with the two elongate articles positioned directly adjacent to one another and in a wide position with the two elongate articles spaced apart from one another by a given distance. claim 3 5. The loading machine according to claim 3 , including: claim 3 a geodetically vertically oriented guide mast with a geodetically vertically oriented centering bell, said centering bell configured for being drawn into said guide mast and being moved out of said guide mast; a double gripper movable into and out of said centering bell, said double gripper having a first, outer gripper and a second, inner gripper provided concentrically in said outer gripper; said guide mast being divided in two guide mast parts in a vertical direction and said centering bell being divided in two centering bell parts in the vertical direction; and a further gripper at least partially essentially structurally identical to said double gripper. 6. The loading machine according to claim 5 , including axially mounted rotary shields, each of said guide mast parts, a respectively associated one said centering bell parts and a respectively associated one of said double gripper and said further gripper are provided on a respective one of said axially mounted rotary shields. claim 5 7. The loading machine according to claim 6 , wherein the two elongate articles have respective vertical mid-axes, said rotary shields are respectively rotatable about the vertical mid-axes. claim 6 8. A loading machine, comprising: a lifting device for raising and lowering a first number of elongate articles selectively simultaneously and independently of one another, the first number being larger than two, each of the elongate articles having a longitudinal axis oriented geodetically vertically; a moving device for equidirectionally moving the first number of elongate articles in a geodetically longitudinal direction and a geodetically transverse direction; a rotating device for rotating the first number of elongate articles about independent horizontal axes through at least 90xc2x0 in a first direction of rotation and through at least 180xc2x0 in a second direction of rotation opposite the first direction of rotation; and a second number of further moving devices for moving the first number of elongate articles relative to one another in at least one of the geodetically longitudinal direction and the geodetically transverse direction, the second number corresponding to the first number. 9. The loading machine according to claim 8 , wherein said lifting device handles the first number of elongate articles selectively in a narrow position with the first number of elongate articles positioned directly adjacent to one another and in a wide position with the first number of elongate articles spaced apart from one another by a given distance. claim 8 10. The loading machine according to claim 8 , including: claim 8 a geodetically vertically oriented guide mast with a geodetically vertically oriented centering bell, said centering bell configured for being drawn into said guide mast and being moved out of said guide mast; a double gripper movable into and out of said centering bell, said double gripper having an outer gripper and an inner gripper provided concentrically in said outer gripper; said guide mast being divided in a vertical direction into a third number of guide mast parts, the third number corresponding to the first number, said centering bell being divided in the vertical direction into a fourth number of centering bell parts, the fourth number corresponding to the first number; and a fifth number of grippers at least partially essentially structurally identical to said double gripper, the fifth number corresponding to the first number less one. 11. The loading machine according to claim 10 , including axially mounted rotary shields, each respective one of said guide mast parts, a respectively associated one of said centering bell parts and a respectively associated one of said double gripper and said grippers are provided on a respective one of said axially mounted rotary shields. claim 10 12. The loading machine according to claim 11 , wherein the first number of elongate articles have respective vertical mid-axes, said rotary shields are respectively rotatable about the vertical mid-axes. claim 11
045129398
abstract
Method for the manufacture of oxidic sintered nuclear fuel bodies by compacting UO.sub.2 -starting powder or a mixture of UO.sub.2 -and PuO.sub.2 starting powder which contains up to 10% by weight rare-earth oxide, especially Gd.sub.2 O.sub.3, as an additive into blanks and subsequent densification of these blanks by a heat treatment in a sintering atomsphere with reducing action. The UO.sub.2 -starting powder used for compacting has a specific surface in the range of 2 to 4.5 m.sup.2 /g and/or a mean crystallite diameter in the range of 80 nm to 250 nm, and the heat treatment in the sintering atmosphere with reducing action is carried out at a temperature in the range of 1,500.degree. C. to 1,750.degree. C.
summary
claims
1. A device for filtering or straining a liquid with a filter or strainer and assessing the degree of clogging of the filter or strainer, comprising a filter or strainer having a first side for facing a liquid mass and an opposed second side; first and second substantially identical vessels positioned substantially in a common horizontal plane on opposing sides of said filter or strainer; first and second gas pressure indicators or sensors associated with respective of said vessels and each sealing connected via first and second gas-filled conduits to an upper part of a respective corresponding vessel, each vessel having a cross-sectional area, said conduit and vessel both having an interior volume whereby the interior volume of each vessel is between 4 and 7 times larger than the interior volume of the corresponding conduit, each vessel having an inlet for communication with a common liquid mass having a surface level which inlet has a cross-sectional area that is smaller than the cross sectional area of the corresponding vessel and which is located near the bottom of the corresponding vessel, whereby said device is arranged such that liquid entering the vessel via the inlet establishes a surface level within the vessel whose position varies with the level or pressure of the surrounding main liquid mass whereby the gas pressure within each of said vessel and conduit varies in response to changes in the liquid level within each said vessel while said conduits remain filled with gas, said first sensor or indicator establishing a base level representative of the liquid pressure within the common liquid mass, the inlet of the second vessel being connected to a strainer or filter, for straining or filtering liquid entering the second vessel from said liquid mass, the gas pressure within said second vessel being indicative of a pressure drop resulting from said filtering or straining operation, said pressure decreasing as said filter or strainer becomes clogged. 2. A device according to claim 1 wherein liquid within said second vessel exits said vessel via an outlet conduit. claim 1 3. A device according to claim 1 having a secondary tube conduit between said filter or strainer and said inlet. claim 1 4. A device according to claim 3 wherein said secondary tube conduit is generally horizontal. claim 3 5. A device according to claim 1 wherein the interior volumes of the first and second vessels are each between 5 and 6 times the interior volumes of the corresponding first and second conduits. claim 1 6. A device according to claim 1 wherein the conduit has an inner diameter of between 8 and 20 mm. claim 1 7. A device according to claim 6 wherein the conduit has an inner diameter between 12 and 13 mm. claim 6 8. A device according to claim 1 wherein the inlet of the first vessel is for communicating with the liquid mass through a tubular member having a wall and closed distal end and a plurality of apertures within said wall to admit liquid into said inlet, said apertures having a sufficient size to limit clogging of at least some of said apertures by impurities. claim 1
claims
1. An arrangement for inverse x-ray phase contrast imaging, the arrangement comprising:a photon-counting x-ray detector;a multibeam x-ray tube, focal points of the multibeam x-ray tube being collimated such that a narrow x-ray beam that is directed toward an optical axis of the arrangement and toward the photon-counting x-ray detector is generatable, wherein an active surface of the photon-counting x-ray detector is at least as large as a cross-sectional surface of the narrow x-ray beam;a source grating arranged between the multibeam x-ray tube and the photon-counting x-ray detector, dimensions of the source grating being such that the source grating is irradiatable by all narrow x-rays of the multibeam x-ray tube;a defraction grating arranged between the source grating and the photon-counting x-ray detector, dimensions of the defraction grating being such that the defraction grating is irradiatable by all narrow x-rays that penetrate the source grating; andan absorption grating arranged between the defraction grating and the multibeam x-ray detector, dimensions of the absorption grating being such that the absorption grating is irradiatable by all narrow x-rays that penetrate the defraction grating,wherein an irradiatable surface of the absorption grating is smaller than an irradiatable surface of the defraction grating. 2. The arrangement as claimed in claim 1, wherein the irradiatable surface of the absorption grating is larger than or equal to a photon-receiving active surface of the photon-counting x-ray detector. 3. The arrangement as claimed in claim 2, wherein the irradiatable surface of the defraction grating is smaller than an irradiatable surface of the source grating. 4. The arrangement as claimed in claim 1, wherein the source grating, the defraction grating, and the absorption grating are arranged in parallel to one another and at right angles to the optical axis. 5. The arrangement as claimed in claim 1, wherein a width and a length of the active surface of the photon-counting x-ray detector are greater than 1 cm and less than 10 cm. 6. The arrangement as claimed in claim 1, wherein the focal points are actuatable sequentially. 7. The arrangement as claimed in claim 1,wherein the irradiatable surface of the defraction grating is smaller than an irradiatable surface of the source grating. 8. The arrangement as claimed in claim 2, wherein the source grating, the defraction grating, and the absorption grating are arranged in parallel to one another and at right angles to the optical axis. 9. The arrangement as claimed in claim 3, wherein the source grating, the defraction grating, and the absorption grating are arranged in parallel to one another and at right angles to the optical axis. 10. The arrangement as claimed in claim 2, wherein a width and a length of the active surface of the photon-counting x-ray detector are greater than 1 cm and less than 10 cm. 11. The arrangement as claimed in claim 3, wherein a width and a length of the active surface of the photon-counting x-ray detector are greater than 1 cm and less than 10 cm. 12. The arrangement as claimed in claim 4, wherein a width and a length of the active surface of the photon-counting x-ray detector are greater than 1 cm and less than 10 cm. 13. The arrangement as claimed in claim 2, wherein the focal points are actuatable sequentially. 14. The arrangement as claimed in claim 3, wherein the focal points are actuatable sequentially. 15. The arrangement as claimed in claim 4, wherein the focal points are actuatable sequentially. 16. The arrangement as claimed in claim 5, wherein the focal points are actuatable sequentially. 17. A method for inverse x-ray phase contrast imaging, the method comprising:generating narrow x-rays with a multibeam x-ray tube, focal points of the multibeam x-ray tube being collimated such that the narrow x-rays are directed at an optical axis of the multibeam x-ray tube and at a photon-counting x-ray detector;irradiating a source grating arranged between the multibeam x-ray tube and the photon-counting x-ray detector;irradiating a defraction grating arranged between the source grating and the photon-counting x-ray detector; andirradiating an absorption grating arranged between the defraction grating and the photon-counting x-ray detector,wherein an irradiatable surface of the absorption grating is smaller than an irradiatable surface of the defraction grating. 18. The method as claimed in claim 17, further comprising sequentially actuating the focal points. 19. The method as claimed in claim 17, further comprising using an arrangement, the using of the arrangement comprising the generating, the irradiating of the source grating, the irradiating of the defraction grating, and the irradiating of the absorption grating, the arrangement comprising:the photon-counting x-ray detector;the multibeam x-ray tube, wherein an active surface of the photon-counting x-ray detector is at least as large as a cross-sectional surface of the narrow x-ray beam;the source grating arranged between the multibeam x-ray tube and the photon-counting x-ray detector, dimensions of the source grating being such that the source grating is irradiatable by all narrow x-rays of the multibeam x-ray tube;the defraction grating arranged between the source grating and the photon-counting x-ray detector, dimensions of the defraction grating being such that the defraction grating is irradiatable by all narrow x-rays that penetrate the source grating; andthe absorption grating arranged between the defraction grating and the multibeam x-ray detector, dimensions of the absorption grating being such that the absorption grating is irradiatable by all narrow x-rays that penetrate the defraction grating. 20. The method as claimed in claim 18, further comprising using an arrangement, the using of the arrangement comprising the generating, the irradiating of the source grating, the irradiating of the defraction grating, and the irradiating of the absorption grating, the arrangement comprising:the photon-counting x-ray detector;the multibeam x-ray tube, wherein an active surface of the photon-counting x-ray detector is at least as large as a cross-sectional surface of the narrow x-ray beam;the source grating arranged between the multibeam x-ray tube and the photon-counting x-ray detector, dimensions of the source grating being such that the source grating is irradiatable by all narrow x-rays of the multibeam x-ray tube;the defraction grating arranged between the source grating and the photon-counting x-ray detector, dimensions of the defraction grating being such that the defraction grating is irradiatable by all narrow x-rays that penetrate the source grating; andthe absorption grating arranged between the defraction grating and the multibeam x-ray detector, dimensions of the absorption grating being such that the absorption grating is irradiatable by all narrow x-rays that penetrate the defraction grating.
summary
description
This application claims priority to provisional application Ser. No. 60/655,540, filed Feb. 23, 2005, entitled “Method & Improvement of Design Window by Using CAD Utility,” which application is incorporated herein by reference. This invention relates generally to semiconductor integrated circuit fabrication processes, and more particularly to the formation of vias and contacts. Modern integrated circuits are made up of literally millions of active devices such as transistors and capacitors. These devices are initially isolated from one another but are later interconnected together to form functional circuits. Typical interconnection structures include lateral interconnections, such as metal lines (wirings), and vertical interconnections, such as vias and contacts. The quality of the interconnection structure drastically affects the performance and reliability of the fabricated circuit. Interconnections are increasingly determining the limits of performance and density of modern integrated circuits. FIG. 1 is a cross-sectional illustration of a conventional vertical interconnection structure used in the semiconductor industry. Metal lines 104 and 106, which are typically formed of copper, aluminum or alloys thereof, are used to couple active devices (not shown) into functional circuits. A dielectric layer 108 electrically isolates the metal layers in which metal lines 104 and 106 are formed. Electrical connections are made between metal lines 104 and 106 through a metal via 112. The interconnection structure of FIG. 1 experiences several problems. As integrated circuit dimensions decrease, in order to increase circuit density, vias are becoming smaller by the square of the dimension decrease. Such small vias can cause both reliability and performance problems in an integrated circuit. Reliability problems are caused by high concentrations of current or current crowding effects, which in turn causes self-heating effects, and hence electro-migration. As a result, voids, open circuits and other reliability problems such as high RC delay, which is caused by high contact resistance, arise. Similar problems also occur to contacts, contacts being a term typically used to describe a via between a first metal layer and an underlying active or passive component. Open circuits and high RC delay are typically solved by redundant vias. U.S. Pat. No. 6,556,658 and U.S. Pat. No. 6,026,224 disclose methods of forming redundant vias in order to improve reliability. With redundant vias, if one of the vias is open or has a high contact resistance, the remaining vias still provide a good contact, and the overall circuit performance is not affected. Although the addition of the redundant vias reduces the probability of open circuit and/or high RC delay, the distance between the vias decreases. With the scaling of integrated circuits, particularly at 90 nm technology and below, problems such as bird's beak occur. FIG. 2 illustrates a top view of vias having bird's beak effects. Vias 116 and 118 are closely located. Due to optical proximity effects during the exposure of photo resists, via extensions 120 and 122, each having the shape of a bird's beak, are formed. As a result, vias 116 and 118 may short. Due to the close proximity of the vias and other conductive features in the integrated circuit, it is difficult to move vias away from each other without violating design rules. Therefore, there is a need for a new method of forming vias and photo masks, so that the adverse effects of closely located vias can be avoided. The preferred embodiment of the present invention provides a method for forming photo masks having non-square patterns and for forming respective features over a semiconductor substrate using the photo masks. In accordance with one aspect of the present invention, the method for forming the photo masks includes determining a minimum spacing, and selecting patterns having a spacing less than the minimum spacing, wherein the patterns are for vertical conductive features such as vias and contacts. The method further includes determining a direction to expand and a direction to shrink for each selected pattern, and checking against design rules to see if the design rules are violated. If design rules are not violated, the selected pattern is replaced with a revised pattern having a rectangular shape. The photo masks comprising the rectangular patterns for vertical conductive features are then formed. In accordance with another aspect of the present invention, the method for forming a semiconductor structure includes forming a first lower level conductive line and a second lower level conductive line, forming a dielectric layer over the first lower level conductive line and the second lower level conductive line, forming a photosensitive layer over the dielectric layer, placing a photo mask over the photosensitive layer wherein the photo mask is formed using the previously discussed steps, exposing and patterning the photosensitive layer, etching the dielectric layer and forming openings, and filling the openings with a conductive material. In accordance with yet another aspect of the present invention, a semiconductor structure includes a via having a length and a width substantially less than the length. The length and width preferably have a ratio of greater than about 1.05, and more preferably between about 1.05 and 1.4. In accordance with yet another aspect of the present invention, a semiconductor structure includes a first via and a second via having a first spacing. At least one of the first and second vias has a length and a width substantially less than the length. By forming rectangular vias, the spacing between the vias is increased, hence reducing optical proximity effects. In accordance with yet another aspect of the present invention, a photo mask for forming vias in integrated circuits includes patterns of the vias. At least one of the patterns has a rectangular shape with a length and a width substantially less than the length. The length and the width have a ratio of between about 1.05 and about 1.4. The photo mask may further include a second pattern and a third pattern for vias, wherein the second pattern is a rectangle and the third pattern is a square, and wherein the first pattern and the third pattern have a spacing less than the spacing between the first pattern and the second pattern. The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention. A novel method for forming photo masks and for forming vertical conductive features is illustrated. The variations of the preferred embodiments are discussed. Throughout the various views and illustrative embodiments of the present invention, like reference numbers are used to designate like elements. For simplicity purposes, the subsequently discussions focus on vias and their formation method. However, the same concepts equally apply to other vertical conductive features, such as contacts. By improving spacing between vertical conductive features, design windows are improved. FIG. 3 illustrates a top view of two vias 10 and 12, which are connected to metal lines 13 and 15, respectively. Vias 10 and 12 are typically (nominally) squares with each side having a dimension L1. Vias 10 and 12 have a spacing D1. FIG. 3 further illustrates a via 11, which is connected to the via 10 by a metal line 13. Therefore, the via 11 is at a same (voltage) potential as the via 10. The spacing between the vias 10 and 11 is D3. At such a small scale, proximity effects play a significant role. Not only does the shape of the via becomes significantly rounded, bird's beak effects also occur (refer to FIG. 2), causing the shorting of neighboring vias. It is known that the optical proximity effects are affected by the wavelength of the scanner, which is used for exposing photo resists. FIG. 4 illustrates simulation results, wherein the via short failure rate is shown as a function of spacing between vias. Line 14 is the simulation result for a 157-scanner, which uses a light having a wavelength of 248 nm. Lines 16 and 18 are simulation results for a 193-scanner and a 248-scanner, which use lights having wavelengths of 193 nm and 157 nm, respectively. Taking the 193-scanner as an example, if the spacing between the vias is 0.15 μm (point 17), vias have a short failure rate of about 22 percent. The short failure rate drops with increased spacing, and drops to zero when the spacing is about 0.17 μm (point 19) or greater. When a scanner with a shorter wavelength is used, via short failure occurs at smaller spacing. For a 157-scanner, a 20 percent failure rate occurs at a spacing of about 0.07 μm. At about 0.10 μm, the short failure rate drops to about zero. The conclusion can thus be drawn that there exists a minimum (via-to-via) spacing beyond which the short failure rate is de minimus, or even zero. In the example shown in FIG. 4, the minimum spacing is about 0.22 um for a 248-scanner, about 0.17 μm for a 193-scanner and about 0.10 μm for a 157-scanner. The spacing the of vias, therefore, is significant for the yield of integrated circuit fabrication. It is particularly important to increase via-to-via spacing to a value greater than the minimum spacing. However, in a conventional database for layout design, such as an ATI R520 database, the spacing between vias is typically 0.15 μm. (ATI is a semiconductor company located in the United States of America.) This significantly increases the possibility of via short failure. One of the solutions to avoid via short failure is to move the location of vias 10 and 12. However, it is hard to do so without violating other design rules. The preferred embodiments of the present invention are shown in FIGS. 5A through 5F. FIG. 5A illustrates a top view of two nominally rectangular vias 20 and 22 connecting to two metal lines 24 and 26, respectively. Compared to vias 10 and 12 in FIG. 3, the vias 20 and 22 are expanded in a direction (Y direction in this case) parallel to the longitudinal direction of the metal lines 24 and 26 and shrunk in another direction (X direction in this case). Preferably, the vias 20 and 22 have a length L2 of less than about 0.2 μm and a width W2 of less than about 0.13 μm. At 90 nm technology node, L2 is more preferably less than about 0.17 μm. The length L2 is preferably substantially greater than the width W2. The length L2 and width W2 preferably have a ratio of greater than about 1.05, and more preferably between about 1.05 and about 1.4. In order to maintain the contact resistances of the vias 20 and 22 substantially close to the contact resistances of the vias 10 and 12, the area of vias 20 and 22, which equals L2*W2, is preferably substantially close to or greater than the area of the vias 10 and 12, which is L1*L1. The spacing D2 is preferably equal or slightly greater than the minimum spacing. The spacing D2 is greater than the spacing D1 in FIG. 3 due to the fact that the width W2 is reduced. Although the distance (D2−D1) is typically a small value, the short failure rate still has a significant improvement. If vias 20 and 22 have different (voltage) potentials, it is important that they are not shorted, and the spacing is preferably increased. Otherwise, the spacing is preferably not increased. Because vias 20 and 21 have equal potentials, the shorting of the vias 20 and 21 is not a performance and/or reliability affecting problem. Therefore, the distance D4 preferably keeps the same value as D3 in FIG. 3, or can, in fact, be less than D3. FIGS. 5A through 5F illustrate variations of the preferred embodiments of the present invention. In the preferred embodiment shown in FIG. 5A, vias 20 and 22 are located at substantially the center of the respective metal lines 24 and 26. In other embodiments, as shown in FIG. 5B, vias 20 and 22 are shifted away from each other so that the distance D2 is further increased. The expansion of the vias is restricted by design rules, and it is not always possible to expand the via in-situ without violating design rules. Sometimes, at least one of the vias 20 and 22 has to be shifted in the length direction of metal lines 24 and 26 to allow room for expansion, as shown in FIG. 5C. Metal lines connecting to the vias are not necessarily parallel to each other. As shown in FIG. 5D, the metal lines 24 and 26 may be perpendicular to each other. In yet another embodiment, as shown in FIG. 5E, the via 22 may be merged with a redundant via 30. Due to optical effects, the actual vias 20 and 22 may have elliptical shapes, as shown in FIG. 5F, although the photo mask used for forming the vias 20 and 22 have rectangular patterns. The length L2, width W2, and spacing D2 of the elliptical shaped vias preferably have substantially similar dimensions as rectangular shaped vias. The result of the preferred embodiment of the present invention can be explained using an example. At 90 nm technology node, a conventional layout database has a via-to-via spacing of 0.165 μm, and vias have a size of 0.13 μm*0.13 μm. Using the scheme shown in FIG. 5B, the size of the vias becomes 0.12 μm*0.14 μm, and the spacing is increased to 0.185 μm, which is adequate for overcoming the optical proximity effect when a 193-scanner is used. To reach both low via short rate and high device (and/or via) density of integrated circuits, the via-to-via spacing is preferably about 20 nm to about 70 nm for a 157 nm technology node, about 70 nm to about 150 nm for a 193 nm technology node and about 150 nm to about 220 nm for a 248 nm technology node. Preferably, a computer aided design (CAD) utility tool is used in the layout design procedure, including repairing vias. The created layout comprising the repaired vias is saved in a database. In order to form vias, photo masks are first created using the database, and each layer for forming the vias and metal lines are then formed using the photo masks. A brief description of an exemplary process is discussed hereafter. The CAD utility tool first goes through all vias in the database, selecting vias having a spacing less than a pre-determined minimum spacing. The CAD utility tool then repairs the selected vias if design rules are not violated. In other words, the tool revises the via layout to comply with the design rules. For repairing a via, the CAD utility tool first examines the spacing between the currently processed via (a first via) and neighboring vias. The first via and a neighboring via, also referred to as a second via, can be located on a same or different interconnection levels. For example, both the first via and the second via are located on the third metal interconnection level, or the first via is located on the third metal interconnection level, while the second via is located on the fourth metal interconnection level. If any spacing between the first and the second vias is less than the minimum spacing, the electrical potentials (for example, Vdd or Vss) on the two vias are examined optionally. One way of examining potentials is checking whether there is a physical connection between the vias, although non-physically connected vias may have the same potential. A via needs to be repaired when the spacing between the first via and a non-equal potential second via is less than the minimum spacing. If reshaping the first via alone cannot increase the spacing to greater than the critical value, the option of shifting the vias is evaluated. Although only the repairing of the first via is discussed, since the CAD utility tool traverses each selected via, the second via will also be repaired if necessary. Optionally, the first via and the second via can be repaired at the same time. If it is found that the via can be repaired by expanding/shrinking (and shifting), the CAD utility tool checks the repaired via against all design rules to make sure no design rule is violated. Please note that each via interconnects two metal layers, and the design rule violation check needs to be performed for both layers. The repaired via is then saved in the database, replacing the first via. If all directions are checked for the first via and no repair is possible, the first via is not repaired. The CAD utility tool then checks the next selected via and tries to repair it. After checking and repairing all the vias in the database, the database can be used for generating photo masks. It is not guaranteed that all the vias can be repaired, as some of the repairing may violate design rules. However, by using the preferred embodiments of the present invention, the majority of the vias in most integrated circuit designs can be repaired. Exemplary experiment results are shown in Table 1, wherein vias in an integrated circuit having a size of about 17.758*16.008 mm2 are repaired. TABLE 1Layers1234567Total vias per1611994214301281677864258693124381317727464049186489layerVias repaired1569238013972352664984857695334337504714369646727165per layerRepair rate97.3%97.7%98.1%98.3%99.0%98.2%95.0%In Table 1, “Layers” represents a specific metallization layer on which the respective vias are formed. “Total vias per layer” represents the total number of the vias in one layer in the tested integrated circuit, and “Vias repaired per layer” represents the number of vias repaired by the CAD utility tool. The remaining vias are not repairable as the repair would violate design rules. It is noted that on each layer between about 95% and 99% of vias are repaired. Therefore, the possibility of having shorted vias is significantly reduced. An example of using the photo masks created using the previously discussed embodiments is shown in FIG. 6, which illustrates an intermediate step in the formation of the structure shown in FIG. 5A. The steps for forming the structure in FIG. 6 are known in the art, and thus are not repeated herein. In the preferred embodiment, the vias are formed over a substrate 40, which preferably comprises single crystalline silicon or germanium. In alternative embodiments, the substrate 40 comprises group III elements such as boron and gallium, or group IV elements such as phosphorous and arsenic. After the formation of devices (not shown), a first conductive line 42 and a second conductive line 44 are formed in a metal layer, on which a dielectric layer 46 is formed. The conductive lines 42 and 44 preferably comprise commonly used conductive materials such as doped polysilicon, metals such as copper aluminum, tungsten, metal alloys such as copper alloy and aluminum alloy, metal silicides, metal nitrides, and the like. The dielectric layer 46 preferably has a low dielectric constant (k) value. More preferably, the k value is less than about 3.2. The preferred material of the dielectric layer 46 includes carbon-doped silicon oxide, fluoro-silicate glass (FSG), phospho-silicate glass (PSG), borophospho-silicate glass (BPSG), and the like. Another dielectric layer 59 is formed on the dielectric layer 46. Alternatively, the dielectric layer 59 is not formed, and the subsequently formed vias and upper-level metal lines are all formed in the dielectric layer 46. A photosensitive layer 52 is applied over the dielectric layer 46. The photosensitive layer 52 may be a positive photo resist or a negative photo resist. A photo mask 54 is then placed over the photosensitive layer 52. The photo mask 54 includes transparent and opaque portions that form rectangular via patterns. For example, in a positive photo resist 52, portions 542 are transparent and portions 541 are opaque. Portions 542 define the regions 48 and 50 for subsequently formed vias. As previously discussed, the spacing D2 between the regions 48 and 50 is preferably greater than the minimum spacing. After exposing the photosensitive layer 52, the photo mask 54 is removed, and the photosensitive layer 52 is patterned. The dielectric layer 46 is then etched anisotropically, forming via openings 60, as shown in FIG. 7. Referring to FIG. 8, trench openings 62 are formed in the dielectric layer 59 using similar steps for forming the via openings 60. FIG. 9 illustrates the formation of vias 20 and 22 and upper-level conductive lines 24 and 26. As known in the art, a conductive material, such as copper, tungsten, metal alloys, metal silicide, and metal nitrides, may be filled into the via openings 60 and trench openings 62. A chemical mechanical polish (CMP) is performed to planarize the surface, leaving metal lines 24 and 26 and vias 20 and 22. Besides forming vias substantially free of short failures, the concept of analyzing and repairing can also be used for the formation of other vertical conductive features. For example, the formation of contacts suffers a similar problem to that of vias, and can be repaired by using the same method provided in the preferred embodiments of the present invention. FIG. 10 illustrates a layout diagram with repaired contacts. Contacts 70 have a nominally rectangular shape, so that the spacing between the contacts 70 is increased. The spacing between contacts 70 and gates 72 is also increased. The preferred embodiments of the present invention, therefore, include checking each contact and making repairs if possible. The photo masks can be in a same scale of the desired size, or in a scale that is a multiple of the size of the vertical conductive features, such as 4× or 5×. FIG. 11 schematically illustrates a scaled photo mask 54 used for exposing a photo resist 52. After passing the photo mask 54, parallel light rays are converged by a converging lens 64 and a diverging lens 66. An image having a desired size is formed and used to expose the photo resist 52. Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.
claims
1. A method for predicting flashover of an electrical generator collector, the method comprising:buffering measured data corresponding to a plurality of measured variables measured at multiple discrete time instances over a determined time period to generate a frame of measured data using a generator monitoring unit, an exciter and control unit, or both the generator monitoring unit and the exciter and control unit;receiving the frame of measured data using a receiver module;fitting a statistical model to the frame of measured data by dividing the frame of measured data into a plurality of time windows and determining one or more coefficients of the statistical model using the measured data for each of the plurality of time windows using a statistics module;estimating one or more parameters for the frame based upon the measured data and the statistical model, wherein the one or more parameters comprise at least one of field circuit impedance and field current noise using an estimation module; andpredicting a flashover condition of the electrical generator collector based, at least in part, upon the one or more estimated parameters for the frame using a flashover prediction module. 2. The method of claim 1, wherein the plurality of measured variables comprises two or more of field voltage, field current, field power dissipation, ozone concentration, visible and UV light emission, and audible noise. 3. The method of claim 1, wherein estimating the one or more parameters comprises:computing for each discrete time instance a predicted value for one measured variable of the plurality of measured variables using the statistical model and at least one other measured variable of the plurality of measured variables; andcomputing a difference between the predicted value and a corresponding measured value of the one measured variable for each discrete time instance. 4. The method of claim 1, wherein the statistical model comprises a linear regression model. 5. The method of claim 1, wherein predicting the flashover condition comprises:comparing the one or more estimated parameters with a predefined threshold;determining one or more of:a density count denoting a number of occurrences of the one or more estimated parameters exceeding the predefined threshold in the frame;an occurrence interval between successive occurrences of the one or more estimated parameters exceeding the predefined threshold; andgenerating an indication of the flashover condition based on at least one of the density count and the occurrence interval. 6. A system for predicting flashover of an electrical generator collector, the system comprising:a receiver module for receiving a frame of measured data corresponding to a plurality of measured variables, wherein the frame of measured data comprises buffered measured data corresponding to the plurality of measured variables measured at multiple discrete time instances over a determined time period;a statistics module for fitting a statistical model to the frame of measured data by dividing the frame of measured data into a plurality of time windows and determining one or more coefficients of the statistical model using the measured data for each of the plurality of time windows;an estimation module for estimating one or more parameters for the frame based upon the measured data and the statistical model, wherein the one or more parameters comprise at least one of field circuit impedance and field current noise; anda flashover prediction module for predicting a flashover condition of the electrical generator collector based, at least in part, upon the one or more estimated parameter for the frame. 7. The system of claim 6, wherein the plurality of measured variables comprises two or more of field voltage, field current, field power dissipation, ozone concentration, visible and UV light emission, and audible noise. 8. The system of claim 6, wherein the statistical model comprises a linear regression model. 9. A computer program product, comprising:a non-transitory computer readable medium encoded with computer-executable instructions for predicting collector flashover, wherein the computer-executable instructions, when executed, cause one or more processors to:buffer measured data corresponding to a plurality of measured variables measured at multiple discrete time instances over a determined time period to generate a frame of measured data;receive the frame of measured data;fit a statistical model to the frame of measured data by dividing the frame of measured data into a plurality of time windows and determining one or more coefficients of the statistical model using the measured data for each of the plurality of time windows;estimate one or more parameters for the frame based upon the measured data and the statistical model, wherein the one or more parameters comprise at least one of field circuit impedance and field current noise; andpredict a flashover condition based, at least in part, upon the one or more estimated parameters for the frame. 10. The computer program product of claim 9, wherein the plurality of measured variables comprises two or more of field voltage, field current, field power dissipation, ozone concentration, visible and UV light emission, and audible noise. 11. The computer program product of claim 9, further comprising computer executable instructions to cause the one or more processors to:compute for each time discrete instance a predicted value for one measured variable of the plurality of measured variables using the statistical model and at least one other measured variable of the plurality of measured variables; andcompute a difference between the predicted value and a corresponding measured value of the one measured variable for each discrete time instance. 12. The computer program product of claim 9, further comprising computer executable instructions to cause the one or more processors to:compare the one or more estimated parameters with a predefined threshold;determine one or more of:a density count denoting a number of occurrences of the one or more estimated parameters exceeding the predefined threshold in the frame;an occurrence interval between successive occurrences of the one or more estimated parameters exceeding the predefined threshold; andgenerate an indication of the flashover condition based on at least one of the density count and the occurrence interval.
description
This application is a continuation of U.S. application Ser. No. 14/663,229 filed on Mar. 19, 2015, which claims the benefit of priority under 35 U.S.C. § 365(c) and § 120 as a continuation of PCT/EP2013/074420 filed on Nov. 21, 2013, which claims the benefit of priority to European Patent Application No.: EP 121 961 95.7 filed Dec. 7, 2012, now EP Patent No. 2 741 067, granted Mar. 29, 2017. The full contents of the International Application are incorporated herein by reference. A portion of the disclosure of this patent document contains material which is subject to copyright protection. This patent document may show and/or describe matter which is or may become trade dress of the owner. The copyright and trade dress owner has no objection to the facsimile reproduction by anyone of the patent disclosure as it appears in the Patent and Trademark Office patent files or records, but otherwise reserves all copyright and trade dress rights whatsoever. The disclosure relates to a test disc and to a test system having a test disc for testing the seal of gloves which are installed in ports of an isolator. The glove forms with the isolator a region which is separated spatially from the surroundings, and a test system which comprises a multiplicity of test discs and a method for comprehensive evaluation of the acquired data and their use serve to increase the safety, reliability and productivity of systems with such regions. Isolators are used in various industrial fields, for example in the chemical, pharmaceutical and nuclear industries but also in medicine in order to produce a volume which is separated from the surroundings and in which selected substances can be stored and manipulated, for example subjected to chemical reaction, wherein the transportation of material is prevented in (at least) one direction (from the isolator to the surroundings or else from the surroundings to the isolator). For instance, isolators used in the nuclear industry may be referred to as glove boxes, wherein a technician may reach into the box using a sealed glove to manipulate the contents therein without the atmosphere/material within the box leaking. The prevention of the transportation of material from the isolator into the surroundings is necessary, for example, if radio-active substances or powderous chemicals are stored and handled in the isolator. Isolators in the nuclear field (i.e., glove boxes) are operated here under a high underpressure in order to prevent radio-active substances from escaping into the surroundings under all circumstances. On the other hand, in the case of chemicals a low underpressure compared to the atmospheric pressure is sufficient. In contrast, a transportation of material from the surroundings into the isolator must be prevented, in particular in the case of isolators for aseptic pharmaceutical processes, in order to avoid contamination of the isolator volume or interior with germs from the surroundings. A relatively low excess pressure compared to the atmospheric pressure is already sufficient for this. Handling of the substances in the isolator is preferably carried out in both cases using remote-controlled mechanical manipulators. However, there are a multiplicity of processes in which such automation is not possible, or is possible only at unacceptably high cost, with the result that it is not possible to dispense with human beings as the operator. The access of the operator to the interior of the isolator is carried out here by means of breakthroughs in the wall of the isolator, referred to as ports, which are equipped with flexible gloves which are clamped in a hermetically sealed fashion and are composed of a sufficiently resistant material. The gloves can, on the one hand, ensure the seal (integrity) of the isolator volume, but on the other hand they can also give the operator the necessary freedom of movement in order to carry out the necessary manipulations in the interior. Any disruption of the integrity of the isolator entails economic or even health risks. If, for example, germs penetrate the isolator from the surroundings, an entire batch of aseptically manufactured pharmaceutical products may become unusable. If, in the inverse case, toxic substances escape from the isolator, they can endanger the operator and the surroundings. For this reason, such disruptions must be prevented in all cases and if they nevertheless occur despite all counter-measures, they must be detected and eliminated immediately. Internationally recognized prescriptions such as, for example, the Guideline “Guidance for Industry—Sterile Drug Products Produced by Aseptic Processing—Current Good Manufacturing Practice” of the U.S. Food and Drug Administration (FDA), which is addressed specifically to the pharmaceutical industry and was updated in 2004, therefore recommends implementation of a comprehensive preventive maintenance programme. Gloves, seals, sealing means and also transfer systems should be subjected to daily testing. In addition, the actual period of use of all the critical components should be carefully logged in writing in order to ensure prompt replacement before the expiry of the permissible period of use. The FDA Guideline pays particular attention to gloves. Damaged gloves or sleeves form contamination channels and constitute a critical breach of the integrity of the isolator. A preventive maintenance programme is recommended, said maintenance programme already starting with the selection of a particularly durable glove material and a data-based definition of the times of replacement of the glove. Whenever the gloves are used, they should be inspected visually for macroscopic defects. Physical integrity tests should be carried out routinely. The monitoring and maintenance programme should identify any glove with compromised integrity and initiate its replacement. The FDA Guideline refers to the risk of a microbial migration through microscopic holes in gloves and to the lack of highly sensitive integrity tests for gloves and therefore recommends careful hygienic handling of the interior of the glove and the additional wearing of thin disposable gloves by the operator. Within the territory of the EU, comparable regulations apply which were updated in 2008 and which are laid down in a German translation in the “Anhang 1 zum EG-Leitfaden der Guten Herstellungspraxis—Herstellung sterile Arzneimittel” [Annex 1 to the EC Guideline for Good Manufacturing Practice—Manufacturer of Sterile Medicines]. However, the recommendations contained therein regarding isolators do not go beyond the prescriptions of the FDA Guideline, and the latter can therefore be considered to be a generally valid standard. In order to meet the prescriptions of the FDA Guideline, a multiplicity of measures (handling instructions, measurement method and testing methods as well as prescriptions regarding comprehensive documentation) which are to be implemented in combination have already been developed and described in the literature. However, the known measures have considerable disadvantages: The working sequences are very complex and require frequent and time-consuming training of personnel who have to be enabled to carry out a multiplicity of manual steps in the predefined sequence with constant quality at all times. The precondition for this are highly motivated employees who act on their own initiative and follow the operating instructions precisely at all times, even when there is no supervision and when deadlines are pressing. Nevertheless, infringements of the regulations due to negligence or unintention are unavoidable. Many data items with a safety-relevant character, in particular the running period of use and the conditions of use for each individual glove (glove history) are not detected since until now this would have been possible only by means of documentation of a manual kind, which is therefore very time-consuming and susceptible to errors. The safety is instead ensured by shortening the glove-changing intervals. The previously developed methods for testing the integrity of isolators, in particular of the gloves installed in the glove ports, are very time-consuming and laborious, irrespective of whether the testing is routine testing or unscheduled owing to an incident. In many methods, the gloves must be removed for testing, tested in a test device and then installed again. The high degree of expenditure in terms of time and work during the application of these methods results in a series of further disadvantages: the methods cannot be integrated into ongoing production sequences. When the gloves are removed and installed again, they can be damaged. Damage which only occurs during the reinstallation after the testing, and resulting leaks, cannot be detected. Since the sequence of the gloves is generally not monitored during the removal and reinstallation, it is not readily possible to produce a glove history with these methods. Although methods which permit testing of gloves in the installed state have already been described, the equipment which has been available for these purposes until now is cumbersome and heavy and accordingly difficult to handle. For example, DE102004030766A1 describes a computer-supported test system and test method for measuring the seal of gloves which are installed in isolators of pharmaceutical systems, in which system and method a voluminous measurement chamber which covers the isolator port to be tested with the installed glove over a large area is coupled in a gas-tight fashion to the outer wall of the isolator in order to test the integrity. The measurement chamber is equipped with pressure and temperature measuring devices and can optionally be operated with an underpressure or with an excess pressure. The measured values of the pressure and temperature are fed to a central processor unit which evaluates the pressure profile as a function of the time. If the change in pressure during a predefined measuring time is below a defined limiting value, the glove is classified as being sealed. During the testing, the glove cannot be used for manipulations, and the testing is therefore to be carried out outside ongoing operation. The central processor unit can be connected to a plurality of measurement chambers allowing the simultaneous testing of a plurality of gloves, only of one per chamber. A particular advantage is considered by the applicant to be the pressure measurement directly in the measurement chamber (in contrast to previously known devices where measuring devices which are located at a distance are connected via pressure hoses which are susceptible to faults). The computer-assisted evaluation permits the quantitative determination of leakage rates, and each measurement chamber and each glove are uniquely identifiable. A first disadvantage of this solution is the use of relatively heavy, large measurement chambers whose own integrity, that is to say the gas-tight coupling to the outer wall of the isolator, has to be firstly ensured at high cost. In addition, as a result of their intrinsic weight they load the isolator wall very unevenly (tensile stress in the upper part, compressive stress in the lower part) and therefore can themselves give rise to integrity problems, particularly leakages in the region of the seals of the isolator port. The time-consuming coupling and uncoupling of the measurement chamber leads also to productivity losses since not only during the measurement but also during these equipping times the port cannot be used for its intended purpose. A second disadvantage is that although individual identification elements are provided for each measurement chamber and each glove, there is no assignment to the ports. It is therefore not possible to detect and document the position of the individual gloves automatically. In order to be able to trace back the production processes for which a glove is used and to track with which chemicals it has been in contact and for how long, manual documentation would have to be carried out to determine at which isolator ports the glove was installed during its previous period of use, which is impractical. It is therefore virtually impossible to define individually the residual period of use of the glove on the basis of its actual loading with chemicals. Only fixed intervals for the changing of the glove are practical. Even if different, process-dependent periods of use are known for the gloves from reliable experiments, for safety reasons the shortest change interval is always selected, which gives rise to further productivity losses owing to the expenditure of time for the premature changing of a glove and to higher costs for the purchase of gloves. Solutions are already known which eliminate the first disadvantage of the above solution by replacing the cumbersome measurement chambers which have to be coupled from the outside to the isolator by easy-to-handle, compact test discs which are inserted directly into an isolator port and close it off in a gas-tight fashion by expansion of a sealing element. Such a test disc is described in U.S. Pat. No. 6,810,715 B2. It comprises a base plate, a cover plate and a sealing device, for example a plate made of neoprene, located between them. A bore in the centre of the three plates accommodates a screw mechanism with which the base plate and cover plate are drawn one toward the other and in the process they press the neoprene plate together, which consequently expands in the radial direction and closes off the port with the installed glove in a gas-tight fashion. Two further bores serve to accommodate a pressure sensor and an inlet valve which is connected to a pressure bottle and/or a pump. The somewhat complicated manufacture of the seal-forming connection of the isolator port and the test disc by manual actuation of the screw mechanism and the production of the excess pressure which is necessary for testing by means of the connection to an external pressure bottle and/or pump are somewhat disadvantageous. Attempts have been made to address some of these shortcomings, such as DE 10 145 597 A1 and DE 20 115 261 U1, which disclose methods for testing the seal on a working glove and a sealing disc which permit pressure profiles to be measured and stored in a microprocessor, and the values to be read out via an interface. There remains a need for a more comprehensive method for testing glove seals on isolators which reduces the amount of time and effort involved and increases accuracy to prevent leaks and extend glove life. The present application discloses a test disc, a test system and a method for testing the seal of a glove which is installed in a port of an isolator. The application also describes a glove and an isolator for use with the test system. An object of the invention is to eliminate the disadvantages of the prior art and to make available a test disc, a test system or a method for operating the test system with which a history of process data relating to the glove can be produced and a prediction about an anticipated residual service life of the glove can be made, wherein system safety and process safety are to be improved and a period of use of the glove is to be extended. In one aspect of the present application, a test disc for testing the seal of a glove which is installed in a particular port of an isolator is provided. The test disc has a seal for connecting to the port in a hermetically sealed fashion, a pressure-measuring device, a microprocessor, a memory and a data interface for transmission of information. The test disc further includes a reading device configured to determine the identity of the particular isolator port. A glove has an open end sized to be sealed between the test disc and port by the seal so as to define an internal glove volume which can be placed under excess pressure. The pressure-measuring device of the test disc is arranged to measure the glove volume pressure, and the microprocessor and memory are configured to record and store a glove volume pressure profile. The glove further has a first identification element which can be read by the reading device of the test disc to determine a glove identity, and the microprocessor being programmed to store both the identity of the glove and the identity of the particular isolator port in the memory. The test disc seal is preferably a radially-expanding sealing device and the test disc further includes a first micro-air pump connected to the test disc to expand the sealing device. In addition, the test disc may further include a second micro-air pump with a pre-filter connected to the test disc to fill the glove volume. An electrical energy source in the form of an accumulator may be mounted to the test disc. The reading device preferably has a reader selected from the group consisting of: an RFID module, a CCD sensor and a laser sensor. The test disc microprocessor may have a control device for automatically setting a pressure in the glove volume. The test disc data interface desirably has a wireless transmitter such that the glove pressure profile and information regarding the glove and port identities may be transmitted wirelessly to an evaluation unit of an external test system. For example, the wireless transmitter of the data interface is selected from the group consisting of: a WiFi module, an W-LAN module, a Bluetooth module or a radio-based transceiver module. In accordance with another aspect of the application, a test system has at least one of the test discs as well as an evaluation unit comprising a memory unit and an output unit connected to a user database. The glove pressure profile can be assigned with the identification data precisely to one glove and one port, and the evaluation of a state and/or an estimate of a residual period of use of the glove are/is carried out. The evaluation unit may have a WiFi module, a W-LAN module, a Bluetooth module or some other radio-based transceiver module. The test system enables process-related data about the use of the glove to be stored in the evaluation unit and taken into account during the evaluation. The test system preferably has a multiplicity of test discs for simultaneously testing a plurality of gloves, wherein the test discs communicate with the evaluation unit. In accordance with a further aspect of the application, a glove for a test system as described above is adapted to be inserted in a hermetically sealed fashion into the port of an isolator, wherein the glove has an identification element for reading out by the reading device of the test system. A still further aspect is an isolator for the use of the test disc, test system and glove as described above has at least one port into which the glove can be inserted in a hermetically sealed fashion. The test disc may be connected in a sealed fashion to the port, and the isolator has an identification element for each port. A method for evaluating the seal of a glove having a test disc is also disclosed, and preferably uses the test system as described above. In the method, the pressure profile is detected over a predefined time period and information data about the glove and the port is assigned to the pressure profile. Subsequently, a pressure drop, which is compared with a limiting value, is determined from the pressure profile. Preferably, historical data, in particular process data, is taken into account during the evaluation of the state, wherein in particular a residual period of use of the glove is estimated. Moreover, the pressure profiles for a multiplicity of gloves are desirably received simultaneously from a plurality of test discs and processed, wherein the respective pressure profiles are assigned unambiguously to a corresponding glove and port. Removal of the test disc from the port is prevented if a defect in the glove is detected. In the method, a pressure profile which is recorded for a specific glove at a relatively early point in time is compared with a pressure profile which is recorded for this glove at a relatively late point in time, and this comparison is taken into account for the estimation of a residual period of use. By providing identification elements on the glove and on the port, the reading device can perform uniquely defined identification not only of the glove but also of the port and can compare corresponding identification data directly with a recorded pressure profile. The identification data of the port then results in a reference to the processes which have expired in the surroundings of the glove, wherein a uniquely defined and traceable assignment is made by means of the identification of the glove. It is therefore possible, for example, to store a history for each glove and to permanently block a glove once it has been detected as faulty. Insertion of the glove at another port is also reliably detected by means of the test disc or by means of the test system. By means of the test system according to the invention, the data which is acquired, in particular, simultaneously for a plurality of gloves using a multiplicity of the test discs according to the invention can be buffered. This data can be subjected to initial evaluation, where necessary immediate measures can be initiated in the event of a leak being detected, and the data including the results of the initial evaluation can be stored in a database. Manual documentation in order to ensure the traceability as far as the specific port and glove is replaced by automatic solutions, and therefore the efficiency of the human/machine interface is increased and its susceptibility to errors is reduced. As a result, an expanded evaluation method in which the information which is acquired using the totality of test discs and test system is connected to process information of the user in such a way that an increase in the system safety and reliability as well as optimum utilization of the service life of process means, in particular of the gloves, is achieved. The present application provides a test system and a corresponding method for testing the seal of a glove which is installed in a port of an isolator, including a test disc which can be connected in a hermetically sealed fashion to the port. Various terms are described below. The term “region separated spatially from the surroundings” includes any desired designs of what are referred to as isolators and barrier systems (Restricted Area Barrier Systems—RABS) in which the interior is separated completely from the surroundings (in the case of an isolator) or partially (in the case of RABS as half-open systems), and a permanent difference in pressure is maintained between the exterior (surroundings) and the separated interior. This permanent difference in pressure prevents a direct (unfiltered) transportation of material in the direction of the region with the relatively high pressure. Depending on the setting in the difference in pressure, this relates to the transportation of material from the separated region to the surroundings or else from the surroundings to the separated region. In the case of a RABS, the difference in pressure is selected in such a way that a permanent laminar flow (expulsion flow) comes about in the opposing direction. In the case of an isolator, the transportation of material in the opposing direction is also prevented, with the result that the atmosphere in its interior can also experience turbulent movement. As in the “Annex 1 to the EC Guideline for Good Manufacturing Practice—Manufacturer of Sterile Medicines” updated in 2008, the present application does not differentiate between the isolators and RABS. Instead, the term “isolator” is used as a generic term. Corresponding systems in nuclear technology, usually referred to as glove boxes are also to be subsumed under this. The walls of the isolator generally have ports in the form of breakthroughs which permit manipulations to be carried out in its interior by an operator located in the exterior. However, the ports must not adversely affect the separation between the interior and the exterior and are therefore usually equipped with impermeable protective gloves which are inserted in a gas-tight fashion and have long sleeves. For manipulations which require a particularly large degree of freedom of movement, the ports can be widened and equipped, for example, with half-suits which are used in a gas-tight fashion. The term “glove” is used as a generic term for such protective gloves and half-suits. A leak is understood to be a defect in the separation between the interior of the isolator and the exterior, which defect permits a transportation path in the prohibited direction and therefore the entry of material-bound contamination from the surroundings into the interior or from the interior into the surroundings. A leak is also referred to as an infringement of the integrity or seal of the isolator. In the illustrated embodiment, FIG. 1 shows a test disc 1. An on/off switch 3, a start/stop pushbutton key 4, an inflation valve 5 for filling a glove volume, a pre-filter 6 and an LCD display 7 are arranged on a front side of the test disc 1. The test disc is surrounded in a radially circumferential fashion by a sealing device 2 which is embodied as a hose. Inflating the hose causes the latter to expand outward in a direction which is symbolized by arrows. FIG. 1 therefore illustrates a test disc 1 which can be inserted into a breakthrough which forms the port, and forms a seal from the inside to the outside. FIG. 2 shows a schematic internal view of the test disc 1 with the components arranged therein. These include a pressure sensor 8 for detecting a pressure in the glove volume, a pressure measuring device with a microprocessor 9 and a pressure sensor for detecting the pressure in the sealing device 2. In addition, a valve for inflating the sealing device 2 via a first micro-air pump 12 is provided. A second micro-air pump 13 serves to inflate the glove volume. An RFID module 15 is used as a reading device for reading out identification elements of the glove and of the port, wherein an interface with a WiFi module 14 is provided for transmitting data to an evaluation unit. An energy source 16 serves to supply energy to the individual components (FIG. 3b). FIGS. 3a and 3b illustrate an embodiment of the test disc 1′ which, in contrast to the embodiment according to FIGS. 1 and 2, is embodied as a test disc which forms a seal from the outside to the inside. Identical components are provided here with the same reference numerals, and corresponding components have a dashed reference numeral. In contrast to the test disc 1 which forms a seal from the inside to the outside, as illustrated in FIGS. 1 and 2, the test disc 1′ which forms a seal from the outside to the inside has an extension in the form of a support ring 17, wherein the sealing device 2′ which is formed by a hose is arranged on a radial inner side of the support ring 17. In order to secure the sealing device 2′ more firmly, a groove is formed here on the inside. A direction of expansion is symbolized in turn by arrows. During installation at a port of an isolator, the test disc 1′ engages over an attachment connector 18 of the port with the support ring 17. As a result of pressure being applied to the sealing device 2′, the latter expands radially inwards and therefore comes to bear from the outside on the attachment connector 18 of the port. This ensures a secure seal. Otherwise, the design of the test disc 1′ which forms a seal from the outside to the inside corresponds to the design of the test disc 1 which forms a seal from the inside to the outside. FIG. 4 illustrates an embodiment of the test system with a multiplicity of test discs 1. The test discs are connected via a wireless connection to an evaluation unit 21 which is embodied as an evaluation computer. The evaluation unit 21 has access here to a database 22 of the user in which, for example, historical data for every glove, material properties and/or process-related data are stored. FIG. 5 shows a spatial illustration of a rear side of the test disc 1′. Here, the energy source 16 which is embodied as an accumulator is arranged centrally on the rear side. As long as the test disc is not installed at a port, simple access or replacement of the energy source is therefore possible. A test of the seal of the gloves installed in the ports of the isolator of a pharmaceutical system is to be carried out. The isolator volume is at atmospheric pressure during the test. In good time before the test it is ensured that all the ports have a uniquely defined identification element. RFID elements are selected as the identification element, said elements being let irreversibly into a bore at the edge of the port without compromising the integrity of the isolator volume. As a result of this one-time marking, the ports can be identified unambiguously during all the tests which occur during their period of use. In this exemplary embodiment, the gloves can already be equipped by the manufacturer with RFID elements on a standard basis and can therefore also be identified unambiguously. Other identification elements (barcodes, engraving, impressed numbers) can also be used, but they give rise to increased expenditure during evaluation. A sufficient number of test discs which are matched to the shape and size of the ports are also made available in good time before the testing. The shape of the ports permits the use of a test disc 1 which forms the seal from the inside to the outside, with the result that this embodiment of the test disc is selected. The test disc 1 is inserted into the isolator port. In order to activate the test disc, the on/off switch 3 is actuated. Furthermore, the LCD display 7 is switched on with the actuation of the start/stop switch 4, said LCD display 7 transmitting user instructions, fault messages and warning signals to the operator and displaying the state of charge of the energy source 16 and the pressure, measured by the pressure sensor 8, in the volume to be tested. In addition, the micro-air pump 12 is switched on, said micro-air pump 12 inflating the inflatable hose 2 which functions as a seal device and causing it to expand. The expansion device is characterized in FIG. 1 by arrows. The pressure sensor 10 measures the rising pressure in the hose 2 and switches off the micro-air pump 12 when a preset target pressure is reached. The inflated hose closes the port so that the glove and the test disc 1 form a glove volume which is closed off in a gas-tight fashion. The described sealing process lasts approximately 30 seconds. During the entire test, the pressure sensor 10 continuously monitors the pressure in the hose 12 and in the event of a preset minimum pressure being undershot it pumps said hose 12 back again to the target pressure. The pressure in the glove volume, closed off in a gas-tight fashion, between the test disc and the glove is monitored by the pressure sensor 8 during the entire subsequent test process and is recorded by the microprocessor 9 of the pressure-measuring device. Before the test process can be started, the compressed air supply present at the isolator is connected to the inflation valve 5, embodied as a handle, for the glove. Alternatively, the handle and inflation valve can also be embodied as stand-alone elements. In this context, the inflation valve can be let in, for example flush with a front side of the test disc. Via the inflation valve 5, the glove volume which is closed off in a gas-tight fashion is firstly subjected to an excess pressure which is below the actual test pressure (initial inflation). If the preset target pressure of the initial inflation is reached, the pressure sensor 8 causes the inflation valve 5 to close and therefore separates it from the outer compressed air supply. For the purpose of fine setting of the preset test pressure, it now brings about the activation of the micro-air pump 13, which supplies the glove volume which is closed off in a gas-tight fashion with contamination-free air which is cleaned by the pre-filter 6. When the precise test pressure is reached, the pressure sensor 8 switches the micro-air pump 13 off. This two-stage inflation process lasts approximately 30-60 seconds. The inflation pressure can also take place in a single stage, i.e. by means of the exclusive use of the micro-air pump 13, but it would then have to be made more powerful, and the energy source 16 likewise, which is generally not expedient. After the test pressure is reached, the test process is initiated. The system then firstly waits for a predefined relaxation time in which the glove reacts to the pressure load with a delayed expansion, which leads to a pressure drop which is not due to a leak. The relaxation time is dependent on the glove material. After the expiry of this stabilization phase, the actual measurement, during which the pressure profile is detected over a time period of, for example, 5 minutes, starts. The measured pressure profile is passed on from the pressure sensor 8 directly to the microprocessor 9. The microprocessor 9 detects that the pressure drop which has occurred during the measurement time, given by the difference between the pressure at the point in time of the starting of the measurement and the pressure at the point in time at the end of the measurement, does not exceed a preset value, with the result that the presence of an acute leak can be ruled out. An alarm signal is therefore not triggered. The RFID module 15 reads out the RFID elements of the port and the glove and signals the information about the identity of the tested port and of the glove installed there to the microprocessor 9, which links this identification data to the measured pressure profile and transmits the complete data record, using the WiFi module 14, to the evaluation unit which is embodied as an evaluation computer 21. The evaluation computer 21 receives the data record, stores it and checks whether a data record is already present from an earlier test of the same glove. In this exemplary embodiment, said evaluation computer 21 finds such a data record and detects that even though the pressure drop is still within permissible limits, it has significantly speeded up during the new measurement compared to the preceding measurement, which indicates a small local, but continuously growing defect or an accelerated degradation of the glove material. By using the available data records, it predicts the still remaining residual period of use of the glove and transmits it to the microprocessor 9 of the test disc 1. The microprocessor 9 can subsequently cause the test disc to be disabled, in that the relief valve 11 of the sealing device 2 is blocked, a warning signal is triggered and the predicted residual period of use is displayed on the LCD display 7. The operator then has to decide whether to leave the test disc 1, now functioning as the sealing disc, in the port and bring about an immediate change of glove or else to cancel the blocking by switching off the test disc 1 by means of the on/off switch 3, removing the test disc 1 and initially continuing the production process in order to change the glove at the next scheduled interruption in the production process. The described test process can simultaneously be carried out with any desired number of the test discs according to the invention on a corresponding number of ports which are equipped with gloves. A further seal test of the gloves installed in the ports of the isolator of a pharmaceutical system is to be carried out in a way analogous to the task described in the exemplary embodiment 1. The equipping of the ports and of the gloves with identification elements as described in exemplary embodiment 1 is ensured. In good time before the test it is determined that the ports have a conically tapering shape, with the result that a test disc 1 which forms a seal from the inside to the outside cannot be reliably secured in the port. However, the outwardly protruding attachment connector 18 of the port is suitable for attaching a test disc. The embodiment 1′ of the test disc which forms a seal from the outside to the inside (illustrated in FIGS. 3a and 3b) is therefore selected. The test disc 1′ is equipped with an expansion in the form of a support ring 17, the internal dimensions of which are somewhat larger than the external dimensions of the attachment connector 18, with the result that it can be fitted thereon. An inflatable hose 2′ which is secured by a groove and which functions as a sealing device is arranged in an inner face of the support ring 17. The hose 2′ has a sufficiently rigid sealing material, with the result that even in the non-expanded state it bears firmly against the inner edge of the support ring 17. A sufficient number of test discs which are matched to the shape and size of the port are made available. The test disc 1′ is fitted onto the attachment connector 18 of the isolator port. The following sequence is completely analogous to the sequence in exemplary embodiment 1, with the result that a renewed detailed illustration can be dispensed with. It is merely to be noted that the inflatable hose 2′ which functions as a sealing element runs here around the attachment connector 18 of the port and expands from the outside to the inside during inflation, with the result that the glove, port and test disc form a glove volume which is closed off in a gas-tight fashion. The direction of expansion is characterized by arrows in FIGS. 3a, 3b and 5. The pressure profile which is measured in the course of the test and transmitted to the evaluation computer corresponds to the results illustrated in exemplary embodiment 1. However, in contrast to the situation illustrated in exemplary embodiment 1, the evaluation computer 21 has access here to selected, process-related data in the database 22 of the user, with the result that an expanded evaluation method can be used. The evaluation computer 21 receives and stores the data record which contains the measured pressure profile and the identification data of the port and of the glove. It tests whether a data record from an earlier test of the same glove is already present. It finds such a data record and determines that the pressure drop during the new measurement is within the permissible limits and has not speeded up compared to the preceding measurement. The test therefore does not provide any indication at all of the defect or of already present degradation of the glove material, with the result that the glove appears to be capable of being used without restriction on the basis of the data used here. Within the scope of the extended evaluation method, the evaluation computer now extracts the entire previous loading of the glove (type, duration and concentration of the acting chemicals) from the process data of the user and calculates the current state of degradation of the glove using the data relating to the resistance of the glove material compared to the chemicals used, said data being also present with the user. The evaluation computer detects that speeded up degradation of the glove has already started owing to the previous chemical loading, even though said degradation cannot yet be proved by the test. As in exemplary embodiment 1, the evaluation computer predicts the still remaining residual period of use of the glove and transmits this to the microprocessor 9 of the test disc 1′. The microprocessor then brings about the measures described in exemplary embodiment 1, to which measures the operator has to react as described there. It is therefore ensured that the glove is changed in good time, but not unnecessarily early. The extended evaluation method can also be carried out simultaneously with any desired number of test discs at a corresponding number of ports equipped with gloves. The data flow between the individual test discs, the evaluation computer 21 and the database 22 of the user is illustrated in FIG. 4. In FIG. 4, the test system has a multiplicity of test discs according to the embodiment 1 illustrated in FIGS. 1 and 2. However, the test discs can also be formed by test discs according to the embodiment 1′ or by a combination of the two embodiments. The following sections describe preferred characteristics of various components of the test system described herein. Test Disc The test disc according to the invention is distinguished in comparison with the test discs which are known from the prior art by the fact that, in addition to already known components, it has a reading device for reading out identification elements and an interface for wireless and encrypted communication with an evaluation device. In this context, the reading out of a plurality of identification elements, that is to say that of the glove and that of the port is possible in succession or in parallel. The individual components are preferably integrated into the interior of the test disc. The device for reading out identification elements may be, for example, an RFID module, a CCD sensor or a laser sensor, while the interface for wireless and encrypted communication can be formed by a WiFi module, W-LAN module, Bluetooth module or some other radio-based solution. The components which are known from the prior art and are sufficient for the functioning of the test disc comprise an expandable sealing device, two micro-air pumps, a pressure sensor and a temperature sensor, which are preferably arranged in the interior of the test disc. In addition, a compressed air port and an energy source, arranged on the outside of the test disc, are usually provided, the compressed air supply being located on the front side, while the energy source, which supplies all the components of the test disc with electrical energy, is preferably located on the rear side of the test disc facing the glove volume. In one preferred embodiment, a display with an outwardly visible display area, for example an LCD display which supplies the operator directly with information on the current state of the test disc and on the ongoing test process, is contained in the interior of the test disc. The test disc can be additionally equipped with an LED display, by means of which the state of the glove which is defined during the test can be directly displayed. This energy source, preferably an accumulator, and the arrangement of the pressure sensor and of the module for the purpose of wireless communication in the interior of the test disc permit their completely autonomous operation without coupling to remote measuring devices or a remote energy supply. Without changing the method of functioning of the test disc, its shape and size can be varied over a wide range. This variability is necessary to allow for the large variety of isolator ports used in practice. The test disc is preferably embodied as a test disc which seals from the inside to the outside and which can be somewhat smaller than the port to be tested, and can therefore be inserted therein. The seal-forming connection is produced in this case by causing a sealing device which runs around the outer edge of the test disc to expand radially, with the result that it closes the gap between the port and the test disc. This can be done, for example, by applying pressure using the micro-air pump which is arranged on the test disc. In certain cases, for example in the case of conically tapering ports, stable securement of a test disc in the port is, however, not possible. In this case, the test disc is embodied as a test disc which seals from the outside to the inside, with a widened portion in the form of a support ring which encloses the attachment connector of the port on the outside. The support ring can be embodied here in one piece with the test disc and surrounds a receptacle space for the port. A circumferential sealing device, which is located radially on the inside of the support ring and can be expanded towards the inside, ensures that the gap between the test disc and the attachment connector is closed. The shape of the support ring is matched here to the geometry of the port, that is to say it is not necessarily circular but rather, for example, also oval. Other shapes with a closed circumference are also possible. In both embodiments of the test disc, the expandable sealing device is preferably embodied as an inflatable hose which is, in particular, of annular design. Both embodiments of the test disc are explained in more detail in the exemplary embodiments. Compared to conventional test devices, described for example in DE102004030766A1, the test disc according to the invention for testing gloves installed in isolator ports is small, lightweight, easy to handle and simple to install. During the use of the test disc, only a uniform pressure is applied to the edge of the respective port but the isolator wall is only slightly loaded, with the result that the test discs cannot themselves cause breaches of integrity (leaks). During their handling, no heavy physical work at all has to be carried out. The test discs can easily be transported from one location to another, under certain circumstances even without a service vehicle which is provided for this purpose, and they can therefore be used in an extremely flexible way. Both scheduled and unscheduled testing, due to an incident, can be carried out quickly at any time. The testing of the seal of a glove occurs, for example, as follows: The test disc is installed at the isolator port and the sealing element is made to expand, with the result that the port is closed and the glove and the test disc form a glove volume which is closed off in a gas-tight fashion. This volume is then subjected to a defined excess pressure (compared to the pressure prevailing in the interior of the isolator). For this purpose, coarse setting of the excess pressure (initial filling) is firstly carried out using an external supply, present on a standard basis on pharmaceutical systems, of contamination-free compressed air, and fine setting of the excess pressure is subsequently ensured by means of the micro-air pump which is provided for this purpose on the test disc and which feeds in contamination-free air which has been cleaned by a pre-filter. The production of a connection (compressed air hose line) to remote compressed air sources, for example pressure bottles, is not necessary then. The pressure sensor monitors the build-up of pressure and switches the micro-air pump off when the target pressure has been reached. Since the glove materials react to the pressure loading with delayed expansion, the system first awaits for a predefined relaxation time, during which an expansion-induced drop in pressure, which cannot be traced back to a leak, is observed. Since different glove materials exhibit a different relaxation behaviour, the expedient duration of this relaxation time should be determined experimentally in advance. After the expiry of this relaxation time (stabilization phase), the actual measurement begins, during which measurement the pressure profile is detected over a predefined time period. The measured pressure profile is passed on by the pressure sensor directly to the microprocessor of the pressure measuring device. The microprocessor also receives the information about the identity of the tested port and of the glove installed there from the reading device for reading out identification elements, preferably an RFID module. Said microprocessor links this identification data to the measured pressure profile and transmits the entire data record to an evaluation unit, for example an evaluation computer, by means of the interface for wireless and encrypted communication, preferably a WiFi module. The precondition for this is the equipment both of the port and of the glove with a uniquely defined identification element. The combined use of identification elements for the glove and port is a significant component of the invention. It is particularly advantageous if the reading device and the identification elements permit radio-based reading out. Identification elements which can be irreversibly connected to the ports or the gloves and which are suitable for this are commercially available. Test System The described test process can be carried out simultaneously with any desired number of the test discs according to the invention on a corresponding number of ports which are equipped with gloves. In this context, a flexible and autonomous test system which is available at any time and with which simultaneous testing of a seal of a plurality of gloves is possible can be obtained by means of a (preferably wireless) connection of the test discs (any desired number thereof, but at least one) to a single central evaluation computer. Owing to the autonomy of the individual test discs (no coupling of external energy sources, measuring devices or communication means), the equipping times which are necessary for preparing and subsequent processing of the test are very short. Compared to known test systems, in which an evaluation computer is connected to a plurality of measurement chambers, which, however, do not have, or only partially have, the autonomy features specified in the preceding paragraph, the test system which is based on the test discs according to the invention permits a significant shortening of the overall duration of the test. Correspondingly, the time which is available for the actual production processes is lengthened, which gives rise to a considerable increase in productivity. The evaluation computer or the evaluation unit has the known components which are essential for the functional capability: a receiver unit, a control unit, an evaluation unit, a memory unit and an output unit. It is particularly advantageous if it has a connection to the database of the user and therefore can also access selected process-related data (for example type of the chemicals used in an isolator and duration of their use). In one preferred embodiment of the test system, the measurement results (pressure profiles), the test results which are obtained in the subsequently described evaluation method and the associated identification data of the glove and of the port are presented visually on a display of the evaluation unit. As a result, relatively simple and fast identification and determination of the location of the test discs is ensured, with the result that the measurement and test results of the individual ports and gloves can also be assigned easily, quickly and unambiguously. If the test discs of the test system have a display as a preferred feature, the measurement and test results of each glove which is installed on a port can also be displayed on the display of the test disc which is inserted at the respective port and, if said test disc is equipped with an additional LED, are additionally displayed by the lighting up of a specific LED or a combination of LEDs. A successful test of a seal can therefore be indicated by the lighting up of a green LED, and an unsuccessful test of a seal can, in contrast, be indicated by the lighting up of a red LED on the respective test disc. Further states which are determined as a result of the expanded evaluation method described below can also be displayed. For example, a seal test which has been successful, but during which accelerated degradation of the glove material was detected, can be indicated by the lighting up of a yellow LED. This visual indication by means of an LED display provides the operator with a rapid and direct overview of the state of all the ports and gloves of the monitored production systems without the operator having to evaluate the quantitative measurement and test results which are displayed on the display. In a further preferred embodiment, the test system is equipped with a device for user authentication, in order to prevent unauthorized operation. Both the evaluation computer and the test discs are not released for use until the operator has been authenticated. Only then can the seal test described above be initiated. For the purpose of authentication, electronic key systems, fingerprint sensors, iris recognition means, safety codes which have to be input and other means can be used. Evaluation Method The evaluation unit or the evaluation computer receives the data (pressure profiles) which have been acquired by any desired number of test discs (in a serial or parallel fashion), stores them and prepares them immediately (while the measurement is still ongoing). If the evaluation computer detects here an irregularity (in particular an excessively rapid pressure drop) which indicates a breach of integrity by a faulty glove (acute leak), it immediately transmits a signal, with the result that the operator is immediately informed of the breach of integrity and of the need for immediate counter-measures. In addition, there is the possibility of automatically disabling the expanded sealing element of the affected test disc with the result that the test disc cannot be removed after the conclusion of the measurement. The test disc therefore functions as a sealing disc and ensures the integrity of the isolator until the detected breach of integrity is eliminated. In one particular embodiment which is suitable for applications with very high safety requirements, the microprocessor of the test disc already analyses the pressure profile and, in the event of an excessively rapid pressure drop being detected, which indicates an acute leak, triggers the reactions specified in the preceding paragraph. In this case, the breach of integrity therefore is detected even if the connection between the test discs and the evaluation computer fails. Owing to the high level of reliability of the connection between the test discs and the evaluation computer, which is implemented in a wireless fashion by means of W-LAN technology, WiFi technology, Bluetooth technology or some other radio-based technology, this embodiment is not used so frequently. All the test results (pressure profiles, identification numbers and test parameters), the results of both the scheduled tests and of the unscheduled tests owing to an incident are stored in the memory unit of the evaluation computer and can be called again at any time. Since they also include uniquely defined identification data of the port and of the glove, it is possible to track at any point in time the port at which they have been obtained, and for which glove. By comparing the results of two successive routine tests of the same glove, the evaluation computer determines whether the state thereof has changed within the limits of the expected use or whether an accelerated degradation has occurred which makes additional measures such as, for example, shortening of the test interval or premature replacement of the glove necessary. The profile of the degradation of each individual glove is therefore detected completely. If the evaluation computer detects such an accelerated degradation which requires real-time replacement of the glove, the evaluation computer immediately transmits a message to the associated test disc. A warning signal then appears at this test disc so that the operator is immediately informed about the irregularity. In addition, there is the possibility of automatically disabling the expanded sealing element of the affected test disc with the result that the test disc cannot be removed after the conclusion of the measurement and the integrity of the isolator is ensured until further notice. However, since it is not a case of an acute leak here but rather of gradual worsening, the operator can decide whether he initiates counter-measures immediately or postpones them until the next scheduled interruption in production. In contrast to the acute leak, he can, if appropriate, release the test disc again here and remove it in order to continue the production over a limited time period. In order to assist the operator in his decision, the evaluation computer predicts, on the basis of the results of the last successive routine tests, the expected development of the leakage rate of the glove and determines its permissible residual period of use, which is communicated to the operator. The evaluation method therefore evaluates the seal of the glove not only qualitatively (decision between sealed and leaking) but also quantitatively. An accelerated degradation can have various causes: it can be brought about by a very small local defect which is caused without being noticed by the operator and which develops gradually into a relatively large defect, but it can also be due to a degradation in the glove material as a whole. It is desirable to cause the glove to be exchanged before such degradation can be measured, but without unnecessarily shortening the period of use of the glove. Local defects which are caused without being noticed cannot be predicted, but the degradation of the glove material as a whole can be predicted if all the damaging influences which act during the period of use of the glove are known quantitatively, for example a duration of effect and concentration of a particularly aggressive chemical. In addition, the reaction of the glove material on this chemical must be known. Known test systems do not provide any possibilities for this, or only provide restricted possibilities, since the gloves are removed for the tests, wherein the ports at which they were installed in the course of their period of use is not detected. It would therefore certainly not be possible to track what influences they were subjected to. However, equipping all the gloves and ports with unique identification elements makes it possible to extend the evaluation method by utilizing the access to selected process-related data of the user, in such a way that said method detects the entire life cycle of each individual glove, i.e. the profile of its degradation including the causes thereof. The extended evaluation method includes linking the data supplied by the test discs according to the invention to further process-related data detected by the user (for example a type and duration of the production process which is carried out, chemicals used, number of the production system). Furthermore, data on the resistance of the glove materials used compared to the chemicals used in the production processes could also be included. This data can be included in the safety data sheets of the chemicals or can be determined experimentally by the user. This data combination permits new quality in process safety which meets all the prescriptions of the FDA Guidelines and goes beyond them. The individualization of the gloves and ports and the automatic collection of data eliminate errors completely during the manual documentation and during the equipment of the ports with gloves. It is therefore ensured that a glove made of the material provided for it with the prescribed thickness is used for each production step. The combination of the test results, material data and process data makes it possible to know the state of any individual glove at any point in time, i.e. to produce a complete glove history which detects its conditions of use, in particular the chemical loading, and the profile of its degradation over its entire period of use. As a result, each individual glove can be used until its individual period of use expires, without endangering the integrity of the isolator and therefore the safety of the system through inadmissibly degraded gloves. The access to the process data of the user which is necessary for the extended evaluation method can be implemented in different ways: The evaluation unit or the evaluation computer of the test system can receive, for example, access rights to selected data of the user which is necessary for the evaluation described above. The evaluation takes place in this case by means of the evaluation unit of the test system. The results are then transmitted to the database of the user and stored there, so that they can be available to the user at any time. The transmission of data can be limited to specific conditioned data, for example the quantitative evaluation of the seal of a glove, but substantially less comprehensive data, for example, complete pressure profiles, can also be transmitted. Alternatively, the test system can be configured as a system-integrated solution and can be incorporated completely into the process sequences of the user. In this case, the test results (pressure profiles) are not stored by the evaluation computer of the test system but instead transmitted directly into the database of the user, which database is correspondingly adapted for this purpose. The evaluation then takes place on the system of the user. For a person skilled in the art it is obvious that the possibilities of use of the test discs or of the test system according to the invention with one or more test discs and of the evaluation method are not restricted to pharmaceutical systems. Of course, applications in isolators in the medical field, which isolators are operated with excess pressure or underpressure depending on the application, as well as in glove boxes in the nuclear field, which are operated with a high underpressure, and in all other systems (both excess pressure systems and underpressure systems), which have to ensure a high degree of tightness owing to their function, are possible. By using a plurality of test discs it is possible here to test a plurality of gloves simultaneously. 1 Test disc (forming a seal from the inside to the outside) 1′ Test disc (forming a seal from the outside to the inside) 2 Sealing device for 1, embodied as an inflatable hose 2′ Sealing device for 1′, embodied as an inflatable hose 3 On/Off switch 4 Start/Stop pushbutton key 5 Inflation valve for glove 6 Pre-filter 7 LCD display 8 Pressure sensor 9 Microprocessor 10 Pressure sensor for seal 11 Valve 12 Micro-air pump 13 Micro-air pump 14 WiFi module 15 RFID module 16 Energy source 17 Support ring 18 Attachment connector of the port 21 Evaluation computer 22 Database of the user Of course, with the exception of the sealing device, the positions of the elements of the test disc which are illustrated in the drawings are not compulsorily prescribed. Likewise, the shape and size of the test disc are not prescribed either. In addition to the oval shapes, round shapes and any other shapes are also possible, wherein only correspondence with the shape and size of the port to be tested has to be ensured.
048872830
claims
1. An X-ray mask comprising: a membrane formed of a material which transmits X-rays; a mask pattern formed on said membrane, said mask pattern being made of an X-ray absorbing material; and a supporting frame formed of a material which is mechanically deformed in response to the application of an external signal, said supporting frame supporting said membrane. correcting distortion in said mask pattern of an mask by the application of said external signal to said supporting frame of said mask; and irradiating said substrate with X-rays through said mask so as to transfer said mask pattern of said mask to said substrate. irradiating said substrate with rays of light through said mask so as to irradiate a first alignment mark provided on said mask and a second alignment mark provided on said substrate; and measuring the intensity of the light reflected by said substrate. 2. An X-ray mask according to claim 1, wherein said supporting frame is annular and includes a central opening. 3. An X-ray mask according to claim 2, wherein said mask pattern is disposed on said membrane opposite said opening. 4. An X-ray mask according to claim 1, wherein said membrane is bonded to said supporting frame. 5. An X-ray mask according to claim 1, wherein said supporting frame is mechanically deformed in response to an electric field. 6. An X-ray mask according to claim 1, wherein said supporting frame is formed of an electrostriction material. 7. An X-ray mask according to claim 6, further including an electric field application means for applying an electric field to said supporting frame. 8. An X-ray mask according to claim 7, wherein said electric field application means includes first electrode means formed on said membrane, and second electrode means formed on said supporting frame, for forming an electric field therebetween. 9. An X-ray mask according to claim 8, wherein said first and second electrode means are annular and aligned with said supporting frame. 10. An X-ray mask according to claim 9, wherein said first electrode means includes a plurality of electrodes radially separated from each other, said second electrode means being an electrode common to said first electrode means. 11. An X-ray mask according to claim 10, including a power source device for selectively applying voltages between said individual electrodes of said first electrode means and said second electrode means. 12. An X-ray mask according to claim 9, wherein said second electrode means includes a plurality of electrodes radially separated from each other, said first electrode means being an electrode common to said second electrode means. 13. An X-ray mask according to claim 12, including a power source device for selectively applying voltages between said individual electrodes of said second electrode means and said first electrode means. 14. An X-ray mask according to claim 1, wherein said supporting frame is mechanically deformed in response to a magnetic field. 15. An X-ray mask according to claim 1, wherein said supporting frame is made of a magnetostriction material. 16. An X-ray mask according to claim 15, further including a magnetic field applying means for applying a magnetic field to said supporting frame. 17. An X-ray mask according to claim 16, wherein said magnetic field applying means includes a plurality of electromagnetic coil pairs with said supporting frame interposed between said pairs. 18. An exposure method comprising the steps of: disposing an X-ray mask above a substrate in alignment therewith, said X-ray mask having a membrane formed of a material which transmits X-rays, a mask pattern formed on the surface of said membrane, said mask pattern being made of an X-ray absorbing material, and a supporting frame formed of a material which is mechanically deformed in response to the application of an external signal, said supporting frame supporting said membrane; 19. An exposure method according to claim 18, further including steps of: 20. An exposure method according to claim 19, including correcting distortion in said mask pattern of said mask by applying an external signal to said supporting frame of said mask in response to the intensity of reflected light. 21. An exposure method according to claim 19, including aligning said mask and said substrate by moving said substrate in response to the intensity of reflected light.
047541466
description
DESCRIPTION In the embodiment shown in FIGS. 1 to 3, a housing 1 of the sun-tanning apparatus contains a plurality of low pressure UV-A tubes 2 that constitute a source of radiation. These tubes are arranged parallel to and at a distance from each other. in the illustrated embodiment, thirteen such low-pressure UV-A tubes 2 are used. The low-pressure UV-A tubes 2 are arranged in a trough-like reflector 3, the long sides 4 of which are angled upwards at either end. The low-pressure UV-A tubes 2 are covered by a supporting surface 5, the edges of which rest on the side walls of the housing 1. The housing 1 is supported at both ends on feet 6 that are of an approximate U-shaped cross-section. A plurality of stand-off bolts 7 are installed over the length and breadth of the apparatus between the low-pressure UV-A tubes, the supporting surface 5 resting on the upper end of these stand-off bolts and the lower end of the bolts 9 being secured in the base 10 of the reflector 3. The stand-off bolts 7 are arranged in rows in the longitudinal and the transverse directions of the apparatus. In the embodiment shown, there are a total of four rows of stand-off bolts 7, with one row of the stand-off bolts 7 being located behind the second, fifth, eighth, and eleventh low-pressure UV-A tubes 2. In the embodiment shown, the arrangement of the stand-off bolts 7 is symmetrical. The stand-off bolts 7 are secured by threaded nuts 11 that are welded to the base of the reflector 3, the stand-off bolts in the form of studs being screwed into these threaded nuts. Lock-nuts 12 are provided inside the reflector 3 and these are screwed onto the stand-off bolts 7. Using these lock-nuts 12 it is possible to adjust the height of the stand-off bolts very precisely, such that the supporting surface 5 lies evenly on one end 8 of the stand-off bolts 7. The low-pressure UV-A tubes that are used have the lower halves 13 of their cross-sections mirrored or silvered on the inside. By installing such internally mirrored low-pressure UV-A tubes one can use a trough-like reflector 3 so that the previously used individual reflectors can be dispensed with. In the embodiment shown in FIGS. 4 to 6 the housing is represented at 21. Within this housing there are a plurality of low-pressure UV-A tubes 22 that are arranged parallel to and at a distance from each other. The low-pressure UV-A tubes 22 are arranged in a trough-like reflector 23, the long sides 24 of which are angled upwards at either side. The low-pressure UV-A tubes 22 are covered by a supporting surface 25, the edges of which rest on the side walls of the housing 21. An acrylic glass panel serves as the supporting surface 25. The housing itself is supported at both ends by feet 26 that are of approximate U-shaped cross-section. Spacer profiles 27 extend over the whole length of the apparatus 21 in between the low-pressure UV-A tubes. These spacer profiles are of T-section. The horizontal arms 28 of the T-profiles are secured to the base of the reflector 23, for example, by screws, rivets, cement, or the like, while the supporting surface 25 rests on the face ends of the vertical columns 29. In the embodiment shown, ten low pressure UV-A tubes 22 are arranged in the horizontal portion of the reflector, there being four spacer profiles 27 between which there are in each instance two or three low-pressure UV-A tubes 22. Since the spacer profiles are produced from material that permits the passage of UV-A radiation, the radiation can pass practically unhindered through the supporting surface 25 to the outside. The present invention results in a particularly simple solution to supporting the surface 25, which also ensures the largely unhindered passage of the radiation.
claims
1. A nano-manipulator probe tip for use in a gripper; the probe tip comprising: a probe body; and, at least one concentric collar permanently fastened to the probe body. 2. The nano-manipulator probe tip of claim 1, where the probe body further comprises:a plurality of sections; the sections having reduced diameter at regular intervals;the collar fastened to the probe body between each section; and,the sections of reduced diameter having a minimum diameter so that, when cut at the point of minimum diameter, the cut forms a tip point. 3. The nano-manipulator probe tip of claim 1, where the collar comprises a section of metal wire crimped to the probe body. 4. The nano-manipulator probe tip of claim 1 where the number of collars is two. 5. A gripper for delivering nano-manipulator probe tips inside a vacuum chamber, the gripper comprising:an outer tube;a compression cylinder inside of and coaxial with the outer tube;at least one elastic ring adjacent to the compression cylinder;a vacuum seal coaxial with the compression cylinder for receiving and sealing against a probe tip; and,an actuator connected to the compression cylinder for compressing the elastic ring and causing it to grip the probe tip. 6. The gripper of claim 5, further comprising a spring for biasing the compression cylinder. 7. The gripper of claim 5 where the number of elastic rings is two. 8. A TEM sample holder for holding a probe tip with an attached sample, the TEM sample holder comprising:a bar having opposed ends;an arm attached to each opposed end of the bar;one or more slots for receiving a probe tip; and,each slot having an inner part and an outer part, where the inner part is smaller than the outer part. 9. The TEM sample holder of claim 8 where the slots have a flexible tab at the entrance to the outer part, for retaining the probe tip. 10. The TEM sample holder of claim 8 where the border between the inner part and the outer part of the slot has a constriction. 11. The TEM sample holder of claim 8 where the border between the inner part and the outer part of the slot has a connection strip across it. 12. The TEM sample holder of claim 8 where the slot has an area of UV-curable epoxy. 13. A cassette for transferring one or more TEM sample holders, the cassette comprising:a platform;at least one bar extending upwardly from the platform; the bar having a groove;the groove receiving and holding a TEM sample holder. 14. The cassette of claim 13 further comprising:a die; the die being received by the groove;the die receiving and holding a TEM sample holder. 15. A cassette for transferring one or more TEM sample holders, the cassette comprising:a platform;at least one bar extending upwardly from the platform; the bar having a slot for receiving a probe tip; and,the slot having an inner part and an outer part, where the inner part is smaller than the outer part. 16. The TEM sample holder of claim 15 where the slots have a flexible tab at the entrance to the outer part, for retaining the probe tip. 17. The TEM sample holder of claim 15 where the border between the inner part and the outer part of the slot has a constriction. 18. The TEM sample holder of claim 15 where the border between the inner part and the outer part of the slot has a connection strip across it. 19. The TEM sample holder of claim 15 where the slot has an area of UV-curable epoxy. 20. A magazine for holding one or more probe tips, the magazine comprising:a rod supporting the magazine and concentric with it;a cartridge; the cartridge further comprising:a plurality of longitudinal openings for receiving probe tips;a shaft concentric with the cartridge; the shaft engaging the rod;a bearing concentric with the rod;a cover for the cartridge connected to the bearing;a end cover attached to the magazine;a first alignment hole in the magazine;a second alignment hole in the cartridge cover, so that the cartridge is closed for releasing a probe tip when the alignment holes are aligned with one another and with one of the longitudinal openings in the cartridge. 21. The magazine of claim 20, further comprising a means for rotating the magazine. 22. A kit for gripping and manipulating nano-manipulator probe tips, the kit comprising:a gripper, for selectively gripping and releasing a probe tip;a TEM sample holder; and,a cassette for transferring one or more TEM sample holders. 23. The kit of claim 22, where the gripper further comprises:an outer tube;a compression cylinder inside and coaxial with the outer tube;at least one elastic ring adjacent to the compression cylinder;a vacuum seal coaxial with the compression cylinder for receiving and sealing against the probe tip; and,an actuator connected to the compression cylinder for compressing the elastic ring and causing it to grip the probe tip. 24. The kit of claim 22, where the TEM sample holder further comprises:a bar having opposed ends;an arm attached to each opposed end of the bar;one or more slots for receiving a probe tip; and,each slot having an inner part and an outer part, where the inner part is smaller than the outer part. 25. The kit of claim 23, where the cassette further comprises:a platform;at least one bar extending upwardly from the platform; the bar having a groove; and,the groove accepting and holding a TEM sample holder. 26. The cassette of claim 25 further comprising:a die; the die being received by the groove;the die receiving and holding a TEM sample holder. 27. The kit of claim 22 where the cassette further comprises:a platform;at least one bar extending upwardly from the platform; the bar having a slot for receiving a probe tip; and,the slot having an inner part and an outer part, where the inner part is smaller than the outer part. 28. The cassette of claim 24, where the slots have a flexible tab at the entrance to the outer part, for retaining the probe tip. 29. The TEM sample holder of claim 24 where the border between the inner part and the outer part of the slot has a constriction. 30. The TEM sample holder of claim 24 where the border between the inner part and the outer part of the slot has a connection strip across it. 31. The TEM sample holder of claim 24 where the slot has an area of UV-curable epoxy. 32. A method for delivering a nano-manipulator probe tip into and out of a FIB, the method comprising the steps of:providing a gripper kit; the gripper kit comprising:one or more probe tips;a gripper;a TEM sample holder; and,a cassette for transferring one or more TEM sample holders;inserting one or more probe tips into the cassette;passing the cassette and probe tips into the FIB;gripping the probe tip with the gripper and removing it from the cassette;attaching a lift-out sample to the probe tip;transferring the probe tip with the attached sample to the cassette; and,removing the cassette and the attached sample from the FIB. 33. The method of claim 32, where the probe tip with a lift out sample is first transferred to a TEM sample holder and the TEM sample holder is then transferred to the cassette. 34. The method of claim 32, further comprising processing of the lift-out sample inside the FIB before removing it from the FIB. 35. A method for delivering a nano-manipulator probe tip into and out of a FIB, the method comprising the steps of:providing a gripper kit; the gripper kit comprising:a probe body, the probe body further comprising:a plurality of sections of reduced diameter at regular intervals;the sections of reduced diameter having a minimum diameter so that, when cut at the point of minimum diameter, the cut forms a tip point;a gripper;a TEM sample holder; and,a cassette for transferring one or more TEM sample holders;advancing a section of the probe body;cutting off the section, forming a probe tip having a tip point;gripping the probe tip with the gripper;attaching a lift-out sample to the probe tip;transferring the probe tip with the attached sample to the cassette; and,removing the cassette and the attached sample from the FIB. 36. The method of claim 35, where the probe tip with a lift out sample is first transferred to a TEM sample holder and the TEM sample holder is then transferred to the cassette. 37. The method of claim 35, further comprising processing of the lift-out sample inside the FIB before removing it from the FIB. 38. A method for delivering a nano-manipulator probe tip into and out of a FIB, the method comprising the steps of:providing one or more probe tips, where the probe tips are contained in capsules;providing a probe shaft for delivering the capsules;providing a magazine for holding the capsules;providing a TEM sample holder;providing a cassette for transferring one or more TEM sample holders;inserting one or more capsules into the magazine;attaching a lift-out sample to one of the probe tips;ejecting the capsule containing the probe tip with the lift-out sample from the magazine;transferring the probe tip with the attached lift-out sample to the cassette; and,removing the cassette and the attached lift-out sample from the FIB. 39. The method of claim 38, where the probe tip with a lift out sample is first transferred to a TEM sample holder and the TEM sample holder is then transferred to the cassette. 40. The method of claim 38, further comprising processing of the lift-out sample inside the FIB before removing it from the FIB.
description
This application is: a continuation of U.S. patent application Ser. No. 15/803,597, filed Nov. 3, 2017, which: is a continuation-in-part of U.S. patent application Ser. No. 15/467,840 filed Mar. 23, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/402,739 filed Jan. 10, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/348,625 filed Nov. 10, 2016, which is a continuation-in-part of U.S. patent application Ser. No. 15/167,617 filed May 27, 2016; and claims benefit of U.S. provisional patent application No. 62/561,148 filed Sep. 20, 2017 a continuation-in-part of U.S. patent application Ser. No. 15/727,598 filed Oct. 7, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/467,840 filed Mar. 23, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/402,739 filed Jan. 10, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/348,625 filed Nov. 10, 2016, which is a continuation-in-part of U.S. patent application Ser. No. 15/167,617 filed May 27, 2016; and claims benefit of U.S. provisional patent application No. 62/561,148 filed Sep. 20, 2017; a continuation-in-part of U.S. patent application Ser. No. 15/727,596 filed Oct. 7, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/467,840 filed Mar. 23, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/402,739 filed Jan. 10, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/348,625 filed Nov. 10, 2016, which is a continuation-in-part of U.S. patent application Ser. No. 15/167,617 filed May 27, 2016; and claims benefit of U.S. provisional patent application No. 62/561,148 filed Sep. 20, 2017. The invention relates generally a gantry for controlling an ion beam, such as for imaging and treating a tumor. Cancer Treatment Proton therapy works by aiming energetic ionizing particles, such as protons accelerated with a particle accelerator, onto a target tumor. These particles damage the DNA of cells, ultimately causing their death. Cancerous cells, because of their high rate of division and their reduced ability to repair damaged DNA, are particularly vulnerable to attack on their DNA. Patents related to the current invention are summarized here. Proton Beam Therapy System F. Cole, et. al. of Loma Linda University Medical Center “Multi-Station Proton Beam Therapy System”, U.S. Pat. No. 4,870,287 (Sep. 26, 1989) describe a proton beam therapy system for selectively generating and transporting proton beams from a single proton source and accelerator to a selected treatment room of a plurality of patient treatment rooms. There exists in the art of charged particle cancer therapy a need for safe, accurate, precise, and rapid imaging of a patient and/or treatment of a tumor using charged particles. The invention relates generally to safely controlling an ion beam, such as for imaging and treating a tumor. Elements and steps in the figures are illustrated for simplicity and clarity and have not necessarily been rendered according to any particular sequence. For example, steps that are performed concurrently or in different order are illustrated in the figures to help improve understanding of embodiments of the present invention. The invention comprises a segmented rolling floor apparatus and method of use thereof, such as for use in a charged particle cancer therapy system. The segmented rolling floor comprises a first spool and a second spool, attached to opposite ends of the rolling floor, which cooperatively wind and unwind the rolling floor. The segmented rolling floor optionally and preferably circumferentially surrounds a nozzle system penetrating through an aperture in the segmented rolling floor, where the rolling floor system moves at a rate maintaining the nozzle system in the aperture as a gantry rotates the nozzle system and a rotatable section of a positively charged particle beam transport line about a cancer patient. Thus, the rolling floor forms a section of a floor system and provides a safe/walkable floor while allowing treatment of a tumor with the positively charged particles as the nozzle system is rotated through positions above and below the floor by the gantry. The above described embodiment is optionally used in combination with a proton therapy cancer treatment system and/or a proton tomography imaging system. Generally, one or more detectors imaging photons emitted from the coated layers, also referred to as imaging sheets or layers, are used to determine one or more point positions of the charged particle beam at a given time. Combining the point positions yields localized vectors pinpointing the charged particle beam position, such as entering a patient. The resulting charged particle state determination system using one or more coated layers is used in conjunction with a scintillation detector or a tomographic imaging system at time of tumor and surrounding tissue sample mapping and/or at time of tumor treatment where common synchrotron, beam transport, and/or nozzle elements are used for both proton imaging and cancer treatment. The above described embodiment is optionally used in combination with a set of fiducial marker detectors configured to detect photons emitted from and/or reflected off of a set of fiducial markers positioned on one or more objects in a treatment room and resultant determined distances and/or calculated angles are used to determine relative positions of multiple objects or elements in the treatment room. Generally, in an iterative process, at a first time objects, such as a treatment beamline output nozzle, a specific portion of a patient relative to a tumor, a scintillation detection material, an X-ray system element, and/or a detection element, are mapped and relative positions and/or angles therebetween are determined. At a second time, the position of the mapped objects is used in: (1) imaging, such as X-ray, positron emission tomography, and/or proton beam imaging and/or (2) beam targeting and treatment, such as positively charged particle based cancer treatment. As relative positions of objects in the treatment room are dynamically determined using the fiducial marking system, engineering and/or mathematical constraints of a treatment beamline isocenter is removed. In combination, a method and apparatus is described for determining a position of a tumor in a patient for treatment of the tumor using positively charged particles in a treatment room. More particularly, the method and apparatus use a set of fiducial markers and fiducial detectors to mark/determine relative position of static and/or moveable objects in a treatment room using photons passing from the markers to the detectors. Further, position and orientation of at least one of the objects is calibrated to a reference line, such as a zero-offset beam treatment line passing through an exit nozzle, which yields a relative position of each fiducially marked object in the treatment room. Treatment calculations are subsequently determined using the reference line and/or points thereon. The inventor notes that the treatment calculations are optionally and preferably performed without use of an isocenter point, such as a central point about which a treatment room gantry rotates, which eliminates mechanical errors associated with the isocenter point being an isocenter volume in practice. In combination, a method and apparatus for imaging a tumor of a patient using positively charged particles and X-rays, comprises the steps of: (1) transporting the positively charged particles from an accelerator to a patient position using a beam transport line, where the beam transport line comprises a positively charged particle beam path and an X-ray beam path; (2) detecting scintillation induced by the positively charged particles using a scintillation detector system; (3) detecting X-rays using an X-ray detector system; (4) positioning a mounting rail through linear extension/retraction to: at a first time and at a first extension position of the mounting rail, position the scintillation detector system opposite the patient position from the exit nozzle and at a second time and at a second extension position of the mounting rail, position the X-ray detector system opposite the patient position from the exit nozzle; (5) generating an image of the tumor using output of the scintillation detector system and the X-ray detector system; and (6) alternating between the step of detecting scintillation and treating the tumor via irradiation of the tumor using the positively charged particles. In combination, a tomography system is optionally used in combination with a charged particle cancer therapy system. The tomography system uses tomography or tomographic imaging, which refers to imaging by sections or sectioning through the use of a penetrating wave, such as a positively charge particle from an injector and/or accelerator. Optionally and preferably, a common injector, accelerator, and beam transport system is used for both charged particle based tomographic imaging and charged particle cancer therapy. In one case, an output nozzle of the beam transport system is positioned with a gantry system while the gantry system and/or a patient support maintains a scintillation plate of the tomography system on the opposite side of the patient from the output nozzle. In another example, a charged particle state determination system, of a cancer therapy system or tomographic imaging system, uses one or more coated layers in conjunction with a scintillation material, scintillation detector and/or a tomographic imaging system at time of tumor and surrounding tissue sample mapping and/or at time of tumor treatment, such as to determine an input vector of the charged particle beam into a patient and/or an output vector of the charged particle beam from the patient. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerated with an accelerator, and guided with a delivery system. The cancer therapy system uses the same injector, accelerator, and guided delivery system in delivering charged particles to the cancerous tumor. For example, the tomography apparatus and cancer therapy system use a common raster beam method and apparatus for treatment of solid cancers. More particularly, the invention comprises a multi-axis and/or multi-field raster beam charged particle accelerator used in: (1) tomography and (2) cancer therapy. Optionally, the system independently controls patient translation position, patient rotation position, two-dimensional beam trajectory, delivered radiation beam energy, delivered radiation beam intensity, beam velocity, timing of charged particle delivery, and/or distribution of radiation striking healthy tissue. The system operates in conjunction with a negative ion beam source, synchrotron, patient positioning, imaging, and/or targeting method and apparatus to deliver an effective and uniform dose of radiation to a tumor while distributing radiation striking healthy tissue. For clarity of presentation and without loss of generality, throughout this document, treatment systems and imaging systems are described relative to a tumor of a patient. However, more generally any sample is imaged with any of the imaging systems described herein and/or any element of the sample is treated with the positively charged particle beam(s) described herein. Charged Particle Beam Therapy Throughout this document, a charged particle beam therapy system, such as a proton beam, hydrogen ion beam, or carbon ion beam, is described. Herein, the charged particle beam therapy system is described using a proton beam. However, the aspects taught and described in terms of a proton beam are not intended to be limiting to that of a proton beam and are illustrative of a charged particle beam system, a positively charged beam system, and/or a multiply charged particle beam system, such as C4+ or C6+. Any of the techniques described herein are equally applicable to any charged particle beam system. Referring now to FIG. 1A, a charged particle beam system 100 is illustrated. The charged particle beam preferably comprises a number of subsystems including any of: a main controller 110; an injection system 120; a synchrotron 130 that typically includes: (1) an accelerator system 131 and (2) an internal or connected extraction system 134; a radio-frequency cavity system 180; a beam transport system 135; a scanning/targeting/delivery system 140; a nozzle system 146; a patient interface module 150; a display system 160; and/or an imaging system 170. An exemplary method of use of the charged particle beam system 100 is provided. The main controller 110 controls one or more of the subsystems to accurately and precisely deliver protons to a tumor of a patient. For example, the main controller 110 obtains an image, such as a portion of a body and/or of a tumor, from the imaging system 170. The main controller 110 also obtains position and/or timing information from the patient interface module 150. The main controller 110 optionally controls the injection system 120 to inject a proton into a synchrotron 130. The synchrotron typically contains at least an accelerator system 131 and an extraction system 134. The main controller 110 preferably controls the proton beam within the accelerator system, such as by controlling speed, trajectory, and timing of the proton beam. The main controller then controls extraction of a proton beam from the accelerator through the extraction system 134. For example, the controller controls timing, energy, and/or intensity of the extracted beam. The controller 110 also preferably controls targeting of the proton beam through the scanning/targeting/delivery system 140 to the patient interface module 150 or a patient with a patient positioning system. One or more components of the patient interface module 150, such as translational and rotational position of the patient, are preferably controlled by the main controller 110. Further, display elements of the display system 160 are preferably controlled via the main controller 110. Displays, such as display screens, are typically provided to one or more operators and/or to one or more patients. In one embodiment, the main controller 110 times the delivery of the proton beam from all systems, such that protons are delivered in an optimal therapeutic manner to the tumor of the patient. Herein, the main controller 110 refers to a single system controlling the charged particle beam system 100, to a single controller controlling a plurality of subsystems controlling the charged particle beam system 100, or to a plurality of individual controllers controlling one or more sub-systems of the charged particle beam system 100. Still referring to FIG. 22, a first input to the semi-automated radiation treatment plan development system 2200, used to generate the radiation treatment plan 2210, is a requirement of dose distribution 2220. Herein, dose distribution comprises one or more parameters, such as a prescribed dosage 2221 to be delivered; an evenness or uniformity of radiation dosage distribution 2222; a goal of reduced overall dosage 2223 delivered to the patient 230; a specification related to minimization or reduction of dosage delivered to critical voxels 2224 of the patient 230, such as to a portion of an eye, brain, nervous system, and/or heart of the patient 230; and/or an extent of, outside a perimeter of the tumor, dosage distribution 2225. The automated radiation treatment plan development system 2200 calculates and/or iterates a best radiation treatment plan using the inputs, such as via a computer implemented algorithm. Each parameter provided to the automated radiation treatment plan development system 2200, optionally and preferably contains a weight or importance. For clarity of presentation and without loss of generality, two cases illustrate. In a first case, a requirement/goal of reduction of dosage or even complete elimination of radiation dosage to the optic nerve of the eye, provided in the minimized dosage to critical voxels 2224 input is given a higher weight than a requirement/goal to minimize dosage to an outer area of the eye, such as the rectus muscle, or an inner volume of the eye, such as the vitreous humor of the eye. This first case is exemplary of one input providing more than one sub-input where each sub-input optionally includes different weighting functions. In a second case, a first weight and/or first sub-weight of a first input is compared with a second weight and/or a second sub-weight of a second input. For instance, a distribution function, probability, or precision of the even radiation dosage distribution 2222 input optionally comprises a lower associated weight than a weight provided for the reduce overall dosage 2223 input to prevent the computer algorithm from increasing radiation dosage in an attempt to yield an entirely uniform dose distribution. Each parameter and/or sub-parameter provided to the automated radiation treatment plan development system 2200, optionally and preferably contains a limit, such as a hard limit, an upper limit, a lower limit, a probability limit, and/or a distribution limit. The limit requirement is optionally used, by the computer algorithm generating the radiation treatment plan 2210, with or without the weighting parameters, described supra. Referring now to FIG. 1B, an illustrative exemplary embodiment of one version of the charged particle beam system 100 is provided. The number, position, and described type of components is illustrative and non-limiting in nature. In the illustrated embodiment, the injection system 120 or ion source or charged particle beam source generates protons. The injection system 120 optionally includes one or more of: a negative ion beam source, a positive ion beam source, an ion beam focusing lens, and a tandem accelerator. The protons are delivered into a vacuum tube that runs into, through, and out of the synchrotron. The generated protons are delivered along an initial path 262. Optionally, focusing magnets 127, such as quadrupole magnets or injection quadrupole magnets, are used to focus the proton beam path. A quadrupole magnet is a focusing magnet. An injector bending magnet 128 bends the proton beam toward a plane of the synchrotron 130. The focused protons having an initial energy are introduced into an injector magnet 129, which is preferably an injection Lambertson magnet. Typically, the initial beam path 262 is along an axis off of, such as above, a circulating plane of the synchrotron 130. The injector bending magnet 128 and injector magnet 129 combine to move the protons into the synchrotron 130. Main bending magnets, dipole magnets, turning magnets, or circulating magnets 132 are used to turn the protons along a circulating beam path 164. A dipole magnet is a bending magnet. The main bending magnets 132 bend the initial beam path 262 into a circulating beam path 164. In this example, the main bending magnets 132 or circulating magnets are represented as four sets of four magnets to maintain the circulating beam path 164 into a stable circulating beam path. However, any number of magnets or sets of magnets are optionally used to move the protons around a single orbit in the circulation process. The protons pass through an accelerator 133. The accelerator accelerates the protons in the circulating beam path 164. As the protons are accelerated, the fields applied by the magnets are increased. Particularly, the speed of the protons achieved by the accelerator 133 are synchronized with magnetic fields of the main bending magnets 132 or circulating magnets to maintain stable circulation of the protons about a central point or region 136 of the synchrotron. At separate points in time the accelerator 133/main bending magnet 132 combination is used to accelerate and/or decelerate the circulating protons while maintaining the protons in the circulating path or orbit. An extraction element of an inflector/deflector system is used in combination with a Lambertson extraction magnet 137 to remove protons from their circulating beam path 164 within the synchrotron 130. One example of a deflector component is a Lambertson magnet. Typically the deflector moves the protons from the circulating plane to an axis off of the circulating plane, such as above the circulating plane. Extracted protons are preferably directed and/or focused using an extraction bending magnet 142 and optional extraction focusing magnets 141, such as quadrupole magnets, and optional bending magnets along a positively charged particle beam transport path 268 in a beam transport system 135, such as a beam path or proton beam path, into the scanning/targeting/delivery system 140. Two components of a scanning system 140 or targeting system typically include a first axis control 143, such as a vertical control, and a second axis control 144, such as a horizontal control. In one embodiment, the first axis control 143 allows for about 100 mm of vertical or y-axis scanning of the proton beam 268 and the second axis control 144 allows for about 700 mm of horizontal or x-axis scanning of the proton beam 268. A nozzle system 146 is used for directing the proton beam, for imaging the proton beam, for defining shape of the proton beam, and/or as a vacuum barrier between the low pressure beam path of the synchrotron and the atmosphere. Protons are delivered with control to the patient interface module 150 and to a tumor of a patient. All of the above listed elements are optional and may be used in various permutations and combinations. Ion Extraction from Ion Source For clarity of presentation and without loss of generality, examples focus on protons from the ion source. However, more generally cations of any charge are optionally extracted from a corresponding ion source with the techniques described herein. For instance, C4+ or C6+ are optionally extracted using the ion extraction methods and apparatus described herein. Further, by reversing polarity of the system, anions are optionally extracted from an anion source, where the anion is of any charge. Herein, for clarity of presentation and without loss of generality, ion extraction is coupled with tumor treatment and/or tumor imaging. However, the ion extraction is optional used in any method or apparatus using a stream or time discrete bunches of ions. Beam Transport The beam transport system 135 is used to move the charged particles from the accelerator to the patient, such as via a nozzle in a gantry, described infra. Nozzle After extraction from the synchrotron 130 and transport of the charged particle beam along the proton beam path 268 in the beam transport system 135, the charged particle beam exits through the nozzle system 146. In one example, the nozzle system includes a nozzle foil covering an end of the nozzle system 146 or a cross-sectional area within the nozzle system forming a vacuum seal. The nozzle system includes a nozzle that expands in x/y-cross-sectional area along the z-axis of the proton beam path 268 to allow the proton beam 268 to be scanned along the x-axis and y-axis by the vertical control element and horizontal control element, respectively. The nozzle foil is preferably mechanically supported by the outer edges of an exit port of the nozzle or nozzle system 146. An example of a nozzle foil is a sheet of about 0.1 inch thick aluminum foil. Generally, the nozzle foil separates atmosphere pressures on the patient side of the nozzle foil from the low pressure region, such as about 10−5 to 10−7 torr region, on the synchrotron 130 side of the nozzle foil. The low pressure region is maintained to reduce scattering of the circulating charged particle beam in the synchrotron. Herein, the exit foil of the nozzle is optionally the first sheet 760 of the charged particle beam state determination system 750, described infra. Tomography/Beam State In one embodiment, the charged particle tomography apparatus is used to image a tumor in a patient. As current beam position determination/verification is used in both tomography and cancer therapy treatment, for clarity of presentation and without limitation beam state determination is also addressed in this section. However, beam state determination is optionally used separately and without tomography. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system using common elements. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerator, and guided with a delivery system that are part of the cancer therapy system, described supra. In various examples, the tomography imaging system is optionally simultaneously operational with a charged particle cancer therapy system using common elements, allows tomographic imaging with rotation of the patient, is operational on a patient in an upright, semi-upright, and/or horizontal position, is simultaneously operational with X-ray imaging, and/or allows use of adaptive charged particle cancer therapy. Further, the common tomography and cancer therapy apparatus elements are optionally operational in a multi-axis and/or multi-field raster beam mode. In conventional medical X-ray tomography, a sectional image through a body is made by moving one or both of an X-ray source and the X-ray film in relative to the patient during the exposure. By modifying the direction and extent of the movement, operators can select different focal planes, which contain the structures of interest. More modern variations of tomography involve gathering projection data from multiple directions by moving the X-ray source and feeding the data into a tomographic reconstruction software algorithm processed by a computer. Herein, in stark contrast to known methods, the radiation source is a charged particle, such as a proton ion beam or a carbon ion beam. A proton beam is used herein to describe the tomography system, but the description applies to a heavier ion beam, such as a carbon ion beam. Further, in stark contrast to known techniques, herein the radiation source is optionally stationary while the patient is rotated. Referring now to FIG. 2, an example of a tomography apparatus is described and an example of a beam state determination is described. In this example, the tomography system 200 uses elements in common with the charged particle beam system 100, including elements of one or more of the injection system 120, the accelerator 130, a positively charged particle beam transport path 268 within a beam transport housing 261 in the beam transport system 135, the targeting/delivery system 140, the patient interface module 150, the display system 160, and/or the imaging system 170, such as the X-ray imaging system. The scintillation material is optionally one or more scintillation plates, such as a scintillating plastic, used to measure energy, intensity, and/or position of the charged particle beam. For instance, a scintillation material 210 or scintillation plate is positioned behind the patient 230 relative to the targeting/delivery system 140 elements, which is optionally used to measure intensity and/or position of the charged particle beam after transmitting through the patient. Optionally, a second scintillation plate or a charged particle induced photon emitting sheet, described infra, is positioned prior to the patient 230 relative to the targeting/delivery system 140 elements, which is optionally used to measure incident intensity and/or position of the charged particle beam prior to transmitting through the patient. The charged particle beam system 100 as described has proven operation at up to and including 330 MeV, which is sufficient to send protons through the body and into contact with the scintillation material. Particularly, 250 MeV to 330 MeV are used to pass the beam through a standard sized patient with a standard sized pathlength, such as through the chest. The intensity or count of protons hitting the plate as a function of position is used to create an image. The velocity or energy of the proton hitting the scintillation plate is also used in creation of an image of the tumor 220 and/or an image of the patient 230. The patient 230 is rotated about the y-axis and a new image is collected. Preferably, a new image is collected with about every one degree of rotation of the patient resulting in about 360 images that are combined into a tomogram using tomographic reconstruction software. The tomographic reconstruction software uses overlapping rotationally varied images in the reconstruction. Optionally, a new image is collected at about every 2, 3, 4, 5, 10, 15, 30, or 45 degrees of rotation of the patient. Herein, the scintillation material 210 or scintillator is any material that emits a photon when struck by a positively charged particle or when a positively charged particle transfers energy to the scintillation material sufficient to cause emission of light. Optionally, the scintillation material 210 emits the photon after a delay, such as in fluorescence or phosphorescence. However, preferably, the scintillator has a fast fifty percent quench time, such as less than 0.0001, 0.001, 0.01, 0.1, 1, 10, 100, or 1,000 milliseconds, so that the light emission goes dark, falls off, or terminates quickly. Preferred scintillation materials include sodium iodide, potassium iodide, cesium iodide, an iodide salt, and/or a doped iodide salt. Additional examples of the scintillation materials include, but are not limited to: an organic crystal, a plastic, a glass, an organic liquid, a luminophor, and/or an inorganic material or inorganic crystal, such as barium fluoride, BaF2; calcium fluoride, CaF2, doped calcium fluoride, sodium iodide, NaI; doped sodium iodide, sodium iodide doped with thallium, NaI(Tl); cadmium tungstate, CdWO4; bismuth germanate; cadmium tungstate, CdWO4; calcium tungstate, CaWO4; cesium iodide, CsI; doped cesium iodide; cesium iodide doped with thallium, CsI(Tl); cesium iodide doped with sodium CsI(Na); potassium iodide, KI; doped potassium iodide, gadolinium oxysulfide, Gd2O2S; lanthanum bromide doped with cerium, LaBr3(Ce); lanthanum chloride, LaCl3; cesium doped lanthanum chloride, LaCl3(Ce); lead tungstate, PbWO4; LSO or lutetium oxyorthosilicate (Lu2SiO5); LYSO, Lu1.8Y0.2SiO5(Ce); yttrium aluminum garnet, YAG(Ce); zinc sulfide, ZnS(Ag); and zinc tungstate, ZnWO4. In one embodiment, a tomogram or an individual tomogram section image is collected at about the same time as cancer therapy occurs using the charged particle beam system 100. For example, a tomogram is collected and cancer therapy is subsequently performed: without the patient moving from the positioning systems, such as in a semi-vertical partial immobilization system, a sitting partial immobilization system, or the a laying position. In a second example, an individual tomogram slice is collected using a first cycle of the accelerator 130 and using a following cycle of the accelerator 130, the tumor 220 is irradiated, such as within about 1, 2, 5, 10, 15 or 30 seconds. In a third case, about 2, 3, 4, or 5 tomogram slices are collected using 1, 2, 3, 4, or more rotation positions of the patient 230 within about 5, 10, 15, 30, or 60 seconds of subsequent tumor irradiation therapy. In another embodiment, the independent control of the tomographic imaging process and X-ray collection process allows simultaneous single and/or multi-field collection of X-ray images and tomographic images easing interpretation of multiple images. Indeed, the X-ray and tomographic images are optionally overlaid and/or integrated to from a hybrid X-ray/proton beam tomographic image as the patient 230 is optionally in the same position for each image. In still another embodiment, the tomogram is collected with the patient 230 in the about the same position as when the patient's tumor is treated using subsequent irradiation therapy. For some tumors, the patient being positioned in the same upright or semi-upright position allows the tumor 220 to be separated from surrounding organs or tissue of the patient 230 better than in a laying position. Positioning of the scintillation material 210 behind the patient 230 allows the tomographic imaging to occur while the patient is in the same upright or semi-upright position. The use of common elements in the tomographic imaging and in the charged particle cancer therapy allows benefits of the cancer therapy, described supra, to optionally be used with the tomographic imaging, such as proton beam x-axis control, proton beam y-axis control, control of proton beam energy, control of proton beam intensity, timing control of beam delivery to the patient, rotation control of the patient, and control of patient translation all in a raster beam mode of proton energy delivery. The use of a single proton or cation beamline for both imaging and treatment eases patient setup, reduces alignment uncertainties, reduces beam state uncertainties, and eases quality assurance. In yet still another embodiment, initially a three-dimensional tomographic X-ray and/or proton based reference image is collected, such as with hundreds of individual rotation images of the tumor 220 and patient 230. Subsequently, just prior to proton treatment of the cancer, just a few 2-dimensional control tomographic images of the patient are collected, such as with a stationary patient or at just a few rotation positions, such as an image straight on to the patient, with the patient rotated about 45 degrees each way, and/or the X-ray source and/or patient rotated about 90 degrees each way about the y-axis. The individual control images are compared with the 3-dimensional reference image. An adaptive proton therapy is optionally subsequently performed where: (1) the proton cancer therapy is not used for a given position based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images and/or (2) the proton cancer therapy is modified in real time based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images. Charged Particle State Determination/Verification/Photonic Monitoring Still referring to FIG. 2, the tomography system 200 is optionally used with a charged particle beam state determination system 250, optionally used as a charged particle verification system. The charged particle state determination system 250 optionally measures, determines, and/or verifies one of more of: (1) position of the charged particle beam, such as a treatment beam 269, (2) direction of the treatment beam 269, (3) intensity of the treatment beam 269, (4) energy of the treatment beam 269, (5) position, direction, intensity, and/or energy of the charged particle beam, such as a residual charged particle beam 267 after passing through a sample or the patient 230, and/or (6) a history of the charged particle beam. For clarity of presentation and without loss of generality, a description of the charged particle beam state determination system 250 is described and illustrated separately in FIG. 3 and FIG. 4A; however, as described herein elements of the charged particle beam state determination system 250 are optionally and preferably integrated into the nozzle system 146 and/or the tomography system 200 of the charged particle treatment system 100. More particularly, any element of the charged particle beam state determination system 250 is integrated into the nozzle system 146, a dynamic gantry nozzle, and/or tomography system 200, such as a surface of the scintillation material 210 or a surface of a scintillation detector, plate, or system. The nozzle system 146 or the dynamic gantry nozzle provides an outlet of the charged particle beam from the vacuum tube initiating at the injection system 120 and passing through the synchrotron 130 and beam transport system 135. Any plate, sheet, fluorophore, or detector of the charged particle beam state determination system is optionally integrated into the nozzle system 146. For example, an exit foil of the nozzle is optionally a first sheet 252 of the charged particle beam state determination system 250 and a first coating 254 is optionally coated onto the exit foil, as illustrated in FIG. 2. Similarly, optionally a surface of the scintillation material 210 is a support surface for a fourth coating 292, as illustrated in FIG. 2. The charged particle beam state determination system 250 is further described, infra. Referring now to FIG. 2, FIG. 3, and FIG. 4A, four sheets, a first sheet 252, a second sheet 270, a third sheet 280, and a fourth sheet 290 are used to illustrate detection sheets and/or photon emitting sheets upon transmittance of a charged particle beam. Each sheet is optionally coated with a photon emitter, such as a fluorophore, such as the first sheet 252 is optionally coated with a first coating 254. Without loss of generality and for clarity of presentation, the four sheets are each illustrated as units, where the light emitting layer is not illustrated. Thus, for example, the second sheet 270 optionally refers to a support sheet, a light emitting sheet, and/or a support sheet coated by a light emitting element. The four sheets are representative of n sheets, where n is a positive integer. Referring now to FIG. 2 and FIG. 3, the charged particle beam state verification system 250 is a system that allows for monitoring of the actual charged particle beam position in real-time without destruction of the charged particle beam. The charged particle beam state verification system 250 preferably includes a first position element or first beam verification layer, which is also referred to herein as a coating, luminescent, fluorescent, phosphorescent, radiance, or viewing layer. The first position element optionally and preferably includes a coating or thin layer substantially in contact with a sheet, such as an inside surface of the nozzle foil, where the inside surface is on the synchrotron side of the nozzle foil. Less preferably, the verification layer or coating layer is substantially in contact with an outer surface of the nozzle foil, where the outer surface is on the patient treatment side of the nozzle foil. Preferably, the nozzle foil provides a substrate surface for coating by the coating layer. Optionally, a binding layer is located between the coating layer and the nozzle foil, substrate, or support sheet. Optionally, the position element is placed anywhere in the charged particle beam path. Optionally, more than one position element on more than one sheet, respectively, is used in the charged particle beam path and is used to determine a state property of the charged particle beam, as described infra. Still referring to FIG. 2 and FIG. 3, the coating, referred to as a fluorophore, yields a measurable spectroscopic response, spatially viewable by a detector or camera, as a result of transmission by the proton beam. The coating is preferably a phosphor, but is optionally any material that is viewable or imaged by a detector where the material changes, as viewed spectroscopically, as a result of the charged particle beam hitting or transmitting through the coating or coating layer. A detector or camera views secondary photons emitted from the coating layer and determines a position of a treatment beam 269, which is also referred to as a current position of the charged particle beam or final treatment vector of the charged particle beam, by the spectroscopic differences resulting from protons and/or charged particle beam passing through the coating layer. For example, the camera views a surface of the coating surface as the proton beam or positively charged cation beam is being scanned by the first axis control 143, vertical control, and the second axis control 144, horizontal control, beam position control elements during treatment of the tumor 220. The camera views the current position of the charged particle beam or treatment beam 269 as measured by spectroscopic response. The coating layer is preferably a phosphor or luminescent material that glows and/or emits photons for a short period of time, such as less than 5 seconds for a 50% intensity, as a result of excitation by the charged particle beam. The detector observes the temperature change and/or observe photons emitted from the charged particle beam traversed spot. Optionally, a plurality of cameras or detectors are used, where each detector views all or a portion of the coating layer. For example, two detectors are used where a first detector views a first half of the coating layer and the second detector views a second half of the coating layer. Preferably, at least a portion of the detector is mounted into the nozzle system to view the proton beam position after passing through the first axis and second axis controllers 143, 144. Preferably, the coating layer is positioned in the proton beam path 268 in a position prior to the protons striking the patient 230. Referring now to FIG. 1 and FIG. 2, the main controller 110, connected to the camera or detector output, optionally and preferably compares the final proton beam position or position of the treatment beam 269 with the planned proton beam position and/or a calibration reference, such as a calibrated beamline, to determine if the actual proton beam position or position of the treatment beam 269 is within tolerance. The charged particle beam state determination system 250 preferably is used in one or more phases, such as a calibration phase, a mapping phase, a beam position verification phase, a treatment phase, and a treatment plan modification phase. The calibration phase is used to correlate, as a function of x-, y-position of the first axis control 143 and the second axis control 144 response the actual x-, y-position of the proton beam at the patient interface. During the treatment phase, the charged particle beam position is monitored and compared to the calibration and/or treatment plan to verify accurate proton delivery to the tumor 220 and/or as a charged particle beam shutoff safety indicator. Referring now to FIG. 5, the position verification system 179 and/or a treatment delivery control system 112, upon determination of a tumor shift, an unpredicted tumor distortion upon treatment, and/or a treatment anomaly optionally generates and or provides a recommended treatment change 1070. The treatment change 1070 is optionally sent out while the patient 230 is still in the treatment position, such as to a proximate physician or over the internet to a remote physician, for physician approval 1072, receipt of which allows continuation of the now modified and approved treatment plan. Still referring to FIG. 22, a second input to the semi-automated radiation treatment plan development system 2200, is a patient motion 2230 input. The patient motion 2230 input comprises: a move the patient in one direction 2232 input, a move the patient at a uniform speed 2233 input, a total patient rotation 2234 input, a patient rotation rate 2235 input, and/or a patient tilt 2236 input. For clarity of presentation and without loss of generality, the patient motion inputs are further described, supra, in several cases. Still referring to FIG. 22, in a first case the automated radiation treatment plan development system 2200, provides a guidance input, such as the move the patient in one direction 2232 input, but a further associated directive is if other goals require it or if a better overall score of the radiation treatment plan 2210 is achieved, the guidance input is optionally automatically relaxed. Similarly, the move the patient at a uniform rate 2233 input is also provided with a guidance input, such as a low associated weight that is further relaxable to yield a high score, of the radiation treatment plan 2210, but is only relaxed or implemented an associated fixed or hard limit number of times. Still referring to FIG. 22, in a second case the computer implemented algorithm, in the automated radiation treatment plan development system 2200, optionally generates a sub-score. For instance, a patient comfort score optionally comprises a score combining a metric related to two or more of: the move the patient in one direction 2232 input, the move the patient at a uniform rate 2233 input, the total patient rotation 2234 input, the patient rotation rate 2235 input, and/or the reduce patient tilt 2236 input. The sub-score, which optionally has a preset limit, allows flexibility, in the computer implemented algorithm, to yield on patient movement parameters as a whole, again to result in patient comfort. Still referring to FIG. 22, in a third case the automated radiation treatment plan development system 2200 optionally contains an input used for more than one sub-function. For example, a reduce treatment time 2231 input is optionally used as a patient comfort parameter and also links into the dose distribution 2220 input. Still referring to FIG. 22, a third input to the automated radiation treatment plan development system 2200 comprises output of an imaging system, such as any of the imaging systems described herein. Still referring to FIG. 22, a fourth optional input to the automated radiation treatment plan development system 2200 is structural and/or physical elements present in the treatment room 922. Again, for clarity of presentation and without loss of generality, two cases illustrate treatment room object information as an input to the automated development of the radiation treatment plan 2210. Still referring to FIG. 22, in a first case the automated radiation treatment plan development system 2200 is optionally provided with a pre-scan of potentially intervening support structures 2282 input, such as a patient support device, a patient couch, and/or a patient support element, where the pre-scan is an image/density/redirection impact of the support structure on the positively charged particle treatment beam. Preferably, the pre-scan is an actual image or tomogram of the support structure using the actual facility synchrotron, a remotely generated actual image, and/or a calculated impact of the intervening structure on the positively charge particle beam. Determination of impact of the support structure on the charged particle beam is further described, infra. Still referring to FIG. 22, in a second case the automated radiation treatment plan development system 2200 is optionally provided with a reduce treatment through a support structure 2244 input. As described supra, an associated weight, guidance, and/or limit is optionally provided with the reduce treatment through the support structure 2244 input and, also as described supra, the support structure input is optionally compromised relative to a more critical parameter, such as the deliver prescribed dosage 2221 input or the minimize dosage to critical voxels 2224 of the patient 230 input. Still referring to FIG. 22, a fifth optional input to the automated radiation treatment plan development system 2200 is a doctor input 2136, such as provided only prior to the auto generation of the radiation treatment plan. Separately, doctor oversight 2130 is optionally provided to the automated radiation treatment plan development system 2200 as plans are being developed, such as an intervention to restrict an action, an intervention to force an action, and/or an intervention to change one of the inputs to the automated radiation treatment plan development system 2200 for a radiation plan for a particular individual. Still referring to FIG. 22, a sixth input to the automated radiation treatment plan development system 2200 comprises information related to collapse and/or shifting of the tumor 220 of the patient 230 during treatment. For instance, the radiation treatment plan 2210 is automatically updated, using the automated radiation treatment plan development system 2200, during treatment using an input of images of the tumor 220 of the patient 230 collected concurrently with treatment using the positively charged particles. For instance, as the tumor 220 reduces in size with treatment, the tumor 220 collapses inward and/or shifts. The auto-updated radiation treatment plan is optionally auto-implemented, such as without the patient moving from a treatment position. Optionally, the automated radiation treatment plan development system 2200 tracks dosage of untreated voxels of the tumor 220 and/or tracks partially irradiated, relative to the prescribed dosage 2221, voxels and dynamically and/or automatically adjusts the radiation treatment plan 2210 to provide the full prescribed dosage to each voxel despite movement of the tumor 220. Similarly, the automated radiation treatment plan development system 2200 tracks dosage of treated voxels of the tumor 220 and adjusts the automatically updated tumor treatment plan to reduce and/or minimize further radiation delivery to the fully treated and shifted tumor voxels while continuing treatment of the partially treated and/or untreated shifted voxels of the tumor 220. Automated Adaptive Treatment Referring now to FIG. 23, a system for automatically updating the radiation treatment plan 2300 and preferably automatically updating and implementing the radiation treatment plan is illustrated. In a first task 2310, an initial radiation treatment plan is provided, such as the auto-generated radiation treatment plan 2126, described supra. The first task is a startup task of an iterative loop of tasks and/or recurring set of tasks, described herein as comprising tasks two to four. In a second task 2320, the tumor 220 is treated using the positively charged particles delivered from the synchrotron 130. In a third task 2330, changes in the tumor shape and/or changes in the tumor position relative to surrounding constituents of the patient 230 are observed, such as via any of the imaging systems described herein. The imaging optionally occurs simultaneously, concurrently, periodically, and/or intermittently with the second task while the patient remains positioned by the patient positioning system. The main controller 110 uses images from the imaging system(s) and the provided and/or current radiation treatment plan to determine if the treatment plan is to be followed or modified. Upon detected relative movement of the tumor 220 relative to the other elements of the patient 230 and/or change in a shape of the tumor 230, a fourth task 2340 of updating the treatment plan is optionally and preferably automatically implemented and/or use of the radiation treatment plan development system 2200, described supra, is implemented. The process of tasks two to four is optionally and preferably repeated n times where n is a positive integer of greater than 1, 2, 5, 10, 20, 50, or 100 and/or until a treatment session of the tumor 220 ends and the patient 230 departs the treatment room 922. Automated Treatment Referring now to FIG. 24, an automated cancer therapy treatment system 2400 is illustrated. In the automated cancer therapy treatment system 2400, a majority of tasks are implemented according to a computer based algorithm and/or an intelligent system. Optionally and preferably, a medical professional oversees the automated cancer therapy treatment system 2400 and stops or alters the treatment upon detection of an error but fundamentally observes the process of computer algorithm guided implementation of the system using electromechanical elements, such as any of the hardware and/or software described herein. Optionally and preferably, each sub-system and/or sub-task is automated. Optionally, one or more of the sub-systems and/or sub-tasks are performed by a medical professional. For instance, the patient 230 is optionally initially positioned in the patient positioning system by the medical professional and/or the nozzle system 146 inserts are loaded by the medical professional. Optional and preferably automated, such as computer algorithm implemented, sub-tasks include one or more and preferably all of: receiving the treatment plan input 2200, such as a prescription, guidelines, patient motion guidelines 2230, dose distribution guidelines 2220, intervening object 2210 information, and/or images of the tumor 220; using the treatment plan input 2200 to auto-generate a radiation treatment plan 2126; auto-positioning 2122 the patient 230; auto-imaging 2124 the tumor 220; implementing medical profession oversight 2138 instructions; auto-implementing the radiation treatment plan 2320/delivering the positively charged particles to the tumor 220; auto-reposition the patient 2321 for subsequent radiation delivery; auto-rotate a nozzle position 2322 of the nozzle system 146 relative to the patient 230; auto-translate a nozzle position 2323 of the nozzle system 146 relative to the patient 230; auto-verify a clear treatment path using an imaging system, such as to observe presence of a metal object or unforeseen dense object via an X-ray image; auto-verify a clear treatment path using fiducial indicators 2324; auto control a state of the positively charge particle beam 2325, such as energy, intensity, position (x,y,z), duration, and/or direction; auto-control a particle beam path 2326, such as to a selected beamline and/or to a selected nozzle; auto implement positioning a tray insert and/or tray assembly; auto-update a tumor image 2410; auto-observe tumor movement 2330; and/or generate an auto-modified radiation treatment plan 2340/new treatment plan. Still yet another embodiment includes any combination and/or permutation of any of the elements described herein. The main controller, a localized communication apparatus, and/or a system for communication of information optionally comprises one or more subsystems stored on a client. The client is a computing platform configured to act as a client device or other computing device, such as a computer, personal computer, a digital media device, and/or a personal digital assistant. The client comprises a processor that is optionally coupled to one or more internal or external input device, such as a mouse, a keyboard, a display device, a voice recognition system, a motion recognition system, or the like. The processor is also communicatively coupled to an output device, such as a display screen or data link to display or send data and/or processed information, respectively. In one embodiment, the communication apparatus is the processor. In another embodiment, the communication apparatus is a set of instructions stored in memory that is carried out by the processor. The client includes a computer-readable storage medium, such as memory. The memory includes, but is not limited to, an electronic, optical, magnetic, or another storage or transmission data storage medium capable of coupling to a processor, such as a processor in communication with a touch-sensitive input device linked to computer-readable instructions. Other examples of suitable media include, for example, a flash drive, a CD-ROM, read only memory (ROM), random access memory (RAM), an application-specific integrated circuit (ASIC), a DVD, magnetic disk, an optical disk, and/or a memory chip. The processor executes a set of computer-executable program code instructions stored in the memory. The instructions may comprise code from any computer-programming language, including, for example, C originally of Bell Laboratories, C++, C #, Visual Basic® (Microsoft, Redmond, Wash.), Matlab® (MathWorks, Natick, Mass.), Java® (Oracle Corporation, Redwood City, Calif.), and JavaScript® (Oracle Corporation, Redwood City, Calif.). Herein, any number, such as 1, 2, 3, 4, 5, is optionally more than the number, less than the number, or within 1, 2, 5, 10, 20, or 50 percent of the number. Herein, an element and/or object is optionally manually and/or mechanically moved, such as along a guiding element, with a motor, and/or under control of the main controller. The particular implementations shown and described are illustrative of the invention and its best mode and are not intended to otherwise limit the scope of the present invention in any way. Indeed, for the sake of brevity, conventional manufacturing, connection, preparation, and other functional aspects of the system may not be described in detail. Furthermore, the connecting lines shown in the various figures are intended to represent exemplary functional relationships and/or physical couplings between the various elements. Many alternative or additional functional relationships or physical connections may be present in a practical system. In the foregoing description, the invention has been described with reference to specific exemplary embodiments; however, it will be appreciated that various modifications and changes may be made without departing from the scope of the present invention as set forth herein. The description and figures are to be regarded in an illustrative manner, rather than a restrictive one and all such modifications are intended to be included within the scope of the present invention. Accordingly, the scope of the invention should be determined by the generic embodiments described herein and their legal equivalents rather than by merely the specific examples described above. For example, the steps recited in any method or process embodiment may be executed in any order and are not limited to the explicit order presented in the specific examples. Additionally, the components and/or elements recited in any apparatus embodiment may be assembled or otherwise operationally configured in a variety of permutations to produce substantially the same result as the present invention and are accordingly not limited to the specific configuration recited in the specific examples. Benefits, other advantages and solutions to problems have been described above with regard to particular embodiments; however, any benefit, advantage, solution to problems or any element that may cause any particular benefit, advantage or solution to occur or to become more pronounced are not to be construed as critical, required or essential features or components. As used herein, the terms “comprises”, “comprising”, or any variation thereof, are intended to reference a non-exclusive inclusion, such that a process, method, article, composition or apparatus that comprises a list of elements does not include only those elements recited, but may also include other elements not expressly listed or inherent to such process, method, article, composition or apparatus. Other combinations and/or modifications of the above-described structures, arrangements, applications, proportions, elements, materials or components used in the practice of the present invention, in addition to those not specifically recited, may be varied or otherwise particularly adapted to specific environments, manufacturing specifications, design parameters or other operating requirements without departing from the general principles of the same. Although the invention has been described herein with reference to certain preferred embodiments, one skilled in the art will readily appreciate that other applications may be substituted for those set forth herein without departing from the spirit and scope of the present invention. Accordingly, the invention should only be limited by the Claims included below.
054105765
abstract
A container for disposing of low level radioactive waste and its detection comprises a container having a cylindrical side wall of an enlarged diameter and an enlarged height. The container has a bottom wall with its exterior periphery coupled to the lower edge of the side wall. The container also has an aperture through the center of the bottom wall with an upwardly extending cylindrical support of a reduced diameter and shortened height extending upwardly from the aperture of the bottom wall. A liner is formed of a flexible material. The liner is configured to fit interiorly of the side wall with its upper edges extending over the upper edge thereof. The liner has a lower face adapted to be positioned on the interior face of the bottom wall. The liner also has an upwardly extending cylindrical extension adapted to be positioned over the upwardly extending interior cylinder of the container.
summary
claims
1. A method of protecting a subject against adverse effects associated with exposure to electromagnetic radiation, comprising:a) providing a device that emits electromagnetic radiation throughout an exposure area;b) forming a protective housing comprising a polymeric material that comprises a polyamide of nylon 6 or nylon 6, 6, barium sulfate, and magnesium sulfate;c) housing the device in the protective housing to protect a subject against adverse effects associated with exposure to electromagnetic radiation within the exposure area; andd) positioning the device such that the subject is within the exposure area. 2. The method according to claim 1, wherein the device is selected from the group consisting of a cellular telephone, a cordless telephone, an audio player, a wireless headset, a headphone, a computer and a television. 3. The method according to claim 1, wherein the polymeric material upon exposure to the electromagnetic radiation emits electromagnetic oscillations that counter adverse effects associated with the electromagnetic radiation. 4. The method according to claim 1, wherein the housed device is positioned to contact the subject's body. 5. The method according to claim 1, wherein the device emits a frequency of about 835 MHz to about 2000 MHz. 6. The method according to claim 5, wherein the device is a cellular telephone. 7. The method according to claim 1, wherein the polymeric material is formed by covalently bonding the barium sulfate and magnesium sulfate to the polyamide by ester linkages and polymerizing the polyamide. 8. The method according to claim 7, wherein the polymeric material is about ten parts by weight polyamide, about two parts by weight barium sulfate and about one part by weight magnesium sulfate. 9. The method according to claim 1, wherein the polymeric material consists essentially of the polyamide of nylon 6 or nylon 6, 6, barium sulfate, and magnesium sulfate. 10. The method according to claim 9, wherein the polymeric material is about ten parts by weight polyamide, about two parts by weight barium sulfate and about one part by weight magnesium sulfate. 11. The method according to claim 1, wherein before the step of housing the device, the method further comprises the step of testing the device to determine whether adverse effects are associated with exposure to electromagnetic radiation from the device. 12. The method according to claim 11, wherein the step of forming the protective garment comprises:i) covalently bonding the barium sulfate and magnesium sulfate to the polyamide by ester linkages;ii) polymerizing the polyamide to form a polymeric fabric; andiii) tailoring the fabric to form the garment. 13. The method according to claim 11, wherein the polymeric material is about ten parts by weight polyamide, about two parts by weight barium sulfate and about one part by weight magnesium sulfate. 14. The method according to claim 11, wherein the polymeric material upon exposure to incident electromagnetic radiation emits electromagnetic oscillations that counter adverse effects of the incident electromagnetic radiation. 15. A method of protecting a subject against adverse effects associated with exposure to electromagnetic radiation, comprising:a) providing a device that emits electromagnetic radiation throughout an exposure area;b) forming a protective garment from a polymeric material that comprises a polyamide of nylon 6 or nylon 6, 6, barium sulfate, and magnesium sulfate; andc) providing a subject wearing the protective garment within the exposure area.
description
This application is a continuation of U.S. application Ser. No. 12/861,368 filed Aug. 23, 2010, entitled “Multi Level Multileaf Collimators,” the disclosures of all of which are incorporated herein by reference. This invention relates generally to radiation apparatuses and methods, and in particular to multileaf collimators and methods of adjusting radiation beams useful in radiotherapy and other industries. Multileaf collimators (MLCs) are widely used in radiotherapy machines to support various treatments including intensity-modulated radiation therapy (IMRT) and arc therapy, etc. Conventional multileaf collimators include a single level of a plurality of beam blocking leaves arranged in two opposing banks or arrays. Each leaf in a bank is longitudinally movable relative to a leaf in the opposing bank. In operation each of the individual leaves is positioned to block a portion of a radiation beam passing through the volume occupied by the leaf. The combined positioning of all leaves defines one or many apertures through which the unblocked radiation beam passes, and the aperture(s) define(s) the shape of the radiation beam directed to a treatment field at an isocenter. To mitigate radiation leakage in single level MLCs, various leaf designs are developed including “tongue in groove” designs in which steps, waves or similar geometries are provided on the leaf sides so that leaf materials mutually overlap between leaves as viewed from a radiation source. While a tongue in groove design may reduce leakage between leaf sides, it unfortunately leads to undesirable underdose effects when MLC treatment fields are combined. Some conventional MLCs are used in combination with one or two pairs of collimation jaws to reduce leakage between abutted leaf ends. One issue associated with the combination of a MLC with collimation jaws is the increased bulk of a radiation system and the resulting reduced clearance between the patient and moving equipment. It is desirable to provide MLCs that can shape beams with high resolution so that the shaped beam conforms to a target volume as close as possible. In general a MLC would provide for higher beam shaping resolution if the beam blocking leaves could be thinner. However, reducing the width of leaves to improve MLC resolution has limitations and imposes challenges to MLC construction and operation. For MLCs using screw leaf drive systems for example, long slender drive screws may be susceptible to column buckling in a way that scales dramatically worse with smaller screw diameters. Motors with a smaller diameter may also be required. This invention provides for multi level MLCs and methods of shaping beams that can significantly reduce various leakage effects and improve beam shaping resolution. In some embodiments, a multilevel MLC comprises a first set and a second set of a plurality of pairs of beam blocking leaves arranged adjacent to one another. Leaves of each pair in the first set are disposed in an opposed relationship and longitudinally movable relative to each other in a first direction. Leaves of each pair in the second set are disposed in an opposed relationship and longitudinally movable relative to each other in a second direction generally parallel to the first direction. The first and second sets of pairs of leaves are disposed in different planes. In some embodiments, each of the first and second sets includes a first section of a plurality of pairs of leaves having a first cross section and a second section of a plurality of pairs of leaves having a second cross section different from the first cross section. In some embodiments, the first cross section of the leaves in the first section of the first set is different from the first cross section of the leaves in the first section of the second set. In some embodiments, the leaves in the first and second sets substantially focus on a single converging point. The leaves may have a trapezoidal cross section and generally flat side surfaces. Each leaf in the first set may be offset from a leaf in the second set in a direction generally traverse to the first and second directions. The leaves in the first and second sets may be supported by one or more movable carriages. In some embodiments, each leaf in the first set is offset from a leaf in the second set by substantially half the leaf in a direction generally traverse to the first and second directions. The leaves in the first set may have a substantially same first cross section and the leaves in the second set may have a substantially same second cross section. In one aspect a method of shaping radiation beams using a multi level MLC is provided. The multi level MLC comprises first and second sets of a plurality of beam blocking leaves disposed in first and second planes. Leaves in each of the first and second sets are arranged in two opposing arrays forming a plurality of pairs of leaves in the first and second sets respectively. Leaves of each pair are arranged in an opposed relationship and longitudinally movable relative each other, and the longitudinal moving directions are substantially parallel generally traverse to a beam direction. The leaves in the first and second sets are moved to block a selected portion of a radiation beam. In moving the leaves to produce treatment fields, generally, at least a portion of at least one leaf in an array of the first set overlaps at least a portion of at least one leaf in an opposing array of the second set in the beam direction. In some embodiments, the at least one leaf in the first set can come in contact with a leaf in an opposing array in the first set. In some embodiments, the at least one leaf in the first set can come in contact with a leaf in an opposing array in the first set at a first position, and the at least one leaf in the second set can come in contact with a leaf in an opposing array of the second set at a second position that is offset from the first position in the leaf moving directions. Various embodiments of multi level MLCs are described. It is to be understood that the invention is not limited to the particular embodiments described as such and may, of course, vary. An aspect described in conjunction with a particular embodiment is not necessarily limited to that embodiment and can be practiced in any other embodiments. For instance, while various embodiments are described in connection with X-ray radiotherapy machines, it will be appreciated that the invention can also be practiced in other electromagnetic apparatuses and modalities. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting since the scope of the invention will be defined by the appended claims, along with the full scope of equivalents to which such claims are entitled. In addition, various embodiments are described with reference to the figures. It should be noted that the figures are not drawn to scale, and are only intended to facilitate the description of specific embodiments. They are not intended as an exhaustive description or as a limitation on the scope of the invention. Various relative terms such as “upper,” “above,” “top,” “over,” “on,” “below,” “under,” “bottom,” “higher,” “lower” or similar terms may be used herein for convenience in describing relative positions, directions, or spatial relationships in conjunction with the drawings. For example, the term “level” or “upper or lower level” may be used for ease of describing some embodiments when a radiation source is on the top of an isocenter and a multi level MLC is positioned therebetween. The use of the relative terms should not be construed as to imply a necessary positioning, orientation, or direction of the structures or portions thereof in manufacturing or use, and to limit the scope of the invention. As used in the description and appended claims, the singular forms of “a,” “an,” and “the” include plural references unless the context clearly dictates otherwise. For example, reference to “a direction” includes the opposite direction of the direction and a plurality of directions that are parallel to the direction. A direction includes both linear and arc trajectories. As used herein the term “support body” may include a single support body member or a support body assembly comprised of a plurality of body members. The term “plane” as used in the plane of beam blocking leaves include both planar and curved or cylindrical planes. In general, the present invention provides a multi level MLC that includes two or more sets of beam blocking leaves in two or more different levels or planes. The two or more sets of leaves may be arranged stacked one above the other and parallel so that all leaves may travel in a substantially same direction. The two or more sets of leaves may also be arranged offset such that each leaf in a set may be offset from a leaf in a different set in a direction generally traverse to the leaf travel direction. FIG. 1 is a simplified illustration of a radiation system 100 that includes an exemplary multi level MLC in accordance with some embodiments of the invention. The radiation system 100 includes a radiation source 102 that is configured to produce beams 103 such as of photons, electrons, protons, or other types of radiation. For example, in X-ray radiotherapy the radiation source 102 may include a target which can produce X-ray radiation when impinged by energetic electron beams. The radiation system 100 may include beam shaping components such as a primary collimator 104 and optionally a secondary collimator 106 to generally limit the extent of the beam as it travels away from the radiation source 102 toward an isocenter 108. A multi level MLC 110 can be disposed between the radiation source 102 and the isocenter 108 to further adjust the shape and/or intensity of the beam 103 projected toward the isocenter 108. The MLC 110 and optionally a secondary collimator 106 may rotate about an axis through the source 102 and the isocenter 108, facilitated by bearing 105. The radiation source 102, primary collimator 104, bearing 105, secondary collimator 106, and MLC 110 may be enclosed in or attached to a structure such as a gantry, which may rotate about an axis such as a horizontal axis 109 through the isocenter 108. Thus in some embodiments, the radiation system 100 can deliver a treatment beam to a target in the isocenter plane 108 from various angles, and the shape and/or intensity of the beam can be dynamically adjusted by the MLC 110 as the beam angle is swept or stepped around the target. The radiation system 100 may also include various other components which are not shown in FIG. 1 in order to simplify the description of the invention. For example, the radiation system 100 may include a flattening filter for providing uniform dose distribution, an ion chamber for monitoring the parameters of a beam, and a field light system for simulation of a treatment field, etc. The radiation system 100 may also optionally include one or two pairs of collimation jaws movable in x- and/or y-directions (lower jaws, upper jaws) to provide for rectangular shaping of beams. In some embodiments, the radiation system 100 may include one of the collimation jaw pairs in conjunction with a multi level MLC of the invention. In some embodiments, the radiation system 100 does not require collimation jaws; the inclusion of a multi level MLC of the invention may effectively replace both the upper and lower jaws. As will be described in greater detail below, the design and control of the multi level MLC of the invention can significantly reduce various leakage effects, thus additional collimation jaws would not be required. Replacement of conventional collimation jaws would be an advantage as it reduces the bulk of a radiation system and improves the clearance between the patient and moving equipment. FIG. 2 is a cross-sectional view of an exemplary multi level MLC 210 in accordance with some embodiments. To simplify description, two sets of beam blocking leaves at two different levels or planes are shown in FIG. 2. It will be appreciated that three or more sets of leaves can be arranged at three or more different levels. As shown, the two or more sets 220, 230 can be arranged stacked and parallel. In each set, a plurality of leaves may be arranged in two banks or arrays forming a plurality of pairs of opposing leaves. Each leaf of a pair in a bank can be longitudinally movable relative to the other leaf of the pair in the opposing bank. In some embodiments, the two or more sets 220, 230 can be arranged such that the leaves at different levels may travel in a same direction. For example, the two or more sets 220, 230 may be arranged such that all the leaves in the MLC 210 can travel in e.g. the x-direction generally traverse to the beam direction when in use. The leaves of the MLC can be supported by a support body 212 which may include such as frames, boxes, carriages or other support structures. In some embodiments all the MLC leaves in different sets 220, 230 can be supported by a single carriage (unicarriage). The single carriage, supporting all the MLC leaves, can be driven such as by a powered actuating mechanism in the MLC leaf travel direction. In some embodiments, the MLC 210 may include two carriages each supports a portion of the MLC leaves or each supports a level of leaves. FIG. 3 illustrates an exemplary two level MLC 310 including two carriages 312, 314. One carriage 312 may support half the MLC leaves on a same side of all levels, and the other carriage 314 supports the other half on the opposing side. The two carriages 312, 314, each supporting half the MLC leaves, can be independently moved by powered actuating mechanism 330 along the MLC leaf travel direction. The carriages 312, 314 may travel on guide rails 316. Numerous arrangements and types of guide rails and powered actuators could be used to support and move carriages. The use of one or more carriages may provide advantages in that individual leaves and their travel can be shorter, and therefore have better tolerance control, less cost, less weight, and can fit in a smaller cover or similar structures. Combined speed of leaves and carriages can be a treatment planning advantage. In some embodiments, the multi level MLC of the invention does not require a movable carriage or carriages (carriageless). As shown in FIG. 3, each of the MLC leaves 318 can be independently moved by an associated drive motor 320. The drive motors 320 can be secured to the support body such as a carriage or carriages 312, 314 and are coupled to position feedback devices, a computer and motion control (not shown). In operation the drive motors 320 receive signals from the computer and motion control and move to position individual leaves 318 relative to the beam direction based on a treatment plan. The positioning of a leaf operates to block or adjust the radiation beam which is passing through the volume occupied by the leaf. The combined positioning of all leaves may define one or more aperture(s) through which an unblocked radiation beam passes, and the aperture(s) may define the shape of the radiation beam projected to a target which may be located in the isocenter plane. Returning to FIG. 1, the shape of the radiation beam 103 projected on the isocenter plane 108 has a step or strip resolution at the beam boundary 112. The step resolution is a function of the width of individual leaves of the MLC 110 and the position of the leaves relative to the isocenter 108 and the radiation source 102 from which the beam is emitted and diverged. In general, the step resolution would be higher if the leaves of the MLC 110 were thinner. Higher step resolution can also be provided by positioning the MLC 110 closer to the isocenter 108. In the description of the MLC definition and various radiation leakage effects, the terms of “length,” “width,” “height,” “side,” and “end” of a leaf may be used. The “length” of a leaf as used herein refers to the leaf dimension that is parallel to the leaf moving direction. The “width” of a leaf refers to the dimension of the leaf that is traverse the leaf moving direction and the direction of the radiation beam. The “height” of a leaf refers to the dimension of the leaf along the beam direction. The “side” of a leaf refers to the surface adjacent to neighboring leaves in a bank. The “end” of a leaf refers to the surface of the leaf inserted into the field along the length. FIG. 4 is a cross-sectional view of a portion of a multi level MLC 410 showing some detail of the leaf arrangement in accordance with some embodiments. As shown, first and second sets of leaves 420, 430 may be arranged at two different levels. In some embodiments, the first and second sets 420, 430 can be disposed such that each leaf in a set (e.g. leaf 422 in the first set 420) can be offset from a leaf in another set (e.g. leaf 432 in the second set 430) along a lateral direction or a direction traverse to the leaf moving direction. For example in some embodiments, a leaf in the first set 420 or second set 430 can be offset from a leaf in the second set 430 or first set 420 by substantially half a leaf. Alternatively, in some embodiments the first and second sets 420, 430 are disposed such that the gap between two adjacent leaves at a level (e.g. the gap between leaves 422, 424 in the first set 420) is positioned substantially at the middle of a leaf in another set (e.g. leaf 432 in the second set 430). The offset arrangement of leaves at different levels provides for leaf projections that are also offset at the isocenter. Therefore, in some embodiments the leaves in the first and second sets 420, 430 are arranged offset each other to provide for projections offset by approximately half a leaf width as projected at the isocenter plane. This provides for substantially an equivalent of doubling MLC definition, or improving the step resolution to half as compared to the definition of a single level MLC with leaves of the same physical width. In some embodiments, the MLC may include three or more sets of leaves at three or more levels which may be arranged such that each leaf at a level is offset e.g. by ⅓ or 1/n of leaf width as projected at the isocenter where n is the number of sets of the MLC. In embodiments with offset leaf arrangement, the number of leaves at a level may be different from the number of leaves at another level. For example, in a two level MLC, a leaf array at the upper level may include one more leaf than a leaf array at the lower level to ensure coverage by at least one single leaf at the sides of a symmetric MLC field. The leaves in a set at a level may have a substantially same cross-section. For example, in some embodiments the leaves in a set may have a same trapezoidal cross-section. Other cross-sectional shapes of leaves such as rectangular shape, tilted trapezoids, or trapezoids with stepped or wavy sides are possible. Alternating patterns of cross-sections are also possible, such as trapezoid, rectangle, trapezoid, rectangle, and so on. The cross-sectional shapes described herein do not refer to additional detail features in the cross-section that provide support and guidance for the leaves, such as added hook or tab shapes. Due to divergence of radiation beam from a source, the physical width of leaves at different levels may be different to provide the same projected width definition at the isocenter. For example, the leaves in a set closer to a source may have a narrower cross section than that of the leaves in a set farther from the source. In some embodiments, the leaves in the first and second sets may be arranged tilted or inclined to the source or substantially focus on a converging virtual point located substantially at the source. The focused leaf arrangement may improve the quality of beam shaping at the isocenter. The leaf side surfaces may be flat. In some embodiments, the adjacent leaf side surfaces may form a gap or spacing ranging from approximately 10 to 100 micrometers to facilitate relative movement between the leaves. The leaf side gaps may be substantially the same at a level. Because leaves at a level may cover radiation leakage between leaf sides (gap leakage) at another level, the leaf sides of the multi level MLC of the invention require little or no “tongue in groove” design as in conventional MLCs. In some embodiments, the leaves may have a trapezoidal cross section and the leaves may be arranged such that the leaf side surfaces substantially focus on a converging virtual point located substantially at the radiation source. This arrangement may provide the least leaf side penumbra. This arrangement can also eliminate or minimize “tongue and groove effect” because at a leaf level there is substantially no leaf material overlap between leaves as viewed from the radiation source. In a real situation where the radiation source is of finite size, rather than a theoretical point, the radiation may be thought to emanate from various “pixels” within that finite source and, the leaf side surfaces may not be viewed as perfectly focused from every source pixel, and leaf overlap material at a leaf level may contribute a slight tongue and groove effect from some of those pixels. Rather than an ideal focus on the source, a practical compromise such as a small step, wave, or a very slight defocused tilt may prove to be a better balance between gap leakage and tongue and groove effects. The leaf ends can be round, flat, or in various other configurations. The penumbra of leaf ends closer to the source will tend to be greater than the penumbra of leaf ends farther from the source due to geometric projection effects of the radiation source. For treatment planning purposes, it would be desirable if leaf ends have approximately same penumbra. For leaf ends that are substantially rounded, the otherwise worse penumbra of the upper leaves can be partially mitigated by using a larger leaf end radius. A larger radius reduces the penumbra due to transmission through the leaf material (e.g. tungsten). A larger leaf end radius may require taller leaves. Therefore, in some embodiment the height of the upper level leaves is greater than the height of the lower level leaves to insure approximately constant penumbra over the entire leaf travel range. In some embodiments the height of the upper level leaves and the lower level leaves is substantially the same, but the upper level leaves have an end portion with a larger radius. FIG. 5 shows with greater detail the end portion of the upper level leaves in an exemplary MLC 510. The MLC 510 includes upper level leaves 512 and lower level leaves 514 supported by a support body such as a leaf box 516. The upper leaves 512 and lower level leaves 514 may have a main portion of substantially same height (“H” as shown). The end portion 518 of the upper level leaves 512 may have one or two “tooth” portions or projections 520a, 520b extended e.g. either upward or downward, or extended both upward and downward to allow an increase of the leaf end radius of the upper leaves 512. The extended radius can mitigate the penumbra of the upper leaves 512 without substantially increasing the weight or height of the upper level leaf bodies. Not increasing the leaf body weight beyond what is needed for shielding is desirable due to packaging volume and leaf weight constraints. The tooth extensions 520a, 520b may be located outside of the leaf box 516 and use the space not otherwise needed. The resulting upper leaves 512 may have an end portion 518 with a “mushroom” shape in side view. If necessary to further mitigate unequal leaf end penumbra between the upper and lower levels, the radius of the lower leaf ends can be reduced below the maximum radius allowed by the leaf height. The leaves may be constructed with various suitable radiation attenuating materials. To generally improve on leakage performance of existing beam limiting devices, the combined attenuation of all levels of the MLC should be approximately 2.5 tenth value layers (“TVLs”) or greater. Single leaves at one level should substantially mitigate the local leakage of leaf gaps at another level. In general, the leaf gap leakage that can be allowed at a level in the multi level MLC can be greater as compared to conventional single level MLCs since the leaves at another level can mitigate the gap leakage. Since small areas at the prescribed boundaries of treatment fields may be covered by only a single leaf, the leaf height should be 1.5 TVLs or greater to perform adequately. In some embodiments, the multi level MLC of the invention can provide for a treatment field that is shaped by leaves all having the same width definition at the isocenter. By way of example, a treatment field of 40×40 cm2 with a projected leaf width of ½ cm (¼ cm offset definition) can be provided using 322 individual leaves disposed at two levels. As another example, a treatment field of 40×40 cm2 with a projected leaf width of 1 cm (½ cm offset definition) can be provided using 162 individual leaves. It will be appreciated that treatment fields of different sizes with different width definitions can be provided by the multi level MLCs of the invention including different numbers of individual leaves based on specific applications. In some embodiments, the multi level MLC of the invention may provide for a treatment field that is shaped by leaves of different width definitions at the isocenter. The finer definition (e.g. ¼ cm) may be provided in the central portion of the treatment field where precision is more needed. This may reduce MLC cost and increase MLC reliability compared to an MLC with a greater number of leaves allowing fine definition throughout the entire treatment field. In an embodiment, the transition of leaf width can be gradual. For example, the width of leaves at a level can be progressively increased with distance from the center of the treatment field. Each leaf at a level may have a physically different width dimension. Alternatively, each MLC level may include leaf sections so that the transition of leaf widths is discreet. The transition can be made by placing transition leaves at specific locations on one or both levels. The transition leaves insure that the gaps between leaves project at the desired spacing for the desired definition regions. FIG. 6A is a cross-sectional view of a portion of an exemplary multi level MLC 610 providing variable width definition in accordance with some embodiments. The MLC 610 may include two or more sets of leaves 620, 630 of different sizes which project different leaf widths at the isocenter (e.g., ½, 1, 2 cm etc.). To simplify description, leaves at a level are shown as having a rectangular cross-section to better illustrate the offset arrangement of leaves at different levels. Leaves may have a cross-section of trapezoidal, rectangular, or other shapes. At a first level 620, the MLC 610 may include a first section of leaves 622 with a first cross-section that provides for a first substantially same width definition (e.g. ½ cm), a second section of leaves 624 with a second cross-section that provides for a second substantially same width definition (e.g. 1 cm), and optionally a third section of leaves 626 with a third cross-section that provides for a third substantially same width definition (e.g. 2 cm) at the isocenter, and so on. At a second level 630, the MLC 610 may include first, second, and optionally third sections of leaves 632, 634, 636 which may be arranged offset from the corresponding first, second, and optionally third sections of leaves 622, 624, 626 at the first level 620. The leaves of the first, second, and optionally third sections 632, 634, 636 at the second level 630 may have cross-sections that provide for width definitions at the isocenter substantially same as the first, second, and optionally third width definitions of the first level leaves 620 respectively. At one or both level(s) such as the first level 620, one or more transition leaves 627 may be disposed between the first and second sections 622, 624, or optionally one or more transition leaves 628 between the second and third sections 624, 626. By way of example, the first sections of leaves 622, 632 at the first and second levels 620, 630 may provide for ½ cm width definition or ¼ cm offset definition at the isocenter, the second sections of leaves 624, 634 at the first and second levels 620, 630 may provide for 1 cm width definition or ½ cm offset definition, and optionally the third sections of leaves 626, 636 at the first and second levels 620, 630 may provide for 2 cm width definition or 1 cm offset definition. In some embodiments, a transition leaf 627 may provide for ¾ cm width definition, or optionally a transition leaf 628 may provide for 1½ cm width definition. It should be noted that the above leaf width dimensions are provided by way of example, and it will be appreciated that different width definitions may be provided for by a multi level MLC 610 including leaves of different sizes. A multi level MLC with variable width definitions allows the use of different types or sizes of leaves in the MLC. For example, the MLC may include high definition leaves in the middle section to define a treatment field closely conformal to the target. In the outer section where high definition may not be required, relatively low definition leaves may be used to reduce manufacturing cost and increase reliability of the MLC. By way of example, a multi level MLC with a variable leaf width configuration illustrated in FIG. 6A may provide a 40×40 cm2 treatment field using only 162 leaves, which are far fewer than 322 leaves that would be required for ¼ cm definition across the full field. FIG. 6B illustrates another alternative embodiment with variable leaf widths which can provide a 40×40 cm2 treatment field also with 10 cm of ¼ cm definition using 202 leaves. In some aspect the invention provides for a method of shaping radiation beams. Using a multi level MLC and a control method provided by the invention, various radiation leakage can be significantly reduced. The leakage between leaf sides or gap leakage can be mitigated by using a multi level MLC with offset leaf arrangement (see FIGS. 3 and 6A-6B). As described above, a multi level MLC may include two or more sets of leaves at different levels, and leaves at each level may be arranged in two banks or arrays forming a plurality of pairs of opposing leaves at each level. The two or more sets of leaves may be disposed generally in parallel so that all the leaves of the multi level MLC may travel in a substantially same direction generally traverse to the beam direction. In a preferred embodiment, the two or more sets of leaves can be disposed such that leaves at a level are offset from leaves at another level in a lateral direction (e.g., y-direction) generally traverse to the leaf moving direction so that the leakage between leaf sides at a level can be mitigated by leaves at another level. To reduce leakage between abutted leaf ends that may be intended to close in shaping a treatment field, the ends of the abutted leaves at a level may close at a position slightly offset, in the leaf travel direction (e.g., x-direction), from the position where the ends of the abutted leaves close at a different level. This would ensure that the abutted leaf end leakages are not superimposed but instead attenuated by at least a single leaf height. FIG. 7A schematically shows an exemplary embodiment where abutted leaf ends close at positions 702, 704, which are offset in the leaf travel direction (e.g. the x-direction) so that the rays through pairs of abutted ends are never superimposed. In execution, factors such as 3-dimensional effects including the presence of separated treatment field regions the relative x and y positions of their field boundaries, and whether an even or odd number of field strips separate regions etc. should be accounted for in determining the offset positions. In general as shown in FIG. 7B, the abutted leaf end leakage can be mitigated if a portion of a leaf 712 in a leaf bank at a level overlaps a portion of a leaf 714 in an opposing leaf bank at a different level as viewed from a radiation source. This would allow mitigation of abutted leaf end leakage to acceptable levels without ever having to touch the opposing abutted leaves together. For example, a minimum physical gap of less than 1 mm between abutted leaf ends should sufficiently control leakage, yet still be manageable within control accuracies. Not requiring abutted leaf ends to ever actually touch can reduce control program and leaf drive mechanical complexity and increase leaf drive reliability. Components such as springs and sacrificial “fuses” in a leaf drive nut as used in conventional MLCs to limit collision damage can also be eliminated if abutted leaf contact is not needed and such collisions become a rare event. Penumbra compromises associated with complex interlocking leaf end shapes can also be avoided. The ability to dynamically close leaf ends quickly, with low leakage, even between momentarily separated field regions can be an advantage to treatment planning. Such a creative offset control can be applied to dynamically changing field regions. Dynamically separating and recombining field regions can be created generally without even momentarily producing an unwanted region of high abutted leaf end leakage. A multi level MLC and a method of shaping radiation beams have been described. One of the advantages of the multi level MLC is that the offset arrangement of leaves can effectively improve beam shaping resolution, and allow the same definition with leaves physically twice as wide as for a single level MLC. The extra physical leaf width is a considerable construction advantage for achieving equal or higher MLC definition in a more limited volume, particularly for screw leaf drive systems. For example, in screw leaf drive systems, long slender leaf drive screws may be susceptible to column buckling in a way that scales dramatically worse with smaller screw diameters. Since the leaf drive screw diameter is generally limited to not be greater than physical leaf width, the invention greatly reduces the screw drive susceptibility to column buckling by allowing leaf drive screws to be nearly doubled in diameter. In addition, wider leaves allow room for larger diameter motors. The general relaxation of leaf drive miniaturization can also allow more motor choices, faster leaf speeds, better manufacturing process control, higher performance margins, higher reliability, and easier service access. These advantages are all desirable for dynamic treatments and MLC cost is also reduced. Another advantage of the invention is that the use of the multi level MLC can significantly improve the leakage effect over a single level MLC used in conjunction with one or two pairs of collimation jaws. FIGS. 8A-8C and FIGS. 9A-9C compare a conventional beam shaping method with some embodiments of the invention and their leakage effect. The gray tones of the figure approximate the transmission of the radiation beam passing through the MLC similar to how it would appear on film, with more radiation intensity being darker. To simplify calculations in this example, each level provides 2 Tenth Value Layer (TVL) attenuation. Thus the transmission of radiation through a single leaf for this example is assumed to be 1% of the intensity of the original unattenuated radiation beam. FIG. 8C shows intended radiation field regions shaped by corresponding positions of a pair of collimation jaws (FIG. 8A) and a single level MLC (FIG. 8B) acting in combination. FIG. 9C shows intended radiation field regions shaped by a multi level MLC of the invention including a first set (FIG. 9A) and a second set (FIG. 9B). In the conventional method, the combined leakage between the abutted leaf ends separating field regions and the leakage between leaf sides are evident as shown in FIG. 8C, whereas in the method using a multi level MLC of the invention, the combined leakage is significantly reduced as shown in FIG. 9C. Further, the combined leakage reduction of a conventional MLC shown in FIG. 8C is limited to a rectangle, while the combined leakage reduction of the multi level MLC of the invention extends nearly to the boundaries of the treatment field shown in FIG. 9C. The leakage between abutted leaf ends can also be significantly mitigated using the control method described above. FIGS. 10A-10C show that the abutted leaf leakage between rounded leaf ends at a single level can be as much as 24% on the centerline. With the offset control in the leaf travel-direction between levels, the abutted leaf end leakage can be reduced to less than 1%, as shown in FIG. 10C. Treatment field regions can be quickly separated and recombined without high leakage. Because the multi level MLC and control method provided by the invention can effectively reduce leaf leakage to acceptable levels, collimation jaws such as y-direction jaws are not required to control leaf end to end leakage as it is in most conventional single level MLCs. A fairly small and lightweight y-direction jaw pair may optionally be used in conjunction with the multi level MLC to provide for continuous adjustability of field width. A y-direction jaw pair might also mitigate small points of leakage where the abutted leaf gap of one level aligns with a leaf side gap of the other level. Shielding fixed to carriages or to a unicarriage may be used to provide adequate TVL coverage under all use cases. FIG. 11 shows a side cross-sectional view of an exemplary two level MLC 1110 including upper level leaves 1112 and lower level leaves 1114 supported by a carriage 1116. A small shielding block 1118 can be fixed to the top of the carriage 1116 to insure adequate shielding under all use cases in combination with lowered leaf tail portions 1120, 1122. The multi level MLC of the invention can be used in a radiotherapy machine to support various treatment options including intensity-modulated radiation therapy (IMRT), arc therapy, and other forms of radiotherapy. In intensity-modulated radiation therapy, the multi level MLC can be controlled to modulate the intensity and adjust the shape of the beam conformal to the size, shape, and location of the target. In dynamic arc therapy, the radiation source may rotate in delivery of radiation from various angles. The multi level MLC can be dynamically controlled during rotation of the source to adjust the beam conformal to the size, shape, and location of the target from various angles. Those skilled in the art will appreciate that various other modifications may be made within the spirit and scope of the invention. All these or other variations and modifications are contemplated by the inventors and within the scope of the invention.
059638842
abstract
A predictive maintenance system for a plurality of machines is disclosed. The system includes vibration sensors connected to the machines and a plurality of data acquisition nodes, each node connected to one or more of the vibration sensors. Each node acquires vibration data from the sensors connected thereto during a respective data acquisition interval. A system control computer collects vibration data acquired by the nodes during a polling cycle which is based on the longest of the respective data acquisition cycles of the nodes.
abstract
A method of manufacturing a collimator mandrel having variable attenuation characteristics is presented. The manufacturing process includes the placement of a layer of attenuating material on a core of base material. The layer of attenuating material is relatively thin and varies in thickness circumferentially around the core. The collimator mandrel may be manufactured by placing a cast about a core of non-attenuating material, filling a void between the cast and the core with an attenuating material, allowing the material to cure, and removing the cast from the assembly.
047553502
description
DETAILED DESCRIPTION Referring now to FIGS. 1 and 1A of the drawings, there is shown a perspective view of an assembly 10 of four semi-circular thermionic converters 12 wired in parallel, and a wedge section of assembly 10 removed to show more detail. Each thermionic converter 12 comprises an outer emitter electrode 14, separated at a fixed distance from an inner collector electrode 16 by insulated spacers and expansion joints 18. Spacers 18 include the connections for the parallel wiring of thermionic converters 12. Assembly 10 includes an outer layer of nuclear fuel 20, and an enclosed container 22 containing a core of heat sink material 24. Container 22 is electrically insulated from collectors 16 by an insulating coating 26. Voids 28 are allowed to form inside the core of heat sink material 24 to provide for expansion. Heat stored in heat sink material 24 is removed by an enclosed heat pipe 30. FIG. 2 is a perspective view of a thermionic reactor module 32 comprising approximately 100 thermionic converter assemblies 10 stacked in series. Each assembly 10 is electrically distinct from other assemblies 10 on either side. Container 22 and the core of heat sink material 24 extend unbrokenly through module 10 and to form a thermal reservoir for the reactor module. Heat from nuclear fuel 20 is applied to the outside of the emitters 14 to produce an electric current from emitters 14 to collectors 16. Waste heat is stored in the thermal reservoir comprising container 22 and the core of heat sink material 24 and is slowly removed by heat pipe 28. FIG. 3 is a representative perspective view of a space based nuclear reactor system 34 comprising an array of ninety-one modules 32. Heat pipes 28, as shown in FIGS. 1 and 2, but hidden in this figure, connect to an lithium hydride (LiH) radiation shield 36, which serves as a further heat sink and is connected to radiator 38 which ultimately transfers the waste heat to space. Control rods 40 are variously located between individual modules 32 of the array to moderate the fission producing neutron flux between modules 32. Bus bar 42 provides a common current path for the connected upper terminals of modules 32. In a space based system, emitter electrodes 14 are preferably made of a material such as molybdenum. The collector electrodes 16 are also preferably made of molybdenum, or similar material such as niobium. Spacers 18 are preferably made of materials such as aluminum oxide or yttrium oxide. Nuclear fuel 20 will typically be uranium carbide. Container 22 preferably is made of stainless steel or titanium and is insulated from collectors 16 by insulating coating 26 preferably made of Al.sub.2 O.sub.3 or TiO.sub.x. The possible heat sink materials 24 include ice, lithium or similar materials that will absorb a large amount of energy through a phase change, and preferably are a lithium salt, particularly lithium hydride. These materials are particularly suitable in a nuclear fission powered reactor because they act as neutron moderators (the lithium when enriched in the Li-7 isotope), moderating the energy of neutrons passing through them, and increasing the number of neutrons of lower energy levels able to create new fission chain reactions. Calculations indicate that as much as 8 megajoules/Kg of thermal energy may be stored in LiH. This permits a hexagonal array of ninety-one modules 32, as shown in FIG. 3, to produce 25 megawatts of electric power for 450 seconds with a total system weight of approximately 20,000 kilograms. The reactor will regain about 50 percent of its capacity in one orbit of 90 to 120 minutes. Each container 22 of heat sink material 24 is approximately 3.0 m in length with an outer diameter of 20 cm. The inner diameter of thermionic converters 12 is a corresponding 20 cm. Each electrode 14 and 16 is 2.6 mm thick with an interelectrode gap distance of 0.3 mm. The neutron absorbing property of the lithium hydride heat sink material 24 permits the thickness of the uranium fuel layer 20 to be 1 mm or less for a ninety-one module array. The overall length of nuclear reactor 34 is 7-8 m and the diameter 2.5-3.5 m. The disclosed method of making the nuclear powered thermionic reactor successfully demonstrates the use and advantages of placing the emitter electrode on the outside and containing a heat sink material inside the thermionic converter. Though the disclosed use is specialized, it will find application in other areas of energy generation where the advantages of a self-contained system are required. The disclosed embodiment of a nuclear energy powered thermionic reactor uses an array of modules of stacked thermionic assemblies. Those with skill in the art will see that individual assemblies made with single instead of multiple thermionic converters are generally equivalent structures and may provide different desired operating characteristics. Similarly, the individual assemblies are shown as generally cylindrical concentric structures. Those with skill in the art will see that any other structure wherein the heat sink is generally enclosed by, respectively, a collector and an emitter, such as concentric spheres or other shapes, is equivalent. In a spherical design, the heat pipe for removal of heat from heat sink material 24 becomes a more critical element. Those with skill in the art will see also that the use of a combination heat source and emitter, such as an alloy of metal and uranium carbide, will result in an equivalent structure. Also, reactor modules 32 are described as a stacked series of discrete assemblies, similar to cells in a battery, with heat sink material 24 and nuclear fuel 20 being continous from assembly to assembly in an assembled module. Other advantages may be found in modules having a different and more continous arrangement of emitters and collectors. It is understood that other modifications to the invention as described may be made, as might occur to one with skill in the field of the invention, within the intended scope of the claims. Therefore, all embodiments contemplated have not been shown in complete detail. Other embodiments may be developed without departing from the spirit of the invention or from the scope of the claims.
claims
1. An illumination system, particularly for microlithography with wavelengths ≦193 nm, comprising:a first light source;a first optical component having a first optical element that includes a plurality of raster elements comprising of convex mirrors, wherein said plurality of raster elements has a negative optical power, receives a converging ray bundle from said first light source, and redirects said converging ray bundle to form a plurality of second light sources; anda second optical component that images said plurality of second light sources into an exit pupil of said illumination system. 2. The illumination system according to claim 1,wherein said first optical component comprises a collector unit for collecting a plurality of rays generated by said first light source and for directing said plurality of rays to said first optical element, andwherein said collector unit has positive optical power to generate a converging ray bundle between said collector unit and said first optical element. 3. The illumination system according to claim 1,wherein said plurality of raster elements deflects said converging ray bundle to provide deflected ray bundles with first deflection angles, andwherein at least two of said first deflection angles are different from one another. 4. The illumination system according to claim 3,wherein said converging ray bundle has a plurality of incoming centroid rays,wherein said plurality of deflected ray bundles has a plurality of deflected centroid rays,wherein each one of said plurality of deflected centroid rays corresponds to one of said plurality of incoming centroid rays thus defining a plurality of planes of incidence, andwherein at least two of said plurality of planes of incidence intersect each other. 5. The illumination system according to claim 3,wherein each of said plurality of raster elements corresponds to one of said plurality of second light sources, andwherein each of said plurality of raster elements deflects an incoming ray bundle to one of said corresponding plurality of second light sources. 6. The illumination system according to claim 3, wherein said plurality of raster elements comprises mirrors tilted to provide said first deflection angles. 7. The illumination system according to claim 3, wherein said plurality of raster elements comprises lenses having a prismatic optical power to provide said first deflection angles. 8. The illumination system according to claim 3,wherein said plural of raster elements is a plurality of first raster elements,wherein said first optical component further comprises a second optical element having a plurality of second raster elements,wherein each of said plurality of first raster elements corresponds to one of said plurality of second raster elements, andwherein said each of said plurality of first raster elements directs one of said deflected ray bundles to said corresponding one of said plurality of second raster elements. 9. The illumination system according to claim 8, wherein said plurality of second raster elements and said second optical component image said corresponding plurality of first raster elements into an image plane of said illumination system. 10. The illumination system according to claim 8, wherein said plurality of second raster elements comprises concave mirrors. 11. The illumination system according to claim 8, wherein said plurality of second raster elements comprises lenses with positive optical power. 12. The illumination system according to claim 8, wherein said plurality of second raster elements deflects said deflected ray bundles with second deflection angles to superimpose images of said plurality of first raster elements, at least partially, on a field in an image plane of said illumination system. 13. The illumination system according to claim 8, wherein said plurality of second raster elements comprises tilted concave mirrors. 14. The illumination system according to claim 8, wherein said plurality of second raster elements comprises prisms. 15. The illumination system according to claim 8, wherein said plurality of second raster elements comprises lenses having a prismatic optical power and a positive optical power. 16. The illumination system according to claim 1,wherein said plurality of raster elements is imaged as a plurality of images into a field in an image plane,wherein said field is a segment of an annulus,wherein said plurality of raster elements comprises rectangular raster elements, andwherein said second optical component comprises a field mirror for shaping said field to said segment of said annulus. 17. The illumination system according to the claim 16,wherein said field mirror is a first field mirror,wherein said first field mirror has negative optical power, andwherein said second optical component comprises a second field mirror with positive optical power. 18. A projection exposure apparatus for microlithography comprising:the illumination system of claim 1, wherein said illumination system includes an image plane within which a reticle can be situated;a support system for holding a light-sensitive object; anda projection objective to image said reticle onto said light-sensitive object. 19. The illumination system of claim 1,wherein said plurality of raster elements is imaged as a plurality of images into an image plane, andwherein said images are superimposed over one another, at least partially, on a field in said image plane. 20. The illumination system of claim 1, wherein said first light source is selected from the group consisting of an excimer laser, a plasma light source, a laser-produced-plasma-source, a pinch-plasma-source, a wiggler-source or an undulator-source. 21. The illumination system of claim 1, wherein said first light source is an image of a preceding light source.
claims
1. A radiation therapy system, comprising:an accelerator that accelerates particles in a beam;a nozzle that receives the beam of particles downstream of the accelerator, the nozzle configured to affect energies of the particles in the beam to create a first adjusted beam that delivers a first dose with a first Spread Out Bragg Peak (SOBP) along a first target line segment in a target volume and to create a second adjusted beam that delivers a second dose with a second SOBP along a second target line segment in the target volume, wherein the second target line segment is displaced from the first target line segment; anda focusing magnet along the path of the beam that adjusts the energies of the particles in the beam to vary sizes of spots where the beam intersects a layer of the target volume. 2. The radiation therapy system of claim 1, wherein the nozzle comprises:a scanning magnet; anda range shifter configured to place different thicknesses of material in the path of the beam to affect the distance that the particles penetrate into the target volume during delivery of the first dose and during delivery of the second dose, to position the first SOBP within the target volume and to position the second SOBP within the target volume. 3. The radiation therapy system of claim 2, wherein the nozzle further comprises a range modulator that is configured to place different thicknesses of material in the path of the beam to change the energies of at least a portion of the particles during delivery of the first dose and during delivery of the second dose, to achieve the first SOBP and to achieve the second SOBP. 4. The radiation therapy system of claim 3, wherein the range modulator comprises a plurality of arms extending from a hub, the arms having non-uniform thicknesses, wherein the range modulator is operable to rotate about the hub allowing the beam to pass through at least one of the arms. 5. The radiation therapy system of claim 3, wherein the range modulator is configured to move in a first direction between a position that is completely out of the path of the beam and a position that is in the path of the beam. 6. The radiation therapy system of claim 5, wherein the first direction is transverse to the path of the beam, wherein further the range modulator is also configured to move in a second direction different from the first direction and transverse to the path of the beam. 7. The radiation therapy system of claim 1, wherein the nozzle comprises:a scanning magnet; anda range shifter configured to place different thicknesses of material in the path of the beam to change the energies of at least a portion of the particles during delivery of the first dose and during delivery of the second dose, to achieve the first SOBP and to achieve the second SOBP. 8. The radiation therapy system of claim 1, wherein the first dose is at least four grays and is delivered in less than one second, and wherein the second dose is at least four grays and is delivered in less than one second. 9. A radiation therapy method, comprising:receiving a beam of particles;steering the beam along a path using a plurality of magnets in a nozzle; andplacing different thicknesses of material in the path of the beam in the nozzle to affect energies of particles in the beam and create an adjusted beam that delivers a dose with a Spread Out Bragg Peak along a target line segment in a target volume, wherein the dose delivered along the target line segment is at least four grays and is delivered in less than one second. 10. The method of claim 9, wherein said placing comprises:moving a range modulator in the nozzle in a first direction between a position that is completely out of the path of the beam and a position in the nozzle that is in the path of the beam, wherein the range modulator comprises a plurality of arms extending from a hub, the arms having non-uniform thicknesses; andwith the range modulator in the path of the beam, rotating the range modulator about the hub to place at least one of the arms in the path of the beam, wherein the energies of the particles is varied according to the thicknesses of the arms that pass through the beam, and wherein the thicknesses of the arms that pass through the beams is varied by moving the range modulator back-and-forth in the first direction. 11. The method of claim 10, further comprising synchronizing movement of the range modulator with a source of the beam to compensate for variations in the beam of particles. 12. The method of claim 10, wherein the first direction is transverse to the path of the beam, wherein the thicknesses of the arms that pass through the beams is also varied by moving the range modulator in a second direction different from the first direction and transverse to the path of the beam. 13. The method of claim 9, further comprising varying the distance that the particles penetrate into a target volume with a range shifter that is in the nozzle and is configured to place the different thicknesses of the material in the path of the beam. 14. The method of claim 9, wherein said placing comprises moving components of a range shifter in the path of the beam in the nozzle to present the different thicknesses of the material to the beam. 15. The method of claim 9, further comprising:tracking motion of the target volume; anddelivering the dose along the target line segment to coincide with a position of the target volume, wherein the dose is delivered in a period of time short enough to counter uncertainties in the position due to the motion of the target volume. 16. A radiation therapy method, comprising:receiving a beam of particles;steering the beam along a path using a plurality of magnets in a nozzle; andplacing different thicknesses of material in the path of the beam in the nozzle to affect energies of particles in the beam and create an adjusted beam that delivers a dose with a Spread Out Bragg Peak along a target line segment in a target volume;wherein said placing comprises:moving a range modulator in the nozzle in a first direction between a position that is completely out of the path of the beam and a position in the nozzle that is in the path of the beam, wherein the range modulator comprises a plurality of arms extending from a hub, the arms having non-uniform thicknesses; andwith the range modulator in the path of the beam, rotating the range modulator about the hub to place at least one of the arms in the path of the beam, wherein the energies of the particles is varied according to the thicknesses of the arms that pass through the beam, and wherein the thicknesses of the arms that pass through the beams is varied by moving the range modulator back-and-forth in the first direction. 17. The method of claim 16, wherein the dose delivered along the target line segment is at least four grays and is delivered in less than one second. 18. The method of claim 16, wherein the first direction is transverse to the path of the beam, wherein the thicknesses of the arms that pass through the beams is also varied by moving the range modulator in a second direction different from the first direction and transverse to the path of the beam. 19. The method of claim 16, further comprising synchronizing movement of the range modulator with a source of the beam to compensate for variations in the beam of particles. 20. The method of claim 16, further comprising varying the distance that the particles penetrate into the target volume with a range shifter that is in the nozzle and is configured to place the different thicknesses of the material in the path of the beam. 21. The method of claim 16, wherein said placing comprises moving components of a range shifter in the path of the beam in the nozzle to present the different thicknesses of the material to the beam. 22. The method of claim 16, further comprising:tracking motion of the target volume; anddelivering the dose along the target line segment to coincide with a position of the target volume, wherein the dose is delivered in a period of time short enough to counter uncertainties in the position due to the motion of the target volume. 23. A radiation therapy method, comprising:receiving a beam of particles;steering the beam along a path using a plurality of magnets in a nozzle;placing different thicknesses of material in the path of the beam in the nozzle to affect energies of particles in the beam and create an adjusted beam that delivers a dose with a Spread Out Bragg Peak along a target line segment in a target volume;tracking motion of the target volume; anddelivering the dose along the target line segment to coincide with a position of the target volume, wherein the dose is delivered in a period of time short enough to counter uncertainties in the position due to the motion of the target volume. 24. The method of claim 23, wherein the dose delivered along the target line segment is at least four grays and is delivered in less than one second. 25. The method of claim 23, wherein said placing comprises:moving a range modulator in the nozzle in a first direction between a position that is completely out of the path of the beam and a position in the nozzle that is in the path of the beam, wherein the range modulator comprises a plurality of arms extending from a hub, the arms having non-uniform thicknesses; andwith the range modulator in the path of the beam, rotating the range modulator about the hub to place at least one of the arms in the path of the beam, wherein the energies of the particles is varied according to the thicknesses of the arms that pass through the beam, and wherein the thicknesses of the arms that pass through the beams is varied by moving the range modulator back-and-forth in the first direction. 26. The method of claim 25, wherein the first direction is transverse to the path of the beam, wherein the thicknesses of the arms that pass through the beams is also varied by moving the range modulator in a second direction different from the first direction and transverse to the path of the beam. 27. The method of claim 25, further comprising synchronizing movement of the range modulator with a source of the beam to compensate for variations in the beam of particles. 28. The method of claim 23, further comprising varying the distance that the particles penetrate into a target volume with a range shifter that is in the nozzle and is configured to place the different thicknesses of the material in the path of the beam. 29. The method of claim 23, wherein said placing comprises moving components of a range shifter in the path of the beam in the nozzle to present the different thicknesses of the material to the beam.
claims
1. A computer-implemented method comprising:measuring a performance of a virtual channel carrying packets through a transport network for a time period, wherein the packets originate from end-point equipment not forming part of the transport network;measuring a performance of an ingress interface to the transport network carrying the virtual channel packets for the time period;measuring a performance of an internal interface carrying the virtual channel packets internal to the transport network for the time period;determining that the performance of the virtual channel during the time period does not meet a first performance standard, and when the performance of the virtual channel does not meet the first performance standard:determining whether the performance of the ingress interface meets a second performance standard and whether the performance of the internal interface meets a third performance standard,generating a report indicating that the end-point equipment caused the virtual channel not to meet the first performance standard when the performance of the ingress interface does not meet the second performance standard for the time period, andgenerating a report indicating that the transport network caused the virtual channel not to meet the first performance standard when the performance of the internal interface does not meet the third performance standard for the time period. 2. The computer-implemented method of claim 1, further comprising:measuring the performance of the virtual channel carrying the packets over the transport network for a plurality of time periods;measuring the performance of the ingress interface to the transport network carrying the virtual channel packets for the plurality of time periods; andmeasuring the performance of the internal interface carrying the virtual channel packets internal to the transport network for the plurality of time periods. 3. The computer-implemented method of claim 2, further comprising:automatically identifying instances where, during any one of the plurality of time periods, the performance of the virtual channel does not meet the first performance standard and either the performance of the ingress interface does not meet the second performance standard or the performance of the internal interface does not meet the third performance standard. 4. The computer-implemented method of claim 3, further comprising at least one of:automatically generating a report identifying the instances as caused by the end-point equipment coupled to the transport network using the virtual channel when the performance of the virtual channel does not meet the first performance standard and the performance of the ingress interface does not meet the second performance standard; orautomatically generating a report identifying the instances as caused by network devices in the transport network for carrying packets in the virtual channel when the performance of the virtual channel does not meet the first performance standard and the performance of the internal interface does not meet the third performance standard. 5. The computer-implemented method of claim 4, further comprising:correlating the performance of the virtual channel, the performance of the ingress interface, and the performance of the internal interface to identify one or more of the plurality of time periods where the virtual channel does not meet the first performance standard as a result of:the performance of the ingress interface not meeting the second performance standard,the performance of the internal interface not meeting the third performance standard, orthe performance of the ingress interface not meeting the second performance standard and the performance of the internal interface not meeting the third performance standard. 6. The computer-implemented method of claim 5,where measuring the performance of the virtual channel includes measuring latency, packet loss, or jitter of the virtual channel; andwhere measuring the performance of the ingress interface and the internal interfaces includes measuring packet loss. 7. The computer-implemented method of claim 1,wherein measuring the performance of the virtual channel includes measuring latency, packet loss, or jitter of the virtual channel; andwherein measuring the performance of the ingress interface and the internal interface includes measuring packet loss, the method further comprising:generating a report indicating that the end-point equipment caused the virtual channel not to meet the first performance standard when the packet loss of the ingress interface to the transport network does not meet the second performance standard for the time period, andgenerating a report indicating that the transport network caused the virtual channel not to meet the first performance standard when the packet loss of the internal interface to the transport network does not meet the third performance standard for the time period. 8. The computer-implemented method of claim 1, wherein measuring the performance of the virtual channel carrying packets through the transport network includes injecting packets into the virtual channel, wherein the packets do not originate from the end- point equipment. 9. A system that includes a transport network having network devices for carrying packets through a virtual channel, where the network devices include one or more ingress interfaces to receive the virtual channel packets from end-point equipment coupled to the transport network and one or more internal interfaces to receive the virtual channel packets in one of the network devices from another one of the network devices, the system comprising:one or more devices including one or more processors to:monitor performance of the virtual channel for a plurality of time periods,monitor performance of the one or more ingress interfaces carrying the virtual channel packets for the plurality of time periods,monitor performance of the one or more internal interfaces carrying the virtual channel packets for the plurality of time periods,determine whether the performance of the virtual channel meets a first performance standard for each of the plurality of time periods,identify instances where, during any one of the plurality of time periods, the performance of the virtual channel does not meet the first performance standard and either the performance of the one or more ingress interfaces does not meet a second performance standard or the performance of the one or more internal interfaces does not meet a third performance standard;generate a report identifying the instances as performance violations caused by the end-point equipment coupled to the transport network when the performance of the virtual channel does not meet the first performance standard and the performance of the one or more ingress interfaces does not meet the second performance standard. 10. The system of claim 9, wherein the one or more devices including the one or more processors are configured to:monitor the performance of the virtual channel by monitoring latency, packet loss, or jitter of the virtual channel;monitor the performance of the one or more ingress interfaces and the one or more internal interfaces by monitoring packet loss; andgenerate a report identifying the instances as performance violations caused by the end-point equipment coupled to the transport network when the performance of the virtual channel does not meet the first performance standard and the packet loss of the one or more ingress interfaces does not meet the second performance standard. 11. The system of claim 9, where the one or more devices including the one or more processors are configured to:generate a report identifying the instances as performance violations caused by network devices in the transport network carrying the virtual channel packets when the performance of the virtual channel does not meet the first performance standard and the performance of the one or more internal interfaces does not meet the third performance standard. 12. The system of claim 9, where the one or more devices including the one or more processors are configured to correlate the performance of the virtual channel, the performance of the one or more ingress interfaces, and the performance of the one or more internal interfaces to identify time periods where the virtual channel does not meet the first performance standard as a result of:the performance of the ingress interface not meeting the second performance standard,the performance of the internal interface not meeting the third performance standard, orthe performance of the ingress interface not meeting the second performance standard and the performance of the internal interface not meeting the third performance standard. 13. The system of claim 12, wherein devices including the one or more processors are configured to:monitor the performance of the virtual channel by monitoring latency, packet loss, or jitter of the virtual channel; andmonitor the performance of the ingress interface and the internal interface by monitoring packet loss. 14. The system of claim 9, further comprising a virtual channel monitor having a transmitter for injecting packets into the virtual channel to measure the performance of the virtual channel, wherein the virtual channel monitor is coupled to two network devices in the transport network near two separate end points of the virtual channel. 15. A non-transitory computer-readable medium including instructions executable by at least one processor, the non-transitory computer-readable medium comprising:one or more instructions to monitor a performance of a virtual channel carrying packets through a transport network for a plurality of time periods, wherein the packets originate from end-point equipment not forming part of the transport network;one or more instructions to monitor a performance of an ingress interface to the transport network carrying the virtual channel packets for the plurality of time periods;one or more instructions to monitor a performance of an internal interface carrying the virtual channel packets internal to the transport network for the plurality of time periods;one or more instructions to determine whether the performance of the virtual channel meets a first performance standard;one or more instructions to determine, when the performance of the virtual channel does not meet the first performance standard, whether the performance of the ingress interface meets a second performance standard and whether the performance of the internal interface meets a third performance standard;one or more instructions to generate, when the performance of the virtual channel does not meet the first performance standard, a report indicating that the end-point equipment caused the virtual channel not to meet the first performance standard when the performance of the ingress interface does not meet the second performance standard for the time period; andone or more instructions to generate, when the performance of the virtual channel does not meet the first performance standard, a report indicating that the transport network caused the virtual channel not to meet the first performance standard when the performance of the internal interface does not meet the third performance standard for the time period. 16. The non-transitory computer-readable medium of claim 15, further comprising:one or more instructions to identify instances where, during any one of the plurality of time periods, the performance of the virtual channel does not meet the first performance standard and either the performance of the ingress interface does not meet the second performance standard or the performance of the internal interface does not meet the third performance standard. 17. The non-transitory computer-readable medium of claim 16, further comprising:one or more instructions to monitor the performance of the virtual channel by monitoring latency, packet loss, or jitter of the virtual channel; andone or more instructions to monitor the performance of the ingress interface and the internal interfaces by monitoring packet loss. 18. The non-transitory computer-readable medium of claim 16, further comprising:one or more instructions to correlate the performance of the virtual channel, the performance of the ingress interface, and the performance of the internal interface to identify one or more of the plurality of time periods where the virtual channel does not meet the first performance standard as a result of:the performance of the ingress interface not meeting the second performance standard,the performance of the internal interface not meeting the third performance standard, orthe performance of the ingress interface not meeting the second performance standard and the performance of the internal interface not meeting the third performance standard. 19. The non-transitory computer-readable medium of claim 18, further comprising:one or more instructions to identify the one or more of the plurality of time periods where the virtual channel does not meet the first performance standard as a result of the performance of the ingress interface not meeting the second performance standard as a performance violation caused by equipment coupled to the transport network using the virtual channel; andone or more instructions to identify the one or more of the plurality of time periods where the virtual channel does not meet the first performance standard as a result of the performance of the ingress interface not meeting the second performance standard as a performance violation caused by network devices internal to the transport network carrying the virtual channel packets. 20. The non-transitory computer-readable medium of claim 19, further comprising:one or more instructions to monitor the performance of the virtual channel by monitoring latency, packet loss, or jitter of the virtual channel; andone or more instructions to monitor the performance of the ingress interface and the internal interfaces by monitoring packet loss.
055240402
claims
1. A monochromator for limiting the bandpass of radiation comprising: a first asymmetrical silicon crystal having low order reflection and including first and second spaced, facing, inner surfaces defined by a first channel therein, wherein said first silicon crystal is adapted to receive and collimate diverging radiation incident on the first surface thereof; a second symmetrical silicon crystal disposed intermediate the first and second inner surfaces of said first silicon crystal and having third and fourth spaced, facing inner surfaces defined by a second channel therein, wherein said incident radiation on the first surface of said first silicon crystal is reflected onto the first and second surfaces of said second silicon crystal and thence onto the second surface of said first silicon crystal, and wherein radiation reflected by the second surface of said first silicon crystal from said second silicon crystal has a bandwidth less than a bandwidth of the incident radiation; and supporting means including first and second rotation stages respectively coupled to and supporting said first and second silicon crystals for maintaining said crystals in fixed relative position and orientation during operation while permitting changes in the relative position and orientation of said crystals, wherein each of said rotation stages includes, in combination, a respective piezo inchworm drive angle encoder and kinematic mount coupled to and supporting a respective crystal for rotationally displacing and providing an indication of the relative angular orientation of said first and second crystals. 2. The monochromator of claim 1 wherein first silicon crystal is a (4 2 2) crystal and said second silicon crystal is a (10 6 4) crystal. 3. The monochromator of claim 2 wherein said first and second crystals form a (+m, +n, -n, -m) crystal arrangement. 4. The monochromator of claim 3 wherein said first and second crystals are cut in an angle .delta. relative to their respective diffracting planes, where .delta.=20.degree.. 5. The monochromator of claim 1 further comprising a tilt stage coupled to one of said crystals for tilting one crystal relative to the other in facilitating alignment of said crystals. 6. The monochromator of claim 1 further comprising first and second thermisistors respectively attached to said first and second crystals and coupled to a respective inchworm drive for compensating for variations in temperature in the monochromator. 7. The monochromator of claim 1 further comprising a unitary support frame coupled to said first and second rotation stages.
053435044
claims
1. A gauge for measuring the spring constants of double-acting springs assembled with different pairs of ferrules in a spacer of a nuclear fuel bundle, wherein each spring has a first resilient side acting in a first ferrule of each pair to exert a fuel rod centering force and a second resilient side acting in a second ferrule of each pair to exert a separate fuel rod centering force, said gauge comprising, in combination: an alignment rod for insertion into the first ferrule of a selected pair of ferrules to simulate the presence of a fuel rod and thus load the first resilient side of a spring assembled with the selected pair of ferrules; a probe for insertion into the second ferrule of the selected pair of ferrules to simulate the presence of a fuel rod; a force measuring device included in said probe in mechanically coupled relation with the second resilient side of the spring to indicate a magnitude of the centering force exerted by the second resilient side of the spring in the second ferrule; a deflection measuring device mechanically coupled with the second resilient side of the spring and operable to produce plural measured deflections of the second resilient side of the spring; and means connected and responsive to said force measuring device and said deflection measuring device for indicating a spring constant of the second resilient side of the spring. 2. The gauge defined in claim 1, wherein said force measuring device is a load cell. 3. The gauge defined in claim 1, wherein said deflection measuring device is a micrometer. 4. The gauge defined in claim 2, wherein the load cell develops first electrical signals indicative of the centering force of the second resilient side of the spring, and wherein the deflection measuring device develops second electrical signals indicative of the deflections produced on the second resilient side of the spring, the spring constant indicating means connected to receive the first and second signals. 5. The gauge defined in claim 4, wherein said deflection measuring device is a micrometer. 6. The gauge defined in claim 5, wherein the load cell is mounted for incremental linear motion by the probe, and the micrometer includes a spindle engaging the load cell to produce linear motion of the load cell and measured deflections of the second resilient side of the spring. 7. The gauge defined in claim 6, wherein the probe includes an axis oriented substantially parallel with an axis of the second ferrule upon insertion of the probe therein, and a plunger mounted by the probe for movement transversely of the probe axis and having a face simulating a peripheral surface portion of a fuel rod, the plunger face disposed in engagement with the second resilient side of the spring. 8. The gauge defined in claim 7, wherein the probe further includes an elongated arm having first and second ends, the arm being pivotally mounted intermediate the first and second ends to the probe, the first end of the arm engaging the plunger and the second end of the arm engaging a first end of the load cell, the micrometer spindle engaging a second end of the load cell opposite the first end. 9. The gauge defined in claim 8, wherein the load cell is slidingly received in a bore of the probe oriented transversely to the body axis. 10. The gauge defined in claim 9, which further includes means commonly mounting the alignment rod, the probe and the micrometer.
summary
abstract
A collimator including an inner border whose inner perimeter defines an aperture, an outer border positioned outwards of the inner border, an enclosure being defined and bounded between the inner and outer borders, the enclosure being sufficiently filled with a radiopaque pliable material so as to block a predefined amount of radiation from passing through the enclosure, while allowing radiation to pass through the aperture, and at least one actuator attached to at least one point of the inner border operable to deform the inner border so as to modify a shape of the aperture.
summary
summary
summary
052971821
abstract
A method of decommissioning a nuclear reactor is provided in which radiation exposure is minimized. The method includes the steps of encapsulating portions of the reactor vessel and reactor internals into a solid reactor capsule and then converting this reactor capsule into a plurality of decommissioned segments. The encapsulating step preferably includes the step of forming a matrix within the chamber which integrally attaches to the vessel and integrally embeds the reactor internals to create a solid reactor capsule. Such a reactor capsule has an outer shell which is formed from the vessel and which substantially encases the matrix and thus the reactor internals. More particularly, this encapsulating is preferably accomplished by providing a fluidized matrix-creating material, preferably concrete, which may be predictably solidified and which functions as a radioactive shield in its solid state. The conversion of the reactor capsule is preferably accomplished by cutting the reactor capsule into transportable-size segments and then encasing these transportable-size segments.
051270285
abstract
A diffractor for energetic electromagnetic radiation has diffracting planes oriented parallel to the surface of steps which have a doubly curved surface. The steps are configured so that the resulting diffractor approximates the Johansson geometric conditions in the plane of the focal circle of radius r. The steps are additionally curved in a direction perpendicular to the focal circle in order to provide for satisfying Bragg's law for diffraction over the maximum area of the diffractor. The curvature of the planes perpendicular to the focal circle corresponds to rotating the stepped approximation to the Johansson geometry about an axis passing through the source and image points. The diffracting materials are thin sheets of doubly curved single crystal stacked together, thin sheets of single crystal material mounted on the doubly curved surfaces of the steps, pieces or flakes of single crystal material mounted on the doubly curved surfaces of the steps or layered synthetic microstructures deposited on the doubly curved surfaces of the steps.
summary
abstract
The present disclosure is directed to systems and methods that absorb waste into a metal-organic framework (MOF), and applying pressure to the MOF material's framework to crystallize or make amorphous the MOF material thereby changing the MOF's pore structure and sorption characteristics without collapsing the MOF framework.
description
The present invention relates to a water reactor fuel cladding tube comprising an inner layer and an outer layer of a first zirconium based alloy and a second zirconium based alloy, respectively. The present invention also relates to a fuel rod and a fuel assembly comprising such a water reactor fuel cladding tube and a method of manufacturing such a water reactor fuel cladding tube. Fuel cladding tubes for water reactors have usually been manufactured of a zirconium based alloy. Examples of alloys that have been used are Zircaloy-2 and Zircaloy-4. Fuel rods are formed by inserting fuel pellets into the cladding tubes. Fuel assemblies comprise a number of fuel rods. A problem that has been observed when using zirconium based alloy cladding tubes in a reactor is that cracks may be formed which emanate from the interior surface of the cladding tube as is described in EP 0194797. The cracks are believed to be created by the contact between the cladding tube and the fuel pellets during rapid increases in the power output from the reactor, the so called Pellet Cladding Interaction (PCI). EP 0194797 presents a solution to this problem by providing a protective inner layer of a zirconium based alloy. In pressurized water reactors (PWR) the power output from the reactor does not increase as rapidly as in boiling water reactors (BWR) and therefore the formation of cracks is not so common in PWR as in BWR. Thus, a protective inner layer has not been considered to be as important in PWR as in BWR. It has, however, been found that there still exists a problem with cracks in the cladding tubes of pressurized water reactors due to Pellet Cladding Interaction (PCI)0. An object of the present invention is to provide a water reactor fuel cladding tube which has favourable properties in respect of resistance to corrosion. Especially, it is aimed at such a corrosion-resistant water reactor fuel cladding tube for a pressurized water reactor. Another object of the present invention is to provide a method of manufacturing a water reactor fuel cladding tube which cladding tube has favourable properties in respect of resistance to corrosion. Especially, it is aimed at a method of manufacturing such a corrosion-resistant water reactor fuel cladding tube for a pressurized water reactor. These objects are achieved with a water reactor fuel cladding tube and a method according to the independent claims. Further advantages with the present invention are achieved with the features defined in the dependent claims. According to a first aspect of the present invention a water reactor fuel cladding tube is provided. The water reactor fuel cladding tube comprises an outer layer of a first zirconium based alloy and having metallurgically bonded thereto an inner layer of a second zirconium based alloy, which inner layer is adapted to protect the cladding tube against stress corrosion cracking. The second zirconium based alloy comprises tin as an alloying material and each one of the zirconium based alloys comprises at least 96 percent by weight zirconium. The water reactor fuel cladding tube is characterized in that the first zirconium based alloy comprises at least 0.1 percent by weight niobium. By having a niobium containing alloy in the outer layer the water reactor fuel cladding tube becomes more corrosion resistant in a pressurised water reactor than if the outer layer would be a zirconium based alloy without niobium. Furthermore, the addition of an inner layer of a zirconium based alloy containing tin as an alloying material resistance of the water reactor fuel cladding tube against crack formation is improved compared with water reactor fuel cladding tubes without any layer of a zirconium based alloy comprising tin. The main alloying materials of the first zirconium based alloy may be niobium, iron and tin, wherein the content of any additional substances is below 0.05 percent by weight. Such an alloy provides favourable characteristics regarding corrosion, especially in a pressurised water reactor. The main alloying materials of the first zirconium based alloy may comprise chromium. In case zirconium is present in the first zirconium based alloy the content of chromium is preferably 0.05-0.1 percent by weight. The first zirconium based alloy may comprise oxygen. In case oxygen is present in the first zirconium based alloy the content of oxygen is preferably 500-2000 ppm by weight. According to an embodiment of the present invention the first zirconium based alloy may comprise 0.6-1.2 percent by weight niobium and preferably 1.0-1.1 percent by weight niobium and most preferred 1.02-1.04 percent by weight niobium. Further, the first zirconium based alloy comprises 0.6-1.2 percent by weight tin and preferably 0.6-1.0 percent by weight tin and most preferred 0.6-0.8 percent by weight tin. Furthermore, the first zirconium based alloy comprises 0.1-0.3 percent by weight iron. Such an alloy is usually called Zirlo and may also include chromium and oxygen in the amounts specified in the preceding two paragraphs. Zirlo has proved to be a favourable alloy in pressurised water reactors. It is also possible to use an alloy with only one or a few of the alloying materials mentioned above. As an alternative to the above described embodiments the main alloying material of the first zirconium based alloy is niobium, and the content of any additional substances is below 0.05 percent by weight. The first zirconium based alloy preferably comprises 0.6-1.2 percent by weight niobium. The first zirconium based alloy may alternatively comprise the alloying materials as defined in any one of claims 12-31. These alloy provide a high resistance against corrosion. According to an embodiment of the present invention the main alloying materials of the second zirconium based alloy are tin and iron, wherein the content of any additional substances is below 0.05 percent by weight. The second zirconium based alloy favourably comprises 0.1-1 percent by weight tin. Such an alloy is favourable in that it is soft enough to hinder the formation of contact induced cracks in the water reactor fuel cladding tube. In order to provide optimum characteristics, regarding contact induced cracks, the second zirconium based alloy comprises 0.02-0.3 percent by weight iron. A water reactor fuel cladding tube according to any one of the preceding claims, wherein the outer layer is partially re-crystallized. In order to provide optimum resistance against cracks in the water reactor fuel cladding tube the inner layer is preferably fully re-crystallized. In order to provide optimum corrosion resistance of the outer layer the outer layer is preferably partially re-crystallized. In case the outer layer of the water reactor fuel cladding tube is partially re-crystallized the degree of re-crystallization in the outer layer is 45 percent-90 percent and preferably 50 percent-70 percent. A water reactor fuel cladding tube according to the invention may be manufactured in many ways. According to an embodiment of the present invention the water reactor fuel cladding tube has been manufactured by co-extrusion of a first tube of the first zirconium based alloy and a second tube of the second zirconium based alloy. This method provides the possibility of manufacturing a tube of high quality. The thickness of the inner layer in a water reactor fuel cladding tube according to the invention is 5-40% of the thickness of the reactor fuel cladding tube and preferably 5-15% of the thickness of the reactor fuel cladding tube. This provides for good protection against crack formation while keeping the weight of the water reactor fuel cladding tube down. According to a second aspect of the present invention a water reactor fuel rod is provided which comprises a water reactor fuel cladding tube according to the invention and fuel pellets enclosed by the water reactor fuel cladding tube. According to a third aspect of the present invention a water reactor fuel assembly is provided which comprises at least two fuel rods according to the invention. According to a fourth aspect of the present invention a method of manufacturing a water reactor fuel cladding tube is provided. The method comprises the steps of providing a first tube of a first zirconium based alloy having an inner diameter and an outer diameter, providing a second tube of a second zirconium based alloy having an inner diameter and an outer diameter, wherein the outer diameter of the second tube is essentially equal to the inner diameter of the first tube, inserting the second tube into the first tube, and co-extruding the first tube and the second tube to form the water reactor fuel cladding tube. Each one of the zirconium based alloys comprises at least 96 percent by weight zirconium. The method is characterized in that the first zirconium based alloy comprises at least 0.1 percent by weight niobium. In the manufactured water reactor fuel cladding tube the outer layer corresponds to the first tube and the inner layer corresponds to the second tube. It is known in the art to manufacture cladding tubes by inserting a first tube inside a second tube before co-extruding the tubes. Before insertion of the first tube inside the second tube the inside of the second tube is usually etched in order to produce a smooth surface on the inside the second tube and to make the inner diameter of the second tube essentially equal to the outer diameter of the first tube. When the tube comprises a niobium containing zirconium based alloy it is, however, unfavourable to etch the surface of the tube as the etching process will leave residual products in the form of pure niobium on the surface of the tube. According to an embodiment of the present invention the inner surface of the first tube is mechanically machined so that the inner diameter of the first tube essentially corresponds to the outer diameter of the second tube, before the second tube is inserted into the first tube. The method may also include the step of heat treating the water reactor fuel cladding tube so that the inner layer is fully re-crystallized and so that the outer layer is partially re-crystallized. According to an embodiment of the present invention the heat treatment is performed until the degree of re-crystallisation of the outer layer is 45 percent-90 percent and preferably until the degree of re-crystallisation of the outer layer is 50 percent-70 percent. This has proved to be a favorable degree of re-crystallisation. According to an embodiment of the present invention the heat treatment is performed at a temperature of 485-565° C. during 1-6 hours. This provides the desired degrees of re-crystallisation mentioned above. A man skilled in the art will easily find the exact temperature and time to achieve a desired degree of re-crystallisation of the outer layer, within the above mentioned interval for the degree of re-crystallisation. In the following preferred embodiments of the invention will be described with reference to the appended drawings. In the following description of preferred embodiments of the invention the same reference numeral will be used for similar features in the different drawings, which are not drawn to scale. FIG. 1 shows schematically a fuel assembly, known per se, for a PWR. The fuel assembly comprises a top plate 4 and a bottom plate 5. Between the top plate 4 and the bottom plate 5 a plurality of guide tubes 3 for control rods extend. Furthermore, the fuel assembly comprises a plurality of cladding tubes 1. These cladding tubes 1 thus contain a nuclear fuel material and are thereby called fuel rods. In this kind of fuel assembly for PWR, the fuel rods do not reach all the way to the top plate 4 and to the bottom plate 5. The fuel rods are kept in position in the fuel assembly with the help of spacers 2. FIG. 2 shows in cross-section a water reactor fuel cladding tube 4 according to an embodiment of the present invention. The fuel cladding tube comprises an outer layer 6 and an inner layer 7. The outer layer 6 is of a first zirconium based alloy while the inner layer 7 is of a second zirconium based alloy. The first zirconium based alloy comprises niobium. An example of the first zirconium based alloy comprises 0.6-1.2 percent by weight niobium, a 0.6-1.2 percent by weight tin and 0.1-0.3 percent by weight iron, wherein the content of any additional substances is below 0.05 percent by weight. The first zirconium based alloy may also have a chromium content of 0.05-0.1 percent by weight and an oxygen content of 500-2000 ppm. An alloy including the above substances is sometimes referred to as Zirlo. A second example of the first zirconium based alloy have a niobium content of 0.6-1.2 percent by weight, wherein the content of any additional substances is below 0.05 percent by weight. A third example of the first zirconium based alloy comprises 0.6-1.5 percent by weight niobium, 0.05-0.40 percent by weight tin, 0.02-0.30 percent by weight copper, 0.10-0.30 percent by weight vanadium and optionally also 0.01-0.1 percent by weight iron. The total content of additional substances is no more than 0.50 percent by weight and preferably no more than 0.30 percent by weight. With additional substances is meant substances in addition to the main alloying materials. A fourth example of the first zirconium based alloy comprises 0.6-1.5 by weight niobium, 0.02-0.30 percent by weight copper, 0.15-0.35 percent by weight chromium and optionally also 0.01-0.1 percent by weight iron. The total content of additional substances is no more than 0.50 percent by weight and preferably no more than 0.30 percent by weight. A fifth example of the first zirconium based alloy comprises 0.2-1.5 percent by weight niobium, 0.05-0.40 percent by weight tin, 0.25-0.45 percent by weight iron, 0.15-0.35 percent by weight chromium and optionally also 0.01-0.1 percent by weight nickel. The total content of additional substances is no more than 0.50 percent by weight and preferably no more than 0.30 percent by weight. A first example of the second zirconium based alloy comprises 0.1-1 percent by weight tin, wherein the content of any additional substances is below 0.05 percent by weight. A second example of the second zirconium based alloy comprises 0.1-1 percent by weight tin and 0.02-0.3 percent by weight iron, wherein the content of any additional substances is below 0.05 percent by weight. Methods for manufacturing tubes of the first zirconium based alloy as well as of the second zirconium based alloy are well known in the art and will not be described herein. FIG. 3 shows a first tube and a second tube to illustrate a method for manufacturing the fuel cladding tube shown in FIG. 2. A first tube 8 of the first zirconium based alloy and a second tube 9 of the second zirconium based alloy are provided. The first tube 8 defines a first hole 10 and the second tube 9 defines a second hole 11. The first tube as well as the second tube has an inside diameter and an outside diameter. The inside of the first tube 8 and/or the outside of the second tube 9 is mechanically machined until the inner diameter of the first tube 8 is essentially equal to the outer diameter of the second tube 9. The second tube 9 is then inserted into the first tube 8. The assembly of the first tube 8 and the second tube 9 is then co-extruded into the water reactor fuel cladding tube 4 shown in FIG. 2, wherein the outer layer 6 corresponds to the first tube 8 and the inner layer 7 corresponds to the second tube 9. In the co-extrusion the outer layer 6 and the inner layer 7 are metallurgically bonded to each other. As co-extrusion is known to men skilled in the art it will not be described in detail herein. A further improvement of the corrosion resistance of the inner layer 7 can be obtained by introducing additional heat treatment of the second tube 9 before it is joined to the first tube 8. This additional heat treatment is carried out in the alpha-phase range at 600° C.-800° C. when the second zirconium based alloy does not comprise tin and at 600° C.-860° C. when the second zirconium based alloy comprises tin. Preferably, the heat treatment is carried out at 650° C.-750° C. This extra heat treatment can be carried out as a last step before the second tube 9 is joined to the first tube 8 or earlier in the process chain, for example before the second tube 9 is extruded. After the co-extrusion, during which the water reactor fuel cladding tube 4 has been formed, the water reactor fuel cladding tube is heat treated in 485° C.-565° C. during 1-6 hours until the inner layer 7 is fully crystallized and the degree of re-crystallisation of the outer layer is 40-50 percent. The described embodiments may be amended in many ways without departing from the spirit and scope of the present invention which is only limited by the claims. It is possible within the scope of the invention to have low concentrations of substances other than the ones described above. The water reactor fuel cladding tube according to the invention may be used in boiler water reactors as well as in pressurised water reactors.
summary
047217383
claims
1. A composition of matter including a polymer sensitized to the heating effects of microwave energy which comprises said polymer and microwave sensitizing amount of a particulate, layered compound represented by the general formula: M(O.sub.3 ZO.sub.x R).sub.n wherein M is, selected from the group consisting of Zr, W, U, Ti, Th, Te, Sn, Si, Ru, Pu, V, Pr, Pb, Os, Nb, Mo, Mn, Ir, Hf, Ge, Ce and mixtures thereof; Z is selected from the group consisting of elements of Group V of the Periodic Table of the Elements, and having an atomic weight of greater than 30; x varies from 0 to 1; R is selected from the group consisting of hydrogen and organo radicals and n is 1 or 2, provided that n is 1 when R is terminated with a tri-or tetraoxy pentavalent atom, dispersed throughout said polymer. 2. The composition of claim 1 wherein Z is P. 3. The composition of claim 2 wherein at least a portion of said R groups have a polar bond. 4. The composition of claim 3 wherein said polar bond is selected from the group consisting of hydroxyl and sulfhydryl bonds. 5. The composition of claim 3 wherein M is selected from the group consisting of Zr and Ti. 6. The composition of claim 1 wherein said polymer is a nonpolar thermoplastic polymer. 7. The composition of claim 6 wherein said nonpolar thermoplastic polymer is selected from the group consisting of polyethylene, polypropylene, ethylene-propylene copolymers, terpolymers of ethylene, propylene and a polyene, and styrene-butadiene copolymers. 8. The composition of claim 1 wherein said particulate layered compound is selected from the group consisting of Zr(O.sub.3 PCH.sub.2 CH.sub.2 SH).sub.2, Zr(O.sub.3 PCH.sub.2 CH.sub.2 OH).sub.2, Zr(O.sub.3 POCH.sub.2 CH.sub.2 OH).sub.2, Zr(O.sub.3 POH).sub.2, and Zr(O.sub.3 PCH.sub.2 OH).sub.2. 9. A method for molding polymer compositions by use of microwave energy which comprises: (a) incorporating a microwave sensitivity-increasing amount of a particulate, layered represented by the general formula: M(O.sub.3 ZO.sub.x R).sub.n wherein M is selected from the group consisting of Zr, W, U, Ti, Th, Te, Sn, Si, Ru, Pu, V, Pr, Pb, Os, Nb, Mo, Mn, Ir, Hf, Ge, Ce and mixtures thereof; Z is selected from the group consisting of elements of Group V of the Periodic Table of the Elements, and having an atomic weight of greater than 30; x varies from 0 to 1, R is selected from the group consisting of hydrogen and organo radicals, and n is 1 or 2; provided that n is 1 when R is terminated with a tri-or tetraoxy pentavalent atom into a polymer to provide a polymer composition. (b) subjecting said polymer composition to microwave energy for a time and at an intensity sufficient to raise the temperature of said polymer composition and thereby soften said polymer composition; (c) molding said softened polymer composition into a desired shape; and (d) allowing said molded polymer composition to cool while maintaining said desired shape. 10. The method of claim 9 wherein Z is P. 11. The method of claim 10 wherein at least a portion of said R groups have a polar bond. 12. The method of claim 11 wherein said polar bond is selected from the group consisting of hydroxyl and sulfhydryl bonds. 13. The method of claim 11 wherein M is selected from the group consisting of Zr and Ti. 14. The method of claim 9 wherein said polymer is a nonpolar thermoplastic polymer. 15. The method of claim 14 wherein said nonpolar thermoplastic polymer is selected from the group consisting of polyethylene, polypropylene, ethylene-propylene copolymers, terpolymers of ethylene, propylene and a polyene, and styrene-butadiene copolymers. 16. The method of claim 9 wherein said particulate layered compound is selected from the group consisting of Zr(O.sub.3 PCH.sub.2 CH.sub.2 SH).sub.2, Zr(O.sub.3 PCH.sub.2 CH.sub.2 OH).sub.2, Zr(O.sub.3 POCH.sub.2 CH.sub.2 OH).sub.2, Zr(O.sub.3 POH).sub.2, and Zr(O.sub.3 PCH.sub.2 OH).sub.2. 17. The method of claim 9 wherein said polymer composition is subjected to microwave energy within the range of 20 to 110 Mc/sec. for a time of from about 4 to about 55 seconds. 18. A container for the microwave heating of food which comprises the composition of matter of claim 1.
claims
1. An X-ray imaging system for generating X-ray projections of an object, the X-ray imaging system comprising:an X-ray device having a single X-ray source for forming a plurality of X-ray beams;a filter configured to be positioned within the plurality of X-ray beams;an object space where the object to be imaged is to be accommodated; andan X-ray detector including an array of a plurality of pixels;wherein the X-ray device, the filter, and the plurality of pixels are configured such that at least two pixels are to be exposed to the plurality of X-ray beams;wherein X-ray radiation of the plurality of X-ray beams which is to be received by a particular pixel is to undergo a same spectral filtration by the filter;wherein pixels which are to receive the X-ray radiation undergoing the same spectral filtration are part of a same pixel subset;wherein at least two subsets of pixels are to exist,wherein the plurality of pixels have X-ray insensitive regions therebetween, and a collimator having a plurality of openings, andwherein the X-ray imaging system and the collimator are configured to reduce X-ray intensity in the X-ray insensitive regions between the plurality of pixels. 2. The X-ray imaging system of claim 1, wherein the X-ray device includes a collimator positioned between the X-ray device and the filter, the collimator having a plurality of openings for directing the plurality of X-ray beams generated by the X-ray source. 3. The X-ray imaging system of claim 1, wherein the X-ray source configured to include an X-ray emission area with a spatially modulated X-ray intensity profile such that the plurality of X-ray beams originate from one or more pronounced intensity maxima of the X-ray emission area. 4. The X-ray imaging system as in claim 1, wherein the filter includes at least two different materials. 5. The X-ray imaging system as in claim 4, wherein one of the at least two different materials is air. 6. The X-ray imaging system as in claim 1, wherein the filter includes one material having a spatial modulation. 7. The X-ray imaging system as in claim 1, wherein the filter is a combination of at least two spatially separated filters. 8. The X-ray imaging system as in claim 1, wherein the filter has a spatially alternating pattern of spectral filtration. 9. The X-ray imaging system as in claim 8, wherein the filter is a grating having grating lines or a pattern of tiles representing different spectral filtration. 10. The X-ray imaging system as in claim 1, wherein the filter is replaceable and chosen from a set of a plurality of different filters. 11. The X-ray imaging system as in claim 1, wherein the subsets of pixels of the X-ray detector form an interlacing and alternating pattern of rows, columns, or tiles. 12. The X-ray imaging system as in claim 11, wherein a smallest effective size of a row, a column, or a tile of the alternating pattern of a subset of pixels of the X-ray detector corresponds to the effective size of one pixel. 13. The X-ray imaging system as in claim 1, wherein the filter is configured such that at least one pixel subset represents an opaque filtration of X-rays such that at least one pixel subset of the plurality of pixels of the X-ray detector is shadowed from any direct X-ray radiation from the X-ray source of the X-ray device. 14. A method for measuring an intensity of scattered X-ray radiation for at least one pixel subset of an X-ray imaging system as in claim 13, the method comprising:generating a plurality of X-ray beams via the X-ray device;transmitting the plurality of X-ray beams through a combination of one or more filters and collimators, as well as an object included in the X-ray imaging system; anddetecting the scattered X-ray intensity for the at least one pixel subset representing an opaque filtration of direct X-ray radiation from the X-ray device. 15. A computed tomography system using a method as in claim 14. 16. A method for generating at least one X-ray projection data set including at least two subsets of spectrally different X-ray projections with an X-ray imaging system as in claim 1, the method comprising:generating a plurality of X-ray beams via the X-ray device;transmitting the plurality of X-ray beams through a combination of one or more filters and collimators, as well as an object included in the X-ray imaging system;detecting the X-ray beams via the X-ray detector of the X-ray imaging system; andassigning the acquired data of the pixel subsets of the plurality of pixels of the X-ray detector to subsets of spectrally different X-ray projections. 17. A method for generating at least one X-ray projection data set corrected for scattered X-ray radiation using a method to measure the intensity of scattered X-ray radiation and correcting at least one X-ray projection data set as generated with a method as in claim 16 for scattered X-ray radiation. 18. A method for generating at least one 2D data set or at least one 3D data set representing chemical or physical information of an object using at least one X-ray projection data set generated by any of the methods as in claim 16. 19. A method as in claim 18, wherein the chemical or physical information of at least one 2D data set or at least one 3D data set is one of the following:a representation of particular chemical elements or chemical compositions, in units of beam attenuation, mass densities, concentrations, or Hounsfield units;a combination of particular chemical elements or chemical compositions, in units of beam attenuation, mass densities, concentrations, or Hounsfield units;a combination of particular chemical elements or chemical compositions subtracted by another combination of particular chemical elements or chemical compositions, in units of beam attenuation, mass densities, concentrations, or Hounsfield units;a ratio of beam attenuation, mass densities, concentrations, or Hounsfield units of one combination of particular chemical elements or chemical compositions relative to another combination of particular chemical elements or chemical compositions; anda representation of the object processed such as if monochromatic X-ray radiation had been used for imaging. 20. An X-ray imaging system for generating X-ray projections of an object, the X-ray imaging system comprising:an X-ray device having a single X-ray source for forming a plurality of X-ray beams;a filter configured to be positioned within the plurality of X-ray beams;an object space where the object to be imaged is to be accommodated; andan X-ray detector including an array of a plurality of pixels;wherein the X-ray device, the filter, and the plurality of pixels are configured such that at least two pixels is to be exposed to the plurality of X-ray beams;wherein X-ray radiation of the plurality of X-ray beams which is to be received by a particular pixel is to undergo a same spectral filtration by the filter;wherein pixels which are to receive the X-ray radiation undergoing the same spectral filtration are part of a same pixel subset;wherein at least two subsets of pixels are to exist, andwherein the filter is configured such that at least one pixel subset represents an opaque filtration of X-rays such that at least one pixel subset of the plurality of pixels of the X-ray detector is shadowed from any direct X-ray radiation from the X-ray source of the X-ray device.
abstract
A multi beam inspection method and system. The inspection system includes: (i) a beam array generator adapted to generate an array of beams characterized by a beam array axis; and (ii) at least one mechanism adapted to position the object under the array of beams such that at least two beams that are positioned along a beam array axis scan substantially simultaneously at least two regions of interest of the object, wherein the first axis is oriented in relation to the beam array axis.
summary
claims
1. An X-ray optical configuration for use together with an X-ray source and a sample, the optical configuration comprising:a first focusing element for directing, via an intermediate focus, X-ray radiation from the X-ray source onto the sample;an X-ray detector, said detector structured for motion along a circular arc of radius R around the sample;a second focusing element for directing part of the X-ray radiation emanating from said intermediate focus onto the sample; andan aperture system, said aperture system having a first position in which the sample is exclusively and directly illuminated from said intermediate focus along a first optical path and a second position in which the sample is exclusively illuminated via said second focusing element along a second optical path. 2. The X-ray optical configuration of claim 1, wherein a separation between the sample and said intermediate focus corresponds to said radius R of said circular arc. 3. The X-ray optical configuration of claim 1, further comprising a focus aperture disposed in an optical path of the X-ray radiation and having a separation from the sample which corresponds to said radius R of said circular arc. 4. The X-ray optical configuration of claim 2, wherein the X-ray radiation directly emanating from said intermediate focus or having passed said focus aperture is reflected by the sample and focused onto said circular arc. 5. The X-ray optical configuration of claim 3, wherein the X-ray radiation directly emanating from said intermediate focus or having passed said focus aperture is reflected by the sample and focused onto said circular arc. 6. The X-ray optical configuration of claim 1, wherein the X-ray radiation emanating from said second focusing element is focused through the sample onto said circular arc. 7. The X-ray optical configuration of claim 1, wherein said circular arc on which said detector can be moved, subtends an angle of at least 50°, at least 100° or at least 140°. 8. The X-ray optical configuration of claim 1, wherein said first and/or said second focusing element is designed as a Johansson monochromator or a Goebel mirror. 9. The X-ray optical configuration of claim 1, further comprising a motor for switching over between said first optical path and said second optical path. 10. The X-ray optical configuration of claim 1, wherein an aperture width of said aperture system is variable for at least one of said first and said second optical paths. 11. The X-ray optical configuration of claim 1, wherein each of said first and said second optical paths has a dedicated device for shading the X-ray radiation. 12. The X-ray optical configuration of claim 1, wherein each of said first and said second optical paths has a dedicated fixed aperture. 13. The X-ray optical configuration of claim 1, wherein said aperture system comprises a slotted aperture block which can be rotated about an axis that extends perpendicularly with respect to a plane of said circular arc, said second optical path being blocked by a body of said aperture block in a first rotary position, wherein said first optical path extends in an area of a slot of said aperture block and, in a second rotary position, said first optical path is blocked by said body of said aperture block, wherein said second optical path extends past said body of said aperture block. 14. The X-ray optical configuration of claim 1, wherein said aperture system comprises a slotted aperture, said aperture structured to move between two sliding positions, one of said first and said second optical paths being blocked by a body of said aperture in each of said two sliding positions, wherein a respective other optical path extends in an area of a slot of said aperture.
claims
1. A method for controlling positions of a plurality of nuclear fuel assemblies in relation to an upper core plate in a nuclear reactor core, the method comprising of the following steps:choosing a reference point in reactor internals or in a reactor vessel;determining positions of S shaped holes of the nuclear fuel assemblies relative to the reference point, each S shaped hole being intended to cooperate with a corresponding centering pin of the upper core plate;acquiring positions of the centering pins of the upper core plate relative to the reference point;directly comparing the position of each of the S shaped holes relative to the reference point and the position of the respective centering pin relative to the reference point and deducing therefrom whether each of the individual nuclear fuel assemblies is correctly positioned in relation to the upper core plate. 2. The method as recited in claim 1 wherein the reference point is a guide pin integrally attached to a lining of the core, the guide pin being adapted so as to cooperate with a notch of the upper core plate in order to position the upper core plate in relation to a lining of the core. 3. The method as recited in claim 1 wherein the positions of the S shaped holes relative to the reference point are determined by taking images of the nuclear fuel assemblies, and determining with the aid of said images the positions of the S shaped holes relative to the reference point. 4. The method as recited in claim 3 wherein each image is adapted to provide positions of the S shaped holes of at least one given nuclear fuel assembly and at least one S shaped hole of a nuclear fuel assembly adjacent to the given nuclear fuel assembly. 5. The method as recited in claim 3 wherein an overall image of the plurality of nuclear fuel assemblies is developed from the images of the nuclear fuel assemblies, the overall image providing the positions of all of the S shaped holes of the plurality of nuclear fuel assemblies. 6. The method as recited in claim 5 wherein the comparison of the positions of the S shaped holes and the positions of the pins is carried out by comparing the overall image of the plurality of nuclear fuel assemblies to a theoretical image of the upper core plate providing the positions of all of the centering pins corresponding to all the S shaped holes of the plurality of nuclear fuel assemblies. 7. The method as recited in claim 6 wherein each nuclear fuel assembly is considered to be correctly positioned in relation to the upper core plate if the comparison of the position of each S shaped hole of said nuclear fuel assembly with the position of the corresponding pin indicates that the S shaped hole and the pin have a distance between them that is less than a predetermined limit. 8. The method as recited in claim 7 wherein the predetermined limit is 8 millimeters. 9. The method as recited in claim 3 wherein the images are taken by a digital image capturing apparatus moved by a machine for loading the nuclear fuel assemblies. 10. The method as recited in claim 1 wherein the plurality of nuclear fuel assemblies comprise at least one quarter of the nuclear fuel assemblies of the core. 11. The method as recited in claim 10 wherein the plurality of nuclear fuel assemblies comprise at least half of the nuclear fuel assemblies of the core. 12. An assembly for controlling positions of a plurality of nuclear fuel assemblies in relation to an upper core plate in a nuclear reactor core, the control assembly comprising:a determiner for determining the positions of S shaped holes of nuclear fuel assemblies relative to a reference point, each S shaped hole being provided to cooperate with a corresponding centering pin of the upper core plate, the reference point being chosen in reactor internals or in a reactor vessel;a determiner for determining the positions of the centering pins of the upper core plate relative to the reference point; anda comparator for directly comparing the position of each of the S shaped holes relative to the reference point and the position of the respective centering pin relative to the reference point, and deducing therefrom whether each of the individual nuclear fuel assemblies is correctly positioned in relation to the upper core plate.
claims
1. An electromagnetic wave interference (EMI)/radio frequency interference (RFI) shielding resin composite material, comprising:(A) about 40 to about 84 volume % of a thermoplastic polymer resin;(B) about 5 to about 59 volume % of a tetrapod whisker; and(C) about 1 to about 10 volume % of a low-melting point metal comprising a main component selected from the group consisting of tin, bismuth, lead, and combinations thereof, and a minor component selected from the group consisting of copper, aluminum, nickel, silver, germanium, indium, zinc, and combinations thereof. 2. The EMI/RFI shielding resin composite material of claim 1, wherein the tetrapod whisker (B) comprises ZnO. 3. The EMI/RFI shielding resin composite material of claim 1, wherein the tetrapod whisker (B) comprises four feet and a body,wherein each foot has a length of about 2 to about 100 μm from end to end, and the body has a size of about 0.15 to about 10 μm. 4. The EMI/RFI shielding resin composite material of claim 1, wherein the tetrapod whisker (B) is coated with a conductive material on its surface. 5. The EMI/RFI shielding resin composite material of claim 4, wherein the conductive material is selected from the group consisting of silver, copper, aluminum, iron, palladium, tin oxide, indium oxide, silicon carbide, zirconium carbide, titanium carbide, graphite, nickel, and combinations thereof. 6. The EMI/RFI shielding resin composite material of claim 1, wherein the low-melting point metal (C) is a solid solution comprising at least two kinds of metal elements. 7. The EMI/RFI shielding resin composite material DeletedTexts of claim 1, wherein the low-melting point metal (C) has a lower solidus temperature than the temperature of the EMI/RFI shielding resin composite material process. 8. The EMI/RFI shielding resin composite material of claim 1, wherein the EMI/RFI shielding resin composite material further comprises (D) glass fiber filler in an amount of about 50 parts by weight or less based on about 100 parts by weight of the EMI/RFI shielding resin composite material. 9. A molded product made using the EMI/RFI shielding resin composite material of claim 1. 10. The EMI/RFI shielding resin composite material of claim 1, comprising:(A) about 60 to about 84 volume % of the thermoplastic polymer resin;(B) about 5 to about 30 volume % of the tetrapod whisker; and(C) about 1 to about 10 volume % of the low-melting point metal. 11. The EMI/RFI shielding resin composite material of claim 10, wherein the thermoplastic polymer resin is polyphenylene sulfide. 12. The EMI/RFI shielding resin composite material of claim 11, wherein the low-melting point metal is a tin/copper/silver low-melting point metal.
053923197
description
DETAILED DESCRIPTION OF THE INVENTION In the discourse to follow, initial embodiments of the accelerator based neutron irradiation system of the invention are described which look to the location of neutron deriving target material such as lithium or beryllium upon a rotating target. The target material, when reaching a liquid phase due to the thermal activity associated with ion bombardment, is retained through employment of a surface tension based configuration of the retention structure associated with the target. In a later, preferred embodiment, a highly practical approach to retaining the target material is described. In the latter regard, the lithium or other target materials must be retained for the instant system within a vacuum environment. Additionally, during manufacture or maintenance of the system, the lithium target material will react at room temperature with air, for example, and thus must be protected during periods of system inactivity. For all embodiments, the target region is cooled through utilization of highly effective techniques and the neutrons generated are moderated to energy levels considered ideal, for example, for the therapeutic applications contemplated. Referring to FIG. 1, an initial embodiment of the apparatus of the invention is represented generally at 10. The apparatus 10 is seen to be retained within a housing 12 having an opening 14 formed therein through which neutrons at and above the epithermal neutron range may project for use, for example, for the noted therapeutic purposes. Housing 12 may be formed, for example, of metallic and/or masonry materials such as concrete or cinder block. As such, the housing serves to isolate the system components from operating personnel as well as a patient during conventional use. Within the housing 12, there is disposed a particle source 16 coupled as represented at cabling as at 18 with the power source. This source 16 also may be positioned upon or outside of the housing 12. The output of the source 16 is monitored by a current monitor 20 having a monitor output at line 21. The generated charged particles or ions occur as a stream at 22 extending along a downwardly directed pathway. A preferred form of particle source 16 is an accelerator utilizing radio-frequency quadruple (RFQ) acceleration technology. Such systems are produced, for example, by AccSys Technologies, Inc., Pleasanton, California. Of course, Van de Graaff accelerators also are available. In general, the device 16 must produce a beam of ions such as protons of adequate energy to develop the requisite neutron energies upon impingement with the target material of the system. In the case of a lithium target, the system seeks the nuclear reaction: EQU lithium 7+proton.fwdarw.beryllium 7+neutron In order to reach a sufficiently high yield of neutrons, the incident proton energy at a target impinged upon by beam 22 should be on the order of 2.5 MeV. Higher energy levels also will provide high neutron yields, but subsequent interactions of higher energy neutrons produced with other materials in the system will produce high levels of unwanted gamma radiation. Hence, to permit efficient generation of neutrons with the use of a proton beam on a lithium target, while minimizing unwanted secondary gamma radiation, the noted energy levels should be approached. The resultant neutron beam depicted by the arrows 24 emanating from opening 14 must have an energy spectrum suitable for boron neutron capture therapy and an intensity sufficiently high to permit irradiation treatment sessions of practical duration. Based on the (1) characteristics of candidate boron-containing neutron capture agents, (2) the moderator system employed, (3) 2.5 MeV proton beam energy, the incident proton beam intensity needs to be, for example, in the range from about 15 milliamps to 50 milliamps. For the case where beryllium target material is employed, the system seeks the nuclear reaction: EQU beryllium 9+proton.fwdarw.boron 9+neutron In the case of beryllium 9, in order to reach a sufficiently high yield of neutrons, the incident proton energy should be on the order of 4.0 MeV. Based on the same considerations as discussed above for lithium target materials, the incident proton beam intensity needs to be in the range of about 15 milliamps to 50 milliamps. Looking to the requirements for the output of the system at neutron beam 24, for the case of boron neutron capture therapy, the neutron energy spectrum should be in the range of from 1 eV to 10 keV and preferably, in the range of from 10 eV to 1 keV. In order to minimize unwanted radiation damage, secondary radiation sources such as gamma radiation, as noted above, should be maintained as small as possible with associated gamma energies maintained as low as possible. In order to allow radiation treatment periods of manageable length, the flux of neutrons having the appropriate energy spectrum as discussed above should be approximately 3.times.10.sup.8 to 1.5.times.10.sup.9 neutrons/cm.sup.2 /second. The accelerator 16 as well as its ion output beam 22 and the assemblage of target components including a target carriage 26 are enclosed within a containment chamber represented generally at 28. Chamber 28, within which a vacuum is maintained, includes an annular or cylindrical chamber portion 30 within which the target carriage 26 is mounted, an accelerator retaining portion 32 within which the accelerator 16 is mounted; and a pathway encompassing portion 34 surmounting the ion beam 22 and extending directly to annular chamber portion 30 over the target material mounted upon carriage 26. Located beneath the annular chamber portion 30 of the containment chamber 28 is a vacuum pump 36 shown coupled to a lower extension 38 of portion 30 via conduit 40. Controlling power input to the pump 36 is represented at cabling 42. In general, the accelerator or charged particle source 16 will call for a vacuum pumping system which includes a diffusion pump and cold trap to assure a vacuum level of approximately 1.times.10.sup.-6 torr at the entrance of the pathway encompassing portion 34 of containment chamber 38. The vacuum level within the pathway encompassing portion 34 at the surface of the target within annular chamber portion 30 can be lower, i.e. pressure can be higher. For example, a vacuum level of 1.times.10.sup.-3 torr to 1.times.10.sup.-4 torr at the target region is adequate. The vacuum level within the annular portion 30 encompassing the target carriage assembly 26 can be generated utilizing mechanical pumping. For example, a Welch Model No. 1397 pump as described in Fischer Scientific Catalog No. 01-100-15 may be employed. Containment chamber 28 is maintained in position by supports as at 50 and 52, and the upwardly disposed central region thereof is closed by a seal structure including a rotating vacuum seal 56 which serves to rotatably support an upstanding cylindrical conduit and rotating drive component 58. The vacuum seal 56 may be provided, for example, as a type CR24892 bearing seal produced by CR Industries, Elgin, Illinois. This device performs in conjunction with a ball bearing flange block, type MF4E-247 marketed by Browning Company. The cylindrical drive component 58 extends to and is coupled with the upper surface 60 of target cartage 26. As such, the drive 58 can impart a rotational motion to the structure 28 as it resides within the vacuum environment of the annular chamber portion 30. To support the disk-shaped structure 26 at the opposite side of its axis extending through the middle of component 58, a thermally isolated thrust bearing 62 is coupled thereto coaxially with component 58 which, in turn, is mounted upon a support 64 within lower extension 38 of the containment chamber portion 30. A variety of thrust beatings are available for the function at beating 62, for example, a bearing identified as FAG #53213 along with a seating ring identified as a type FAG #U213 produced by FAG Bearings Corporation of Stamford, Connecticut. Thus rotatably supported, the disk shaped target cartage 26 may rotate within a vacuum environment for the purpose of positioning target material before the charged particle or ion stream 22. Looking to the technique by which rotation is imparted to the carriage 26, it may be observed that drive component 58 is formed of two parts, an outer, rotating drive cylinder 66 which is rotatably engaged by the bearing seal 56, and an inner, stationary cylindrical conduit part 68 which is seen extend downwardly to an opening 70 within an interior, sealed chamber 72 of the target cartage 26. Outer drive cylinder 66 is further supported by a bearing 74, the outer race of which, in turn, is supported by support component 76. Drive cylinder 66 then terminates at a swivel pipe joint 78. Swivel pipe joint 78 may be provided, as a type OPW style 20-F marketed by the OPW Division of Dover Corporation, Mason, Ohio. With the arrangement shown, the drive cylinder component 66 may rotate while remaining in sealed fluid communication with a stationary conduit 80 which will be seen to function to exhaust steam or the like outwardly via a coupling 82 and conduit 84. Conduit 80 is supported by a top flange 86 and support block 88, and is seen to have substantially the same inner and outer diameter configuration as drive cylinder 66. In the latter regard, the inner diameter of these components is selected so as to provide sufficient inner diameter to outer diameter spacing with respect to conduit 68 to permit the flow of a cooling fluid between (e.g. flow of steam generated within chamber 72). Note that a cooling input is represented at conduit 90 extending through an electrically controlled valve 92 with appropriate control inputs 94. Valve 92 is coupled to the opposite end 96 of stationary conduit 68 and may be provided, for example, as a Model SV287 flow valve marketed by Omega Engineering, Inc. Rotational drive is imparted to the drive cylinder 66 from an electric motor assemblage 98 performing in conjunction with paired miter gears 100 and 102, the latter being fixed to drive cylinder 66, and the former being drivably coupled to the shaft 104 of motor assemblage 98. Gears as at 100 and 102 may be provided, for example, by Emerson Electric Company, Browning Manufacturing Division, Maysville, Kentucky. Motor assemblage 98 may be provided by the same organization as a motor-reducer combination which is described in catalog no. 450C1-40FEX 7/8 with 200A 3P23, 2 horsepower motor exhibiting 2074 in.-lb. torque. Power input to the assemblage 98 is represented at cabling 106 which may provide such power in combination with a motor starter, for example, a type 109-A18AA3 marketed by Allen-Bradley, a Rockwell International company of Milwaukee, Wisconsin. Additionally coupled to the shaft 104 is a tachometer 108 for monitoring rotational speed. Device 108, seen coupled with an input-output control line 110, may be provided as a slow speed digital tachometer system, Model No. SSA-50P-1 having a 4 to 20 milliamp current loop for external monitoring of rotational speed and associated control functions. Such a device is marketed, for example, by Electro-Sensors, Inc. of Eden Prairie, Minnesota. The vacuum level within the containment chamber 28 is monitored by a vacuum level sensor 112, the output of which is represented at cabling 114. Sensor 112 may be provided, for example, as a VWR Scientific Model No. EVD021-66-000 which may perform with a microprocessor driven control unit marketed under Catalog No. EVD386-13-000 by the same organization. Temperatures at the annular target region 116 of the target carriage 26 are generally monitored by a temperature sensor 118 which is disposed beneath the region 116 at a location remote from the region of impingement of ion beam 22. Located within the annular chamber portion 30 of the containment chamber, the device 118 develops a temperature related output signal at line 120 and may be provided, for example, as an infrared, non-contact temperature monitoring device Model No. OS 1200-LTS-B-N4 marketed by Omega Engineering, Inc., Stamford, Connecticut. In the event of excessive temperatures at the target region 116 as detected from device 118, a control system employed with the apparatus provides a signal warning to the operator and interrupts operation of the accelerator 16. The same form of warning and accelerator 16 interruption may be provided in conjunction with a detection of inadequate vacuum at gauge 112. The amount of thermal energy generated in conjunction with such beam impingement systems may be relatively high, for example at the 75 kilowatt level. Thus, a technique is required for accommodating such thermal phenomena to an extent protecting the target. Looking additionally to FIG. 2, it may be observed that the annular target region 116 is rotated within vacuum before the beam 22 pathway. As this occurs, resultantly developed neutrons pass through an aluminum outer substrate 130 located immediately beneath the target region 116, aluminum having a sufficiently low neutron capture attribute. Heat generated by the system is witnessed at an internally disposed heat exchange surface region 132 as represented by arrows extending therefrom. Against the surface or region 132 there is maintained a coolant fluid 134 which, for the instant embodiment, may, for example, be water. Coolant 134 is maintained in position as shown by the centrifugal force evoked in consequence of the rotation of target carriage 26 by motor assemblage 98. Under the influence of heat, the coolant 134 changes from a liquid phase to a vapor phase, the latter of which exits through a circulation coolant assembly conduit 84 as represented at arrows 138. Make-up coolant such as water may then be introduced into the chamber 72 through conduit 90 under the control of valve 92. Water exiting for this purpose is represented at arrows 140. To provide a continuous monitoring of the level of water at 134, particularly with respect to its radial extent from the axis of drive component 58 and the target carriage 26, a stationary water level detector 142 is fixed to the stationary conduit 70 and is of length sufficient to engage at least the outer surface of liquid phase coolant 134 at its lowest acceptable quantity. A variety of liquid level sensors are available for this purpose, one, for example, being a probe Model No. EHT-183-A2 and an associated liquid level controller marketed as Model FLT-2011 by Lumenite Electronic Company of Franklin Park, Illinois. Cabling from the sensor 142 preferably is directed through the stationary conduit 68 whereupon it may be led from the system via the junction at valve 92, for example with cable 94. A moderator and containment assembly surmounting ion beam 22 and the target carriage 26 at the location of the ion beam 22 is represented generally at 150. Assembly 150, as seen additionally in FIG. 2, includes a moderator 152 which serves the function of altering the neutron energies generated at target 112 to a level most suited for the therapeutic purposes at hand. The material at moderator 152 preferably is selected having a large neutron scattering cross-section, a small neutron cross section for radioactive neutron capture, and the capability to decrease the amount of neutron energy with each neutron collision. In general, neutron energy is reduced as it progresses through the moderator 152 with the incoming neutron energies at neutron inlet 154 being in a range of 100 keV to 800 keV at the exit side of target region 112 and, in general, at the neutron inlet 154. Following moderation at moderator 152, neutron energies at the outlet channel 156 preferably are in a range of 1 eV to 1 keV. Generally, neutrons are designated according to their energies, including the following: Thermal neutrons in thermal equilibrium with the substance in which they exist: Most commonly neutrons of kinetic energy of about 0.025 eV, which is about 2/3 of the mean kinetic energy of a molecule at 15.degree. C. Generally thermal neutrons are undesirable for the instant therapeutic application inasmuch as they react with tissue; PA1 Epithermal neutrons, or neutrons having energies just above those of thermal neutrons: The epithermal neutrons' energy range is between a few eV and about 1000 eV; PA1 Fast neutrons which are neutrons with energies exceeding 100,000 eV, although sometimes a lower limit is given. In connection with the above, see generally Van Nostrand's Scientific Encyclopedia, 5th Edition, p 1611. PA1 Exemplary materials for use as a moderator 152 are beryllium oxide (BeO), deuterium oxide (D.sub.2 O) and mixtures of aluminum and deuterium oxide. PA1 .THETA. is the contact angle; PA1 P.sub.c is resultant surface tension "capillary" pressure; and PA1 D.sub.c is the characteristic dimension or effective diameter of the interstices being evaluated. Located adjacent to and surrounding the moderator 152 as well as those regions of the annular chamber portion 30 of the containment chamber in the vicinity of the beam 22, target 112, and moderator 152, is a reflector 158. Reflector 158 preferably will be formed of materials having a large neutron scattering cross section and will function to reflect such neutrons with a small amount of energy decrease. Thus, epithermal neutrons are reflected a short distance without significantly reducing their energy. Generally, the reflection will be of neutrons having energies greater than epithermal electrons. This increases the efficiency of the neutron generating system. A material meeting the criteria for reflector 158 is alumina (Al.sub.2 O.sub.3). Due to alumina's large cross section for radioactive capture of thermal neutrons, it is preferable to place a layer of lithium-6 (6Li) at the boundary between the moderator 152 and reflector 158. This layer is represented at 160. Next outwardly from the reflector 158 and layer 160 is a neutron absorber 162 which functions to capture neutrons which may be emitted from the reflector 158 except at the outlet channel 156. The absorber 162 also should minimize the generation of gamma radiation. Absorber 162 may, for example, be provided as deuterium oxide (D.sub.2 O) which preferably will be filled with 2,000 ppm boron 10 which, in turn, has a very high neutron cross section. That quantity of boron 10 will be soluble in the heavy water at the absorber 162. Next outwardly from the neutron absorber 162 is another layer 164 of lithium-6 (6Li). This layer or coating captures any neutrons emitted from the heavy water. Collectively, the moderator and containment assembly 150 permits the emission of neutrons of desired energy range through the outlet channel 156 while minimizing the exit of neutrons or gamma rays from all other regions of the accelerator neutron irradiation system. The preferred target material for the target region 116 of the instant invention is lithium metal. Under the ionic bombardment contemplated, this lithium metal may enter a liquid phase in view of its relatively low melting point in the order of 180.degree. C. Thus, while the target carriage 26 is rotated to maintain fluid coolant 134 against the heat exchange surface 132, accommodation must be made to retain lithium metal, which must be considered melted or in a fluid phase at its proper target location for neutron generation. Additionally, it is necessary that a sufficient area or associated quantity of lithium be introduced to the ion beam pathway 22 both to control temperature and to generate adequate neutron flux. Generally, the carriage 26 will rotate between 30 rpm and 60 rpm, and the area generally presented at region 116 may be represented as follows: EQU A=.pi./4[D.sub.T.sup.2 -(D.sub.T -D.sub.B).sup.2 ], where: A equals the area of target region 116; D.sub.T is the outer diameter of the region 116; and D.sub.B is the radial extent of the target region 116 or, alternately, the corresponding radial extent or diameter of the ion beam pathway 22. To retain the target material such as lithium in position for neutron generation while accommodating necessary centrifugal forces required to achieve cooling within the target carriage 26, the initial embodiment of the invention looks to a target retention structuring which is dimensioned and configured to achieve a surface tension value for the lithium target material when in a liquid phase which effectively matches or overcomes the centrifugal forces resulting from target carriage 26 rotation. In general, when a liquid is in contact with a solid surface, molecules in the liquid adjacent to the solid will experience forces from the molecules of the solid in addition to the forces from other molecules in the liquid. Depending upon whether these solid/liquid forces are attractive or repulsive, the liquid solid surface will curve upwards or downwards. Looking momentarily to FIG. 3, a liquid-solid interface is depicted, the exemplary liquid being represented at 170. For this example of FIG. 4, the forces are attractive and the liquid. 170 is said to "wet" the solid. The angle made by the liquid 170 surface with respect to the container is known as the angle of contact, .THETA.. This angle of contact .THETA. in the example of FIG. 4 is shown at 172. The corresponding angle of contact for repulsive forces are represented at liquid 174 in FIG. 4 and contact angle .THETA. at 176. Referring to FIG. 5, a geometry for retaining lithium metal at the target region 116 is revealed. In this embodiment, the target region 116 is fashioned having a plurality of downwardly extending intersticed cavities, certain of which are revealed at 180. By selecting the effective diameter of these cavities 180, which for the present embodiment will be the actual geometric diameter thereof, the value of surface tension may be designed to meet the rotational forces being encountered. To provide for an attractive interrelationship between lithium metal and the retaining structure, the interior surfaces of the cavities 180, which achieve a sufficiently small contact angle, 0, for achieving good wetting, or the material from which they are formed, should be chemically compatible with lithium metal. Metals and alloys which meet these requirements include, for example, molybdenum based alloys containing 0.5% titanium and 0.1% zirconium (TZM alloy); niobium-based alloys containing zirconium; tantalum alloys containing tungsten (e.g. tantalum with 10% tungsten); and tungsten. Referring to FIG. 6, the structure represented in FIG. 5 is revealed in sectional fashion. Note that the cylindrical cavities 180 are seen to be filled with a liquid lithium metal represented at 182. Referring to FIGS. 7 and 8, the intersticed cavities of the target region 116 may be formed as inwardly extending concentric grooves as represented in FIG. 7 in general at 186. The concentric grooves 186 may be formed, for example, having a radially disposed cross section corresponding with an inverted scalene triangle. This configuration is represented in FIG. 8. Looking to that figure, a coating or layer of the preferred target retaining metal material is represented at 188 and the lithium metal is shown within the concentric grooves at 190. Other configurations will occur to a designer, for example, looking additionally to FIG. 9, the grooves are shown in conjunction with coating or layer 191 being formed to have a radially disposed cross section corresponding with an inverted isosceles triangle. The liquid lithium metal is represented in the drawing at 192. The computation of requisite surface tension as well established may be expressed as follows: EQU P.sub.c =(2.sigma.cos.THETA.)/D.sub.c, where: Of course, other geometric configurations such as hexagons and the like may be employed with the liquid target metal retention approach. The design is predicated upon the development of a retention force which is equal with or exceeds the centrifugal force occasioned with the revolution of target carriage 26. For a further discussion of surface tension, reference is made to the publication "Heat Pipes", Second Edition by P. Dunn and D. A. Reay, Pergamon Press, New York, pp 22-28 and 302. In general, with the instant system, the rotational speed of target carriage 26 is selected to be sufficiently large to maintain the working fluid or coolant in contact with the heat exchange surface or region within the radial extremities of the cavity 72. However, the speed also must effectively distribute the heat generated at the target regions 116. However, the rotational speed also should be selected to be no higher than necessary to meet those two requirements, inasmuch as the higher the rotational speed, the greater the centrifugal force acting on the liquid lithium target and consequently, the smaller the allowed characteristic dimension or diameter D.sub.c of the interstices retaining the liquid. Referring to FIG. 10, another embodiment for the technique of cooling target 26 is revealed. The components of FIG. 10 will be seen to be quite similar and, for the most part, identical to those shown in FIG. 1 with the exception of the noted cooling components. Accordingly, parts having commonality between the figures are shown in FIG. 10 with identical numeration but in primed fashion. Looking to FIG. 10, the fluid selected for cooling is heavy water or deuterium oxide (D.sub.2 O). Because of the low capture cross section of that fluid, it may be permitted to accumulate in the fluid phase at a radial distance from the axis of carriage 26' such that it is located substantially beneath the pathway of ion beam 22'. Note, in this regard, that the cavity of carriage 26', now identified at 200, extends essentially to a heat exchange region located substantially beneath the target support region 116'. This fluid accumulation is represented at 202. The accumulated heavy water at 202 resides in heat transfer relationship with the interior surface of cavity 200, especially as that surface is present beneath target region 116'. Generally at that surface within the cavity, a transition to vapor phase occurs, and as represented by arrows 204, this vapor phase is conducted through conduits 80' and 84' to a condenser 206. The coils shown in phantom within condenser 206 at 208 are cooled as represented by the fan symbol 210 and liquid phase fluid returns to the system via conduit 90' under the control valve 92'. Fluid cooling also can be carried out with the target carriage 26 utilizing a coolant which remains in a gaseous phase. Such a coolant may, for example, be selected as helium, a substance which may be introduced directly beneath the target region 116 without adversely affecting the flow of neutrons toward the outlet channel 156 as in the case of aluminum material. Referring to FIG. 11, an implementation of the apparatus 12 with such a purely gaseous phase approach is illustrated. In the figure, a substantial duplication exists in terms of structural components when compared with FIG. 1. Accordingly, those components of the apparatus 12 described in FIG. 1 which reappear in FIG. 11 are identified by the same numeration in combination with a double prime. In the embodiment, cooling gas such as helium is introduced into the chamber 72" of target carriage 26" through stationary conduit 68". For this purpose, the end of conduit 68" within chamber 72" is coupled with a rotating seal 220. From this seal 220, there extend distribution conduits, two of which are seen at 222 and 224. These conduits as at 222 and 224 are coupled in gas transfer relationship between the seal and coupling 220, and a porous annular heat exchanger 226. Heat exchanger 226 is affixed to the upper wall of cardage 26" in heat exchange relationship therewith immediately beneath the target region 116". Formed of aluminum to permit neutron transfer therethrough and having a bottom outlet surface 228 spaced from the bottom surface or floor of chamber 72", the heat exchanger 226 serves as one component of a gas recirculation system wherein hot coolant gas, having experienced thermal exchange therein returns as represented by arrows 230 through the passageway intermediate conduits 78' and 66' for entry through conduits 80" and 84" to a gas cooling facility represented in general at block 232. A fan as at 234 symbolically represents a heat exchange at the facility 232. A cooling gas such as hydrogen, upon being cooled at facility 232, then returns to the target via conduits 90", valve 92", and conduit 68" for redistribution to the porous annular heat exchanger 226. The latter exchanger 226 may take on a variety of configurations, being formed of a labyrinth of bores or alternately being formed of a porous, sponge-like aluminum structure. Where deuterium oxide is employed as a coolant within the chamber of target carriage 26, it is desirable to employ a highly pure form thereof. Accordingly, losses which may be encountered within the cooling condensing components of such a cooling arrangement may become excessive. Turning to FIG. 12, a cooling approach which utilizes the deuterium oxide as the coolant within a primary coolant chamber adjacent the target 116 region and a secondary chamber utilizing light water as a secondary coolant is illustrated. As before, in view of substantial commonality between the instant figure and FIG. 1 with respect to non-coolant features, those common components between the figures are represented in FIG. 12 in triple primed fashion. Looking to the target carriage 26"', it may be observed that deuterium liquid is retained by centrifugal force at the region of target 116"' as shown at 240. This heavy water is retained within a primary coolant chamber represented generally at 242. The chamber 242 is shaped in annular fashion, the inward wall thereof being provided as a heat exchanger 244. Heat exchanger 244, while defining chamber 242 with the outer walls of the carriage 26"' preferably is formed having heat exchange fins or the like to increase surface area and provides for the condensing of vapor phase deuterium oxide to return that phase to a liquid phase in a self-contained reflux boiler or condenser configuration. Inwardly of the heat exchanger 244 is a secondary coolant chamber 246 which carries a light water coolant, the liquid phase of which is built up as at 248 as represented by arrows 250, the vapor phase of light water 248 exits through the gap intermediate conduit 68"' and 66"' to be directed via conduit 84"' to exhaust to atmosphere or for purposes of condensation depending upon the desires of the designer. Return or make-up light water is provided from along conduit 90"' under the control of valve 92"' to exit from the earlier-described end 70"' of stationary conduit 68"'. With the arrangement shown, the secondary, light water coolant is circulated throughout the more complex and thusly prone components of the system, while the heavy water primary coolant is confined and ideally localized at the vicinity of target region 116"'. Referring to FIGS. 13 and 14, a desirable alternative or addition to the capillary or surface tension based approach to retention of molten lithium metal at the target region 116 is illustrated. With this arrangement, discrete capsules 260-263 configured collectively for one embodiment to resemble an upwardly facing ring are fabricated. These capsules, having somewhat rectangular looking profiles are structured as containers for retaining lithium. The containers themselves, when filled with lithium metal, are covered with a thin window material which exhibits as low an atomic number as possible. For example, aluminum or beryllium foil may be used for this purpose. The foil, when aluminum, will be on the order of 10-25 microns in maximum thickness. Where beryllium is employed, a thickness of less than about 50 microns is provided. As an alternative, a vapor deposition at 1-2 microns in thickness may be considered. Looking to FIG. 13, a sequence of capsules as above described is represented within an portion of the outer periphery of target carriage Of the above sequence, capsule 261 is seen in sectional view in FIG. 14. Note that the capsule is mounted within an annular slot 266 formed within the upper aluminum plate surface 268 of carriage 26'. Mounting of the capsule for this purpose should be so as to provide for efficient heat transfer to the coolant system of the carriage 26 as described above in multiple embodiments. A foil cover for capsule 261 is shown at 270 spaced a predetermined gap 272 above the top surface 274 of the lithium target metal 276 to allow for volumetric thermal expansion of lithium. Generally, the lithium 276 contained within capsules as at 261 will have a thickness of about 0.040 inch or 0.100 cm. The gap 272 preferably is on the order of 0.002 cm to 0.005 cm in extent. In general, the aluminum foil 268 is soldered, or otherwise hermetically bonded to the upwardly disposed periphery of each capsule. Analysis of required Surface area for the target material shows that the capsules as at 260-263 also can be made having peripheral geometric shapes which are regular rectangles. Generally, the wall members which extend between the units would be maintained at a small thickness on the order of 0.10 to 0.05 inch. For example, for such structuring, the amount of target material lost to wall thickness is on the order of about 5% which is comparable or represents an improvement over the interstitial approaches described above. Another embodiment of the capsule approach for retaining target materials at the upper plate surfaces such as at 268 is revealed in connection with FIGS. 15 and 16. Referring to FIG. 15, it may be seen that an arrangement of peripherally disposed discrete capsules is provided, three of which are represented in the sequence 277-279. As before, the sequence of capsules are configured such that they resemble an upwardly facing ring. Having somewhat rectangular looking profiles as before, the discrete capsules 277-279, as seen in FIG. 16, are configured as containers for retaining a target material such as lithium again identified at 276. In this regard, it may be observed in FIG. 16 that capsule 278 is configured having edge surfaces as at 280 and 281 which fit within openings as represented by the edge surface or periphery 282 which extend entirely through the plate upper surface 268 such that the bottom surface or base 283 of each capsule is in direct contact with deuterium oxide (D.sub.2 O) 240. This arrangement eliminates the thermal impedance and associated temperature difference which otherwise occurs at the interface, for example, between capsule 261 and upper plate surface 268 of the embodiment represented in connection with FIGS. 13 and 14. As before, as seen in FIG. 16, each of the capsules 277-279 is provided with a foil cover as seen, respectively, at 284-286. As seen in FIG. 16, the cover, as before, is positioned to define a predetermined gap as at 287 extending above the upper surface of the lithium target material again represented at 276. The capsules as at 277-279 are retained by machine screws as at 288 and the coolant cavity beneath them is secured by such coupling when employed in conjunction with an O-ring seal as seen in FIG. 16 at 289. The architecture thus developed also eliminates a need to form a high thermal conductance joint as by soldering or brazing as required in the embodiment of FIGS. 13 and 14 between, for example, the capsule 261 and upper plate surface 268. The elimination of a need for this bond also facilitates the removal and replacement of one or more of the target capsules 277-279 as may be required in the event of defective hermetic seals or refurbishment of target material, for example lithium, following extended use. As noted above, this use occurs with the bombardment of the lithium by ions which results in a conversion to a new element, for example, beryllium in the case of a lithium target material. The O-rings as at 289 may be formed using elastomeric or metallic materials. In the former regard, materials sold under the trademark "VITON" may be utilized (a trademark of E.I. dupont de nemours & Co.). Alternately, a flat gasket may be substituted for O-rings as at 289. As noted earlier, a signficant benefit results from the utlization of capsulized target components as at 260-263 (FIGS. 13, 14) or as at 277-279 (FIGS. 15, 16). The capsules can be assembled under vacuum such that they may be maintained under normal ambient conditions for storage and maintenance purposes. Thus formed under vacuum, when the capsules are mounted within the vacuum containment chamber 28, the forces on either side of the foil as at 270 or 285 will be equalized, vacuum being positioned on either side. Likewise, when the capsules are exposed to normal atmospheric pressures, the forces on the foils as at 270 or 285 will be supported by the (solid) lithium layer 276 in close proximity to the foils 270 or 285. As the target is being employed and the lithium metal reaches a liquid phase, the only outward pressure upon foil 270 will be vapor pressure of the lithium component. Referring to FIG. 17, a block diagrammatic representation of a control system for operating the neutron generator apparatus 10 is revealed. In the figure, the neutron generator apparatus as well as the earlier-described control inputs or signal outputs are identified with the same numeration as provided in conjunction with FIG. 1. In general, the monitoring and control is coordinated by a computer based control represented at block 290. In conventional fashion, user inputs are provided to this control as represented at block 292 and arrow 294. To facilitate this control, a display as represented at block 296 is driven from the control 290 as represented at line 298. While the monitoring and control 290 functions to maintain the operation of the apparatus 10 within predetermined operating limits, it also functions to carry out a predetermined sequence of operations or component actuations during start-up and shut-down of the system. For example, one sequence which might be selected for start-up resides initially in the activation of the vacuum control represented at block 300 by appropriate signaling from the control 290 via line 302. The vacuum control actuates pump 36 as earlier represented at line 42. As the vacuum pump 36 (FIG. 1) is controllably driven, the vacuum level within containment chamber 28 is monitored as at monitor 112 (FIG. 1 ). As described in the latter figure and reproduced in the instant figure, the monitoring signal output from monitor 112 is directed via line 114 to the control components 290. Where the vacuum level within containment chamber 28 reaches acceptable limits, the control components 290 commences the rotation of target carriage 26 through the activation of a speed control represented at block 304 through actuation thereof from line 306. Control power input then is directed to the motor assemblage 98 as represented at earlier-described line 106 which appears in the instant figure. The speed of rotation of the system via the shaft 104 motor assemblage 98 is monitored by tachometer 108 (FIG. 1 ) and the speed signal resulting therefrom is directed via earlier-described line 110 to the control components 290. Line 110 reappears in FIG. 15 being directed from the apparatus 10 to block 290. As the rotational speed of target carriage 26 reaches a pre-selected level, a working fluid control as represented at block 92 will be actuated from the control components 290 as represented by line 94. Fluid input to the control 308, for example a water input, is represented at conduit 90. Control flow output then is directed to the cavity 72 of the target carriage 26 via stationary conduit 68. Components 90, 92, 94, and 68 have been described earlier in conjunction with FIG. 1 and reappear in the instant figure with that same numerical identification. The level of water within the chamber 72 of target carriage 26 and monitored at flow level sensor 142 has been described earlier as being combined with cabling at 94. For the instant illustration, that signal is shown being directed to control components 290 via line 308. As a fluid liquid volume is developed within the chamber 72 (FIG. 1) an appropriate signal is received along line 308 by control components 290 and the flow of coolant is adjusted accordingly at block 92. After the operating conditions as above discussed are maintained within pre-selected limits for a predetermined period of time, the monitoring and control components 290 transmit a control signal to the accelerator control represented at block 310 via line 312 which is then transmitted along with power supplies and the like from cabling 18 to the accelerator 16 within the apparatus 10. Cabling 18 is represented in the instant figure by the same numeration used in conjunction with FIG. 1. The accelerator control 310 provides for the commencement of the generation of protons or ions and increases the accelerator proton or ion beam current until it is within preselected limits based upon the continuous sampling signals transmitted from the beam current monitor 20 as conveyed via line 21. Line 21 reappears from FIG. 1 in the instant figure. As the system then generates neutrons, all controls are monitored, including the temperature control from temperature sensor 118. That sensor provides signals from along earlier-described line 120 which reappears in the instant figure as being directed to the control components at block 290. If any of the monitored and control operating conditions exceed pre-selected limits, then a safe shut-down procedure automatically is initiated in which the accelerator and associated proton or ion beam current is shut down first, followed by a shut-down of the flow of working fluid within the coolant system, once the temperature sensed at monitor 118 falls below a pre-selected limit. A similar shut-down procedure will be followed at the completion of normal operation at the end of any neutron therapy. Since certain changes may be made in the above-described system and apparatus without departing from the scope of the invention herein involved, it is intended that all matter contained in the above description or shown in the accompanying drawings shall be interpreted as illustrative and not in a limiting sense.
description
This application is a National Phase of PCT/EP2009/067900, filed Dec. 23, 2009, entitled, “METHOD FOR PROCESSING A NITROUS AQUEOUS LIQUID EFFLUENT BY CALCINATION AND VITRIFICATION”, and which claims priority of, French Patent Application No. 08 59138, filed Dec. 30, 2008, the contents of which are incorporated herein by reference in their entirety. The invention relates to a method for treating a nitric aqueous liquid effluent containing nitrates of metals or metalloids, which comprises a calcination step generally followed by a step for vitrification of the calcinate obtained during said calcination step. The nitric aqueous liquid effluent may contain in majority sodium nitrate. The technical field of the invention may generally be defined as that of the calcination of liquid effluents, more particularly the technical field of the invention may be defined as that of the calcination of radioactive liquid effluents with view to their vitrification. The French method for vitrification of radioactive liquid effluents includes two steps. The first step is a step for calcination of the effluent during which occurs drying and then denitration of a portion of nitrates, the second step is a vitrification step by dissolution in a confinement glass of the calcinate produced during the calcination step. The calcination step is generally carried out in a rotating tube heated by an electric oven. The solid calcinate is milled by a loose bar placed inside the rotating tube. During the calcination of certain solutions, in particular solutions rich in sodium nitrate, in other words, solutions with a high sodium content in a nitric medium, adhesion of the calcinate on the walls of the rotating tube may be observed which may lead to total clogging of the tube of the calciner. The answer consisted of adding to the effluent a compound supposed to be non-tacky designated as a dilution adjuvant such as aluminium nitrate, in order to allow their calcination while avoiding clogging of the calciner. But, the amount of calcination adjuvant for example aluminium nitrate, to be added is difficult to optimize. Thus for each new effluent, several tests are required in order to determine the operating calcination conditions in a heated rotating tube giving the possibility of avoiding cloggings of the tube. Especially, the heating of the calcination oven and the amounts of calcination adjuvant which is different from the dilution adjuvant, and which generally is sugar, have to be adjusted. Further, in the case of aluminium nitrate, its addition to the effluent increases the amount of glass to be produced. Indeed, the presence of alumina in the glass increases its elaboration temperature and leads to limiting the waste, effluent load level in the glass, so as not to degrade the confinement, containment properties of this glass. The aluminium content in the glass should therefore not be too high and is generally limited to about 15% by mass expressed as Al2O3. Therefore considering the foregoing, there exists a need for a method for treating by calcination a nitric aqueous effluent containing compounds such as nitrates of metals or metalloids and other compounds, capable of forming tacky oxides during their calcination, wherein the operating conditions with which adhesion of the calcinate on the walls of the calcination tube may be avoided, may be simply determined by a limited number of calcination tests. More specifically, there exists a need for such a method in which the amount of dilution adjuvant to be added to the effluent prior to the calcination, may be determined in a simple, reliable way by a reduced number of tests, thereby allowing optimization and reduction to a minimum of the amount of dilution adjuvant to be added to the effluent. This method for treating a nitric aqueous effluent by calcination should of course be able to be applied in a reliable, reproducible way, regardless of the effluent treated and the dilution adjuvant which is applied. Additionally, it would also be desirable that this method further limit the increase in the amount of confinement, containment glass to be produced during the vitrification of the calcinate. The goal of the present invention is to provide a method for treating a nitric aqueous liquid effluent containing metal or metalloid nitrates, this method comprising a step for calcination of the effluent in order to convert the nitrates of metals and of metalloids into their oxides which i.e. meet the needs mentioned above. The goal of the present invention is further to provide such a method which does not have the drawbacks, limitations, defects and disadvantages of the method for the prior art and which solves the problems of the methods of the prior art, especially as regards determination of the operating parameters of the method and optimization of the amount of dilution adjuvant to be added to the effluent. This goal, and further other ones are achieved, according to the invention with a method for treating a nitric aqueous liquid effluent containing nitrates of metals or metalloids, comprising a step for calcination of the effluent in order to convert the nitrates of metals or metalloids into oxides of said metals or metalloids, at least one compound selected from the nitrates of the metals or metalloids and the other compounds of the effluent leading upon, during, calcination to a tacky oxide, and a dilution adjuvant comprising at least one nitrate of metal or metalloid leading upon, during, calcination to a non-tacky oxide being added to the effluent prior to the calcination step in order to give a mixture of effluent and of dilution adjuvant, in which the mixture meets the two following inequations (1) (2): mass ⁢ ⁢ of ⁢ ⁢ sodium ⁢ ⁢ nitrate ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxide ⁢ ⁢ Na 2 ⁢ O mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ compounds ⁢ ⁢ of ⁢ ⁢ the mixture ⁢ ⁢ expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxides ≤ 0.3 ( 1 ) mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ compounds ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture leading ⁢ ⁢ upon ⁢ ⁢ their ⁢ ⁢ calcination ⁢ ⁢ to ⁢ ⁢ tacky ⁢ ⁢ oxides , expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxides mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ compounds ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxides ≤ 0.35 . ( 2 ) In either one or both inequations (1) and (2), in the denominator, the mass of all the compounds of the mixture, expressed in terms of oxides, may optionally be simplified and replaced with the mass of all the salts of the mixture, including the nitrates, expressed in terms of oxides. The denominator may further possibly be simplified in both inequations (1) and (2) and replaced with the mass of the nitrates of the mixture, expressed in terms of oxides. Further, in equation (2), in the numerator, the mass of all the compounds of a mixture leading upon their calcination to tacky oxides, expressed in terms of oxides, may possibly be simplified and replaced with the mass of nitrates and other compounds of the mixture leading upon their calcination to tacky oxides, expressed in terms of oxides, since the tacky compounds may generally comprise tacky nitrates and other tacky compounds or only other tacky compounds. In inequation (2), the numerator may further be possibly simplified and replaced with the mass of the nitrates of the mixture leading upon, during, their calcination to tacky oxides, expressed in terms of oxides. The most simplified form of both inequations (1) and (2) is therefore the following: mass ⁢ ⁢ of ⁢ ⁢ sodium ⁢ ⁢ nitrate ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxide ⁢ ⁢ Na 2 ⁢ O mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ nitrates ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxides ≤ 0.3 ( 1 ′ ) mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ nitrates ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture leading ⁢ ⁢ upon ⁢ ⁢ calcination ⁢ ⁢ to ⁢ ⁢ tacky oxides , expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxides mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ nitrates ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxides ≤ 0.35 ( 2 ′ ) Both of these inequations (1) (2) or (1′) (2′) are of general application, notably regardless of the dilution adjuvant. The method according to the invention is fundamentally defined by the fact that the addition of the dilution adjuvant selected from the nitrates of metals or metalloids leading upon, during, their calcination to so-called non-tacky oxides, is governed by both inequations (1) (2) mentioned above. Surprisingly it was shown according to the invention that when the provision of dilution adjuvant was such that both inequations were verified, then the calcination of the effluent was possible without any adhesion occurring on the walls of the calcination apparatus, or any clogging of the latter. Simple application of this very simple criterion for addition of the dilution adjuvant, based on the inequations above, reliably gives the possibility of avoiding, with certainty, clogging phenomena of the calciners. With a single calcination test, and this regardless of the effluent, it is possible to optimize the characteristics of the calcinate especially as for its grain size, by simply acting on the heating and on the content of the calcination adjuvant which generally is sugar. According to the invention, it was therefore possible to define a very simple mass criterion in order to determine the provision of dilution adjuvant, which a priori allows minimization, prior to calcination, of the amount of adjuvant to be added to the effluent in order to be able to avoid any clogging. This simple reliable criterion is of general application regardless of the effluent treated generally containing in majority sodium nitrate and of the nature of the other tacky and non-tacky compounds which are contained therein. This criterion also applies regardless of the nature and of the number of the compounds, nitrates, added to the effluent as dilution adjuvants. The dilution adjuvant comprises aluminium nitrate and optionally at least one other metal or metalloid nitrate, these nitrate(s) leading upon, during, calcination to at least one non-tacky oxide. This at least one other metal or metalloid nitrate is generally selected from iron nitrate and rare earth nitrates. The use of iron nitrate or a rare earth nitrate in a dilution adjuvant added to a nitric aqueous effluent prior to calcination of this effluent has never been mentioned or brought up until then. Among the nitrates of the dilution adjuvant mentioned above, it was surprisingly found that iron nitrate and rare earth nitrates had properties for limiting the adhesion of the calcinate, close to those of aluminium nitrate, and that the oxides stemming from these specific nitrates, which are so-called “non-tacky” oxides, may also be dissolved in the final glass produced during the subsequent vitrification step. The application, use, of a dilution adjuvant preferably comprising as a substitution for a portion of the aluminium nitrate, a nitrate selected from iron nitrate and rare earth nitrates gives the possibility of avoiding clogging of the tube of the calcination apparatus during, upon, calcination of effluents generating very tacky oxides, such as solutions with high sodium content, while minimizing the increase in the amount of confinement, containment glass to be produced during the vitrification step which generally follows calcination. It may be stated surprisingly that iron nitrate and rare earth nitrates all have the excellent properties of aluminium nitrate as to its ability of limiting adhesion of the calcinate, and therefore of avoiding clogging of the calcination tube, while allowing the load level of the waste to be increased and therefore limiting the amount of glass to be produced. The constraints, requirements, imposed on the glass-making formulation by the preferred dilution adjuvants according to the invention comprising a specific nitrate selected from iron nitrate and rare earth nitrates are significantly reduced with respect to the dilution adjuvants only consisting of aluminium nitrate because of the lower provision of aluminium. Iron and rare earth nitrates therefore provide an additional advantage during the vitrification which will be added to the surprising effects and advantages due to the application according to the method of the invention, of the criteria (1) (2) defined above. The rare earth nitrates are lanthanum nitrate, cerium nitrate, praseodymium nitrate, neodymium nitrate. The dilution adjuvant may thus comprise aluminium nitrate and optionally at least one other nitrate selected from iron nitrate, lanthanum nitrate, cerium nitrate, praseodymium nitrate and neodymium nitrate. The respective amount of each of the nitrates is free from the point of view of their efficiency for preventing adhesion of the calcinate in the tube and may therefore be adjusted depending on their impact on the properties of the confinement, containment, glass prepared in a subsequent vitrification step. The amount of dilution adjuvant added to the liquid effluent is determined by applying both inequations (1) and (2). The effluent is a nitric solution generally containing in majority sodium nitrate and other constituents such as nitrates (including the nitrates contained in the dilution adjuvant). The effluent may also contain “tacky” or “non-tacky”compounds which are not nitrates, generally present as salts, such as phosphomolybdic acid which is a so-called “tacky” compound. The method according to the invention allows calcination without clogging of all kinds of effluents, regardless of their nature, and of the nature of the nitrates and tacky nitrates which are found contained therein. The liquid effluent treated by the method according to the invention contains at least one compound such as a metal or metalloid nitrate leading upon calcination to a so-called “tacky”oxide, and/or at least one other compound which is not such a nitrate leading upon calcination to a so-called “tacky”oxide. In the present description, the terms of “tacky compounds”, “tacky oxides” or else “tacky nitrates” are used. By “tacky compounds”, “tacky nitrates”, or “tacky oxides” are meant compounds, oxides, nitrates known to adhere to the walls of calcination apparatuses “calciners” and to induce phenomena clogging these calciners. The terms of “tacky compound”, “tacky oxide”, “tacky nitrate” are terms currently used in this technical field, which have a well established meaning, which are known to the man skilled in the art and which do not have any ambiguity for him. Thus, the compound(s) such as the nitrate(s) and/or the other compound(s) which lead(s) upon calcination to tacky oxide(s) may be sodium nitrate, phosphomolybdic acid or further boron nitrate or mixtures of the latter. The content of this(these) compound(s) such as the “tacky” nitrate(s) and/or other “tacky” compounds in the effluent, expressed as oxides, based on the total mass of nitrates contained in the effluent, also expressed as oxides, is generally greater than 35% by mass, or greater than 30% by mass for sodium nitrate expressed as oxides. Instead of the total mass of nitrates contained in the effluent, expressed as oxides, it would be optionally possible, more specifically, to use the total mass of salts (including the nitrates) contained in the effluent, expressed as oxides. The method according to the invention in particular allows calcination of effluents having a high content of compounds such as nitrates and other so-called “tacky” compounds, i.e. greater than 35% by mass for the whole of the “tacky” nitrates, or greater than 30% by mass for sodium nitrate. In a particularly advantageous way, the method according to the invention allows calcination of solutions with a high sodium content which are very tacky. By “high content” of sodium, more specifically of sodium nitrate, is generally meant that the effluent has a sodium nitrate content, expressed as sodium oxide, based on the total mass of the nitrates (or optionally, more specifically, based on the total mass of the salts) contained in the effluent, expressed as oxides, greater than 30% by mass, preferably greater than 50% by mass. The inequations mentioned above having been observed in the mixture formed after adding the dilution adjuvant into the effluent to be calcinated, and the clogging problems having consequently been avoided, a single calcination test allows optimization of the characteristics of the calcinate by acting on the heating of the different areas of the calciner, on the calcination adjuvant content (generally) and on the speed of rotation of the calciner tube. The conditions of this calcination, except for the notable fact that any clogging is avoided, are not fundamentally modified by the fact that the addition of dilution adjuvant has to meet the criteria added by inequations (1) and (2). The conditions of the calcination are generally the following: temperature reached by the calcinate of about 400° C. This calcination step is generally carried out in a rotating tube which is heated preferably up to the intended temperature indicated above, for example by an electric oven with several independent heating areas. Heating areas are more particularly dedicated to evaporation and others to calcination. The calcination areas allow the calcinate to be heated to a temperature of 400° C. In other words, the calcination step is carried out at a calcinate temperature at the outlet of the oven of about 400° C. The speed of rotation of the tube, the addition of the calcination adjuvant and the presence of a loose bar allows the solid calcinate to be split up so that the latter may react under good conditions in the vitrification unit. The treatment method according to the invention generally comprises, after the calcination step, a step for vitrification of the calcinate obtained during this calcination step. This vitrification step consists in a reaction between the calcinate and a glass frit (preformed glass) in order to obtain a confinement glass. In other words, after the calcination step, a vitrification step is carried out which consists of elaborating a confinement glass from the melting of the calcinate stemming from the calcination step with some glass frit. As this was already specified above, the application preferably in the dilution adjuvant of specific iron and rare earth nitrates further advantageously allows the constraints as to the formulation of the glass to become more flexible. In particular, a higher proportion of effluent may be incorporated into the glass when the calcinate was obtained by using the dilution adjuvant according to the invention in the place and instead of a dilution adjuvant only consisting of aluminium nitrate. In other words, the restrictive limit on the level of incorporation of effluents into the glass, due to aluminium nitrate is suppressed, and the incorporation level is significantly increased and for example passes from 13% by mass of oxides to 18% by mass of oxides based on the total mass of the glass. Further, the significant provision of aluminium in the case of a dilution adjuvant only consisting of aluminium nitrate tends to harden the calcinate and has the consequence of causing lowering of the reactivity between the calcinate and the glass frit in the vitrification oven. On the contrary, adding iron makes the calcinate more friable and therefore easier to vitrify. Vitrification consists in a melting reaction between the calcinate and the glass frit in order to form a confinement, containment glass. It is carried out in two types of ovens: indirect induction ovens which consist of heating by four inductors a metal pot, can, into which is fed the frit/calcinate mixture, and direct induction ovens which consist of heating the glass by an inductor through a cooled structure (cold crucible) which lets through a portion of the electromagnetic field and into which the frit/calcinate mixture is continuously fed. The invention will now be described with reference to the following examples, given as an illustration and not as a limitation. In this example, the calcination of an effluent containing a high sodium nitrate content is described. The composition of this effluent (waste) is given in Table 1, this composition being expressed as mass % of the oxides corresponding to the salts contained in the effluent, which are nitrates. The percentage of the oxides is expressed on the basis of the total mass of the oxides corresponding to the salts contained in the effluent. The effluent described in Table 1 below is highly loaded especially with sodium and therefore very tacky. According to the invention the solution of the mixture of the effluent (of the waste) with the dilution adjuvant (whatever it may be) the two following inequations should be verified. mass ⁢ ⁢ of ⁢ ⁢ sodium ⁢ ⁢ nitrate ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxide ⁢ ⁢ Na 2 ⁢ O mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ compounds ⁢ ⁢ of ⁢ ⁢ the mixture ⁢ ⁢ expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxides ≤ 0.3 ( 1 ) mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ compounds ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture leading ⁢ ⁢ upon ⁢ ⁢ their ⁢ ⁢ calcination ⁢ ⁢ to ⁢ ⁢ tacky ⁢ ⁢ oxides , expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxides mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ compounds ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture expressed ⁢ ⁢ in ⁢ ⁢ terms ⁢ ⁢ of ⁢ ⁢ oxides ≤ 0.35 ( 2 ) or more simply mass ⁢ ⁢ of ⁢ ⁢ Na 2 ⁢ O mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ oxides ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture ≤ 0.3 ( 1 ) mass ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ tacky ⁢ ⁢ oxides mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ oxides ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture ≤ 0.35 ( 2 ) The application of the calcination criterion to the particular effluent described in Table 1 is expressed by: mass ⁢ ⁢ of ⁢ ⁢ Na 2 ⁢ O mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ oxides ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture ≤ 0.3 ( 1 ) and sum ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ masses ⁢ ⁢ of ⁢ ⁢ Na 2 ⁢ O , MoO 3 ⁢ ⁢ and ⁢ ⁢ B 2 ⁢ O 3 mass ⁢ ⁢ of ⁢ ⁢ all ⁢ ⁢ the ⁢ ⁢ oxides ⁢ ⁢ of ⁢ ⁢ the ⁢ ⁢ mixture ≤ 0.35 ( 2 ) Indeed, the man skilled in the art easily identifies tacky oxides (or more specifically tacky oxides which are generated by the calcination of the nitrates or of the other compounds found in the effluent) of this effluent which are Na2O, MoO3 and B2O3. For this effluent, it is the second inequation which is the most restrictive. If the limit of the domain defined by the inequation (2) is examined, the proportion of liquid effluent (solution) expressed as oxides, in the liquid effluent mixture will be at most 51.27% by mass and this regardless of the adjuvant used. Indeed, in inequation (2) gives for this effluent: 56.43 + 5.71 + 6.13 100 + x ≤ 0.35 x representing the mass of added dilution adjuvant expressed as oxide i.e.: 68.27≦35+0.35x, and therefore x≧95.05 It follows that the maximum proportion of liquid effluent (solution) in the mixture will therefore be: 100 95.05 + 100 = 0.5127 ⁢ ⁢ i . e . ⁢ 51.27 ⁢ % . Accordingly, taking into account the above calculation, to the effluent of Table 1 is added an adjuvant (adjuvant 1) which consists of 100% by mass of aluminium nitrate expressed as oxide Al2O3, in an amount of 95.05% by mass of adjuvant expressed as oxide for 100% by mass of effluent expressed as a mass % of the oxides corresponding to the salts contained in the effluent. It should be noted that the amount of adjuvant was minimized by applying the criteria according to the invention. The conditions of the calcination are the following: Calciner with four independent heating areas, the temperature reached by the calcinate is about 400° C., the speed of rotation of the rotating tube containing the loose bar is 20 rpm, the amount of calcination adjuvant is 40 g/L of the mixture of the effluent with the dilution adjuvant. No adhesion on the walls and no clogging of the calciner are observed. In this example, calcination is carried out on the same effluent as the one of Example 1 and described in Table 1. To this effluent a preferred adjuvant (adjuvant 2) according to the invention is added, which consists of 75% by mass of aluminium nitrate expressed as oxide Al2O3 and of 25% by mass of iron nitrate expressed as oxide Fe2O3. This adjuvant is added in a same amount as the adjuvant 1 determined by the same calculations on the basis of the criteria according to the invention. Thus 95.05% by mass of adjuvant expressed as oxide for 100% by mass of effluent (waste) expressed as a mass % of the oxides corresponding to the salts contained in the effluent, are added. The conditions of the calcination are the same as those of Example 1. No adhesion on the walls and no clogging of the calciner are observed. TABLE 1WasteAdjuvant 1Adjuvant 2Compound (mass %)(mass %)(mass %)Al2O3100.0075.00BaO2.98Na2O56.43Cr2O30.56NiO0.48Fe2O31.6325.00MnO21.61La2O30.44Nd2O33.45Ce2O36.24ZrO28.23MoO35.71P2O53.49RuO21.00B2O36.13SO31.61100.00 In this example, it is proceeded with the vitrification of the calcinate obtained in Example 1. Let us recall that this calcinate was prepared by using an adjuvant (“adjuvant No. 1”) exclusively consisting of aluminium nitrate. The glass composition domain which we were able to elaborate imposes a maximum alumina content of 13% by mass in the glass. The glass is elaborated from the calcinate and from a glass frit containing 1% by mass of alumina Vitrification was carried out in a cold crucible at 1,230° C. In this example, it is proceeded with the vitrification of the calcinate obtained in Example 2. Let us recall that this calcinate was prepared by using a preferred adjuvant (“adjuvant No. 2”) consisting of 75% by mass of aluminium salt and of 25% by mass of iron salt. It was determined that the maximum incorporation level of the initial waste (therefore before mixing) is limited to 12.9% by mass of the glass in Example 3 while in the present Example 4, the maximum incorporation level is 17.3%. Further, the significant provision of aluminium by the adjuvant No. 1 tends to harden the calcinate and has the consequence of causing a slight lowering of reactivity between the calcinate and the glass frit in the vitrification oven. On the other hand, provision of iron with the adjuvant No. 2, according to the invention, makes the calcinate more friable and therefore easier to vitrify. In this example, the calcination of an effluent consisting of 100% of sodium nitrate as described in Table 2, is described. According to a first experiment, an adjuvant, (adjuvant 1) of the prior art which consists of 100% by mass of aluminium nitrate expressed as oxide Al2O3 is added to this effluent. According to a second experiment, calcination of the sodium nitrate is carried out with an adjuvant (adjuvant 3) according to the invention in which part of the aluminium nitrate is replaced with lanthanum, cerium, neodymium and praseodymium nitrates. For both cases, the dilution adjuvant content is given by the inequation (1) which leads to: 100 100 + x ≤ 0.30 x representing the added dilution adjuvant mass expressed as oxide i.e.: 100≦30+0.3x, and therefore x≧233.33 The minimum dilution adjuvant content to be added to this effluent exclusively consisting of sodium nitrate expressed as a mass of total oxide represents 70% in the mixture of the effluent with the dilution adjuvant. The calcination conditions are the following: Calciner with two independent heating areas, the temperature reached by the calcinate is about 400° C., the speed of rotation of the rotating tube containing the loose bar is 35 rpm, the calcination adjuvant content is 20 g/L of the mixture of the effluent with the dilution adjuvant. TABLE 2Effluent (%) Adjuvant 1 (%)Adjuvant 3 (%)Na2O100Al2O310038.05La2O38.65Nd2O328.56Ce2O316.78Pr2O37.95
054003822
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Reference should now be had to the drawing, FIG. 1, wherein a layout of the T6-V Irradiator facility is shown. The irradiating facility is an essentially rectangular protective enclosure 1. The concrete shielding walls 8 and 9 enclose a central space known also as the radiation room 28 (or the "Cell"). The interior wall 9 is sufficiently recessed from the entrance opening 10 and parallel exit opening 11 to provide space for access and egress through labyrinth-type passages, i.e. the entrance 10 and exit 11 maze, respectively. The mazes are constructed sufficiently wide to allow space for the product conveyance system and the personnel walkway. Usually the preferred width ranges from about two to about three meters. The conveyor system is shown as having an accessing track 14 to bring product to be irradiated into the Cell 28, and an exiting track 15 bringing product after irradiation out. The conveyor system is made up of roller conveyors 12, 13, 14, 15, roller conveyor pop-up transfers 16, 17, 18, 19, chain conveyors 20, 21 and shuttle cars 22, 23, 24 for moving pallets of product into, through and out of the Cell 28 inside the irradiator facility. The pop-up feature provides a way to compensate for the distance between the level at which the pallets hang from the chain conveyors and the top of roller conveyors. The facility is provided with conveyor line 29 at the entrance portion and conveyor line 30 at the exit for loading or unloading the pallets of product, respectively. These conveyors are separated from each other by a concrete wall 9a. Two parallel conveyance track lines 25 and 26, also known as dwell units, carry product carrier devices or platforms past the radiation source 27. The preferred dwell unit configuration preferably provides space for up to three pallets. In one advantageous embodiment, the product is moved on shuttle cars 22, 23, 24, between dwell units 25, 26. The dwell units consist of sections of roller conveyor. A further advantage of the inventive design is achieved by the product entering and exiting through separate maze passages which ensure separation of treated and untreated product. The dwell units 25, 26 are provided with the additional advantage in that these roller sections themselves can be moved into different, but equal distances from the flat radiation surface of the planar source rack 27 which is positioned between them. A preferred embodiment provides for the dwell units 25, 26 to be mounted on a modified lorry and rail system wherein the roller sections are carried by platforms or chassis on wheels which are rolling on a dual rail track 40a, 40b in perpendicular direction to the roller sections 25, 26 and the radiation source rack 27. All maze passages are protected against inadvertent entry by safety interlocks designed to shut the system down if activated or broken. Safety interlock monitoring devices are included at the entry point 10a of the entrance maze 10 and the exit point 11a of the exit maze 11, at the joints between conveyors 12 and 29, as well as 13 and 30, midway along the entrance and exit mazes. The inventive embodiment also provides monitoring devices within the Cell 28. Thus each length section of product conveyance track is equipped to monitor the location and movement of pallets through the irradiator. Of course, it should be understood that the facility is not limited to either the location or the number of monitoring devices. The monitoring system can be operated by the use of photo-eyes, limit switches and bar code readers. In one preferred system according to the present invention, the radiation system used in the T6-V Irradiator chamber is designed to operate in a fully automatic mode. The source rack has the capability of containing hundreds of Cobalt source pencils held in modules which are assembled into a stainless frame or source rack 27. A typical rod is a double-walled stainless steel capsule approximately 18-inches long and about 3/8 inch in diameter. It contains up to 10,000 curies of radioactive Cobalt-60. Cobalt-60 has a half life of about 5.26 years and gives off gamma rays of 1.17 and 1.33 million electron volts per disintegration. Alternatively, the radioactivity source can consist of Cesium-137. The fraction of the energy emitted by the source 27 that is absorbed by the product, determines the efficiency of the machine. Depending on the pallet loading and the density of the product, efficiencies may range from 18% to 35%. In the preferred embodiment T6-V, the ventilation system is specially designed and engineered to assure that the point of lowest pressure in the irradiation plant is in the vicinity of the Cell 28. The ventilation system also assures that the ozone level within the radiation room is below the approved TLV of 0.1 ppm before a worker can gain entry after lowering the source rack 27 into the storage pool 27a. The radiation source of the preferred embodiment described here is a structure called the Source Rack 27. It contains the radioactive Cobalt used in irradiating product in the dwell positions on the dwell unit. The source rack 27 in one specification is approximately 6 ft. by 8 ft. by 2 in. The source rack 27 is kept in a storage pool 27a filled with demineralized water which acts as a biological shield. For use, the source rack 27 is raised by hydraulic hoist from its storage position in the water pool 27a below the floor slab to an in-air irradiation position within the concrete shielded Cell 28. The raising and lowering of the source rack 27 is preferably remote-controlled and actuated by a computerized control system. This system is safely interlocked with the entire facility. According to one preferred embodiment of T6-V, each plant has primary and backup radiation survey instrumentation, maze monitors, analyzers for water sampling as well as personnel badges which are read periodically. The shielding pool water passes through a demineralizer in a closed loop, and is surveyed for traces of radioactivity. The system design does not permit any drain lines to connect from the Water Treatment System Room area to outside the building. Moreover, in the preferred embodiment as described above, a chain conveyor 20 or 21 is used as a transfer between two roller conveyors 12 and 14 or 13 and 15 at points of entry 10 or exit 11, respectively, to and from positions proximate to the radiation source 27. The main method of conveyance through the systems is on rollers. Each of the two dual tracks 25 and 26 of the preferred T6-V Conveyor System is referred to as a Dwell Unit. Each Dwell Unit 25, 26 has a roller conveyor in parallel position to the source rack 27. The Dwell Unit 25, 26 is moved closer to or further from the source rack 27 using a motor and chain system which drives the Dwell Unit along on a set of rails (see FIG. 4 and Example 5). The length of the Dwell Unit is approximately 30 feet 6 inches. In the preferred layout, the roller top of each Dwell Unit is divided into sections (1, 2, 3; 4, 5, 6) of approximately even lengths, each equipped with its own electric or pneumatic motor. In order to facilitate the efficient irradiation of material, the Dwell Units 25 and 26 can be moved on the preferred dual track rail system 40a, 40b toward and away from the radiation source 27 using the center line dose rate for a given product as a guiding parameter. This distance adjustment, of course, is performed between product runs, not during the product run. This feature allows the time and cost effective means of processing products with low and high dose requirements in the same irradiation facility. The carriers are mounted on a parallel dual track system 25 and 26 which in turn can be re-positioned over a wide range of distances 25a, 26a perpendicular to the radiation source 27. The audible alarm systems employed for the safety of the operation of T6-V include three different and distinct sounding alarms which warn of different conditions or occurrences in the irradiator 7. The pre-start up alarm system is initiated when the central key switch is activated at the rear of the Cell 28 starting the start up timer in the control system or until the timer runs out. The alarm will sound until the start up is initiated at the computer control system. A second audible alarm system is initiated informing all personnel every time the source rack 27 is raised or lowered from the source storage pool 27a. The third audible alarm is the emergency alarm which is sounded whenever an emergency or a potentially dangerous or unusual condition occurs. This third system is of course interlocked with heat, smoke, and seismic detector systems, all irradiator locks, as well as the central computer control system. The products to be irradiated are conveyed into the Cell 28, by placing pallet loads of the product on a special multipurpose carrier system. Moreover, each carrier can move as much as 96 cubic feet and up to 2,500 pounds of product through the Cell 28. The Dwell Units 25, 26 can be manipulated to be placed equal distances from the source rack 27, from as close as 15 cm to as far as several meters. This adjustment serves the purpose of affording a uniform radiation treatment of all the product being processed. This adjustable dwell unit thereby also helps prevent or minimize localized damage through heat denaturation of irradiated material by partial overdosage. According to the preferred embodiment of the present invention, there are 3 different operating modes available for the conveyor. The variation is in the way the product is passed adjacent to the source rack in the cell. The Standard Operating Mode affords up to six containers of product in the Cell 28 at one time, such that the product remains at each of the 6 positions (1, 2, 3, 4, 5 and 6) on the Dwell Units 25, 26 for an equal time. The Dwell Units 25, 26 are always located in the same distance positions relative to the source rack 27. The Second Operating Mode allows the product containers or pallets to stop at each of the 4 corner positions for equal periods of time. The Third Operating Mode is set up for the product containers or pallets to stop only on the central position on each Dwell Unit 25, 26 for equal periods or dose. The preferred embodiment features shuttle cars (sometimes also referred to as transfer cars) using roller conveyor tops which move on parallel tracks 41, 42 on the cell floor. The typical operation envisions the following sequence. Shuttle car 22 moves pallets of product from the last length of conveyor 14 in the entrance maze 10 to the first dwelling position 1 on Dwell Unit 25 without changing the orientation of the pallet. Shuttle car 23 moves pallets of product from position 3 on Dwell Unit 25 to position 4 on Dwell Unit 26 again without changing the pallet orientation. Shuttle car 24 moves pallets of product from position 6 on Dwell unit 26 to the first length of conveyor 15 in the exit maze 11 while keeping the orientation of the pallet unchanged. One preferred embodiment (FIG. 1) provides for as many as nine pallets of product to be queued on the lengths of conveyor in the entrance maze 10 to await entry into the Cell 28. Queuing positions include one pallet on transfer 17, one pallet at the end of the chain conveyor 20, one pallet on transfer 16, three pallets on conveyor 12, and three pallets on loading or starting conveyor section 29. Overall a suitable distance is in part determined by the dosage required for the efficient irradiation of various products which are carried by the dual track system through the Cell, FIG. 1. Another preferred layout of the irradiator is illustrated in FIG. 5. The preferred embodiment shows certain additional shielding for improved environmental safety. In general, the graphic details of the facility of FIG. 5 are similar to those shown in FIG. 1. However, the reference numbers used are chosen as an equivalent series of 100's. Arrows have been added on the roller transport system to indicate the approximate location of sensorer, e.g. photoelectric eyes. Moreover, a pallet load can be positioned with precision on the rolling platform or tote assembly line 114 using an adjustable photoeye sensor 132 so that the edge of a given pallet on it can be placed as close to the inside edge of the roller conveyor 14 as possible. The location of the preferred conveyor motors is shown as follows: Conveyors 112, 116, 120, 117, 114, and Dwell Unit roller conveyor sections 101, 102, 103, 104, 105 and 106 utilize non-reversing AC motors. Shuttle car roller tops 122, 223 and 124 and conveyors 115, 118, 121, 119, 113, 130 and 129 utilize reversing AC motors. Movement of shuttle cars 120 and 124 and rails 142, of shuttle car 123 along rails 141, and of Dwell Units 125 and 126 along rails 140a and 140b is effected by reversing DC motors. The versatility of the preferred embodiment of the invention derives from the fact that it incorporates multiple computer-controlled processing protocols for the purpose of administering a wide range of dosages, as required. The process of irradiation can be monitored and controlled in a predetermined, programmable fashion by providing computer-linked sensor devices at suitable locations. Relevant information as to the substance, size and shape can be encoded on a suitable place on the product or on the platform transporting the product to be irradiated. A sensing device can read the encoded message and ascertain that it is suitable for the computer protocol currently operating the irradiator. In this manner, the conveyance and appropriate placement near the radiation source 27 of the product to be irradiated can be steered by remote control. The computer-control system for this automated facility is used to activate or guide the facility components to transport the product using electric motors, hydraulic pressure, air pressure, or any other suitable power supply. Photo-eyes and limit switches serve to sense the location of product or the product conveyance system. Moreover, the program-linked system provides for an individual and selective shift in the position of the carriers as to a relatively proximal or distal location from the radiation source depending on the required dose. The change provides a spatial arrangement of the product so that the appropriate dosage exposure can be flexibly and automatically achieved. A radiation sensing device can be provided to intermediately monitor the processing dosage effectively received by the materials being irradiated before entering the unloading phase of the conveyor. Such a monitoring device can be used to modulate and terminate the exposure of the material to gamma-rays by a feedback control such that the appropriate application of ionizing energy is effectively and safely achieved. In the same way, this automatic control device is intended to minimize or even prevent radiation damage through excessive overdosage. The inventive modification of the dual track dwell unit transportation system provides an improved, economical way to expose material to the radiation source rack 27 by providing simultaneously two lines of conveyance 25 and 26 that can hold an equal number of carriers or pallets of product to be irradiated. As shown in FIG. 1, the distance of pallets in Dwell Unit positions 1, 2, 3, 4, 5, 6 from the irradiating source can be adjusted between positions 25 to 25a and 26 to 26a. A preferred automated system of control over the dosimetry and concomitant handling for and during irradiation in the T6-V Irradiator is illustrated in Example 1. EXAMPLE NO. 1 Bar Code Control This procedure utilizes bar code readers which read the information of bar code labels representing numerical values indicating to the control system the product carried on the pallet. The computerized bar code offers certain advantages which will be readily apparent to the skillful practitioner. In addition to the safety aspect, the system allows control over precise localization, monitoring and recording of a given irradiation whereover, the system may be programmed to identify and record the irradiated product or portion thereof. Thus, the bar code system lends itself to simultaneously monitor and log the location and extent of the radiation dose for a particular product. The bar code readers, which are of at least two different types, are computer-linked monitoring mechanisms. One can be located at the entrance maze 10 to the Irradiator 7 and another at the exit maze 11, each performing a different, location-specific function. In order to maintain maximum efficiency and to ensure that the correct products are subjected in the Irradiator 7 to the process currently programmed in the computer control system, the computer will check the reading obtained by the entrance bar code reader 12a prior to allowing each pallet to be loaded into the Irradiator 7. As pallets of product enter the irradiator 7 from the entrance maze 10 at the end of conveyor length 29, a bar code reader reads the information on the bar code label. The numerical value read is checked against the expected numerical value reading in the computer control system. If the numbers match, the pallet will be permitted to proceed into the Irradiator 7 for suitable processing. However, if the numbers do not match, the conveyor will be automatically halted, and the pallet must removed prior to further loading of pallets as programmed by the control system. The bar code reader at the exit 11 from the irradiator 7 reads the bar code labels on the pallets exiting from the irradiator 7. The radiation dose can be identified by the colorimetric reading of color changes effected by the dosage. The information is appropriately recorded and stored by the computer memory linked to the system. The presently preferred method of the invention utilizes radiochromic indicators of radiation dose received on the bar code labels wherein the color change depends on gamma-ray polymerization of diacetylenes such as, e.g., 2,4-hexadiyne-1,6-diol bis-(p-toluene). Usually, the bar code label has those sections of which the entrance bar code reading device will read the first section of information coded to verify appropriate numbers for the irradiation process. The exit bar code reader reads all three parts of information entered on the label, such as, e.g. a product number, a programming message, telling the reader to read the colorimetric strip indicator of the absorbed dose, and finally the actual color-changing radiation strip indicator. The entrance bar reader will read all the various items important to the performance of the irradiation process, such as verify correct loading of pallets of product into the irradiator. This label is affixed at a suitable location on the pallet or carrier so as to be accessible to the reading or monitoring apparatus. Alternatively, materials to be irradiated which are enveloped in the so-called method of stretch-wrapping can be affixed with a bar-code label in an appropriately accessible part of the package. The bar code reader can be a hand held optical scanner, or a fixed laser scanner system. Of course, all the data exhibited on the bar code label is stored and printed by a suitable computer system. In one advantageous version of the present invention, all the steps in the procedure can be directly and flexibly manipulated by one or more operators using microcomputers which are linked to the various operative phases of the Irradiator. Another rapid and efficient manner of monitoring can be achieved by utilizing a fiber optical sensor means as part of an automatic control or operating system. In another advantageous embodiment of the T6-V facility a programmable controller stores all possible product flow sequences in its memory. The programmable controller interfaces with a microcomputer through a proprietary data highway. All processing records are printed out at the control station, and by use of modems the certifications can be transmitted electronically to a quality control or regulatory office. This special feature of remote computer control permits remote trouble shooting which minimizes cost, down time, and risk of exposure. One preferred automatic conveyor operation is described as follows: EXAMPLE NO. 2 Automatic Mode Pallets are loaded onto the roller conveyor line 29 in groups of up to three using e.g., a forklift truck. The start button is pressed on the loading control panel, if conveyor 12 is clear and the bar code reader permits entry, all three pallets are transferred. The pallets will move until the photo-eye 12a at the far end of line 12 senses the first pallet. The hydraulically actuated roller transfer 16 accepts a pallet from conveyor 12 when it is in a raised position. A diffuse photo-eye 16a will sense presence of the pallet on roller transfer 16. Remaining pallets on conveyor 12 will move forward to the end of 12. The roller transfer 16 lowers, and the pallet is moved to the end of chain conveyor 20. If the pop-up transfer 17 is clear, the pallet will be transferred onto same surface 17 while it is in the down position. The pallet is held at position 17 (up level) until such time as it can be moved without interruption to position 1 on the first dwell unit, DU1, 25. Thus the pallets awaiting irradiation are at this moment held in the following positions; (a) One pallet on second transfer 17 in the raised position. PA1 (b) One pallet at the end of the chain conveyor 20. PA1 (c) One pallet on first transfer 16 in the raised position. PA1 (d) Three pallets on roller conveyor 12. PA1 (e) Three pallets on loading roller conveyor 29. PA1 (a) Three pallets on the unloading line 30. PA1 (b) Three pallets on the exiting line 13. PA1 (c) One pallet on transfer 19 in the up position. PA1 (d) One pallet at the end of the chain conveyor 21. PA1 (e) One pallet on roller transfer apparatus 18 in the up position. PA1 Step 1. Six pallets are loaded into the dwell positions on the two dwell units 25, 26. This is done manually or via the entrance conveyor, depending on the situation described above. If the full conveyor system is installed, shuttle car number 24 is moved to the extreme position at the loading end of unloading conveyor 15. The first shuttle 22 waits at the end of DU2 26 and shuttle number two 23 waits at the end of DU1 (25). PA1 Step 2. The source rack 27 is raised and the timer begins counting one dwell time period. PA1 Step 3. At the end of one dwell time period, the three pallets on DU1 25 move forward, and the pallet in position 3 on the dwell unit DU1 25, moves onto the shuttle car 23 for transfer. Simultaneously, the pallets on DU2 26 move forward, and the pallet in position 6 on DU2 26 moves onto shuttle one 22. The next shuttle car 23 moves to the loading end of DU2 26 at the same time as shuttle 22, moves to the loading end of DU1 25. The pallet on shuttle car 23 moves into the open dwell position 4 on dwell unit two (26) while the pallet on shuttle car 22 moves into the open dwell position 1 on dwell unit DU1, 25. Shuttle car 23 returns to the unloading end of dwelling unit DU1 25 while shuttle one 22 moves back to the unloading end of the other dwell unit DU2, 26. Step 3 is repeated five times, until all pallets have dwelled in each of the six dwell positions (1, 2, 3, 4, 5, 6). PA1 Step 4. At the end of the sixth dwell time period, the source rack is automatically lowered. The pallets are now either manually removed from the radiation room, or, if the full conveyor is in place, removed on the conveyor system from the radiation room. PA1 (1) using only the central position 3, 5 of each dwell unit for a maximum of two pallets in use at a time; PA1 (2) using only the two end positions of the dwell units allowing a maximum of four pallets on the two dwell units 25, 26 at a time; and PA1 (3) using all three dwell positions on each of the two dwell units 25, 26 for a maximum of six pallets 1, 2, 3, 4, 5, 6 on the two dwell units. Of course, the exposure routine is further defined by describing the distance between the source rack and the dwell unit. In anticipation of a call for a pallet for position 1 on DU1 25, the pallet waiting first in line on roller transfer 17 will move to the end of 14 and then onto shuttle car 22 which moves to the loading end of DU1 25. The Programmable Logic Controller (PLC) notes or times the arrival of the shuttle car 22 at the end of DU1 25a. At this time, a second shuttle car 23 will be ready at the off-loading end of DU1 25. The pallets on DU1 25 and the one on shuttle car 22 all move forward at the same time. If there was a pallet in position 3 on DU1 25, it moves of course onto the shuttle car 23 which moves to the loading position of DU2 (26). The first or accessing shuttle car 22 returns to await the next pallet at the end of conveyor 14. Shuttle car 24 will be positioned at the unloading end of DU2 (26). The pallets on the second dwell unit, DU2, 26, and that on the second shuttle car 23, all move forward at the same time. If there was a pallet in position 6 on DU2 26 it is moved onto the third shuttle car 24. Shuttle car 23 returns to the unloading end near position 3 of DU1 (25) to await the end of the next dwell time period. Shuttle car 24 moves to the loading end of the roller conveyor 15. The pallet on shuttle car 24 is transferred to 15 and moves to the end of the conveyor 15 near transfer position 18. The pallet at the end of conveyor 15 is then moved onto the pop-up roller transfer 28, which will be in the raised position. It then is lowered automatically and the pallet is moved to the end of the second, existing chain transfer 22. The pallet is then transferred onto pop-up transfer 19 in the down position. The exit transfer 19 raises, and the pallet is indexed forward onto the existing roller conveyor line 13. Three pallets can be accumulated there before they are transferred onto the unloading conveyor 30. When the operator pushes the start button on the unloading control panel, the pallets on conveyor line 13 will be transferred forward onto the unloading conveyor 30. When a pallet is sensed at the end of 30, the conveyor will stop, and the pallets can then be off-loaded. Thus the following storage positions are available to processed pallets exiting the radiation room: The automatic operation depends on the following conditions: The loading control panel has STOP, START and REVERSE buttons which may be used in controlling the operation of the conveyor 29. Prior to commencing and irradiation operation, the dwell units are automatically positioned at the required distance from the source rack according to the specification in the product protocol stored in the computer control system. The position of the dwell units can not be varied at any time during a product run, only between product runs. If there is no pallet available on the roller transfer 17 when the demand arises, a gap is allowed to pass through the system in the form of an imaginary pallet. If, for any reason, a shuttle car is not available at either the end of DU1 (25) or DU2 (26) at the end of a dwell time period, the irradiator is automatically shut down to avoid overdosing the product. While product is being removed from the Irradiator 7 it is scanned for any excess radioactivity when it transfers along chain conveyor 21. If excess radioactivity is detected on the pallet of product while on the exiting chain conveyor 21, the Irradiator 7 is shut down, and the contaminated pallet is moved back onto the transfer 18 and then back further to the beginning of conveyor line 15. If the third shuttle car 24 moves to conveyor line 15 to unload a pallet, and another pallet is detected at the end of the line 15 awaiting further unloading, the pallet cannot be transferred from the shuttle car 24 to conveyor line 15. At the end of dwell time period, the irradiator automatically shuts down. The unloading control panel has START, STOP and REVERSE buttons for controlling. Another preferred embodiment of the invention is exemplified in the simple manual batch mode operation for sequential irradiation, as described below: EXAMPLE NO. 3 Batch Mode When operated in the batch mode, six pallets are loaded manually into the six dwell positions on the two dwell units while the source is its storage mode. The irradiator would be operated in the batch mode under the following two scenarios: The loading and unloading lengths of conveyor in the entrance 10 and exit 11 mazes are not installed into the irradiator 7. Only two shuttle cars 22 and 23 or 24 are in place, one at either end of the two dwell units 25, 26. The accessing conveyor 14 is installed. When there is a small run, the pallets are transferred into the radiation room or Cell 28 while the source rack 27 is in its safe storage position, immersed in the source storage pool 27a and are positioned on the six dwell positions 1, 2, 3, 4, 5, 6, in the two dwell units 25 and 26. The source rack 27 is raised, the six pallets are moved automatically around the source rack 27 until each one has dwelled for a constant time in each of the six dwell positions 1, 2, 3, 4, 5, 6. The source rack 27 is then lowered into the storage position 27a and the pallets are removed via the exit conveyor 15. The loading and unloading of pallets for a batch run are accomplished as follows: The irradiator is set up for a batch operation at the control panel. The operator enters the radiation room to load the pallets onto the dwell units. A hand held controller which is attached to an extension cord from the power distribution box in the exit maze 11 is brought into the radiation room 28. If no entrance conveyor length 14 exists, a pallet is manually placed onto the shuttle car 22 while it is at the position corresponding to the end of line 14. If the entrance conveyor 14 is installed, the pallets are transferred into the Cell 28 using the same technique as in the automatic operation, and they will await irradiation or loading at the same six positions as used during automatic operation, whereby each pallet is at one of the six positions for a dwell-time sufficient for suitable irradiation. The loading and unloading procedure is approximately as follows: The LOAD button is pushed on the hand held controller. If there is no entrance conveyor, the pallet on the shuttle 22 will be loaded onto the first dwell unit DU1 25. If an entrance conveyor 14 is being used, the pallet being held on the transfer position 17 will transfer to shuttle car 22 and be loaded onto DU1 25. This step is repeated five times until there are six pallets on the dwell units 25, 26. It is understood that on the other side of DU1 (25) the other shuttle 23 moves pallets to DU2 (26) where the three possible positions proceed in the opposite parallel direction past the source rack. The SET button on the hand controller is pressed, and shuttle car 22 moves to its batch wait position at the end of the second dwell unit, DU2, 26. At the end of a batch run of a system with maze conveyors, the operator manually changes the positions of the limit switch strikes and then presses the RESET button on the hand control. The conveyor will automatically return itself to the load mode. Shuttle car 24 will be present, and will be used to unload the pallets via the exit conveyor in the normal way. One press of the UNLOAD button will be required to initiate unloading of all pallets. In an irradiator without maze conveyors, the first shuttle 22 will be used to unload the Dwell Units. The operator will adjust the limit switch as necessary and press the UNLOAD POSITION button, which moves shuttle 22 into the position from which pallets are manually unloaded from it. Each time a pallet is to be removed, the UNLOAD button is pressed, the shuttle car 22 will move to the end of DU2 26, accept a pallet, move to the unload position and stop. When all pallets have been unloaded, the operator will again press the RESET button. Shuttle car number one (22) will move into the loading position. The following is a description of an actual batch operation: Further advantages of the present invention can be seen in the flexibility of the use of the facility. During both batch and automatic runs, the two dwell units together can operate in one of three routines: EXAMPLE NO. 4 Load Shifting (See FIGS. 2 and 3) In a case as shown in FIG. 2 where pallets are in use which have a width dimension smaller than the standard pallet (ca. 40 in. wide, 48 in. long) the conveyor system around the two dwell units has to be utilized to transfer such a pallet from a position closest to the source rack 27 on dwell unit 25 to a position closest to the source side on the other dwell unit 26. This maneuver is accomplished by limiting the extent to which the shuttle car 23 can move from the off-loading end 3 of dwell unit 25 to the loading end 4 of dwell unit 26. The limiting means is achieved by manually adjusting the strike 31 with which the halt limit switch on shuttle car 23 normally interfaces. Each programmed routine of a particular product can be automatically loaded into the computer by entering the product protocol number into the personal computer (PC) during the start-up procedures. Another advantage of the present invention is provided by the capability of modifying the manual batch irradiator to a fully automatic irradiator without the need for drastic programming changes. Taking FIG. 3A as detail illustration of the strike contact 131 adjusted in the guide housing 133 on DU2 126 to a position which allows equidistant irradiation. FIG. 3B further shows transversely along section A . . . A in FIG. 3A the position of the strike 131a and its anchorage in the guide housing 133a between the end portion of DU2 4a and the shuttle 23a carrying a partial load 60. FIG. 3C illustrates the configuration of a strike switch contact control system used to optimize the load shifting operation as described. EXAMPLE NO. 5 Dwell Unit Distance Adjustment (FIG. 4) As a preferred embodiment the variable distance at which the pallet loads are irradiated can be controlled by adjusting the position of the dwell units, DU1 or DU2, with respect to the radiation source rack. Such a preferred embodiment is illustrated in FIGS. 4A and 4B. For example, the DU1 (25) is moved on wheels 50 on two parallel rails (43; 43a, 43b) closer to or farther from the source rack 27 depending on needed radiation dose rate. The means for moving and locating DU1 (25) is comprised of, e.g., an electric motor 57 which drives a gear (53b) drives a chain (52) wound over a second gear or pulley (51) where the DU1 (25) carriage 56 is attached by a joint 53a in the chain (52). Similar means are used of course as in a mirror image on the other side of the rack 27 to move DU2 (26). Another preferred embodiment 199 of the invention is shown in FIG. 5 wherein, all the details are referenced as in FIG. 1 except for the numbers being in 100's. Photo-eyes or limit switches for the automatic monitoring or control of pallets on entrance and exit conveyors are positioned as shown in FIG. 5. Two parallel arrows (".uparw..uparw.") signify the approximate boundary to the range of locations of an adjustable photoeye for positioning loads of different width. A single arrow indicates the presence of a retro-reflective photo-eye which used for monitoring the position of the loads at various positions along the track in and out of the irradiator. The layout and configuration of the Cell 128, however, is changed for the wall section 109 and, more importantly, sections 109a and 109b. The wall enclosure extensions 8a, 8b illustrated in the embodiment of FIG. 1 were removed in order to accommodate curving the loading and unloading tracks (112, 113) sharply outward and away from the open portion of the cell maze as shown. This layout advantageously affords the persons at positions 110 or 111 attending the loading or unloading of the pallet conveyor 112, 113 better protection or avoidance of chance exposure to radiation. Another preferred aspect of the embodiment 199 in accordance with FIG. 5 is the position of wall section 109b in perpendicular direction to the entrance and exit maze openings (110, 111), thereby further containing any excess or accidental radiation emanating from the Cell 128. Processing conditions of the various materials and products are variable depending on several factors such as source, product size, material density, irradiation purpose, location and position of products relative to the radiation source, time of processing and range of allowed or required dosage. The following is an example of the irradiation of medical products for the purpose of sterilization (Table I): TABLE I ______________________________________ 1. Pallet size 40 .times. 48 .times. 76" high 2. Product Density 0.123 g/cc 3. Desired Minimum Dose 1.5 M Rads 4. Maximum Dose 2.08 M Rads 5. Cobalt Loading 1,000,000 Ci 6. Dwell Unit Location Closest in position 7. Routine Mode 3 (all 6 positions used) 8. Dwell Time 17.18 minutes 9. Irradiation Purpose Sterilization ______________________________________ The conditions or factors of irradiation are often interdependent. Items 3 and 4 are dependent upon item 9. Item 8 is dependent on Item 3 and effected by item 5 and 6. Item 6 is dependent upon items 3 and 2. Different desired results include, but are not limited to, sprout inhibition, insect disinfestation, sanitization, bacteria and pathogen elimination and sterilization of food products, sanitization of cosmetic products, sterilization of medical and pharmaceutical products, sterilization of infectious wastes, and polymerization of plastics. Each process has different operating characteristics. The T6-V Irradiator is the only irradiator to adequately address the processing variations between the different processes. While the invention has been described with reference to the presently preferred embodiment thereof, it should be apparent to those skilled in the art that various modifications and changes in construction can be incorporated without departing from the true spirit of the invention as defined in the appended claims.
claims
1. A method for at least one of operating and building a nuclear reactor core comprising:modelizing a nuclear reactor core by performing the computer implemented steps of:partitioning, by a computer processor, the core in cubes to constitute nodes of a grid for computer implemented calculation,splitting, by the computer processor, the cubes into a first category and a second category, each cube of the first category being adjacent only to cubes from the second category so that the first category and second category of cubes are oriented in a checkerboard pattern with the first category of cubes and the second category of cubes alternating in a two-dimensional representation,ordering, by the computer processor, the cubes of the first category and then the cubes of the second category, andcalculating, by the computer processor, neutron flux and/or thermohydraulics parameters by using an iterative solving procedure of at least one linear system, components of an iterant of the linear system constituting the neutron flux and/or thermohydraulics parameters to be calculated, wherein, during the iterative solving procedure, calculations are conducted on the cubes of the first category then on the cubes of the second category; andat least one of operating and building the nuclear reactor core on the basis of the calculated neutron flux and/or the calculated thermohydraulics parameters,wherein the iterative solving procedure is conducted only on the cubes of the first category thus calculating the components of the iterant corresponding to the cubes of the first category, and then the components of the iterant corresponding to the cubes of the second category are calculated on the basis of the components of the iterant corresponding to cubes of the first category,wherein the linear system to be solved for the first category of cubes is preconditioned to amount to: A _ red ⁢ x _ red = b _ _ red ⁢ ⁢ with ⁢ ⁢ { A _ red = 1 - A ND 2 b _ _ red = b _ red - A ND ⁢ b _ black , wherein xred designates the components of the iterant corresponding to the cubes of the first category,AND designates a non-diagonal matrix coupling cubes of the first category with cubes of the second category, and bred and bblack designates source factors. 2. The method as recited in claim 1 wherein the iteration of the solving procedure amounts to:   { x _ red ( n + 1 ) = b _ red - A ND ⁢ x _ black ( n ) x _ black ( n + 1 ) = b _ black - A ND ⁢ x _ red ( n + 1 ) wherein xblack designates the components of the iterant corresponding to the cubes of the second category. 3. The method as recited in claim 1 where the iteration of the solving procedure amounts to:dred(n+1)={circumflex over (M)}D−1└λ(n){circumflex over (F)}dred(n)−{circumflex over (M)}NDdblack(n)+Qred┘dblack(n+1)={circumflex over (M)}D−1[λ(n){circumflex over (F)}dblack(n)−{circumflex over (M)}NDdred(n+1)+Qblack]wherein dred designates the components of the iterant corresponding to the cubes of the first category,dblack designates the components of the iterant corresponding to the cubes of the second category,{circumflex over (M)}ND and {circumflex over (M)}D are respectively a diagonal part and a non-diagonal part of a loss migration operator. 4. The method as recited in claim 1 wherein a convergence of the solving procedure is monitored through a residual vector of the linear system, the residual vector being defined as:rred= bred−Āredxred. 5. The method as recited in claim 4 wherein the convergence of the solving procedure is monitored through a maximum absolute value of the components of the residual vector, the maximum being defined as:rmax(i)=maxj=1, . . . , N|rj(i)|. 6. The method as recited in claim 1 wherein the iterative solving procedure is a Gauss-Seidel procedure. 7. The method as recited in claim 6 wherein the Gauss-Seidel procedure is combined with a systematic Successive Over-Relaxation measure. 8. The method as recited in claim 1 wherein the iterative solving procedure is a Conjugate Gradient procedure. 9. The method as recited in claim 1 wherein the iterative solving procedure is a Bi-Conjugate Gradient Stabilized procedure. 10. The method as recited in claim 1 wherein the computer processor includes parallel processors, the steps of partitioning, splitting, ordering and calculating being performed by the parallel processors. 11. A method for at least one of operating and building a nuclear reactor core comprising:modelizing a nuclear reactor core by performing the computer implemented steps of:partitioning, by a computer processor, the core in cubes to constitute nodes of a grid for computer implemented calculation,splitting, by the computer processor, the cubes into a first category and a second category, each cube of the first category being adjacent only to cubes from the second category so that the first category and second category of cubes are oriented in a checkerboard pattern with the first category of cubes and the second category of cubes alternating in a two-dimensional representation,ordering, by the computer processor, the cubes of the first category and then the cubes of the second category, andcalculating, by the computer processor, neutron flux and/or thermohydraulics parameters by using an iterative solving procedure of an eigensystem, components of an iterant of the eigensystem constituting the neutron flux and/or thermohydraulics parameters to be calculated, wherein, during the iterative solving procedure, calculations are conducted on the cubes of the first category then on the cubes of the second category; andat least one of operating and building the nuclear reactor core on the basis of the calculated neutron flux and/or the calculated thermohydraulics parameters,wherein the iterative solving procedure is conducted only on the cubes of the first category thus calculating the components of the iterant corresponding to the cubes of the first category, and then the components of the iterant corresponding to the cubes of the second category are calculated on the basis of the components of the iterant corresponding to cubes of the first category,wherein the eigensystem_to be solved for the first category of cubes is preconditioned to amount to: Θ ~ red ⁢ d _ red = s _ ~ red ⁢ ⁢ with ⁢ ⁢ { Θ ~ red = 1 ^ - [ ( M ^ D - μ ⁢ F ^ ) - 1 ⁢ M ^ ND ] 2 s _ ~ red = s _ red - ( M ^ D - μ ⁢ F ^ ) - 1 ⁢ M ^ ND ⁡ ( M ^ D - μ ⁢ F ^ ) - 1 ⁢ s _ black , {circumflex over (M)}ND and {circumflex over (M)}D are respectively a diagonal part and a non-diagonal part of a loss migration operatorμ is a shift, and{circumflex over (F)}^is a production operator. 12. The method as recited in claim 11 wherein the iteration of the solving procedure amounts to:   { x _ red ( n + 1 ) = b _ red - A ND ⁢ x _ black ( n ) x _ black ( n + 1 ) = b _ black - A ND ⁢ x _ red ( n + 1 ) wherein xred designates the components of the iterant corresponding to the cubes of the first category,xblack designates the components of the iterant corresponding to the cubes of the second category,AND designates a non-diagonal matrix coupling cubes of the first category with cubes of the second category, and bred and bblack designates source factors. 13. The method as recited in claim 11 where the iteration of the solving procedure amounts to :dred(n+1)={circumflex over (M)}D−1└λ(n){circumflex over (F)}dred(n)−{circumflex over (M)}NDdblack(n)+Qred┘dblack(n+1)={circumflex over (M)}D−1[λ(n){circumflex over (F)}dblack(n)−{circumflex over (M)}NDdred(n+1)+Qblack]wherein dred designates the components of the iterant corresponding to the cubes of the first category,dblack designates the components of the iterant corresponding to the cubes of the second category,{circumflex over (M)}ND and {circumflex over (M)}D are respectively a diagonal part and a non-diagonal part of a loss migration operator. 14. The method as recited in claim 11 wherein the iterative solving procedure is a Gauss-Seidel procedure. 15. The method as recited in claim 14 wherein the Gauss-Seidel procedure is combined with a systematic Successive Over-Relaxation measure. 16. The method as recited in claim 11 wherein the iterative solving procedure is a Conjugate Gradient procedure. 17. The method as recited in claim 11 wherein the iterative solving procedure is a Bi-Conjugate Gradient Stabilized procedure. 18. The method as recited in claim 11 wherein the computer processor includes parallel processors, the steps of partitioning, splitting, ordering and calculating being performed by the parallel processors.
summary
description
This application is the U.S. national phase of PCT Application No. PCT/EP2017/001292 filed Nov. 7, 2017, which claims priority to German Patent Application No. 10 2016 013 245.0 filed Nov. 8, 2016, the disclosures of which are incorporated in their entirety by reference herein. The present embodiments generally relate to a drilling instrument for machining tubes in tube sheets of heat exchangers in a radioactive environment. In nuclear power plants, maintenance work and, if necessary, repairs are carried out at regular intervals. At the same time, there are areas in the nuclear power plant that are exposed to radioactive radiation and themselves emit radioactive radiation after some time. The maintenance work and repairs also need to be carried out in this radioactive environment, for example at steam generator tubes or heat exchanger tubes. For people, the radioactive environment is unsuitable and accessible at most briefly. Moreover, according to legal requirements, exposure of personnel and material to radiation has to be as low as possible and may not exceed a particular upper limit. In this regard, the use of a quantity of material that is as small as possible is desirable. In these areas, remote-controllable machine tools or test equipment are also used. In order to repair tubes that are held in tube sheets, it is possible to use a drilling machine that is mounted on a robot arm of a robot such that the drilling machine is positioned with the robot arm at that point of the tube sheet in the radioactive environment that is to be machined, as is shown in U.S. Pat. No. 6,282,461B1. A drawback of this system is that the drilling machine can be used only in the working range of the robot arm. If a large number of points on a tube sheet are intended to be machined, first of all the robot has to be moved to a different point so that the point to be machined can again be reached by the robot arm. This is relatively complicated. In addition, on account of the robot arm, the mass of the robot is correspondingly high. Alternatively, the robot arm can be constructed to be so large that the working range corresponds to the extent of the tube sheet. Then, the mass of the repair robot is even greater and the construction or dismantling of the robot is particularly complicated. One possibility of moving over a relatively large area of the tube sheet of a steam generator or heat exchanger in a nuclear power plant is provided by a known inspection robot “PEGASYS” from the company Westinghouse, USA. Said robot moves a probe in a walking manner to the desired point on the tube sheet that is to be checked in that a group of retaining devices are alternately anchored in the tubes of the tube sheet or released therefrom and in the process travel into new tubes and are again anchored there. The inspection robot does not have a component comparable to the robot arm and is accordingly lighter. A drawback of the inspection robot, however, is that the mechanics thereof, on account of the movable parts, exhibit a comparatively high level of inaccuracy in the positioning of the probe, which, although being sufficient for measuring, is not suitable for the unrelated machining of tubes with a drilling machine, which also cannot be corrected manually. Specifically, correction possibilities for positioning the inspection robot are not provided in the plane of the tube sheet or perpendicularly to this plane. Proceeding from this, it is an object of the present disclosure to specify a drilling instrument which reaches a large number of working positions on a tube sheet as easily as possible, has a mass that is as low as possible, and has sufficient accuracy for machining tubes. It should be understood that aspects, features or functions that are described in relation to an embodiment are not necessarily limited to the embodiment described, but rather may be applicable to one or more embodiments, unless expressly described otherwise. Also, the description set forth herein is not to be construed as limited to the embodiments shown. Rather, it is appreciated that various modifications may occur to those skilled in the art that, while not specifically set forth herein, are nevertheless within the spirit and scope of the description. When an embodiment is described as “exemplary” herein, it is to be understood as one non-limiting example embodiment and does not preclude other embodiments that may not include the limitations described in the exemplary embodiment. The present disclosure describes and illustrates one or more novel embodiments of a drilling instrument for machining tubes in tube sheets of heat exchangers in a radioactive environment, having a transport device having clamping elements. In one or more embodiments, the drilling instrument comprises a drilling device having clamping fingers and is held by the transport device. The clamping elements and the clamping fingers are arranged on a common first side of the drilling device and of the transport device. The transport device and the drilling device are connected to a support device, and the support device has a support plate on which a resting plate of the drilling device rests. The support plate is connected to the resting plate by way of at least one movable connecting element. The resting plate is connected to the support plate in a play-free manner in a first position of the connecting element. The resting plate exhibits predefinable play with regard to the carrier plate in a second position of the connecting element. The embodiments described herein have a transport device having clamping elements for locomotion. With the transport device, it is possible to move the drilling device in principle to any tube or into any working position on a tube shell, in that the clamping elements of the transport device are clamped in or released from tubes individually or in groups according to a particular predefinable method. In addition, the transport device also ensures a relative movement of the clamping elements with respect to one another and in this way locomotion over the tube sheet. Locomotion over a tube sheet is already known per se, for example from the inspection robot “PEGASYS”. The drilling device according to the embodiments herein is rigidly connected to the transport device during the transport operation in that the connecting element remains in the first position during transport. The connecting element is moved into the second position following arrival, such that the transport device firmly clamped to the tube sheet is connected with play to the drilling device, with axial and/or radial play with regard to the connecting element. This play allows the drilling device to introduce the clamping fingers into tubes of the tube sheet and to fasten, in particular clamp, them there. In this case, the play is such that the drilling device is clamped in the tubes at the tube sheet without forces being transmitted to the transport device as a result. Possible inaccuracies in the positioning of the drilling device by the transport device are compensated by the play. This is because, as a result of the movable parts of the transport device, it is possible for inaccuracies in the typical range of up to 2.5 mm or 3 mm to arise. The rigid frame structure of the drilling device is set up such that the machining position of a tool in the drilling device has the required accuracy when the clamping fingers are clamped properly in the tubes. In a development of the drilling instrument according to one or more embodiments disclosed herein, the transport device has, on the first side, at least four retaining elements, which are subdivided into two groups, each group is controllable separately, a first group of retaining elements is pivotable with respect to a second group of retaining element, and one group of retaining elements is displaceable with a linear movement. In this way, two movements are possible in the transport device. Firstly, the two groups of retaining elements are allowed to pivot with respect to one another. Thus, the transport device is rendered capable of changing direction. Secondly, one group of retaining elements is allowed a linear movement. In this way, it is possible for the transport device to be moved incrementally along a straight line. The two movement possibilities are sufficient to allow the transport device to reach each desired point on the tube sheet particularly easily with the drilling device. One advantageous configuration of the drilling instrument is when the drilling device has at least two retaining fingers, and when the retaining elements and the at least two retaining fingers are movable in a direction perpendicular to the first side. This has the particularly favorable result that those retaining elements or retaining fingers are movable into or out of the tubes, depending on requirements. If the retaining elements or retaining fingers are moved for example completely out, no components that could catch in the tube sheet protrude from the first side. In a further configuration of the drilling instrument, the support plate or the resting plate has at least one limiting element which is arranged in a recess, and the shape of the recess allows play in the second position of the connecting element. Such a design measure advantageously has the result that the maximum play between the support plate and resting plate is limited. In this way, the play can also be adapted particularly easily to the requirements. In a further development of the drilling instrument, the connecting element has a drive device, in particular a pneumatic or hydraulic drive device, by way of which the connecting element is movable selectively into the first or second position. In one or more embodiments, a cylindrical component is arranged on the support plate, and a force is able to be exerted on the resting plate by the cylindrical component. In embodiments, the resting plate is movable by a predefinable distance in the direction of the second position. This ensures that the resting plate is spaced apart from the support plate and thus the play for compensating for positional inaccuracies is also present. This is because, although it is possible for the connecting element to be brought into the second position, the resting plate remains on the support plate. In such a case, there would also be no play since then the centering pins do not allow any play. In preferred embodiments, the force is introduced into the resting plate in a region of an imaginary line which is perpendicular to the first side and passes through the center of gravity of the drilling device. This condition ensures that the drilling device is applied uniformly to the tube sheet, i.e. that spacer elements that are present for example are applied to the tube sheet virtually at the same time. An undesired inclined position of the drilling device is avoided. The following table lists elements of the illustrated embodiments of the disclosure and their associated reference numbers for convenience. Ref. No.Element10Drilling instrument12Transport instrument14Carrier element/support device16Drilling device18First retaining elements20Struts22Housing24Second retaining elements26Motor28Retaining plate30Retaining finger32Tool holder34Machining axis40Spacing studs42Axis of symmetry44Retaining-element housing46Strut drive48Plate carrier50Support plate52Screws54Lateral arms56Centering element58Limiting pin60Cylinder pin62Connecting element64Tube sheet66Tubes68Spacers70Chamfer72First spacing74Limit switch76Pneumatic drive78Pneumatic cylinder80Spring84Retaining-finger drive86Spreading elements88Ring FIG. 1 shows a plan view of an exemplary embodiment of a drilling instrument 10 according to the disclosure herein, in which a transport instrument 12 is connected to a drilling device 16 by a carrier element 14. In this case, the plan view shows the side which faces a tube sheet during machining. The transport instrument 12 has two first retaining elements 18, which are connected and spaced apart from one another by struts 20. A housing 22 of the transport instrument 12 has two second retaining elements 24. By way of a strut drive 46, the struts 20 are movable back and forth such that the spacing between the first retaining elements 18 and the strut drive 46 is changed as required. In addition, the first retaining elements 18 can be rotated or pivoted through a predefinable angle relative to the second retaining elements 24 by a motor 26. The pivoting can also take place the other way round, namely in that the second retaining elements 24 may be pivoted through a predefinable angle relative to the first retaining elements 18 by the motor 26. An axis of rotation of the rotary movement is perpendicular to the illustration in this plan view. The drilling device 16 has a retaining plate 28, which has cutouts through which two retaining fingers 30 engage. The spacing of the two retaining fingers 30 is in this case dimensioned such that these are coordinated with the spacings of the tubes in the tube sheet. The name tube sheet is intended here to refer to all tube sheets which are present in heat exchangers or steam generators of nuclear power plants. Typical tube diameters for such tube sheets are 12 mm to 22 mm. In some cases, tube diameters that differ therefrom also occur. The diameters of the part of the retaining fingers 30 that is clamped in the tubes are coordinated with the different tube diameters. The spacing of the cutouts in the retaining plate 28 is also coordinated with the spacings of the tubes in the respective tube sheet. A tool holder 32 projects laterally beyond the retaining plate 28 in this figure. The spacings between a machining axis 34 of a tool in the tool holder 32 and the retaining fingers 30 are also structurally predefined such that the machining is able to be carried out with sufficient accuracy with a tool at a predefinable point. FIG. 2 shows a perspective view of the transport instrument 12 with the carrier element 14 of the drilling instrument 10. Assigned to each retaining element 18, 24 are two spacing studs 40, which ensure that the transport instrument 12 is at a predefined spacing from the tube sheet. Specifically, the first retaining elements 18 are pivotable about a pivot axis via the second retaining elements 24 and are also movable back and forth along a respective axis of symmetry 42. The locomotion of the transport instrument 12 then takes place as follows. In a starting position, the second retaining elements 24 are intended to be clamped in a tube sheet. In this case, the two second retaining elements 24 and the spacing studs 40 bear on the tube sheet. The first retaining elements 18 have been retracted into a retaining-element housing 44 to such an extent that, during a movement of the transport instrument 12 of the first retaining elements 18 the tube sheet is not touched. The retaining fingers 30, too, have a functionality comparable to that of the retaining elements 18, 24 and have been retracted in the transport phase of the drilling instrument. The drilling device 16 is not illustrated in this figure, however. Now, the first retaining elements 18 are moved along the struts 20 with a strut drive 46 by an amount predefined by a controller. The controller in this case optimizes the movement such that the transport target of the drilling instrument 10 is reached with as few transport steps as possible. For a change in direction, the first retaining elements 18 are pivotable with respect to the second retaining elements 24. Once the first retaining elements 18 have reached their position, they are extended out of the retaining-element housing 44 and into a tube of the tube sheet and clamped there. Once the first retaining elements 18 have been clamped properly in the tubes, the transport instrument 12 and thus the drilling instrument 10 is secured in its position by the first retaining elements 18, such that the second retaining elements 24 are released and retracted into the retaining-element housing 44. In the method step that now follows, the strut drive 46 moves the transport instrument 12 along the struts 20 to a new point on the tube sheet. There, the second retaining elements 24 are extended again and clamped in tubes. The first retaining elements 18 are released and retracted back into the retaining-element housing. Subsequently, the strut drive 46 moves the first retaining elements 18 to a new position. In this way, the starting situation of the moving method is re-established, but the drilling instrument 10 has been moved by a particular distance from the original position. This procedure is repeated until the desired target position of the drilling instrument 12 has been reached. As a result of the transport instrument 12 being pivoted or rotated about the axis of rotation, the drilling device 16 is positionable at a desired point. With continued reference to FIG. 2, carrier element 14 has a plate carrier 48, on which a support plate 50 is arranged and is connected to the plate carrier 48 by several screws 52. The support plate is arranged parallel to the tube sheet and on that side of the drilling instrument 10 that faces the tube sheet. The plate carrier 48 also has two lateral arms 54, on each of which a centering cone 56 and a limiting pin 58 are arranged. Also attached to the plate carrier 48 is a first drive, by way of which a cylinder pin 60 is movable, if required, through a cutout in the support plate 48 in the direction of the tube sheet. In addition, a connecting element 62 on the support plate 50 is shown, the function of which is explained in more detail in FIG. 4. FIG. 3 shows a magnified perspective view of a region around the carrier element 14 at a tube sheet 64 with tubes 66. In order to make it easier to discern different components, a region of the retaining plate 28 and a part of the tube sheet 64 have been cut away. The retaining plate 28 has spacers 68, which have a comparable function for the drilling device 16 as the spacing studs 40 have for the transport instrument 12. Moreover, in this figure, one of the two retaining fingers 30 is discernible, wherein said retaining finger 30 has a chamfer 70 on its side facing the tube sheet 64. The chamfer 70 is dimensioned so as to make insertion into a tube 66 easier, even when the tube central axis and the central axis of the retaining finger 30 are offset from one another within the range of inaccuracy during positioning by the transport instrument 12. The introduction of the retaining finger 30 into a tube 66 is allowed in this way even if the central axis deviates from parallelism within the inaccuracy. As a result of the introduction of the retaining finger 30, the position of the central axis thereof is changed until it is parallel with the tube central axis. FIG. 4 shows a side view of a region of the drilling instrument 10 and the tube sheet, wherein a subregion of the figure is shown as a cutaway section through the drilling device 16 and the tube sheet 64. The drilling instrument 10 is held by the second retaining elements 24, which have been clamped in tubes 66 of the tube sheet 64, while the first retaining elements 18 are arranged only partially in tubes 66 and are accordingly not clamped. Arranged on the retaining plate 28 are a number of spacers 68, which are at a spacing 72 of about 2 mm from the tube sheet 64 in this figure. Accordingly, the drilling device 16 does not yet bear on the tube sheet 64, this being able to be indicated by a limit switch 74, which is likewise arranged on the retaining plate 28. The connecting element 62 is movable by a pneumatic drive 76, which has a pneumatic cylinder 78 with which the movement force is able to be applied to the connecting element 62. The pneumatic cylinder 78 in this case works counter to a spring force of a spring 80, wherein the figure shows the pneumatic cylinder 78 in an unpressurized second position, in which the spring moves the connecting element 62 away from the support plate 50, such that the retaining plate 28 is movable with play with respect to the support plate 50. The second spacing, shown in this figure, between the support plate 50 and the retaining plate 28 is 0 mm, however, and so the possible play has not yet been used. In one or more embodiments, the drilling device 16 is intended to be moved to a working position by the transport instrument 12. The spacers 68 are then only about 2 mm away from the tube sheet. The retaining fingers 30 and the cylinder pin 60 are in this case retracted and the pneumatic cylinder 78 is pressurized with compressed air. When the pneumatic cylinder 78 is pressurized with compressed air, the connecting element 62 is located in a first position in which the retaining plate 28 is held on the support plate 50 in a play-free manner. The centering cones 56 are positioned in the first position in the corresponding centering cutouts in the retaining plate 28 and in this way ensure that the retaining plate 28 is located in the structurally predefined position relative to the support plate 50. The first position is also suitable for manual handling with the drilling instrument 10 or for assembling the assembly unit made up of the drilling device 16 and carrier element 14 on the transport instrument 12, since the components of the assembly unit are connected firmly together as if they were one component. In a working step that now follows, the pneumatic cylinder 78 is depressurized such that the spring 80 moves the connecting element 62 into the second position. This allows the drilling device 16 to be released from the support plate 50 and to lean to one side. However, this leaning is limited by the spacers 68 in that—depending on the direction of the inclination—one or more of the spacers 68 are applied to the tube sheet 64. In a next working step, the retaining fingers 30 are retracted into tubes 66 by respective retaining-finger drives 84. In this case, the spreading elements 86 of the retaining finger 30 are kept at a smallest possible diameter of the retaining finger 30 by an elastic ring 88, such that there is radial play that is as large as possible between the retaining fingers 30 and the tubes 66. This situation is shown in FIG. 4. In a further working step, the cylinder pin 60 is extended with a corresponding cylinder-pin drive, such that the retaining plate 28 is lifted and is released from the support plate 50. In this case, the cylinder-pin drive is advantageously set such that the lifting force is only slightly greater than the weight force of the drilling device 16. The force introduction point of the cylinder pin 60 is selected such that the force is introduced in the region of an imaginary line that passes through the center of gravity of the drilling device 16 and is perpendicular to that side of the support plate 50 that faces the tube sheet 64. The advantage of this force introduction point is that the spacers 68 are then applied particularly advantageously to the tube sheet 64. In a working step that now follows, the retaining fingers 30 are braced in the tubes 66 in that the retaining-finger drive 84 moves a cone mandrel in the direction of the spreading elements 86 and presses the latter against the lateral surfaces of the tubes 66 counter to the force action of the elastic ring 88. In this way, the retaining fingers 30 are clamped in the tubes 66. A further advantage of this procedure during clamping is that the retaining fingers 30 are centered in the tubes 66 and with respect to the tubes 66, since the retaining fingers 30 are connected to the retaining plate 28 and thus to the drilling device 16, the drilling device 16 is also itself oriented and at the same time the retaining plate 28 is oriented parallel to the tube sheet 64. Orienting is rendered possible in that, as a result of the retaining plate 28 being released from the support plate 50, the centering cones 56 allow a relative movement of the two plates with respect to one another, wherein the relative movement is limited by the limiting pins 58 and the dimensioning of the cutouts in which the limiting pins are received. As a result of the design of the drilling device 16, the tool, for example a drill bit or milling cutter, is now positioned on the drilling device 16 exactly centrally with respect to the tube 66 to be machined. In a further working step, the retaining fingers 30 are switched back into the retracting operating mode by their retaining-finger drive 84. The spacers 68 prevent retracting, however, and the retaining fingers 30 are also firmly clamped in the tubes 66. Therefore, by way of the tractive force of retraction, the drilling device 16 is pulled up to the tube sheet 64, by 10 kN in the present exemplary embodiment of the subject matter of the present disclosure. This tractive force ensures that the forces that arise during the machining of a tube 66 are absorbed reliably by the drilling device 16. Thus, the feed force for the tool in the exemplary embodiment is 2 kN. In addition, the machining position of the tool is laterally outside the retaining plate 28, such that an additional moment is introduced into the drilling device by machining. In addition, during machining, vibrations and further forces are reliably absorbed by the drilling device 16 and passed into the tube sheet 64. In this case, the pneumatic cylinder 78 is unpressurized and so the connecting element 62 is in the second position. Thus, no forces that arise during machining are transmitted to the transport instrument 12. Following completion of the machining, the above-described working steps are reversed and so the retaining plate 28 is firmly connected to the support plate 50 again by the connecting element 62. Unless the context clearly requires otherwise, throughout the description and the claims, words using the singular or plural number also include the plural or singular number respectively. Additionally, the words “herein,” “above,” “below” and words of similar import, when used in this application, refer to this application as a whole and not to any particular portions of this application. “Or” means “and/or.” Further, the conjunction “or” covers all of the following interpretations of the word: any of the items in the list, all of the items in the list and any combination of the items in the list. It should further be noted that the terms “first,” “second,” “primary,” “secondary,” and the like herein do not denote any order, quantity, or importance, but rather are used to distinguish one element from another. The various embodiments described above are provided by way of illustration only and should not be construed to limit the scope of the disclosure. Those skilled in the art will readily recognize various modifications and changes that may be made to the principles described herein without following the example embodiments illustrated and described herein, and without departing from the spirit and scope of the disclosure. We therefore claim as our invention all that comes within the scope of these claims.
summary
description
This application is based on and incorporates herein by reference Japanese Patent Application No. 2001-256145 filed on Aug. 27, 2001. The present invention relates to a gas sensor, in which a gas sensitive film for detecting a gas is located on a membrane supported by a semiconductor substrate, and to a method for manufacturing the gas sensor. JP-A-58-102144 and JP-B2-2582343, the corresponding US patent of which is U.S. Pat. No. 5,545,300, disclose gas sensors of this kind. The sensors disclosed in the publications include a membrane, which is located at the bottom of a recess that is formed in a semiconductor substrate by etching the semiconductor substrate. The membrane includes a gas sensitive film made of a material such as tin oxide (SnO2) and indium oxide (In2O3) and a heater that generates heat when being electrified. The sensors also include a pair of gas-sensitive-film extension electrodes, which are respectively connected to the gas sensitive film, and a pair of heater extension electrodes, which are respectively connected to the heater. In the sensors disclosed in the publications, the heater and the extension electrodes are made of a metal having a high melting point such as platinum because the temperatures of the heater and the extension electrodes becomes extremely high on the membrane in operation. However, metals having a high melting point such as platinum may become a pollutant in the fabrication processes of ordinary semiconductor microchips such as a C-MOSFET, so it is preferred to avoid using the metals to make the manufacturing process of a gas sensor compatible with that of ordinary semiconductor microchips. The present invention has been made in view of the above aspects with an object to provide a membrane type gas sensor, the manufacturing process of which is compatible with that of ordinary semiconductor microchips and to a method for manufacturing the membrane type gas sensor. In the present invention, a gas sensor includes a semiconductor substrate and a sensing membrane. The sensing membrane is located at the bottom of a recess, which is formed by etching the substrate, and includes a heater, heater extension electrodes, a gas sensitive film, and gas-sensitive-film extension electrodes. A first end of each heater extension electrode is in contact with the heater, and a second end of each heater extension electrode extends outward from the sensing membrane. A first end of each gas-sensitive-film extension electrode is in contact with the gas sensitive film, and a second end of each gas-sensitive-film extension electrode extends outward from the sensing membrane. All of the heater, the heater extension electrodes, and the gas-sensitive-film extension electrodes are made of polycrystalline silicon. The present invention will be described in detail with reference to various embodiments. First Embodiment A gas seneor S1 shown in FIG. 1 is used for detecting a gas such as carbon monoxide (CO), methane (CH4), nitrogen monoxide (NO), and nitrogen dioxide (NO2). As shown in FIGS. 1 and 2, the gas seneor S1 includes a substrate 10 made of n-type silicon and a sensing membrane 20. The sensing membrane 20 is located on one side (e.g., an upper side) of the substrate 10 and makes up the bottom of a recess 11, which is defined by the sensing membrane 20 and an opening that is formed by etching the substrate 10 from an other side (e.g., a lower side) of the substrate 10 that is opposite to the one side. As shown in FIG. 2, a first insulating film 21, which is made of silicon oxide (SiO2), is located on the upper side of the substrate 10 and at the bottom of the recess 11. A heater 22, a pair of heater extension electrodes 24, and a pair of gas-sensitive-film extension electrodes 23 are made of polycrystalline silicon (poly-Si), which is an ordinarily-used material in semiconductor manufacturing processes, and buried in the first insulating film 21. A second insulating film 25, which is made of silicon nitride (SiN), is located on the first insulating film 21. A gas sensitive film 26 is located on the second insulating film 25 of the sensing membrane 20. The gas sensitive film 26 is made of a material, the electric resistance of which varies when the material absorbs a gas such as CO, CH4, NO, and NO2. Specifically, the material is a metal oxide type semiconductor such as SnO2 and In2O3. The sensing membrane 20, which is located as the bottom of the recess 11, includes the first insulating film 21, the heater 22, the heater extension electrodes 24, the gas-sensitive-film extension electrodes 23, the second insulating film 25, and the gas sensitive film 26. The heater 22 generates heat for heating the gas sensitive film 26 when being electrified. The gas-sensitive-film extension electrodes 23 are respectively connected to the gas sensitive film 26, and the heater extension electrodes 24 are respectively connected to the heater 22. As shown in FIGS. 1 and 2, a plurality of circuit electrodes 30, which are made of aluminum or aluminum alloy, are located around the sensing membrane 20. The circuit electrodes 30 is located between the first insulating film 21 and the second insulating film 25, except at openings located in the insulating films 21, 25. The circuit electrodes 30 may be formed by stacking aluminum or aluminum alloy with other metals. In addition to that, a conductive material used in ordinary semiconductor manufacturing processes such as aluminum-silicon (Al—Si), which contains mainly aluminum and a small quantity, e.g., 0.1 to 0.3%, of silicon, titan (Ti), gold (Au), copper (Cu), and poly-Si may be used for the circuit electrodes 30. As shown in FIG. 2, a first end of each gas-sensitive-film extension electrode 23 is in electric contact with the gas sensitive film 26 through each opening located in the insulating films 21, 25. A second end of each gas-sensitive-film extension electrode 23 is in electric contact with each circuit electrode 30 through each opening located in the first insulating film 21 outside the sensing membrane 20. In the gas sensor S1 shown in FIGS. 1 and 2, the heater 22 is patterned to wind beneath the gas sensitive film 26 in the sensing membrane 20 and electrically insulated from the gas sensitive film 26 by the insulating films 21, 25. In the gas seneor S1 shown in FIGS. 1 and 2, the heater extension electrodes 24 and the heater 22 are integrated such that a first end of each heater extension electrodes 24 is connected to the heater 22. Although not shown in FIG. 2, a second end of each heater extension electrode 24 is in electric contact with each circuit electrode 30 through each opening located in the first insulating film 21 outside the sensing membrane 20. As shown FIG. 2, a gas detecting area 40 is the area that is defined by the gas sensitive film 26, so the gas detecting area 40 is located only on the sensing membrane 20 in the gas seneor S1 shown in FIGS. 1 and 2. In the gas seneor S1 shown in FIGS. 1 and 2, a plurality of circuit components 100, which are electrically connected to the circuit electrodes 30, are located in the hatched area around the sensing membrane 20 in FIG. 1. The circuit components 100 are formed for electrifying the heater 22 through the circuit electrodes 30 and processing the electric signal that is generated at the gas sensitive film 26 in the form of resistance variation. As shown in FIG. 2, the circuit components 100 include a complementary MOSFET 110 (C-MOSFET), which consists of a P channel MOSFET and an N channel MOSFET. Each MOSFET includes a gate electrode 111, a source electrode 112 that is in contact with a source region, and a drain electrode 113 that is in contact with a drain region. The electrodes 111, 112, 113 of the C-MOSFET 110 can be formed using materials that are used in the manufacturing processes for ordinary C-MOSFETs. In the gas seneor S1 shown in FIGS. 1 and 2, the gate electrodes 111 is made of poly-Si, which is the same material used for forming the heater 22, the heater extension electrodes 24, and the gas-sensitive-film extension electrodes 23. The source electrodes 112 and the drain electrodes 113 are made of aluminum or aluminum alloy, which is the same material used for forming the circuit electrodes 30. The first and second insulating films 21, 25 respectively function as an interlayer insulating film and a passivation film in the C-MOSFET 110 of the circuit components 100. In FIG. 2, only one C-MOSFET 110 is illustrated. However, as a matter of course, the circuit components 100 may include a plurality of C-MOSFETs 110, and moreover, the circuit components 100 may include other transistors such as a bipolar transistor and a Bi-CMOS transistor. The gas sensor S1 in FIGS. 1 and 2 is manufactured using the n-type silicon substrate 10 shown in FIG. 3A as follows. Firstly, a thermal oxide film 21a is thermally formed on the upper side of the substrate 10, and well regions for the C-MOSFET 110 are formed by ion implantation through the thermal oxide film 21a and thermal diffusion, as shown in FIG. 3B. Then, a poly-Si film is deposited by CVD method on the thermal oxide film 21a, and the heater 22, the heater extension electrodes 24, the gas-sensitive-film extension electrodes 23, and the gate electrode 111 are simultaneously patterned out of the poly-Si film, as shown in FIG. 3C, in which the heater extension electrodes 24 is not illustrated. Next, the source and drain regions are formed by ion implantation and thermal diffusion, as shown in FIG. 4A. Then, a silicon oxide film is formed by CVD method, as shown in FIG. 4B. The silicon oxide film and the thermal oxide film 21a are integrated and make up the silicon oxide film 21, which is the first insulating film 21. Subsequently, as shown in FIG. 4C, contact holes 120 are formed in the first insulating film 21 by photolithography and etching to electrically connect the source regions to the source electrodes 112, the drain regions to the drain electrodes 113, and all of the heater 22, the heater extension electrodes 24, the gas-sensitive-film extension electrodes 23 to the circuit electrodes 30. The source electrodes 112, the drain electrodes 113, and the circuit electrodes 30 are formed from an aluminum or aluminum alloy film, which is deposited by sputtering, as shown in FIG. 5A. At the same time, although not shown, other wirings for the circuit components 100 are formed. Next, the silicon nitride film 25, which is the second insulating film 25, is formed by CVD, as shown in FIG. 5B. Next, as shown in FIG. 5C, contact holes 121 are formed in the silicon oxide film 21 and the silicon nitride film 25 at predetermined positions by photolithography and etching for electrically connecting the gas-sensitive-film extension electrodes 23 to the gas sensitive film 26. At the same time, although not shown, other contact holes are formed in the silicon oxide film 21 and the silicon nitride film 25 at the positions of bonding pads for wire bonding in the circuit components 100. Next, an etching mask 11a, which is made of silicon nitride, is formed on the lower side of the silicon substrate 10 except at the area where the recess 11 is formed, as shown in FIG. 6A. The above steps shown in FIGS. 1A to 6A are conducted by using a production line for ordinary semiconductor microchips. Then, the recess 11 is formed at the position corresponding to the heater 22, the heater extension electrodes 24, and the gas-sensitive-film extension electrodes 23 by anisotropically etching the silicon substrate 10 from the lower side using an etchant such as an alkaline aqueous solution containing potassium hydroxide (KOH), as shown in FIG. 6B. An opening is formed in the silicon substrate 10, and a preliminary membrane, which makes up the bottom of the recess 11, is formed at an end of the opening by the etching. Then, as shown in FIG. 6C, in a production line different from the one used for the above steps, the gas sensitive film 26 is formed by printing a paste that contains SnO2 or In2O3 on the preliminary membrane and hardening the paste to form the sensing membrane 20 and the gas detecting area 40 on the upper side of the substrate 10. At this stage, the gas seneor S1 shown in FIGS. 1 and 2 is completed. Metal oxide type semiconductors such as SnO2 and In2O3 can pollute the fabrication processes of ordinary semiconductor microchips including elements such as the C-MOSFET 110 if they exist in the production line for the semiconductor microchips. However, in the method according to the first embodiment, the gas sensitive film 26 is formed in the different production line after the manufacturing steps shown in FIGS. 1A to 6A for the C-MOSFET 110. Therefore, the C-MOSFET 110 is prevented from being polluted by the metal oxide type semiconductors. Moreover, the manufacturing steps shown in FIGS. 1A to 6A for the C-MOSFET 110 are compatible with the fabrication processes of ordinary semiconductor microchips. As the material for the gas sensitive film 26, a paste containing metal oxide type semiconductor, the electric resistance of which varies in response to the concentration of a detected gas, is preferably used. The paste that enables the formation of the gas sensitive film 26 by printing and hardening at a temperature lower than 450° C. is more preferably used because the electronic characteristics of the circuit components 100 remain unaffected at a temperature lower than 450° C. Finally, the gas seneor S1 in FIGS. 1 and 2 is electrically connected to an outside circuit by wire bonding the bonding pads and corresponding pads in the outside circuit. The gas seneor S1 in FIGS. 1 and 2 operates as follows. The heater 22 is heated by electrifying the heater 22 from the circuit components 100. The sensing membrane 20 is heated to a temperature between 300° C. and 600° C. by the heat generated at the heater 22 to provide the gas sensitive film 26. Except for the sensing membrane 20, the temperature of the gas seneor S1 remains lower than 120 because the heat is released through the silicon substrate 10. Therefore, there is no thermal leak at PN junctions between the well regions and no thermal deterioration of the wirings for the circuit components 100. The electric resistance of the gas sensitive film 26 varies in response to the concentration of a detected gas such as CO, CH4, NO, and NO2 in the atmosphere where the sensor S1 is placed. The electric resistance variation of the gas sensitive film 26 is processed by the circuit components 100 to provide a predetermined form of signal, the intensity of which corresponds to the concentration of the detected gas. For example, the electric resistance variation of the gas sensitive film 26 is transduced to a potential variation by the C-MOSFET 110 in the circuit components 100, and the potential variation is amplified and corrected to output the signal in response to the concentration of the detected gas. In the gas sensor S1 in FIGS. 1 and 2, all of the heater 22, the heater extension electrodes 24, and the gas-sensitive-film extension electrodes 23 are made of poly-Si, instead of platinum, which may become a pollutant in the fabrication processes of ordinary semiconductor microchips such as a C-MOSFET. Therefore, the manufacturing process of the gas sensor S1 is further compatible with that of ordinary semiconductor microchips. In addition, the gas-sensitive-film extension electrodes 23 and the heater extension electrodes 24 are electrically connected to the circuit electrodes 30, which are located outside the sensing membrane 20, and the circuit electrodes 30 are electrically connected to the circuit components 100, which are also located outside the sensing membrane 20. Therefore, the gas detecting area 40 and the circuit components 100 are relatively readily integrated into the gas sensor S1 in FIGS. 1 and 2. The gate electrodes 111 of the C-MOSFET 110, which is included in the circuit components 100, are made of poly-Si. Therefore, the manufacturing process of the gas sensor S1 has an advantage of being able to consolidate the material for the gate electrodes 111 and the material for the heater 22, the heater extension electrodes 24, and the gas-sensitive-film extension electrodes 23 and of being able to form simultaneously the gate electrodes 111, the heater 22, the heater extension electrodes 24, and the gas-sensitive-film extension electrodes 23. Second Embodiment As shown in FIG. 7, a gas sensor S2 according to the second embodiment has no gas-sensitive-film extension electrodes 23. Instead, a gas sensitive film 26 extends outward from a sensing membrane 20 to be directly connected to circuit electrodes 30. Heater extension electrodes 24 electrically connect heater 22 and circuit electrodes 30 in the gas sensor S2 in the same manner as in the gas sensor S1 according to the first embodiment. As shown in FIG. 8, the gas sensitive film 26 is electrically connected to the circuit electrodes 30 through contact holes that are located in a silicon nitride film 25 outside the sensing membrane 20. The gas sensor S2 in FIGS. 7 and 8 according to the second embodiment is manufactured using substantially the same manufacturing steps as for the gas sensor S1 in FIGS. 1 and 2, except for the steps shown in FIG. 3C, FIG. 4C, and FIG. 5C. In the manufacturing process for the gas sensor S2, only the heater 22 and the heater extension electrodes 24 are patterned out of a poly-Si film at the step shown in FIG. 3C, and contact holes 120 are not formed in silicon oxide film 21 at the positions corresponding to the circuit electrodes 30 at the step shown in FIG. 4C, and contact holes 121 are formed in silicon nitride film 25 at the positions corresponding to the circuit electrodes 30 at the step shown in FIG. 5C. As well as the gas sensor S1 in FIGS. 1 and 2, the heater 22 and the heater extension electrodes 24 are made of poly-Si in the gas sensor S2 in FIGS. 7 and 8. Therefore, the manufacturing process of the gas sensor S2 as well is compatible with that of ordinary semiconductor microchips. The gas sensor S2 provides substantially the same effect as the gas sensor S1. However, there are the following differences between the gas sensors S1 and S2. The sensing membrane 20 of the gas seneor S1 has a better heat holding capability than that of the gas sensor S2 because the periphery of the sensing membrane 20 of the gas sensor S2 is thicker than that of the gas seneor S1 due to the extended gas sensitive film 26 and heat releases more readily. The gas sensitive film 26 and the circuit electrodes 30 are connected with the gas-sensitive-film extension electrodes 23, which is made of poly-Si, in the gas sensor S1 while the gas sensitive film 26 are directly connected to the circuit electrodes 30 in the gas sensor S2. Therefore, the sensitivity of the gas seneor S1 is affected by the electric resistance characteristics of the gas-sensitive-film extension electrodes 23 and the sensitivity of the gas seneor S1 needs to be corrected while that of the gas sensor S2 does not. Modification The gas seneor S1 in FIGS. 1 and 2 and the gas sensor S2 in FIGS. 7 and 8 do not necessarily need to include the circuit components 100, which electrify the heater 22 through the circuit electrodes 30 and process the electric signal that is generated at the gas sensitive film 26. The circuit components 100 may be formed separately from the gas sensors S1, S2 as an external circuit, and in that case, the gas detecting area 40 and the external circuit may be electrically connected by wire bonding.
061040347
description
THE PREFERRED EMBODIMENTS The objective lens illustrated in FIG. 1 for influencing a particle beam, particularly an electron beam, consists of a magnetic single-pole lens 1 and an electrostatic lens 2 having a first electrode 20 and a second electrode 21 which can be supplied with different potentials. The magnetic lens 1 has a first and a second pole piece 1a, 1b and an excitation coil 1c. The two electrodes 20, 21 are constructed as tube electrodes the first electrode 20 being passed through the single-pole lens 1 and projecting at its lower end 20a beyond the single-pole lens 1. The second electrode 21 is disposed wholly beyond the lower end of the magnetic lens 1 and coaxially with the first electrode 20 in such a way that it partially overlaps or telescopes with the first electrode 20 and is larger in diameter. The electrostatic lens 2 forms a lens field 23 which propagates in the region of the lower end 20a of the first electrode 20 and the adjoining inner wall of the second electrode 21. The objective lens has an optical axis 3 about which the single-pole lens 1 and the electrostatic lens 2 are disposed with rotational symmetry. A particle beam is indicated by an arrow 4. The illustrated objective lens is distinguished in that the electrostatic lens 2 is disposed after or downstream of the magnetic single-pole lens 1 in the direction of the particle beam 4. Since the magnetic field is formed below the single-pole lens 1 and the electrical field likewise propagates below the single-pole lens, the electrical field is either in or below the magnetic field. The electrostatic lens 2 is preferably operated as a retarding lens with regard to the particle beam 4. In order to build up the retarding effect on the particle beam 4, the first electrode 20 is supplied with a first voltage U.sub.1 and the second electrode 21 is supplied with a second voltage U.sub.2, wherein the first voltage is for example 2 kV and the second voltage is between 0 and .+-.100 V, although higher voltages are also possible. Thus the electrical retarding field does not act on the primary particle beam until this latter has been focussed by the magnetic field. As a result the aberration coefficients which cannot be avoided by the electrostatic lens can be kept relatively low. The objective lens illustrated in FIG. 2a has a conically constructed single-pole magnetic lens 1' which is combined with an electrostatic lens 2'. Such an objective lens is suitable in particular in particle beam devices with a large tiltable specimen stage, since further space for the tilting of the specimen is obtained by the conical construction of the single-pole lens. The electrostatic lens 2' differs from the construction according to FIG. 1 in that the second electrode 21' is constructed with a smaller diameter than the first electrode 20 and projects from below into the first electrode 20. The lens field 23' forms here between the projecting end of the second electrode 21' and the adjoining wall of the first electrode 20. Finally, in FIG. 2b a third variant of an electrostatic lens 2" is illustrated in which both the first electrode 20 and the second electrode 21" have the same diameter and are disposed spaced from one another. In this arrangement the lens field 23" which forms is provided in the transition region between the first and second electrodes. In order to influence the electrostatic lens properties the two electrodes 20, 21 or 21' and 21" of the electrostatic lens 2 or 2', 2" can be disposed so that they are displaceable relative to one another in the direction of the optical axis. As a result, for example in the embodiment according to FIG. 1, the distance in the direction of the optical axis 3 between the lower end 20a and the lower end 21a of the two electrodes can be changed, so that the electrical field strength at the location of a specimen can also be adjusted. In the illustrated embodiment of FIGS. 3a and 3b the two electrodes 20, 21 are shown with different distances z.sub.1 or z.sub.2. The first electrode 20 is immersed further into the second electrode 21 in FIG. 3a than is the case in the embodiment according to FIG. 3b. A further possibility for influencing the electrostatic lens properties is shown in FIG. 4, in which the second electrode 21 is provided with an aperture 5. With differing aperture diameters D of the aperture the electrostatic lens can be adapted to the particular requirements. The electrostatic lens 2 can also have in addition to the two electrodes 20, 21 a further, third electrode 22 which is again constructed as a tube electrode. In the embodiment according to FIG. 5 the third electrode 22 is disposed coaxially about the second electrode 21, its lower end 22a being spaced from the lower end 21a of the second electrode in the direction of the optical axis 3. The third electrode 22 is also provided here with an aperture 5'. A further embodiment provides for the slotting of one of the two electrodes in multi-pole elements. In the embodiment illustrated in FIG. 6a the electrode 21 is provided with slots 21b. In the illustrated embodiment eight electrical multi-pole elements 21c are formed between the slots 21. By suitable application of voltages these electrical multi-pole elements 21c can be connected and used as electrostatic deflectors, stigmators or other correcting elements. In this case the individual voltages are chosen so that again an average voltage is produced which corresponds to the voltage U.sub.2. In the embodiment shown in FIGS. 7a, 7b the electrode 21 is provided with two slots 21b, so that two electrical multi-pole elements 21c, 21d are formed. Furthermore, a magnetic multi-pole element 6a, 6b formed by a suitable arrangement of coils or permanent magnets is disposed in each case outside the electrode 21. With such an arrangement crossed electric/magnetic dipole transverse fields (Wien filters) can be generated. These can be adjusted in such a way that the primary particle beam 4 is not influenced, any secondary and backscattered electrons released on a specimen on the other hand are deflected and delivered to an off-axial in-lens or pre-lens detector which can detect both signals together or, by suitable construction, separately. In the embodiment according to FIGS. 8a, 8b in each case four electrical multi-pole elements 21c, 21d, 21e, 21f and four magnetic multi-pole elements 6a, 6b, 6c, 6d are provided which can generate crossed electric/magnetic quadrupole transverse fields. These can be adjusted in such a way that the primary particle beam is not influenced but on the other hand the secondary and backscattered electrons can be split up and delivered to two off-axial in-lens or pre-lens detectors which can detect both signals together or, by suitable construction, separately. Within the scope of the invention electric/magnetic multi-pole fields of higher order can also be used. The high-resolution objective lens described above is distinguished by low aberration coefficients particularly in the low-voltage range. It can therefore be used particularly advantageously in an electron beam device such as is illustrated by way of example in FIG. 9. It consists essentially of a source 10 for generating an electron beam 11 and an objective lens 12. In addition to further apertures which are not shown in greater detail, a blanking arrangement 13 in particular is also provided. The objective lens 12 can be constructed as required according to FIGS. 1 to 8b. In the illustrated example a deflecting arrangement 14 is also provided in the region of the electrostatic lens in order to deflect the electron beam 11 on a specimen 15. By interposition of a secondary electron spectrometer the objective lens can also be advantageously used in electron beam probing or in electron beam testing. Due to the construction of the electrodes as tube electrodes the electrostatic lens 2 can also be designated as a snorkel lens, the small diameter of which especially in the proximity of the specimen offers a large amount of space which can be utilised for the mounting of detectors and other equipment as well as for tilting the specimen. The electrical field strength at the location of the specimen, which can also be used for the extraction of secondary electrons and for the transfer to an in-lens or pre-lens detector can be adjusted according to the requirements either by the different depth of immersion of the first electrode 20 into the second electrode 21 or by an aperture of suitable size at the lower end of the second electrode 21. The slotted construction of the electrode 21 according to FIGS. 6a to 8b offers the possibility that beam-influencing elements for deflection or correction of the primary electron beam or for influencing the secondary electrons can be easily combined with one another in an extremely space-saving manner, since this merely requires an addition of suitable voltages on the multi-pole elements or electrodes. As a result multi-functional elements can be very readily integrated into the objective lens, which was not usually possible with conventional arrangements for lack of space.
description
FIG. 1 is a schematic perspective view showing a fluorescent image reading apparatus which is a preferred embodiment of the present invention and FIG. 2 is a schematic side view showing a reading optical system of the fluorescent image reading apparatus. The fluorescent image reading apparatus according to this embodiment is constituted so as to photoelectrically detect residual fluorescence emission released from a fluorescent dye after the completion of irradiation with a stimulating ray, namely, a laser beam, and produce digital image data. As shown in FIGS. 1 and 2, the fluorescent image reading apparatus according to this embodiment includes a laser diode array 2 for emitting a laser beam 1, a cylindrical lens 4 for condensing the laser beam 1 onto a gel support 3 which is an image carrier and carries an image of a fluorescent dye labeling a specimen, a lens array 6 for condensing fluorescence emission 5 released from the fluorescent dye contained in the gel support 3 upon being stimulated by the laser beam 1, a stimulating ray cut filter 7 disposed in the path of fluorescence emission 5 passing through the lens array 6 for cutting a light component having a wavelength equal to that of the laser beam 1 emitted from the laser diode array 2 and transmitting light components having wavelengths longer than that of the laser beam 1, a cooled CCD line sensor 8 for detecting only fluorescence emission passing through the stimulating ray cut filter 7, and an endless belt 9 for intermittently moving the gel support 3 in the direction indicated by an arrow Y in FIG. 1, namely, perpendicularly to the longitudinal direction X of the area to be irradiated with the laser beam 1 on the gel support 3. As shown in FIG. 1, the fluorescent image reading apparatus according to this embodiment further includes an amplifier 10 for amplifying an analog signal produced by and output from the cooled CCD line sensor 8 with a predetermined amplifying factor, an A/D converter 11 for converting the analog signal amplified by the amplifier 10 into a digital image signal with a scale factor suitable for the signal fluctuation width, an image data buffer 12 for receiving and temporarily storing the digital image signal output from the A/D converter 11, and an image data storing means 13 for storing digital image data. The digital image data temporarily stored in the image data buffer 12 are output to the image data storing means 13 at a predetermined time and stored therein. The digital image data stored in the image data storing means 13 are output to an image processing device 15 in accordance with an instruction signal from the user and are subjected to image processing as occasion demands and a fluorescent image is displayed on a display means such as a CRT or a flat display panel such as a liquid crystal display, an organic EL display or the like, based the digital image data. FIG. 3 is a schematic front view showing the details of a reading optical system of a fluorescent image reading apparatus which is a preferred embodiment of the present invention. As shown in FIG. 3, the laser diode array 2 is constituted by arranging a plurality of laser diodes 2a, 2b, 2c, . . . in a single line and a laser beam 1a, 1b, 1c, divergently emitted from each of the laser diodes 2a, 2b, 2c, . . . is condensed in a single direction to form a fan-like laser beam 1that linearly irradiates the gel support 3. In this embodiment, since SYPRO Ruby (registered trademark) used as a fluorescent dye for labeling a specimen can be stimulated by a laser beam 1 having a wavelength of 473 nm, the plurality of laser diodes 2a, 2b, 2c, . . . are constituted so as to emit a laser beam having a wavelength of 473 nm. Therefore, a cut filter for cutting a light component having a wavelength of 473 nm and transmitting light components having longer wavelength than 473 nm is employed as a stimulating ray cut filter 7. FIG. 4 is a schematic front view showing the cooled CCD line sensor 8 used for a fluorescent image reading apparatus which is a preferred embodiment of the present invention. As shown in FIG. 4, the cooled CCD line sensor 8 is constituted by arranging a plurality of sensor chips (photoelectrical conversion elements) 16 in a single line. The plurality of sensor chips 16 are disposed along the longitudinal direction of an area to be irradiated with the laser beam 1 on the gel support 3 as indicated by an arrow X in FIG. 1. Although not shown in FIG. 4, the cooled CCD line sensor 8 is provided with a cooling means including a heat transfer plate made of a metal such as aluminum, a Peltier element for cooling the sensor chips (photoelectrical conversion elements) 16 and heat dispersion fins for dispersing heat generated by the Peltier element. FIG. 5 is a schematic front view showing a lens array used for a fluorescent image reading apparatus which is a preferred embodiment of the present invention and FIG. 6 is a schematic side view thereof. As shown in FIGS. 5 and 6, the lens array 6 is constituted by arranging many gradient index lenses 6a, 6b, 6c, 6d, . . . in a single line. The many gradient index lenses 6a, 6b, 6c, 6d, . . . are disposed along the longitudinal direction of an area to be irradiated with the laser beam 1 on the gel support 3 as indicated by the arrow X in FIG. 1. FIG. 7 is a block diagram of a control system, an input system and a drive system of the fluorescent image reading apparatus which is a preferred embodiment of the present invention. As shown in FIG. 7, the control system of the fluorescent image reading apparatus according to this embodiment includes a control unit 20 for controlling the overall operation of the fluorescent image reading apparatus, a stimulating ray source controlling means 21 for controlling the on-off operation of the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 and a sensor controlling means 22 for controlling the on-off operation of the plurality of sensor chips (photoelectrical conversion elements) 16 constituting the cooled CCD line sensor 8. Further, as shown in FIG. 7, the input system of the fluorescent image reading apparatus according to this embodiment includes a keyboard 24 and the drive system thereof includes a motor 25 for driving the endless belt 9. The thus constituted fluorescent image reading apparatus according to this embodiment irradiates the gel support 3 with a laser beam 1, photoelectrically detects residual fluorescence emission to read an image of a fluorescent dye labeling a specimen carried in the gel support 3 and produces digital image data in the following manner. The gel support 3 carrying an image of a fluorescent dye labeling a specimen is first placed on the endless belt 9. The kind of a fluorescent dye labeling the specimen is then input through the keyboard 24 together with a start signal. In this embodiment, the gel support 3 carries an electrophoresis image of protein molecules labeled with a fluorescent dye. The electrophoresis image of protein molecules labeled with a fluorescent dye is recorded in the gel support 3 by dying and labeling electrophoresed protein on the gel support 3 with, for example, SYPRO Ruby (registered trademark). The start signal and the kind of a fluorescent dye labeling the specimen input through the keyboard 24 are input to the control unit 20 and when the control unit 20 receives the start signal, it outputs a drive signal to the motor 25 to cause it to drive the endless belt 9 until the gel support 3 placed on the endless belt 9 reaches a position where it can be irradiated with a laser beam 1. A table in which light emitting amount data and decay times of residual fluorescence emission for each kind of fluorescent dye are written is produced in advance and stored in the control unit 20 and the control unit 20 accesses the table to read light emitting amount data and the decay time T of residual fluorescence emission of SYPRO Ruby (registered trademark) and stores them in a stimulation and detection control data memory (not shown). The control unit 20 then determines, based on the light emitting amount data of residual fluorescence emission for SYPRO Ruby (registered trademark) read out from the table and stored in the stimulation and detection control data memory, how many times the step of irradiation with a laser beam 1 and detection of residual fluorescence emission should be repeated and stores the number n of repetition (n is an integer equal to or greater than 1) in the stimulation and detection control data memory. Since the amount of residual fluorescence emission is generally small, this embodiment is constituted so that the step of irradiation with a laser beam 1 and detection of residual fluorescence emission can be repeated in order to receive a sufficient light amount of residual fluorescence emission. At the same time, based on the decay time T of residual fluorescence emission of the fluorescent dye labeling the specimen read from the table, the control unit 20 determines the time period T1 during which the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 are held on and the fluorescent dye is stimulated by the laser beam 1, the time tssi when the plurality of laser diodes 2a, 2b, 2c, . . . are turned on and the time tsei when the plurality of laser diodes 2a, 2b, 2c, . . . are turned off and further determines the time period T2 during which the plurality of sensor chips (photoelectrical conversion elements) 16 constituting the cooled CCD line sensor 8 are held on and fluorescence emission released from the fluorescent dye is detected by the plurality of sensor chips 16, the time tdsi when the plurality of sensor chips 16 are turned on and the time tdei when the plurality of sensor chips 16 are turned off. The control unit 20 then stores the determined values in the stimulation and detection control data memory and outputs a stimulating ray irradiation start signal to the stimulating ray source control means 21. Here, i designates the ith step of irradiation with a laser beam 1 and detection of residual fluorescence emission. FIG. 8 is a graph showing the relationship between irradiation time with the laser beam 1 and the intensity of fluorescence emission released from the fluorescent dye. As shown in FIG. 8, the output of the stimulating ray irradiation start signal from the control unit 20 to the stimulating ray source control means 21, turns on the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 at the time tss1 so that laser beams 1 having a wavelength of 473 nm are divergently emitted. Each of the laser beams 1 divergently emitted is condensed by the cylindrical lens 4 in a single direction to form a fan-like laser beam 1 and the gel support 3 is linearly irradiated with the fan-like laser beam 1. As a result, SYPRO Ruby (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen is stimulated to release fluorescence emission. However, since the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 are held off while the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 are held on, fluorescence emission is not detected by the cooled CCD line sensor 8. As shown in FIG. 8, at the time tsel when the time period T1 has passed after the plurality of laser diodes 2a, 2b, 2c, . . . were turned on, the control unit 20 outputs a stimulating ray irradiation stop signal to the stimulating ray source controlling means 21, thereby causing it to turn off the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2. Even after the laser diodes 2a, 2b, 2c, . . . have been turned off and the laser beam 1 is no longer emitted, fluorescence emission called residual fluorescence emission continues to be released from SYPRO Ruby (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen. As shown in FIG. 8, the control unit 20 outputs a light detection start signal to the sensor controlling means 22 at the time tds2, thereby causing it to turn on the plurality of sensor chips 16 constituting the cooled CCD line sensor 8. As a result, residual fluorescence emission released from SYPRO Ruby (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen is condensed by the lens array 6 and enters the stimulating ray cut filter 7. Since the stimulating ray cut filter 7 has a property of cutting a light component having a wavelength of 473 nm emitted from the laser diode array 2 and transmitting only light components having wavelengths longer than 473 nm, a light component having a wavelength of 473 nm equal to that of the laser beam 1 is cut by the stimulating ray cut filter 7 and only residual fluorescence emission having wavelengths longer than 473 nm equal to that of the laser beam 1 is transmitted through the stimulating ray cut filter 7 and enters the light receiving surfaces of the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 to form an image on the light receiving surfaces. The plurality of sensor chips 16 constituting the cooled CCD line sensor 8 receive light of the image thus formed on the light receiving surfaces and accumulate it in the form of electric charges therein. As shown in FIG. 8, at the time tde1 when the time period T2 has passed after the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 were turned on, the control unit 20 outputs a light detection stop signal to the sensor controlling means 22, thereby causing it to turn off the plurality of sensor chips 16 constituting the cooled CCD line sensor 8. Thus, the first step of irradiation with a laser beam 1 and detection of residual fluorescence emission is completed. Further, at the time tss2, as shown in FIG. 8, a second step of irradiation with a laser beam 1 and detection of residual fluorescence emission is started and the control unit 20 outputs a stimulating ray irradiation start signal to the stimulating ray source controlling means 21, thereby again causing it to turn on the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2. As a result, laser beams 1 having a wavelength of 473 nm are divergently emitted from the plurality of laser diodes 2a, 2b, 2c, . . . and each of the laser beams 1 is condensed by the cylindrical lens 4 in a single direction to form a fan-like laser beam 1 that linearly irradiates the gel support 3. When the gel support 3 is irradiated with the laser beam 1, SYPRO Ruby (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen is stimulated to release fluorescence emission. However, since the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 are held off while the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 are held on, fluorescence emission is not detected by the cooled CCD line sensor 8. As shown in FIG. 8, at the time tse2 when the time period T1 has passed after the plurality of laser diodes 2a, 2b, 2c, . . . were turned on, the control unit 20 outputs a stimulating ray irradiation stop signal to the stimulating ray source controlling means 21, thereby causing it to turn off the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2. Further, as shown in FIG. 8, the control unit 20 outputs a light detection start signal to the sensor controlling means 22 at the time tds2, thereby causing it to turn on the plurality of sensor chips 16 constituting the cooled CCD line sensor 8. As a result, residual fluorescence emission released from SYPRO Ruby (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen after the completion of irradiation with the laser beam 1 is condensed by the lens array 6 and enters the stimulating ray cut filter 7. A light component having a wavelength of 473 nm equal to that of the laser beam 1 is cut by the stimulating ray cut filter 7 and only residual fluorescence emission having wavelengths longer than 473 nm equal to that of the laser beam 1 is transmitted through the stimulating ray cut filter 7 and enters the light receiving surfaces of the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 to form an image on the light receiving surfaces. The plurality of sensor chips 16 constituting the cooled CCD line sensor 8 receive light of the image thus formed on the light receiving surfaces and accumulate it in the form of electric charges therein. As shown in FIG. 8, at the time tde2 when the time period T2 has passed after the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 were turned on, the control unit 20 outputs a light detection stop signal to the sensor controlling means 22, thereby causing it to turn off the plurality of sensor chips 16 constituting the cooled CCD line sensor 8. Thus, the second step of irradiation with a laser beam 1 and detection of residual fluorescence emission is completed. Similarly to the above, the step of irradiation with a laser beam 1 and detection of residual fluorescence emission is repeated and when the number of the repeated steps becomes equal to the number n of repetition stored in the stimulation and detection control data memory, the control unit 20 causes the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 to output analog image data accumulated in the form of electric charge therein to the amplifier 10 and causes the amplifier 10 to amplify the analog image data with a predetermined amplifying factor. The control unit 20 further causes the amplifier 10 to output amplified analog image data to the A/D converter 11, causes the A/D converter 11 to convert the analog image data to digital image data with a scale factor suitable for the signal fluctuation width and temporarily stores the digital image data in the image data buffer 12. At the same time, the control unit 20 outputs a drive signal to the motor 25, thereby causing it to move the endless belt 9 by a distance equal to one scanning line in the direction indicated by the arrow Y in FIG. 1. Similarly, an adjacent linear area of the gel support 3 is irradiated with laser beams 1 having a wavelength of 473 nm and emitted from the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 and SYPRO Ruby (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen is stimulated. Residual fluorescence emission released from SYPRO Ruby (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen after the completion of irradiation with the laser beam 1 is received by the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 and accumulated in the form of electric charge therein. Thus, when an nth step of irradiation with a laser beam 1 and detection of residual fluorescence emission has been completed, the control unit 20 causes the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 to output analog image data accumulated in the form of electric charge therein to the amplifier 10 and causes the amplifier 10 to amplify the analog image data with a predetermined amplifying factor. The control unit 20 further causes the amplifier 10 to output amplified analog image data to the A/ID converter 11, causes the A/D converter 11 to convert the analog image data to digital image data with a scale factor suitable for the signal fluctuation width and temporarily stores the digital image data in the image data buffer 12. At the same time, the control unit 20 outputs a drive signal to the motor 25, thereby causing it to move the endless belt 9 by a distance equal to one scanning line in the direction indicated by the arrow Y in FIG. 1. Thus, the whole surface of the gel support 3 is scanned with laser beams 1 having a wavelength of 473 nm and emitted from the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 and residual fluorescence emission released from SYPRO Ruby (registered trademark) which is a fluorescent dye is detected by the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 to produce analog image data. The analog image data are digitized by the A/D converter 11 and an image of the fluorescent dye labeling the specimen carried in the gel support 3 is read. The thus produced digital image data are temporarily stored in the image data buffer 12. When an image of the fluorescent dye labeling the specimen carried in one gel support 3 has been read, a data transfer signal is output from the control unit 20 to the image data buffer 12 and the digital image data temporarily stored in the image data buffer 12 are output to the image data storing means 13 and stored therein. When the user requests production of a fluorescent image by inputting an image producing signal through the keyboard 24, the control unit 20 outputs the digital image data stored in the image data storing means 13 to the image processing device 15. The image processing device 15 effects necessary image processing on the thus input digital image data in accordance with the user""s instructions and a fluorescent image is displayed on a display means such as a CRT or a flat display panel such as a liquid crystal display, an organic EL display or the like based on the image processed digital image data. According to the above described embodiment, although a linear area of the gel support 3 is irradiated with the linear laser beams 1 having a wavelength of 473 nm, emitted from the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 and condensed by the cylindrical lens 4 in a single direction and SYPRO Ruby (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen is stimulated, since the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 are held off while the gel support 3 is irradiated with the linear laser beams 1, fluorescence emission is not detected by the cooled CCD line sensor 8. After the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 have been turned off, the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 are turned on and residual fluorescence emission released from SYPRO Ruby (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen after the completion of irradiation with the laser beams 1 is detected by the plurality of sensor chips 16 constituting the cooled CCD line sensor 8. The analog image data produced by the cooled CCD line sensor 8 are digitized by the A/D converter 11 to produce digital image data. Therefore, since the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 have been already turned off when the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 detect residual fluorescence emission, it is possible to prevent noise caused by detecting the laser beams 1 by the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 from being generated in the digital image data and to improve an S/N ratio. Further, according to the above described embodiment, since all the fluorescent dye contained in a linear area of the gel support 3 is simultaneously stimulated by irradiating the linear area of the gel support with the linear laser beams 1 having a wavelength of 473 nm, emitted from the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 and condensed by the cylindrical lens 4 in a single direction, even if the step of irradiation with the linear laser beams 1 and detection of residual fluorescence emission is repeated in order to increase the amount of residual fluorescence emission to be received by the cooled CCD line sensor 8, it is still possible to rapidly produce digital image data in which noise caused by photoelectrically detecting the laser beams 1 by the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 is lowered and which have a high S/N ratio. Furthermore, according to the above described embodiment, since the fluorescent image reading apparatus is provided with the stimulating ray cut filter 7 having a property of cutting a light component having a wavelength of the laser beam 1 emitted from the laser diode array 2 and transmitting only light components having wavelengths longer than that of the laser beam 1, it is possible to produce digital image data in which noise caused by photoelectrically detecting the laser beams 1 by the plurality of sensor chips 16 constituting the cooled CCD line sensor 8 is markedly lowered and which have a high SIN ratio. FIG. 9 is a schematic plan view showing the vicinity of a stimulating ray source of a fluorescent image reading apparatus which is another preferred embodiment of the present invention. As shown in FIG. 9, a fluorescent image reading apparatus according to this embodiment is provided with an LED stimulating ray source 30 and a light blocking plate 31 and the light blocking plate 31 is formed with a linear slit 32. In this embodiment, an LED stimulating ray source 30 for emitting a stimulating ray 33 having a center wavelength of 340 nm is employed instead of the laser diode array 2 in the previous embodiment and the light blocking plate 31 is formed of a material capable of cutting at least a light component having a wavelength of 340 nm. The width of the slit 32 is determined so as to coincide with the width of an image carrying area of an image carrier 34 carrying an image of a fluorescent dye labeling a specimen. Therefore, a part of a stimulating ray 33 having a wavelength of 340 nm and emitted from the LED stimulating ray source 30 is cut by the light blocking plate 31 and only a line beam of the stimulating ray 33 passing through the slit 32 is projected onto the image carrier 34, thereby simultaneously stimulating all the fluorescent dye contained in a linear area 35 of the image carrier 34. FIG. 10 is a block diagram of a control system, an input system and a drive system of the fluorescent image reading apparatus according to this embodiment. As shown in FIG. 10, in this embodiment, a cooled photodiode array 36 provided with a cooling means (not shown) is employed instead of the cooled CCD line sensor 8 in the previous embodiment and, therefore, the control system, the input system and the drive system of the fluorescent image reading apparatus according to this embodiment has the same configuration as that of the fluorescent image reading apparatus according the embodiment shown in FIG. 7 except that the stimulating ray source control means 21 is constituted so as to control the on-off operation of the LED stimulating ray source 30 and that the sensor controlling means 22 is constituted so as to control the on-off operation of the cooled photodiode array 36. In this embodiment, a membrane filter is used as the image carrier 34 and the membrane filter 34 carries an electrophoresis image of protein molecules labeled with a fluorescent dye. The electrophoresis image of protein molecules labeled with a fluorescent dye is recorded in the membrane filter 34 by dying and labeling electrophoresed protein on the membrane filter 34 with, for example, DELFIA (registered trademark). The thus constituted fluorescent image reading apparatus according to this embodiment irradiates the membrane filter 34 with a line beam of a stimulating ray 33, photoelectrically detects residual fluorescence emission to read an image of a fluorescent dye labeling a specimen carried in the membrane filter 34 and produce digital image data in the following manner. The membrane filter 34 carrying an image of a fluorescent dye labeling a specimen is first placed on the endless belt 9. DELFIA (registered trademark) is then input as the kind of fluorescent dye labeling the specimen through the keyboard 24 together with a start signal. The start signal and the kind of a fluorescent dye labeling the specimen input through the keyboard 24 are input to the control unit 20 and when the control unit 20 receives the start signal, it outputs a drive signal to the motor 25 to cause it to drive the endless belt 9 until the membrane filter 34 placed on the endless belt 9 reaches a position where it can be irradiated with the line beam of the stimulating ray 33. At the same time, the control unit 20 accesses the table in which light emitting amount data and decay times of residual fluorescence emission for each kind of fluorescent dye are written, reads light emitting amount data and the decay time T of residual fluorescence emission of DELFIA (registered trademark) and stores them in a stimulation and detection control data memory (not shown). The control unit 20 then determines, based on light emitting amount data of residual fluorescence emission for DELFIA (registered trademark) read out from the table and stored in the stimulation and detection control data memory, how many times the step of irradiation with the line beam of the stimulating ray 33 and detection of residual fluorescence emission should be repeated and stores the number m of repetition (m is an integer equal to or greater than 1) in the stimulation and detection control data memory. At the same time, based on the decay time T of residual fluorescence emission of DELFIA which is a fluorescent dye labeling the specimen read from the table, the control unit 20 determines the time period T1 during which the LED stimulating ray source 30 is held on and the fluorescent dye is stimulated by the stimulating ray 33, the time tssi when the LED stimulating ray source 30 is turned on and the time tsei when the LED stimulating ray source 30 is turned off and further determines the time period T2 during which the cooled photodiode array 36 is held on and fluorescence emission released from the fluorescent dye is detected by the cooled photodiode array 36, the time tdsi when the cooled photodiode array 36 is turned on and the time tdei when the cooled photodiode array 36 is turned off. The control unit 20 then stores the determined values in the stimulation and detection control data memory and outputs a stimulating ray irradiation start signal to the stimulating ray source control means 21. As a result, the LED stimulating ray source 30 is turned on at the time tss1 and a stimulating ray 33 having a center wavelength of 340 nm is divergently emitted. A part of the stimulating ray 33 divergently emitted from the LED stimulating ray source 30 is cut by the light blocking plate 31 and only the line beam of the stimulating ray 33 passing through the slit 32 is projected onto the membrane filter 34. As a result, DELFIA (registered trademark) which is a fluorescent dye contained in a linear area 35 of the membrane filter 34 is simultaneously stimulated throughout the linear 35 to release fluorescence emission. However, since the cooled photodiode array 36 is held off while the LED stimulating ray source 30 is held on, fluorescence emission is not detected by the cooled photodiode array 36. At the time tse1 when the time period T1 has passed after the LED stimulating ray source 30 was turned on, the control unit 20 outputs a stimulating ray irradiation stop signal to the stimulating ray source controlling means 21, thereby causing it to turn off the LED stimulating ray source 30. Even after the LED stimulating ray source 30 has been turned off and the line beam of the stimulating ray 33 is no longer emitted, fluorescence emission called residual fluorescence emission continues to be released from DELFIA (registered trademark) which is a fluorescent dye contained in the membrane filter 34 and labeling the specimen. The control unit 20 outputs a light detection start signal to the sensor controlling means 22 at the time tds1, thereby causing it to turn on the cooled photodiode array 36. As a result, residual fluorescence emission released from DELFIA (registered trademark) which is a fluorescent dye contained in the membrane filter 34 and labeling the specimen is condensed by the lens array 6 and enters the stimulating ray cut filter 7. In this embodiment, a cut filter having a property of cutting a light component having a wavelength of 340 nm equal to that of the stimulating ray 33 and transmitting only light components having wavelengths longer than 340 nm is employed as a stimulating ray cut filter 7. Therefore, a light component having a wavelength of 340 nm equal to that of the stimulating ray 33 is cut by the stimulating ray cut filter 7 and only residual fluorescence emission having wavelengths longer than 340 nm equal to that of the stimulating ray 33 is transmitted through the stimulating ray cut filter 7 and enters the light receiving surface of the cooled photodiode array 36 to form an image on the light receiving surface. The cooled photodiode array 36 receives light of the image thus formed on the light receiving surface and accumulates it in the form of electric charges therein. At the time tde1 when the time period T2 has passed after the cooled photodiode array 36 was turned on, the control unit 20 outputs a light detection stop signal to the sensor controlling means 22, thereby causing it to turn off the cooled photodiode array 36. Thus, the first step of irradiation with the stimulating ray 33 and detection of residual fluorescence emission is completed. Further, a second step of irradiation with the stimulating ray 33 and detection of residual fluorescence emission is started at the time tss2 and the control unit 20 outputs a stimulating ray irradiation start signal to the stimulating ray source controlling means 21, thereby again causing it to turn on the LED stimulating ray source 30. As a result, the stimulating ray 33 having a center wavelength of 340 nm is divergently emitted from the LED stimulating ray source 30. A part of the stimulating ray 33 divergently emitted from the LED stimulating ray source 30 is cut by the light blocking plate 31 and only the line beam of the stimulating ray 33 passing through the slit 32 is projected onto the membrane filter 34. As a result, DELFIA (registered trademark) which is a fluorescent dye contained in a linear area 35 of the membrane filter 34 is simultaneously stimulated throughout the linear area 35 to release fluorescence emission. However, since the cooled photodiode array 36 is held off while the LED stimulating ray source 30 is held on, fluorescence emission is not detected by the cooled photodiode array 36. At the time tse2 when the time period T1 has passed after the LED stimulating ray source 30 was turned on, the control unit 20 outputs a stimulating ray irradiation stop signal to the stimulating ray source controlling means 21, thereby causing it to turn off the LED stimulating ray source 30. The control unit 20 then outputs a light detection start signal to the sensor controlling means 22 at the time tds2, thereby causing it to turn on the cooled photodiode array 36. As a result, residual fluorescence emission released from DELFIA (registered trademark) which is a fluorescent dye contained in the membrane filter 34 and labeling the specimen is condensed by the lens array 6 and enters the stimulating ray cut filter 7. Since the stimulating ray cut filter 7 has a property of cutting a light component having a wavelength of 340 nm equal to that of the stimulating ray 33 and transmitting only light components having wavelengths longer than 340 nm, a light component having a wavelength of 340 nm equal to that of the stimulating ray 33 is cut by the stimulating ray cut filter 7 and only residual fluorescence emission having wavelengths longer than 340 nm equal to that of the stimulating ray 33 is transmitted through the stimulating ray cut filter 7 and enters the light receiving surfaces of the cooled photodiode array 36 to form an image on the light receiving surfaces. The cooled photodiode array 36 receives light of the image thus formed on the light receiving surfaces and accumulates it in the form of electric charges therein. Thus, the second step of irradiation with the stimulating ray 33 and detection of residual fluorescence emission is completed. Similarly to the above, the step of irradiation with the stimulating ray 33 and detection of residual fluorescence emission is repeated and when the number of the repeated steps becomes equal to the number m of repetition stored in the stimulation and detection control data memory, the control unit 20 causes an electric charge transfer means (not shown) to output analog image data accumulated in the form of electric charge by the cooled photodiode array 36 to the amplifier 10 and causes the amplifier 10 to amplify the analog image data with a predetermined amplifying factor. The control unit 20 further causes the amplifier 10 to output amplified analog image data to the A/D converter 11, causes the A/D converter 11 to convert the analog image data to digital image data with a scale factor suitable for the signal fluctuation width and temporarily stores the digital image data in the image data buffer 12. At the same time, the control unit 20 outputs a drive signal to the motor 25, thereby causing it to move the endless belt 9 by a distance equal to one scanning line in the direction indicated by the arrow Y in FIG. 1. Similarly, an adjacent linear area of the membrane filter 34 is irradiated with the stimulating ray 33 having a center wavelength of 340 nm and emitted from the LED stimulating ray source 30 and DELFIA (registered trademark) which is a fluorescent dye contained in the membrane filter 34 and labeling the specimen is stimulated. Residual fluorescence emission released from DELFIA (registered trademark) which is a fluorescent dye contained in the gel support 3 and labeling the specimen after the completion of irradiation with the stimulating ray 33 is received by the cooled photodiode array 36 and accumulated in the form of electric charge therein. Thus, when an mth step of irradiation with the stimulating ray 33 and detection of residual fluorescence emission has been completed, the control unit 20 causes the electric charge transfer means (not shown) to output analog image data accumulated in the form of electric charge by the cooled photodiode array 36 to the amplifier 10 and causes the amplifier 10 to amplify the analog image data with a predetermined amplifying factor. The control unit 20 further causes the amplifier 10 to output amplified analog image data to the A/D converter 11, causes the A/D converter 11 to convert the analog image data to digital image data with a scale factor suitable for the signal fluctuation width and temporarily stores the digital image data in the image data buffer 12. At the same time, the control unit 20 outputs a drive signal to the motor 25, thereby causing it to move the endless belt 9 by a distance equal to one scanning line in a direction indicated by an arrow Y in FIG. 1. Thus, the whole surface of the membrane filter 34 is scanned with the stimulating ray 33 having a center wavelength of 340 nm and emitted from the LED stimulating ray source 30 and residual fluorescence emission released from DELFIA (registered trademark) which is a fluorescent dye is detected by the cooled photodiode array 36 to produce analog image data. The analog image data are digitized by the A/D converter 11 and an image of the fluorescent dye labeling the specimen carried in the gel support 3 is read. The thus produced digital image data are temporarily stored in the image data buffer 12. When an image of the fluorescent dye labeling the specimen carried in one membrane filter 34 has been read, a data transfer signal is output from the control unit 20 to the image data buffer 12 and the digital image data temporarily stored in the image data buffer 12 are output to the image data storing means 13 and stored therein. When the user requests production of a fluorescent image by imputting an image producing signal through the keyboard 24, the control unit 20 outputs the digital image data stored in the image data storing means 13 to the image processing device 15 The image processing device 15 effects necessary image processing on the thus input digital image data in accordance with the user""s instructions and a fluorescent image is displayed on the display means such as a CRT or a flat display panel such as a liquid crystal display, an organic EL display or the like based on the image processed digital image data According to this embodiment, although a linear area of the membrane filter 34 is irradiated with the line beam of the stimulating ray 33 having a center wavelength of 340 nm and emitted from the LED stimulating ray source 30 and DELFIA (registered trademark) which is a fluorescent dye contained in the membrane filter 34 and labeling the specimen is stimulated, since the cooled photodiode array 36 is held off while the LED stimulating ray source 30 is held on, fluorescence emission is not detected by the cooled photodiode array 36. After the LED stimulating ray source 30 has been turned off, the cooled photodiode array 36 is turned on and residual fluorescence emission released from DELFIA (registered trademark) which is a fluorescent dye contained in the membrane filter 34 and labeling the specimen after the completion of irradiation with the stimulating ray 33 is detected by the cooled photodiode array 36. The analog image data produced by the cooled photodiode array 36 are digitized by the A/D converter 11 to produce digital image data. Therefore, since the LED stimulating ray source 30 has been already turned off when the cooled photodiode array 36 detects residual fluorescence emission, it is possible to prevent noise caused by detecting the stimulating ray 33 by the cooled photodiode array 36 from being generated in the digital image data and to improve an S/N ratio. Further, according to this embodiment, since all the fluorescent dye contained in a linear area of the gel support 3 is simultaneously by irradiating the linear area of the membrane filter 34 with the line beam of the stimulating ray 33 having a center wavelength of 340 nm and emitted from the LED stimulating ray source 30, even if the step of irradiation with the stimulating ray 33 and detection of residual fluorescence emission is repeated in order to increase the amount of residual fluorescence emission to be received by the cooled photodiode array 36, it is still possible to rapidly produce digital image data in which noise caused by photoelectrically detecting the stimulating ray 33 by the cooled photodiode array 36 is lowered and which have a high S/N ratio. Furthermore, according to this embodiment, since the fluorescent image reading apparatus is provided with the stimulating ray cut filter 7 having a property of cutting a light component having a wavelength of the stimulating ray 33 emitted from the LED stimulating ray source 30 and transmitting only light components having wavelengths longer than that of the stimulating ray 33, it is possible to produce digital image data in which noise caused by photoelectrically detecting the stimulating ray 33 by the cooled photodiode array 36 is markedly lowered and which have a high S/N ratio. FIG. 11 is a schematic plan view showing the vicinity of a stimulating ray source of an autoradiographic image reading apparatus which is a further preferred embodiment of the present invention. As shown in FIG. 11, an autoradiographic image reading apparatus according to this embodiment is provided with a laser stimulating ray source 40 and a lens 41. In this embodiment, a laser stimulating ray source 40 for emitting a laser beam 43 having a wavelength of 640 nm is employed instead of the laser diode array 2 according to the embodiment shown in FIGS. 1 to 8 and as shown in FIG. 11, the laser beam 43 emitted from the laser stimulating ray source 40 is diverged by the lens 41 in the direction indicated by the arrow Y in FIG. 11 and corresponding to the direction indicated by the arrow Y in FIG. 1 and is converged in a plane including the optical axis and the longitudinal axis of the lens 41 by the lens 41 in a direction perpendicular to the direction indicated by the arrow Y. As a result, a linear laser beam 43 is generated and is linearly projected onto a stimulable phosphor layer 45 of a stimulable phosphor sheet 44 carrying an autoradiographic image, thereby simultaneously exciting all the stimulable phosphor contained in a linear area 35 of the stimulable phosphor layer 45. FIG. 12 is a block diagram of a control system, an input system and a drive system of the autoradiographic image reading apparatus which is a further preferred embodiment of the present invention. As shown in FIG. 12, in this embodiment, a cooled MOS type imaging device 46 provided with a cooling means (not shown) is employed instead of the cooled CCD linear sensor 8 according to the embodiment shown in FIGS. 1 to 8 and, therefore, the control system, the input system and the drive system of the fluorescent image reading apparatus according to this embodiment has the same configuration as that of the fluorescent image reading apparatus according the embodiment shown in FIG. 7 except that the stimulating ray source control means 21 is constituted so as to control the on-off operation of the laser stimulating ray source 40 and that the sensor controlling means 22 is constituted so as to control the on-off operation of the cooled MOS type imaging device 46. In this embodiment, a stimulable phosphor sheet formed with a stimulable phosphor layer recording an autoradiographic image regarding locational information of a radioactive labeling substance is employed as an image carrier Locational information regarding a radioactive labeling substance is recorded in the stimulable phosphor layer formed on the stimulable sheet in the following manner. Locational information as termed here includes a variety of information relating to the location of radioactive labeled substances, or aggregations thereof, present in a specimen, such as the location, the shape, the concentration, the distribution or combinations thereof. When locational information regarding a radioactive labeling substance, for example, in a gene obtained using a Southern blot-hybridization method is to be recorded in the stimulable phosphor layer formed on the stimulable sheet, first, a plurality of DNA fragments containing a specific gene are separated and distributed on a gel support medium by means of electrophoresis and are denatured by alkali processing to form single-stranded DNA. Then, according to the known Southern blotting method, the gel support and a transfer support such as a nitrocellulose filter are stacked to transfer at least a part of the denatured DNA fragments onto the transfer support and the transferred DNA fragments are fixed on the transfer support by heating and irradiating with an ultraviolet beam. Further, probes prepared by radioactively labeling DNA or RNA which is complementary to the DNA containing the specific gene and the denatured DNA fragments are hybridized by heating to form double-stranded DNA fragments or combined DNA and RNA. Since the denatured DNA fragments are fixed on the transfer support at this time, only the DNA fragments which are complimentary to the probe DNA or probe RNA are hybridized to acquire the radioactively labeled probe. Then, the probes which have not formed hybrids are removed by washing with a proper solution and only the DNA fragments having a specific gene form hybrids with the radioactively labeled DNA or RNA on the transfer support to be radioactively labeled. The thus obtained transfer support is dried and the transfer support and the stimulable phosphor sheet are stacked for a certain period of time to expose the stimulable phosphor layer, and at least a part of the radiation emitted from the radioactively labeling substance on the transfer support is absorbed in the stimulable phosphor layer formed on the stimulable phosphor sheet, whereby the locational information regarding the radioactively labeled substance in the specimen is stored in the stimulable phosphor layer. The thus constituted autoradiographic image reading apparatus according to this embodiment irradiates the stimulable phosphor layer 45 formed on the stimulable phosphor sheet 44 with a linear laser beam 43, photoelectrically detects residual stimulated emission to read an autoradiographic image of a radioactive labeling substance regarding locational information carried in the stimulable phosphor layer 45 and produces digital image data in the following manner. The stimulable phosphor sheet 44 carrying an autoradiographic image of a radioactive labeling substance regarding locational information is first placed on the endless belt 9. The kind of stimulable phosphor contained in the stimulable phosphor layer 45 is input by the user through the keyboard 24 together with a start signal. The start signal and the kind of stimulable phosphor input through the keyboard 24 is input to the control unit 20 and when the control unit 20 receives the start signal, it outputs a drive signal to the motor 25 to cause it to drive the endless belt 9 until the stimulable phosphor sheet 44 placed on the endless belt 9 reaches a position where it can be irradiated with the laser beam 43. At the same time, the control unit 20 accesses the table in which light emitting amount data and decay times of residual stimulated emission for each kind of stimulable phosphor are written, reads light emitting amount data and the decay time TT of residual stimulated emission of the input stimulable phosphor and stores them in a stimulation and detection control data memory (not shown). The control unit 20 then determines, based on light emitting amount data of residual stimulated emission for the stimulable phosphor read out from the table and stored in the stimulation and detection control data memory, how many times the step of irradiation with the laser beam 43 and detection of residual stimulated emission should be repeated and stores the number p of repetition (p is an integer equal to or greater than 1) in the stimulation and detection control data memory. At the same time, based on the read out decay time TT of residual fluorescence emission of the stimulable phosphor, the control unit 20 determines the time period TT1 during which the laser stimulating ray source 40 is held on and the stimulable phosphor is stimulated by the laser beam 43, the time tssi when the laser stimulating ray source 40 is turned on and the time tsei when the laser stimulating ray source 40 is turned off and further determines the time period TT2 during which the cooled MOS type imaging device 46 is held on and stimulated emission released from the stimulable phosphor is detected by the cooled MOS type imaging device 46, the time tdsi when the cooled MOS type imaging device 46 is turned on and the time tdei when the cooled MOS type imaging device 46 is turned off. The control unit 20 then stores the determined values in the stimulation and detection control data memory and outputs a stimulating ray irradiation start signal to the stimulating ray source control means 21. Here, i designates the ith step of irradiation with the laser beam 43 and detection of residual stimulated emission. FIG. 13 is a graph showing the relationship between irradiation time with the laser beam 43 and the intensity of stimulated emission released from a stimulable phosphor. As a result, as shown in FIG. 13, the laser stimulating ray source 40 is turned on at the time tss1 and a laser beam 43 having a wavelength of 640 nm is emitted. The laser beam 43 emitted from the laser stimulating ray source 40 enters the lens 41 and is diverged by the lens 41 in the direction indicated by the arrow Y in FIG. 11 and corresponding to the direction indicated by the arrow Y in FIG. 1 and is converged in a plane including the optical axis and the longitudinal axis of the lens 41 by the lens 41 in a direction perpendicular to the direction indicated by the arrow Y. As a result, a linear laser beam 43 is generated and is linearly projected onto a stimulable phosphor layer 45 of the stimulable phosphor sheet 44 carrying an autoradiographic image, thereby simultaneously exciting all the stimulable phosphor contained in a linear area 35 of the stimulable phosphor layer 45. Consequently, all stimulable phosphor contained in a linear area 35 of the stimulable phosphor layer 45 is simultaneously stimulated and stimulated emission is released. However, since the cooled MOS type imaging device 46 is held off while the laser stimulating ray source 40 is held on, stimulated emission is not detected by the cooled MOS type imaging device 46. As shown in FIG. 13, at the time tse1 when the time period TT1 has passed after the laser stimulating ray source 40 was turned on, the control unit 20 outputs a stimulating ray irradiation stop signal to the stimulating ray source controlling means 21, thereby causing it to turn off the laser stimulating ray source 40. Even after the laser stimulating ray source 40 has been turned off and the linear laser beam 43 is no longer emitted, stimulated emission called residual stimulated emission continues to be released from the stimulable phosphor contained in the stimulable phosphor layer 45. As shown in FIG. 13, the control unit 20 outputs a light detection start signal to the sensor controlling means 22 at the time tds1, thereby causing it to turn on the cooled MOS type imaging device 46. As a result, residual stimulated emission released from the stimulable phosphor contained in the stimulable phosphor layer 45 is condensed by the lens array 6 and enters the stimulating ray cut filter 7. In this embodiment, a cut filter having a property of cutting a light component having a wavelength of 640 nm equal to that of the laser beam 43 and transmitting only a light component having a wavelength equal to that of stimulated emission is employed as a stimulating ray cut filter 7. Therefore, a light component having a wavelength of 640 nm equal to that of the laser beam 43 is cut by the stimulating ray cut filter 7 and only residual stimulated emission is transmitted through the stimulating ray cut filter 7 and enters the light receiving surface of the cooled MOS type imaging device 46 to form an image on the light receiving surface. The cooled MOS type imaging device 46 receives light of the image thus formed on the light receiving surface and accumulates it in the form of electric charges therein. As shown in FIG. 13, at the time tde1 when the time period TT2 has passed after the cooled MOS type imaging device 46 was turned on, the control unit 20 outputs a light detection stop signal to the sensor controlling means 22, thereby causing it to turn off the cooled MOS type imaging device 46. Thus, the first step of irradiation with the laser beam 43 and detection of residual stimulated emission is completed. Further, as shown in FIG. 13, a second step of irradiation with the laser beam 43 and detection of residual stimulated emission is started at the time tss2 and the control unit 20 outputs a stimulating ray irradiation start signal to the stimulating ray source controlling means 21, thereby again causing it to turn on the laser stimulating ray source 40. As a result, the laser beam 43 having a wavelength of 640 nm is emitted from the laser stimulating ray source 40. The laser beam 43 emitted from the laser stimulating ray source 40 enters the lens 41 and is diverged by the lens 41 in the direction indicated by the arrow Y in FIG. 11 and corresponding to the direction indicated by an arrow Y in FIG. 1 and is converged in a plane including the optical axis and the longitudinal axis of the lens 41 by the lens 41 in a direction perpendicular to the direction indicated by the arrow Y. As a result, a linear laser beam 43 is generated and is linearly projected onto a stimulable phosphor layer 45 of the stimulable phosphor sheet 44 carrying an autoradiographic image, thereby simultaneously exciting all stimulable phosphor contained in a linear area 35 of the stimulable phosphor layer 45. Consequently, all the stimulable phosphor contained in a linear area 35 of the stimulable phosphor layer 45 is simultaneously stimulated and stimulated emission is released. However, since the cooled MOS type imaging device 46 is held off while the laser stimulating ray source 40 is held on, stimulated emission is not detected by the cooled MOS type imaging device 46. As shown in FIG. 13, at the time tse2 when the time period TT1 has passed after the laser stimulating ray source 40 was turned on, the control unit 20 outputs a stimulating ray irradiation stop signal to the stimulating ray source controlling means 21, thereby causing it to turn off the laser stimulating ray source 40. As shown in FIG. 13, the control unit 20 then outputs a light detection start signal to the sensor controlling means 22 at the time tds2, thereby causing it to turn on the cooled MOS type imaging device 46. As a result, residual stimulated emission released from the stimulable phosphor contained in the stimulable phosphor layer 45 after the completion of irradiation with the laser beam 43 is condensed by the lens array 6 and enters the stimulating ray cut filter 7. Since the stimulating ray cut filter 7 has a property of cutting a light component having a wavelength of 640 nm equal to that of the laser beam 43 and transmitting only a light component having a wavelength equal to that of stimulated emission, a light component having a wavelength of 640 nm equal to that of the laser beam 43 is cut by the stimulating ray cut filter 7 and only residual stimulated emission is transmitted through the stimulating ray cut filter 7 and enters the light receiving surface of the cooled MOS type imaging device 46 to form an image on the light receiving surface. The cooled MOS type imaging device 46 receives light of the image thus formed on the light receiving surface and accumulates it in the form of electric charges therein. Thus, the second step of irradiation with the laser beam 43 and detection of residual stimulated emission is completed. Similarly to the above, the step of irradiation with the laser beam 43 and detection of residual stimulated emission is repeated and when the number of the repeated steps becomes equal to the number p of repetition stored in the stimulation and detection control data memory, the control unit 20 causes an electric charge transfer means (not shown) to output analog image data accumulated in the form of electric charge by the cooled MOS type imaging device 46 to the amplifier 10 and causes the amplifier 10 to amplify the analog image data with a predetermined amplifying factor. The control unit 20 further causes the amplifier 10 to output amplified analog image data to the A/D converter 11, causes the A/D converter 11 to convert the analog image data to digital image data with a scale factor suitable for the signal fluctuation width and temporarily stores the digital image data in the image data buffer 12. At the same time, the control unit 20 outputs a drive signal to the motor 25, thereby causing it to move the endless belt 9 by a distance equal to one scanning line in the direction indicated by the arrow Y in FIG. 1. Similarly, an adjacent linear area of the stimulable phosphor layer 45 is irradiated with the laser beam 43 having a wavelength of 640 nm and emitted from the laser stimulating ray source 40 and a stimulable phosphor is stimulated. Residual stimulated emission released from the stimulable phosphor after the completion of irradiation with the laser beam 43 is received by the cooled MOS type imaging device 46 and accumulated in the form of electric charge therein. Thus, when an pth step of irradiation with the laser beam 43 and detection of residual stimulated emission has been completed, the control unit 20 causes the electric charge transfer means (not shown) to output analog image data accumulated in the form of electric charge by the cooled MOS type imaging device 46 to the amplifier 10 and causes the amplifier 10 to amplify the analog image data with a predetermined amplifying factor. The control unit 20 further causes the amplifier 10 to output amplified analog image data to the AID converter 11, causes the A/D converter 11 to convert the analog image data to digital image data with a scale factor suitable for the signal fluctuation width and temporarily stores the digital image data in the image data buffer 12. At the same time, the control unit 20 outputs a drive signal to the motor 25, thereby causing it to move the endless belt 9 by a distance equal to one scanning line in the direction indicated by the arrow Y in FIG. 1. Thus, the whole surface of the stimulable phosphor layer 45 is scanned with the laser beam 43 having a wavelength of 640 nm and emitted from the laser stimulating ray source 40 and residual stimulated emission released from the stimulable phosphor is detected by the cooled MOS type imaging device 46 to produce analog image data. The analog image data are digitized by the AID converter 11 and an autoradiographic image regarding locational information of the radioactive labeling substance carried in the stimulable phosphor layer 45 is read. The thus produced digital image data are temporarily stored in the image data buffer 12. When an autoradiographic image regarding locational information of the radioactive labeling substance carried in the stimulable phosphor layer 45 of one stimulable phosphor sheet 44 has been read, a data transfer signal is output from the control unit 20 to the image data buffer 12 and the digital image data temporarily stored in the image data buffer 12 are output to the image data storing means 13 and stored therein. When the user requests production of a autoradiographic image by inputting an image producing signal through the keyboard 24, the control unit 20 outputs the digital image data stored in the image data storing means 13 to the image processing device 15. The image processing device 15 effects necessary image processing on the thus input digital image data in accordance with the user""s instructions and an autoradiographic image is displayed on the display means such as a CRT or a flat display panel such as a liquid crystal display, an organic EL display or the like based on the image processed digital image data. According to this embodiment, although a linear area of the stimulable phosphor layer 45 formed on the stimulable phosphor sheet 44 is irradiated with the linear laser beam 43 having a wavelength of 640 nm and emitted from the laser stimulating ray source 40 and the stimulable phosphor contained in the stimulable phosphor layer 45 is stimulated, since the MOS type imaging device 46 is held off while the laser stimulating ray source 40 is held on, stimulated emission is not detected by the cooled MOS type imaging device 46. After the laser stimulating ray source 40 has been turned off, the MOS type imaging device 46 is turned on and residual stimulated emission released from the stimulable phosphor contained in the stimulable phosphor layer 45 after the completion of irradiation with the laser beam 43 is detected by the cooled MOS type imaging device 46. The analog image data produced by the cooled MOS type imaging device 46 are digitized by the A/D converter 11 to produce digital image data. Therefore, since the laser stimulating ray source 40 has been already turned off when the MOS type imaging device 46 detects residual stimulated emission, it is possible to prevent noise caused by detecting the laser beams 43 by the MOS type imaging device 46 from being generated in the digital image data and to improve an S/N ratio. Further, according to this embodiment, since all the stimulable phosphor contained in a linear area of the stimulable phosphor layer 45 is simultaneously stimulated by irradiating the stimulable phosphor layer 45 formed on the stimulable phosphor sheet 44 with the linear laser beam 43 having a wavelength of 640 nm and emitted from the laser stimulating ray source 40, even if the step of irradiation with the laser beam 43 and detection of residual stimulated emission is repeated in order to increase the amount of residual stimulated emission to be received by the cooled MOS type imaging device 46, it is still possible to rapidly produce digital image data in which noise caused by photoelectrically detecting the laser beam 43 by the cooled MOS type imaging device 46 is lowered and which have a high S/N ratio. Furthermore, according to this embodiment, since the autoradiographic image reading apparatus is provided with the stimulating ray cut filter 7 having a property of cutting a light component having a wavelength of the laser beam 43 emitted from the laser stimulating ray source 40 and transmitting only a light component having a wavelength of the stimulated emission, it is possible to produce digital image data in which noise caused by photoelectrically detecting the laser beam 43 by the cooled MOS type imaging device 46 is markedly lowered and which have a high SIN ratio. The present invention has thus been shown and described with reference to specific embodiments. However, it should be noted that the present invention is in no way limited to the details of the described arrangements but changes and modifications may be made without departing from the scope of the appended claims. For example, in the embodiment shown in FIG. 1 to 8, all the fluorescent dye contained in the linear area of the gel support 3 is stimulated by linearly irradiating the gel support 3 carrying an image of the fluorescent dye labeling the specimen with the laser beams 1 emitted from the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 and condensed by the cylindrical lens 4 in a single direction. However, instead of the laser diode array 2 constituted by the plurality of laser diodes 2a, 2b, 2c, . . . it is possible to employ a stimulating ray source for emitting a stimulating ray 33 of a center wavelength of 473 nm as in the embodiment shown in FIGS. 9 and 10 and linearly irradiate the gel support 3 with the line beam of a stimulating ray 33 transmitted through the slit 32 formed in the light blocking plate 31, thereby simultaneously stimulating all the fluorescent dye contained in the linear area of the gel support 3 and it is further possible to employ a laser stimulating ray source 40 for emitting a laser beam 43 having a wavelength of 473 nm as in the embodiment shown in FIGS. 11 and 12, generate a linear laser beam 43 by the lens 41 and linearly irradiate the gel support 3 therewith, thereby simultaneously stimulating all the fluorescent dye contained in the linear area of the gel support 3. Further, in the embodiment shown in FIGS. 1 to 8, although the cooled CCD line sensor 8 constituted by arranging a plurality of sensor chips (photo-electrical elements) 16 in one line is used for detecting residual fluorescence emission 5, instead of the cooled CCD line sensor 8 constituted by the plurality of sensor chips 16, it is possible to employ a cooled photodiode array 36 as in the embodiment shown in FIGS. 9 and 10 and detect residual fluorescence emission and it is also possible to employ a cooled MOS type imaging device 46 as in the embodiment shown in FIGS. 11 and 12 and detect residual fluorescence emission. Moreover, it is possible to photoelectrically detect residual fluorescence emission using other types of solid state imaging devices. Furthermore, in the embodiment shown in FIGS. 1 to 8, although residual fluorescence emission released from the fluorescent dye is detected using the cooled CCD line sensor 8 provided with a cooling means, residual fluorescence emission may be detected using a CCD line sensor which is not provided with any cooling means instead of the cooled CCD line sensor 8 provided with a cooling means. Moreover, in the embodiment shown in FIGS. 1 to 8, although an image of the fluorescent dye carried in the gel support 3 is read, an image of a fluorescent substance such as a fluorescent dye carried in the membrane filter 34 as in the embodiment shown in FIGS. 9 and 10, an image of a fluorescent substance such as a fluorescent dye carried in a transfer support or an image of a fluorescent substance such as a fluorescent dye carried in a micro-array may be read. It is further possible to read an autoradiographic image regarding locational information of a radioactive labeling substance carried in a stimulable phosphor layer of a stimulable phosphor sheet as in the embodiment shown in FIGS. 11 and 12, an image of a radioactive labeling substance carried in a micro-array, a chemiluminescent image carried in a stimulable phosphor layer of a stimulable phosphor sheet, an electron microscopic image carried in a stimulable phosphor layer of a stimulable phosphor sheet, a radiographic diffraction image carried in a stimulable phosphor layer of a stimulable phosphor sheet and the like. Furthermore, in the embodiment shown in FIGS. 9 and 10, all the fluorescent dye contained in the linear area of the membrane filter 34 is simultaneously stimulated by employing the stimulating ray source 30 for emitting a stimulating ray 33 having a center wavelength of 340 nm and linearly irradiating the membrane filter 34 with the line beam of the stimulating ray 33 transmitted through the slit 32 formed in the light blocking plate 31, instead of using the stimulating ray source 30 for emitting a stimulating ray 33 having a wavelength of 340 nm and the light blocking plate 31 formed with the slit 32, all the fluorescent dye contained in the linear area of the membrane filter 34 may be simultaneously stimulated by linearly irradiating the membrane filter 34 carrying an image of the fluorescent dye labeling the specimen with the linear laser beam 1 emitted from the plurality of laser diodes 2a, 2b, 2c, . . . constituting the laser diode array 2 and condensed by the cylindrical lens 4 in a single direction as in the embodiment shown in FIGS. 1 to 8 or all the fluorescent dye contained in the linear area of the membrane filter 34 may be simultaneously stimulated by using the laser stimulating ray source 40 for emitting a laser beam having a wavelength of 340 nm as in the embodiment shown in FIGS. 11 and 12 and linearly irradiating the membrane filter 34 with a linearly laser beam 43 produced by the lens 41. Moreover, in the embodiment shown in FIGS. 9 and 10, although the cooled photodiode array 36 is used for detecting residual fluorescence emission, residual fluorescence emission may be detected using a cooled CCD line sensor 8 constituted by arranging a plurality of sensor chips (photo-electrical elements) 16 in a single line as in the embodiment shown in FIGS. 1 to 8 or residual fluorescence emission may be detected using a cooled MOS type imaging device 46 as in the embodiment shown in FIGS. 11 and 12. Further, other types of solid state imaging devices may be used for photoelectrically detecting residual fluorescence emission. Furthermore, in the embodiment shown in FIGS. 9 and 10, although the cooled photodiode array 36 provided with the cooling means is used for detecting residual fluorescence emission, residual fluorescence emission may be detected using a photodiode array which is not provided with any cooling means instead of the cooled photodiode array 36 provided with the cooling means. Moreover, in the embodiment shown in FIGS. 9 and 10, although an image of the fluorescent dye carried in the membrane filter 34 is read, an image of a fluorescent substance such as a fluorescent dye carried in the gel support 3 as in the embodiment shown in FIGS. 1 to 8, an image of a fluorescent substance such as a fluorescent dye carried in a transfer support or an image of a fluorescent substance such as a fluorescent dye carried in a micro-array may be read. It is further possible to read an autoradiographic image regarding locational information of a radioactive labeling substance carried in a stimulable phosphor layer of a stimulable phosphor sheet as in the embodiment shown in FIGS. 11 and 12, an image of a radioactive labeling substance carried in a micro-array, a chemiluminescent image carried in a stimulable phosphor layer of a stimulable phosphor sheet, an electron microscopic image carried in a stimulable phosphor layer of a stimulable phosphor sheet, a radiographic diffraction image carried in a stimulable phosphor layer of a stimulable phosphor sheet and the like. Furthermore, in the embodiment shown in FIGS. 11 and 12, the laser stimulating ray source 40 for emitting a laser beam 43 having a wavelength of 640 nm is employed and the stimulable phosphor layer 45 formed on the stimulable phosphor sheet 44 is linearly irradiated with the linear laser beam 43 produced by the lens 41, thereby simultaneously exciting all the stimulable phosphor contained in the linear area of the stimulable phosphor layer 45. However, instead of using the laser stimulating ray source 40 for emitting a laser beam 43 having a wavelength of 640 nm and the lens 41, all the stimulable phosphor contained in the linear area of the stimulable phosphor layer 45 may be simultaneously stimulated by employing a laser diode array 2 constituted by a plurality of laser diodes 2a, 2b, 2c, . . . as in the embodiment shown in FIGS. 1 to 8 and linearly irradiating the stimulable phosphor layer 45 formed on the stimulable phosphor sheet 44 with a linear laser beam 1 emitted from the plurality of laser diodes 2a, 2b, 2c, . . . and condensed by the cylindrical lens 4 in a single direction or all the stimulable phosphor contained in the linear area of the stimulable phosphor layer 45 may be simultaneously stimulated by employing a stimulating ray source 30 for emitting a stimulating ray 33 having a center wavelength of 640 nm and a light blocking plate 31 formed with a slit 32 as in the embodiment shown in FIGS. 9 and 10 and linearly irradiating the stimulable phosphor layer 45 with a line beam of the stimulating ray transmitted through the slit 32 formed in the light blocking plate 31. Moreover, in the embodiment shown in FIGS. 11 and 12, although the cooled MOS type imaging device 46 is used for detecting residual stimulated emission, instead of the cooled MOS type imaging device 46, it is possible to detect residual stimulated emission using a cooled CCD line sensor 8 constituted by arranging a plurality of sensor chips (photo-electrical elements) 16 in a single line as in the embodiment shown in FIGS. 1 to 8 or using a cooled photodiode array 36 as in the embodiment shown in FIGS. 9 and 10. Further, residual stimulated emission may be photoelectrically detected using other types of solid state imaging devices. Furthermore, in the embodiment shown in FIGS. 11 and 12, although the cooled MOS type imaging device 46 provided with the cooling means is used for detecting residual stimulated emission, residual stimulated emission may be detected using a MOS type imaging device provided with no cooling means instead of the cooled MOS type imaging device 46 provided with the cooling means. Moreover, in the above described embodiments, although the stimulating ray cut filter 7 for cutting a light component having a wavelength of a stimulating ray is used, if the photoelectrical detection of residual fluorescence emission or residual stimulated emission is started when a time period sufficiently for enabling a stimulating ray to be isolated has passed after the completion of irradiation with the stimulating ray, the stimulating ray cut filter 7 may be omitted. Further, in the above described embodiments, although a single stimulating ray source 2, 30, 40 is provided, two or more stimulating ray sources for emitting stimulating rays having different wavelengths from each other may be provided and selectively used depending upon the kind of a labeling substance if the stimulating rays emitted from the stimulating ray sources are led to the lens 41 using a light guide means such as an optical fiber. Furthermore, in the embodiment shown in FIGS. 11 and 12, an autoradiographic image regarding locational information of the radioactive labeling substance is read. However, the image reading apparatus shown in FIGS. 11 and 12 is not limited to be used for reading such an image but can be used for reading an image of a radioactive labeling substance carried in a micro-array, a chemiluinescent image carried in a stimulable phosphor layer of a stimulable phosphor sheet, an electron microscopic image carried in a stimulable phosphor layer of a stimulable phosphor sheet, a radiographic diffraction image carried in a stimulable phosphor layer of a stimulable phosphor sheet and like. Further, the image reading apparatus shown in FIGS. 11 and 12 can be used for reading an image of a fluorescent substance such as a fluorescent dye carried in a gel support 3 as in the embodiment shown in FIGS. 1 to 8, an image of a fluorescent substance such as a fluorescent dye carried in a membrane filter 34 as in the embodiment shown in FIGS. 9 and 10 and an image of a fluorescent substance such as a fluorescent dye carried in a transfer support, a micro-array or the like. Moreover, the laser diode array 2 constituted by the plurality of laser diodes 2a, 2b, 2c, . . . is used in the embodiment shown in FIGS. 1 to 8 and the laser stimulating ray source 40 is used in the embodiment shown in FIGS. 11 and 12. However, a stimulating ray may be linearly projected onto an image carrier such as a gel support 3 using an LED array instead of the laser diode array 2 in the embodiment shown in FIGS. 1 to 8 and a stimulating ray may be linearly projected onto an image carrier such as a stimulable phosphor sheet 44 using an LED stimulating ray source instead of the laser stimulating ray source 40 in the embodiment shown in FIGS. 11 and 12. Further, in the embodiment shown in FIGS. 9 and 10, although a line beam of a stimulating ray 33 is generated using the LED stimulating ray source 30 and the light blocking plate 31 formed with the slit 32 and is linearly projected onto an image carrier such as a membrane filter 34, a line beam of a stimulating ray 33 may be generated using a lamp for emitting a stimulating ray, instead of the LED stimulating ray source 30, and the light blocking plate 31 formed with the slit 32 and be linearly projected onto an image carrier such as a membrane filter 34. Furthermore, SYPRO Ruby (registered trademark) is used as a fluorescent dye in the embodiment shown in FIGS. 1 to 8 and DELFIA (registered trademark) is used as a fluorescent dye in the embodiment shown in FIGS. 9 and 10. However, any fluorescent dye or fluorescent substance capable of releasing residual fluorescence emission whose decay time is longer than a predetermined length may be used and the fluorescent dye or fluorescent substance is not limited to SYPRO Ruby (registered trademark) or DELFIA (registered trademark). Moreover, in the above described embodiments, the linear laser beam 1, the line beam of the stimulating ray 33 or the linear laser beam 43 is held stationary while the gel support 3, the membrane filter 34 or the stimulable phosphor sheet 44 is moved together with the endless belt 9, whereby the surface of the gel support 3, the membrane filter 34 or the stimulable phosphor sheet 44 is scanned with the linear laser beam 1, the line beam of the stimulating ray 33 or the linear laser beam 43. However, it is possible to hold the gel support 3, the membrane filter 34 or the stimulable phosphor sheet 44 stationary and move the linear laser beam 1, the line beam of the stimulating ray 33 or the linear laser beam 43 in a direction perpendicular to the longitudinal direction of the linear laser beam 1, the line beam of the stimulating ray 33 or the linear laser beam 43, thereby scanning the surface of the gel support 3, the membrane filter 34 or the stimulable phosphor sheet 44 with the linear laser beam 1, the line beam of the stimulating ray 33 or the linear laser beam 43. Further, in the present invention, the respective means need not necessarily be physical means and arrangements whereby the functions of the respective means are accomplished by software fall within the scope of the present invention. In addition, the function of a single means may be accomplished by two or more physical means and the functions of two or more means may be accomplished by a single physical means. According to the present invention, it is possible to provide an image reading method and apparatus which can produce low noise image data rapidly and with a simple operation by irradiating an image carrier including two-dimensionally distributed spots of a labeling substance such as a fluorescent substance, a radioactive labeling substance or the like with a stimulating ray to excite the labeling substance and photoelectrically detecting light released from the labeling substance.
summary
047284854
summary
FIELD OF THE INVENTION The present invention relates to a method for regulating the pressure of the primary circuit during the shut-down phases of a pressurized water nuclear reactor, and more particularly for regulation during the so-called "collapse" phase of the pressurizer. DESCRIPTION OF THE PRIOR ART The primary cooling circuit of a pressurized water nuclear reactor includes one or several loops wherein water circulates under the action of a primary pump between the core of the reactor where it absorbs the heat produced by the nuclear reaction, and a generator where it gives up this heat to the secondary fluid which is vaporized therein to supply turboelectric machines. When the reactor operates at full power, the primary water is at a temperature of the order of 320.degree. C. in the core, and to keep it in the liquid state the pressure in the primary circuit is maintained at about 155 bars. This pressure is regulated by the action of a pressurizer which operates by heating or spraying cold water. If, for example, the pressure tends to diminish, a portion of the water of the pressurizer is vaporized by a heating rod system so as to increase the volume and the pressure of the steam cushion which occupies the upper dome of the pressurizer, which pressure is communicated to the whole of the primary circuit through an expansion pipe opening into the bottom end of the pressurizer. On the contrary, a reduction in the primary pressure is obtained by sprinkling cold water on the vapor phase at the upper part of the pressurizer, which has the effect of condensing a portion of this vapor. FIG. 1 shows, in extremely simplified diagrammatic manner, a prior art primary circuit denoted symbolically as a whole by 1 surrounded by a heavy mixed dash-dot line, which groups the core 2, a primary pump 3 and a steam generator 4. The expansion pipe of the pressurizer 5 is tapped on the hot water piping, between the core of the reactor and the steam generator. Quite usually, a so-called "reactor chemical and volume control" system (RCV system) is arranged in parallel with the primary circuit. The RCV system includes a discharge line 8 with two successive expansion stages. The first "high pressure" stage is constituted by a system of expansion orifices 9; the regulating valve 10 constitutes the "low pressure" stage. Each expansion stage is normally preceded by a cooling stage (not shown in the diagram). The discharge flow rate in the line 8 is a function of the number of orifices 9 put into service. The pressure in the discharge line is controlled by the valve 10, slaved to a pressure signal taken at 11 immediately upstream of the valve, and acting on the regulator 12. The RCV circuit then includes a volume control tank 13, normally provided with a level regulator (not shown in the diagram). The RCV circuit is closed by a charging line 14 comprising a pump 15 which reinjects into the primary circuit, through a charging regulating valve 17, the fluid discharged or the added supplementary water introduced at 16. The charging flow rate is servo-coupled through a regulator 18 to the water level measured at 19 in the pressurizer 5 and to the flow rate signal measured at 20 downstream of the valve 17. The circuit 22 for sprinkling cold water on to the vapor phase of the pressurizer is taken as a branch line from the charging line 14 and ends at the sprinkling control valve 23. When it is desired to shut down the reactor, after, of course, stopping the nuclear reactions by lowering the rods, it is necessary to bring the primary circuit back gradually to a lower temperature and pressure. In the primary phase, which enables it to be brought to about 30 bars and 180.degree., steam is discharged to the principal condenser or to the atmosphere, keeping at least one primary pump in operation for uniform cooling of all the loops. At the same time, a sprinkling follows in the pressurizer to reduce the pressure, while maintaining a minimum value necessary to permit the operation of the pumps. During this primary phase the primary water contained in the pressurizer is in a bi-phase liquid-vapor state at saturation equilibrium. In a subsequent second phase, and while keeping a primary pump in service, the cooling circuit of the reactor on shut-down is brought into play (RRA circuit) constituted by a closed circuit with tapping and delivery into the primary loops, a part of this delivery being effected at 25 (FIG. 1) in the RCV circuit. During this second phase however, wherein it is desired to reach a temperature of 70.degree., a pressure of at least 23 bars which is the minimum operating pressure of the primary pumps must be preserved. These conditions can only be reached in a mono-phase medium if it is desired to avoid thermal stresses which are too high on the expansion piping of the pressurizer. For this second phase it is therefore necessary to reabsorb the steam "bubble" located at the top of the pressurizer. Although in the initial bi-phase condition it is the pressurizer which regulates the primary pressure, in a subsequent mono-phase condition the primary pressure will be regulated by the expansion valve 10 of the discharge line, the charging valve 17 then having a constant flow rate setting since the liquid level at the pressurizer is constant and maximum. The flow rate from the discharge line 8 is no longer provided through the high pressure expansion orifices 9 which are provided for a large pressure drop, but rather by the delivery at 25 from the RRA circuit. However, the reabsorption of the steam bubble, between the bi-phase state and the mono-phase state, passes through an intermediate phase, and the bubble only occupies the very top part of the pressurizer, and during this phase the evolution of the liquid level cannot be followed since it is difficult to place measuring members in the upper part of the dome of the pressurizer close to the sprinkling ramps. In this intermediate phase the normal regulation by the low pressure discharge valve 10 presents the drawback of not taking the pressure from the primary directly as reference pressure, but of taking this from a circuit which is not representative of this primary pressure, either statically or dynamically. Hence it is not sufficient to control the pressure of the primary circuit reliably during the final reabsorption phase of the vapor bubble at the top point of the pressurizer. For rapid pressure variations the bubble constitutes an adiabatic system which does not absorb heat. Hence it can only be reabsorbed with difficulty under the action of pressure, since this reabsorption must pass through a reequilibration of the temperature, which is a long and poorly defined action. Moreover, the indirect observation of the evolution of the bubble by examination of the charging and discharging flow rates is falsified by variations in volume of the primary circuit under the effect of temperature variations. This imprecision on the evolution of the bubble can lead to unforeseen excess pressure in the primary fluid capable, for example, of resulting in the opening of cooling circuit valves on shut-down. OBJECT OF THE INVENTION It is an object of the invention to provide a method for regulating the pressure in the primary circuit during the phases of shut-down of a pressurized water nuclear reactor, which overcome the aforesaid drawbacks. It is another object of the invention to provide a method which enables effective and positive reabsorption of the latter portion of the vapor bubble by working at substantially constant pressure, and by monitoring the evolution of the bubble. Other objects and features of the invention will be apparent from the description which follows. SUMMARY OF THE INVENTION According to the invention, there is provided a method for the regulation of the pressure of the primary circuit during the shut-down phases of a pressurized water nuclear reactor, wherein, when the level of the liquid reaches the upper portion of the pressurizer where the detection of the level becomes inoperative, a constant level is maintained at the charging pipe, the discharging flow rate is adjusted by rapid acting regulation from a direct measurement of the pressure of the primary circuit, successive sprinklings at the pressurizer then follow and the reduction in the discharging flow rate which is caused immediately by the reduction in pressure due to the condensation in the pressurizer is detected, and this until a further sprinkling no longer has an effect on the discharge flow rate, the cooling then being able to continue with pressure regulation of the primary through the discharge valve. In a preferred embodiment of the invention, the method is rendered automatic by servo-coupling the flow rate of the sprinkling control valve from measurement of the discharge flow rate, by using a regulation with a slower reaction speed than that of the regulation of the discharge valve from the primary pressure.
059360070
abstract
The present invention provides polycarbonate moulding compositions containing polycarbonates, stabilisers of the general formula (I) EQU R.sub.1 --X--(CHR.sub.4).sub.n --Y--R.sub.2. and optionally polypropylene glycols.. The present invention also provides use of these moulding compositions for preparing items for medical applications.
claims
1. Device for the sealed docking of mobile containers equipped with plugs of various diameters on a containment box having a counter flange through which a circular access opening passes, wherein said device includes:at least one docking flange and one center disk, concentric to each other, capable of being placed one inside the other inside the access opening of the counter flange, the outside diameters of the center disk and of each docking flange corresponding to those of the plugs;locking/unlocking means for successively unlocking the center disk and each docking flange, by moving outwardly, and for successively locking each docking flange and the center disk, by moving inwardly, in relation to said access opening. 2. Sealed docking device as claimed in claim 1, also including as many centering caps of various diameters as there are plug diameters, one centering cap having a diameter corresponding to that of the plug of the mobile container to be docked being fastened onto the containment box. 3. Sealed docking device as claimed in claim 2, in which each centering cap includes unlocking limitation means, prohibiting the locking/unlocking means from unlocking each docking flange having an inside diameter larger than the diameter of the plug of the mobile container. 4. Device as claimed in claim 3, in which the locking/unlocking means include a plate capable of rotating on the counter flange, as many pairs of cams being formed on said plate as there are plug diameters, a strike plate being engaged with each of the cams so as to move between a locking position and an unlocking position when the plate is rotated. 5. Device as claimed in claim 4, in which the unlocking limitation means include a pin, associated with the rotating plate and lodged inside of a notch of limited circumferential length, formed in the centering cap. 6. Device as claimed in claim 5, in which the locking/unlocking means include a spindle which passes through the counter flange and means of controlling the rotation of said spindle, the latter being engaged with a first sector gear formed on the plate and with a second sector gear formed on a ring holding the pin. 7. Device as claimed in any claim 2, in which a floating bearing ring is mounted inside of each of the centering caps. 8. Device as claimed in claim 1, in which the counter flange is mounted on an upper wall of the containment box and the latter contains a platform on which the docking flange and the center disk rest, control means making it possible to move the platform between a high position for holding the docking flange and the center disk inside of said opening, irrespective of the status of the locking/unlocking means, and a low position allowing the plug to be cleaned. 9. Device as claimed in claim 8, in which the containment box contains means for cleaning the plug remotely.
048760579
summary
DESCRIPTION The present invention relates to a control process for a nuclear reactor comprising controlling the neutron flux and the power distribution in the reactor core. This control comprises a stage of determining the neutron flux and the power distribution and a regulation stage through the displacement of control rods in the reactor core and/or modification of the boron concentration in the reactor primary circuit, as a function of the determined neutron flux and power distribution. The control can in particular be carried out as a function of the result of the comparison between the neutron flux and the power distribution as determined and desired values for said neutron flux and said power distribution, said desired values representing limit values or the safety criteria of the reactor. The process according to the invention makes it possible to very rapidly obtain neutron flux and power distribution values. This permits on-line applications, such as: detection of abnormalities in connection with the position of the control bars and the boron concentration, simulation of the behaviour of the core in the transient mode and investigating an optimum core control strategy, assisting the monitoring of the physical state of the core and interpretation of measurements on the core, e.g. for reactivity measurements. This property can also be used in out-of-line applications, such as: core design calculations, analysis of normal or abnormal transients, detailed calculations of the evolution of isotopic compositions of the fuel as a function of the irradiation level, calculations of the recharging of fuel assemblies into the cores of operating reactors, new core control studies, studies of new core control strategies. In general terms, the nuclear reactor core comprises assemblies constituted by fissile materials, with which the neutrons react in accordance with the following main processes: impact on nuclei of fissile materials with modification of the direction and velocity of the neutrons undergoing the shock, trapping by a nucleus, without emission of new neutrons, absorption by a nucleus, with emission of new neutrons. Fission is the preponderant phenomenon in nuclear reactors and is associated with the giving off of a large amount of energy. In the time interval separating two interaction events, the displacement of the neutrons is rectilinear and uniform. One state of the core can be characterized by the properties of the fissile materials contained in it, the distribution of the neutrons in the core and their interaction with the nuclei of fissile materials. Thus, one state of the core is defined by a number of parameters and more specifically by the value of each of these parameters at each point of the core. In practice, the state of the core is defined by the value of each parameter in a plurality of zones, meshes or networks, all of which represent the core volume. The meshes are preferably identical and can e.g. consist of cubic volumes. In this case, for a 900 MWe pressurized water nuclear reactor, the core e.g. corresponds to a total of approximately 22,000 cubic meshes, each having a side length of approximately 10 cm. Throughout the remainder of the text the total number of meshes is designated J and the mesh index j (1.ltoreq.j.ltoreq.J). For the practical determination of the power and flux distribution in the nuclear reactor core, the range of neutron velocities is conventionally broken down into finite intervals, designated by the index g, in which g varies from 1 to G, G being the total number of velocity groups. The magnitudes describing the distribution of the neutrons in the core are then: the neutron density n(j,g), which represents the number of neutrons per volume unit which, in the mesh j, are in the velocity group g. the neutron flux .phi.(j,g) in the mesh j which is, by definition, the product of the neutron density n(j,g) by the mean velocity of the neutrons of the velocity group g. The magnitudes describing the core characteristics with respect to the interaction with the neutrons (hereinafter called interaction probabilities) are: the effective differential macroscopic diffusion section .SIGMA.s(j,g.fwdarw.g') equal to Rs(j,g.fwdarw.g')/.phi.(j,g), in which Rs(j,g.fwdarw.g') is the number of neutrons of the velocity group g undergoing, per time and volume unit, a shock in the mesh j and being diffused, after the shock, with a new velocity in the velocity group g', the total effective macroscopic diffusion section .SIGMA.s(j,g) defined by ##EQU1## and equal to Rs(j,g)/.phi.(j,g), in which Rs(j,g) is the number of neutrons of the velocity group g undergoing a shock per volume and time unit, the effective macroscopic trapping section .SIGMA.s(j,g) equal to Rc(j,g)/.phi.(j,g), in which Rc(j,g) represents the number of neutrons of the velocity group g absorbed per time and volume unit without reemission of new neutrons, the effective macroscopic fission section .SIGMA.s(j,g) equal to Rf(j,g)/.phi.(j,g), in which Rf(j,g) represents the number of fissions, per time and volume unit, induced by the neutrons of the velocity group: during a fission, there is an emission of on average new neutrons and a giving off of approximately 200 MeV of energy by fission: subsequently it will be considered for simplification purposes that the ratio K/.nu. is constant, the effective macroscopic absorption section .SIGMA.a(j,g) in group g equal to .SIGMA.c(j,g)+.SIGMA.(j,g), the total effective macroscopic section .SIGMA.t(j,g) in group g equal to .SIGMA.a(j,g)+.SIGMA.s(j,g). The total number S(j) of neutrons emitted by the mesh j, also called neutron source, per time and volume unit is defined by: ##EQU2## In the same way, the power P(j) emitted by the mesh j is defined by P(j)=(K/.nu.).S(j) (if it is considered that the ratio K/.nu. is constant). It is known that the knowledge of the parameters .SIGMA.s(j,g.fwdarw.g'), .SIGMA.a(j,g), .SIGMA.t(j,g) and .nu.. .SIGMA.f(j,g) in each mesh j, 1.ltoreq.j.ltoreq.J makes it possible to determine the value of the quantity .phi.(j,g), S(j) and P(j). A first known process consists of calculating the neutron flux .phi.(j,g) in each mesh j by a method with finite differences. This process is described in the works "Nuclear Reactor Theory" by George Bell and Samuel Glasstone, Van Nostrand Reinhold Company and "Introduction a l'analyse numerique matricielle et a l'optimisation" by P. G. Ciarlet, published by Masson. A second known process consists of calculating the neutron flux .phi.(j,g) in each mesh j by a nodal method. These two processes are based on iterative methods, whereof the main calculation stage consists of reversing a matrix of size J.times.J, in which J represents the number of meshes and is equal to a few tens of thousands. It is clear that this calculation requires powerful processing means making it costly. In order to reduce the costs, it is possible to use less powerful processing means, but it is then necessary to accept a longer calculation time for determining the neutron flux and power in the reactor core, which is not satisfactory in the case of on-line monitoring of said core. The object of the invention is to permit a real time control of the reactor core. This is achieved by a rapid determination method for the neutron flux and the power without any need for reversing a large size matrix. In general terms, the invention consists of determining the neutron flux in the form of a sum between a first neutron flux component, associated with a predetermined core state, and a second neutron flux component, associated with the real state of the core. More specifically, the invention relates to a process for the control of a nuclear reactor having a core containing fissile material assemblies, the fission of the nuclei of said materials being brought about by interactions with neutrons and producing in turn neutrons, said nuclear reactor also having a means for regulating the neutron flux in the reactor core and the power given off by said reactor core, said regulating means comprising at least one assembly of control rods displaceable in said reactor core, said process being characterized in that: (A) iteratively there is a determination of the neutron fluxes .phi.(j,g) for each zone or mesh j 1.ltoreq.j.ltoreq.J of a group of meshes corresponding to the volume of the reactor core and for each velocity group g 1.ltoreq.g.ltoreq.G of a plurality of velocity groups for the neutrons; and the numbers of neutrons or sources S(j) emitted by each mesh j, 1.ltoreq.j.ltoreq.J, per volume and time unit, the determination of said values consisting of repeating the following sequence of operations until said values converge: PA0 (B) the regulating means is controlled as a function of the neutron fluxes .phi.(j,g) and powers P(j). (a) calculation of the first components of the neutron flux .phi..sup.0 (j,g), 1.ltoreq.j.ltoreq.J as a function of the predetermined coupling matrixes [.psi.g] 1.ltoreq.g.ltoreq.G and sources S(j), each element .psi.g(j,k), 1.ltoreq.k.ltoreq.K and 1.ltoreq.j.ltoreq.J expressing for neutrons of the velocity group g 1.ltoreq.g.ltoreq.G, the coupling between the mesh j and the adjacent meshes k corresponding to predetermined interaction probabilities of the neutrons with the fissile materials of the core for each mesh j, 1.ltoreq.j.ltoreq.J, PA1 (b) calculation of the real interaction probabilities of the neutrons in the core as a function of physical parameters describing the state of the core, PA1 (c) calculation of second neutron flux components .phi..sup.1 (j,g), 1.ltoreq.j.ltoreq.J as a function of the neutron fluxes .phi..sup.0 (j,g) of the predetermined interaction probabilities of the neutrons in the core and the real interaction probabilities of the neutrons in the core, PA1 (d) calculation of the neutron fluxes .phi.(j,g) in each mesh j, as the sum of the first and second neutron flux components, PA1 (e) calculation of the new sources NS(j), 1.ltoreq.j.ltoreq.J associated with each neutron flux .phi.(j,g) and new values of sources S(j) by the relation: ##EQU3## (f) calculation of the powers P(j) emitted in each mesh j as a function of the total power P emitted and the sources S(j), In preferred manner, the interaction probability of the neutrons in the core is defined by all the following parameters for each mesh j, 1.ltoreq.j.ltoreq.J: effective macroscopic diffusion sections .SIGMA.s(j,g.fwdarw.g') of the neutrons of the velocity group g, 1.ltoreq.g.ltoreq.G in the velocity group g', g'.noteq.g, effective macroscopic absorption section .SIGMA.s(j,g) of the neutrons of velocity g, 1.ltoreq.g.ltoreq.G, effective macroscopic fission section .SIGMA.f(j,g) of the neutrons of velocity g, 1.ltoreq.g.ltoreq.G. In the same way, the predetermined interaction probabilities of the neutrons in the core can be defined for each mesh j, 1.ltoreq.j.ltoreq.J and each velocity group, g, 1.ltoreq.g.ltoreq.G by: effective macroscopic diffusion sections .SIGMA.s.sup.0 (j,g g'), whose values are the possible values for said core, an effective macroscopic absorption section .SIGMA.a.sup.0 (j,g), whose value is a possible value for said core, an effective macroscopic fission section .SIGMA.f.sup.0 (j,g) of value equal to zero. In preferred manner, for each mesh j, 1.ltoreq.j.ltoreq.J, all the second neutron flux components, .phi..sup.1 (j,g), 1.ltoreq.g.ltoreq.G is determined as the solution of the system with G linear equations:
051620960
abstract
A detector structure adjacent an opening in a cavity structure for detecting explosives in objects within such opening including a plurality of thin nonchlorinated hydrogenous wall members forming a passageway to define such opening. A source of high energy neutrons located adjacent the passageway to direct neutrons into the passageway. A premoderator formed by nonchlorinated hydrocarbon material surrounding the source of high energy neutrons to reduce the energy in at least a portion of the neutrons. A first moderator formed by heavy water surrounding the source of neutrons and the premoderator to reduce the energy in at least a further portion of the neutrons. A second moderator formed by carbonaceous material at least partially surrounding the plurality of thin hydrogenous wall members and the first moderator to reduce the energy in at least an additional portion of the neutrons and reflect back the additional portion of neutrons into the opening. The plurality of thin hydrogenous wall members additionally forming a thin moderator to additionally slow down high energy neutrons within the opening. The combination of the premoderator and the first, second and third moderators moderating the neutrons produced by the neutron source to form a spectrum of neutrons in the opening with a peak value in the thermal energy portion of the spectrum to maximize the detection of nitrogen by interacting the thermal neutrons with the nitrogen to produce gamma rays for detection by the detector structure.
062722077
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of a high-resolution x-ray or gamma ray imaging apparatus 100 is exemplified in FIGS. 1-7b. In particular, FIG. 1 is a schematic diagram illustrating a view of a side of the imaging apparatus 100 lying in the x-z plane. The imaging apparatus 100 includes a substrate 102, which can be a silicon or glass substrate or any other appropriate material as described in the Background section above, a detector pixel array 103 with detector pixels 104 which are disposed on the substrate 102, and a scintillator 106. The active area of the detector pixels 104 can be any type of pixel as described in the Background section above. In this embodiment, the scintillator 106 converts x-rays or gamma rays to electron-hole pairs or visible photons. The electron hole pairs or visible photons are converted to electrical charge, current or voltage collected on the active radiation detector area of the pixel 104. In the typical digital x-ray or gamma ray detectors and visible imagers, the active area of the detector pixels 104 each measure the amount of charge collected per pixel. In general, the active area of the detector pixel 104 measures the change of electrical properties, material properties, physical properties, and so on, produced by the variation of the electromagnetic radiation intensity on the active area of the detector pixel 104. A mask or mask/antiscatter grid 108 (hereinafter "mask 108") having aperture openings 110 therein is disposed on the upper surface of the scintillator 106. Each aperture opening 110 is aligned with a corresponding active area of the detector pixel 104 as shown. For many applications, the mask 108 can be rigidly attached to the scintillator 106, or can be directly attached to the active area of the detector pixels 104. The mask 108 must be opaque enough to substantially block the penetration of the electromagnetic radiation except through the aperture openings 110. The active area of each detector pixel 104 is larger than its respective aperture opening 110, and detects the electromagnetic radiation (x-rays or gamma rays) passing through its respective aperture opening 110. As discussed below, the size of the aperture openings 110 and the number of images taken, not the detector pixel pitch, determines the image resolution. The detector shown in FIG. 1 can be used to image objects that radiate x-rays or gamma rays. For example, the detector can be used for x-ray astronomy. FIG. 2 is a schematic drawing illustrating a side view of the embodiment of the imaging apparatus 100 shown in FIG. 1 being used in an x-ray radiography application to image the interior of an object 112, which can be, for example, a human body (or a portion thereof) or any other object. An x-ray source 114 is also illustrated schematically. Also, the source 114 could be a gamma ray source, or any energy source. As shown, the object 112 to be imaged is positioned between the x-ray source 114 and the x-ray mask 108 of the imaging apparatus 100. After the x-ray source 114 emits a pulse of x-rays and the x-rays penetrate the object 112, the x-rays reach the mask 108. The mask 108 blocks all the x-rays from hitting the scintillator 106 except at the mask openings 110. The scintillator 106 can be a phosphor screen, which converts the x-rays to optical radiation, and the photodiodes on each detector 104 covert the optical radiation to electrical charge. Alternatively, the scintillator 106 can be of the type that converts the x-rays directly to charge, such as a photoconductor, photocathode, or the like. The geometry and dimensions of the active area of the detector pixels 104 and x-ray mask openings 110 are such that the x-rays passing through a single mask or mask/antiscatter grid opening 110 will strike preferably only a single detector pixel 104. Preferably, the active detector area of one pixel 104 captures the charges created by one x-ray beamlet. The charge collected per pixel is then output via data lines (see FIG. 6), and processed in a manner known in the art. The arrangement of the imaging apparatus 100 will improve the detector system MTF and increase the Nyquist frequency of even the existing best known detector pixels arrays to obtain a resolution much higher than that obtained by the same detector without a mask and without motion. The detector system MTF is the product of MTF associated with various component of the detector. Two MTF will be discussed: MTF associated with detector geometry and MTF associated with x-ray conversion. As will now be explained, the operation of the imaging apparatus 100 will improve MTF associated with the detector system geometry for detectors which perform either direct or indirect conversion of the x-rays or gamma rays as discussed above. FIGS. 3a and 3b are schematic diagrams illustrating the manner in which phosphor screens scatter the light generated by the x-rays during indirect x-ray conversion. As shown, the light scatter is proportional to the thickness of the phosphor screen. A thicker phosphor screen will provide a greater light scatter. FIG. 4 is a schematic diagrams illustrating that for direct conversion of x-rays, charge smear is minimal when the x-ray incidence angle is zero degrees, and increases as the x-ray incidence angle increases. For both of these situations, an active pixel detector area much larger than the x-ray mask aperture will reduce conversion blurring and improve conversion MTF. The active area of the detector pixels 104 and mask 108 can have a wide range of pattern or layout. For example, FIG. 5 is a schematic diagram of mask 108 of the imaging apparatus, with apertures 110 viewed in the x-y plane in FIG. 1. The apertures 110 are square or essentially square, and each have a length and width equal to d1. The area of each aperture is d1.times.d1, and the pitch of the aperture is equal to the pixel pitch D1 in both directions. The arrangement of the apertures 110 forms a uniform grid of openings in the mask 108. As discussed above, the electromagnetic radiation to be detected has to be completely blocked by the mask 108 except at apertures 110 in the mask 108. The apertures 110 are used to control the area and position at which the electromagnetic radiation hits the detector pixels. In this embodiment, the pixel pitch D1 is an integer multiple of d1. To enable the object to be 112 imaged without missing any areas and without double-exposing any areas, the imaging apparatus 100 is configured and operated so that the beamlets will each "fit" into a respective active area of the detector pixel 104 an exact number of times. In other words, D1=nd1, and n is an integer equal to or greater than 2. FIG. 5 shown an aperture arrangement where D1=2d1. FIG. 6 is a generalized schematic illustration of a top view of a possible layout of the detector pixel array 103 and the active area of the detector pixels 104 for the imaging apparatus 100 as shown in FIGS. 1 and 2. The active radiation detector areas of the pixels 104 are shown shaded with hatched lines. It is noted that the dimensions of the active area of the detector pixels 104 vary greatly from one manufacturer to another, and that the shapes of the active radiation detector areas of the pixels 104 can vary widely and are represented as squares only for illustration purposes. Row control (selection) lines 116, which are disposed on the substrate 102 (see FIGS. 1 and 2), are spaced uniformly from each other at the distance D1 as shown. Column data lines 118, which are also disposed on substrate 102, are also spaced uniformly from each other at the distance D1. Typically, data is read out one row at a time (but could be more than one row at a time) through the column data lines 118 to a processing device, such as a computer 119 or the like, as controlled by the row control lines 116. FIG. 7a is a schematic representation of the radiation beamlets 120 that pass through the apertures 110 of the mask 108 which has been superimposed over the active area of the detector pixels 104. Specifically, the electromagnetic radiation beamlets 120 are illustrated as white squares on the pixels 104, with each white square having a dimension d1.times.d1, which is equal to or essentially equal to the dimension of the aperture 110 through which the beamlet 120 has passed. In summary, as shown in FIG. 7a, the radiation beamlets 120 hit the scintillator above the active area of the detector pixels 104 with dimension d1.times.d1. The distance between the centers of adjacent apertures 110 is equal to D1, which is the pitch of the active area of the detector pixels 104. The relationship between the dimensions of each active area of the detector pixel and the dimensions of the radiation beamlets when they hit the detector pixel is D1=nd1, where n=2 in this example. Also, the x-rays are only allowed to impact the detector during the x-ray exposure time, but not during the data read out time or while the mask or detector is being moved. To assure that the entire object 112 (FIG. 2) is imaged, a conveying device 124 (see FIG. 1), such as a stepper motor, servo motor, motorized table, or any other suitable device, is configured to move the imaging apparatus 100 in a controlled manner. The imaging apparatus 100 is moved with respect to the object 112 in increments equal to d1 along the pattern shown in FIG. 7b. That is, after one exposure of the object 112 to the x-rays, a x-ray image of a respective portion of the object 112 is obtained by each pixel 104. The data produced by the pixels 104 is output through the column data lines 118. The imaging apparatus 100 is then moved in the x-y plane by a distance d1 along an arrow in FIG. 7b. This process is repeated n.sup.2 times with the imaging apparatus 100 (i.e., the detector pixels grid 103, scintillator 106 and mask 108) moved systematically in the x-y plane, for example, in the directions along arrows 126, 128, 130 and 132 for each exposure and reading, so that every part of the object 112 is imaged. After all four x-ray image patterns (n.sup.2 =4 in this example) have been obtained and stored, they are reconstructed by a processing device, such as the computer 119 or the like into a complete image representative of the entire object 112. The reconstructed image has higher resolution than any single x-ray image pattern obtained with or without the mask 106. The principle of improvement of image resolution is explained first assuming no x-ray conversion blurring and then expanded to include x-ray conversion blurring. For the fill factor of the active area of the detector is 100%, EQU MTF.sub.geometry =sin(.pi.fD)/(.pi.fD), PA0 Where MTF.sub.geometry is the MTF associated with the geometry of the detector system in one direction, D is the dimension of the pixel pitch, and f is the spatial frequency. The Nyquist frequency is 1/2D. PA0 When the linear dimension of the active area of the detector pixel is reduced to d1, for D=2d1, EQU MTF.sub.geometry =sin(.pi.f(d1))/(.pi.f(d1)), PA0 and the Nyquist frequency is still 1/2D. PA0 When the linear dimension of the active area of the detector is d1 and D=2(d1), and the detector is moved as shown in FIG. 7b and D=2(d1), then EQU MTF.sub.geometry =sin(.pi.f(d1))/ (.pi.f(d1)), PA0 and the Nyquist frequency is increased to 1/4D. This technique is used to reduce aliasing and improve image resolution for infrared cameras. The technique is called microscanning, dithering and microdithering, as described in the following publications: J. C. Gillette, T. M. Stadtmiller and R. C. Hardie, "Aliasing reduction in staring infrared imagers utilizing subpixel techniques," Optical Engineering 34, 3130-3137 (1995); R. C. Hardie, K. J. Barnard, J. G. Bognar, E. E. Armstrong and E. A. Watson, "High-resolution image reconstruction from a sequence of rotated and translated frames and its application to an infrared imaging system," Optical Engineering 37, 247-260 (1998), the entire contents of each being incorporated by reference herein. For x-ray and gamma ray imaging, there is conversion blurring. Conversion blurring can eliminate the benefits of microscan without mask and significantly reduce the signal. For example, for a TFT digital x-ray detector having an active area of the pixel with a dimension d1.times.d1, if If N number of x-rays impinges on this active area of the pixel and M number of electrons are created per x-ray, then the total number of electrons created per pixel would be MN. When there is no conversion blurring, the total number of charge collected by this pixel would be MN. Due to conversion blurring, the percentage of charge collected by this pixel decreases as the pixel dimension decreases, and the remaining charges are spread to the neighboring pixels. In the detector system of the present invention as shown, for example, in FIGS. 1-2, the aperture size of the mask determines the Nyquist frequency and the MTF associated with the pixel, while the active area of the pixel is kept large to increase the percentage of charge collected as the aperture of the mask decreases. The small aperature of the mask and large detector pixel size also improves the MTF associated with the conversion blurring, MTF.sub.conversion. The detector system MTF, MTF.sub.system, is the product of the MTF associated with the various aspects of the system, EQU MTF.sub.system =MTF.sub.geometry *MTF.sub.conversion *MTF.sub.others, Where MTF.sub.others is the MTF associated with other component of the detector system. The detector system described in FIGS. 1-2 and 5-7b with a mask and motion has a higher Nyquist frequency, larger values for the MTF within the Nyquist frequency and improve signal as compared to imaging without the mask and motion. As explained above, the detector pixel array 103 and mask 108 arrangement can have a wide variation of patterns and dimensions. For example, FIG. 8 is a schematic of a top view of a mask 134 which can be used in the imaging apparatus 100 shown in FIGS. 1 and 2 instead of mask 108. Mask 134 includes apertures 136 which are square or essentially square and have a dimension d2.times.d2, such that the pixel pitch D1 of detector pixels 104 is equal to 3(d2), (D1=3(d2)) in both directions. FIG. 9a is a schematic view showing the electromagnetic radiation that has passed through the mask 134 and has impacted on the scintillator above detector pixels 104. That is, the x-ray beamlets 138 pass through respective apertures 136 in the mask 134 and strike the center of the active radiation detection area of the respective pixel 104. To obtain an entire x-ray image of the object 112 with an imaging apparatus 100 including mask 134, the imaging apparatus 100 is moved along a pattern as shown, for example, in FIG. 9b. That is, as discussed above with regard to FIGS. 7a and 7b, after each exposure of the object 112 to x-rays and, generation of an x-ray image sub-pattern by the pixels 104, and read-out of the pixel data through column data lines 118, the imaging apparatus 100 is moved to a new location. The imaging apparatus 100 is moved sequentially each time an x-ray image is taken, and is moved in a possible pattern shown in FIG. 9b with each arrow representing one successive movement(d2=D/3). This process is repeated n.sup.2 =9 times with the detector 103, scintillator 106 and mask 134 moved in unison so that every part of the object 112 will be imaged. After all of the x-ray image sub-patterns have been obtained and stored, they are combined by a processor such as a computer or the like to provide an x-ray image representative of the entire object 112. In addition, aliasing can be further minimized and MTF improved by oversampling and applying appropriate mathematical algorithms. That is, returning to the example discussed with regard to FIGS. 7a and 7b, instead of moving the imaging apparatus 100 including detector 108 by a distance d1 between successive x-ray or gamma ray exposures, the imaging apparatus 100 is moved by a distance of (d1)/2=(D1)/2n, so the total number of sub-frames required is (2n).sup.2. The value (d1)/2=(D1)/2n. The arrows shown in the diagram of FIG. 10 suggest a possible sequence of movements for imaging apparatus 100 including detector pixels array 103, scintillator 106 and mask 108 for a detector motion of (d1)/2 between exposures, with the distance d1 being equal to one-half the pixel pitch D1 (i.e., D1/d1=2). An example of sampling variation by increasing the size of the apertures in the mask without changing the detector size or the distance between exposures is exemplified in FIGS. 11, 12a and 12b. FIG. 11 shows a mask 140 with apertures 142 each having a dimension d3.times.d3, where D1/(n-1)>d3>D1/n. In this example, n=2. FIG. 12a shows the spot size of the radiation beamlets 144 formed by mask 140 on the scintillator above the detector pixels 104. After each x-ray exposure and data readout operation is performed in the manner discussed above, the detector is moved a distance D1/n along the arrows shown in FIG. 12b. This process is repeated n.sup.2 times with the detector 103, scintillator 106 and mask 140 moving in unison so that every part of the object 112 is imaged. The suggested motion is similar to that of the example showing FIG. 10 to reduce aliasing. The aliasing reduction is dependent on the amount of overlapping image. It is noted that the periodicity of the detector pixel pitch need not be square. For example, as shown in FIG. 13 shows a detector pixel array 146 having the active area of the detector pixels 148 within the D1.times.0.75(D1) pixel pitch. For some applications, a rectangular area of the detector pixel layout is more effective than a layout of square detector pixels. FIG. 14 is a schematic illustration of a mask 150 having apertures 152 appropriate for the detector pixels 148 shown in FIG. 13. In this example, n=D1/d4=3. FIG. 15a is a schematic diagram illustrating the location of the radiation beamlets 154 passing through the apertures 152 of the mask 150 onto the scintillator above the detector pixels 148. Preferably, the x-ray beams that pass through each aperture 152 in the mask 150 are centered on the active radiation detection area of a respective pixel 148. After each x-ray exposure to the object 112 and data readout is performed in the manner discussed above, the imaging apparatus 100 including detector pixel array 146 and mask 150 is moved a distance (D1)/4 along the arrows shown in FIG. 15b. This process is repeated 6 times with the detector grid 146 and mask 150 moved systematically so that every part of the object 112 will be imaged. FIG. 16 is a schematic of a top view of a variation in the layout of the detector pixels for the imaging apparatus 100 shown in FIGS. 1 and 2. In the pixel array 156, the active areas for radiation detection of the pixels 158 are shown shaded with hatched lines. The shape of each pixel 158 is shown as a square for schematic purpose only. In general, the pixel shape can vary from one product to another and from one manufacturer to another. As shown, the detector pixels 158 are staggered in formation. The periodicity of the pixel is 2D1 in the horizontal direction and D1 in the vertical direction. The arrangement further includes column data lines 160, which are similar to the column data lines 118 discussed above and are spaced uniformly a distance D1 apart. Each data line will be connected to all the pixels 158 in a respective column of pixels. Control lines 162 run in a staggered zigzag pattern from left to right in this embodiment, and are spaced uniformly a distance D1 apart. FIG. 17 is a schematic illustration of the aperture layout of the mask 164 employed in the imaging apparatus 100 shown in FIGS. 1 and 2 having a detector pixel layout as shown in FIG. 16. The apertures 166 are arranged in a staggered fashion as shown, and D1/(d5)=2. FIG. 18a is a schematic illustration showing the locations at which the radiation beamlets 168 pass thought the apertures 166 overlaying the detector pixel array 156. FIG. 18b is a diagram showing an example of movement of the mask 164 and detector pixel array 156 for four x-ray exposures and returning to its original position and image readings which occur in the manner discussed above. As shown, the mask 164 and detector pixel array 156 move along the arrows by a distance d5 between each exposure and image reading. The minimum number of exposures is n.sup.2, and n=2 in this example. In general, there are many variations in direction and distance in which the detector pixel array 156 and mask 164 can be moved. For instance, D1/(d5) can be any number greater than or equal to 2, and various image data sampling algorithms can be implemented. Also, the pixel pitch does not have to be square. For example, FIG. 19 is another schematic illustration of a top view of a detector pixel array 170 which can be employed in imaging apparatus 100 shown in FIGS. 1 and 2 in place of detector pixel array 103. This figure is similar to FIG. 16, except the periodicity of the pixel detectors 172 is 3(D1) in the x direction. FIG. 20 is a schematic illustration of a mask 174 which can be employed in an imaging apparatus 100 which includes detector pixel array 170 shown in FIG. 19. The apertures 176 of the mask 174 are arranged in a staggered fashion along the x direction, and D1=3(d6). FIG. 21a is a schematic illustration of the positions at which the radiation beamlets 178 which pass through the aperture of the x-ray mask 174 overlaying the detector pixel array 170 strike the detector pixels 172 of the grid 170. FIG. 21b is a diagram of an example of the manner in which the detector pixel array 170 and mask 174 are moved for nine exposures by a distance d6 between exposures and returning to its original position. As can be appreciated from FIG. 21b, the staggered formation of the detector pixels grid 170 and mask 174 enable the entire object to be imaged by moving the grid 170 and mask 174 in one direction (i.e., the x direction), as opposed to in the x and y directions as from a non-staggered grid discussed above. Another mask variation is that the apertures are not squares. For some applications, other x-ray aperature shapes might be more appropriate. Although only several examples of masks and detector pixel array arrangements are described above, various types of mask having various apertures patterns can be used in the imaging system 100 to provide a wide variety of possible image system configurations. Also, as discussed below, the masks need not be attached to the scintillator, but rather, could be positioned at any appropriate location between the x-ray or gamma ray source and the detector pixel array. For example, FIG. 22 is a schematic illustrating an embodiment of an imaging apparatus 180 which includes a substrate 182, a detector pixel array 184 including detector pixels 186, a scintillator 188, and a mask 190 having apertures 191 therein similar to those described above. The imaging apparatus 180 can also include an antiscatter grid 192 which is disposed over the scintillator as shown. An example of an antiscatter grid is disclosed in related copending U.S. patent application Ser. No. 08/879,258, cited above. An x-ray source 194 and object 196 being imaged are also illustrated in relation to the apparatus 180. Unlike imaging apparatus 100, in this embodiment the object to be imaged 196 is positioned between x-ray mask 190 and the detector pixel array 184. As shown, the x-ray energy propagates out of a point x-ray source in a cone shape. FIG. 23a shows the mask 190 as viewed in the x-y plane. The apertures 191 are shown as having a square shape, but could have any suitable shape as discussed above for the other masks configurations. Primarily, the size and arrangement of the apertures 191 on the mask 190 should be such that they permit uniform sized and equally spaced beamlets to form on the detector pixels 186. The periodicity of the square digital detector pixels is defined to be D1.times.D1. The dimension of each x-ray beamlet as it hits the detector pixel (the "x-ray spot size") is equal to d7.times.d7, where d7<D. Using Euclidean geometry, if the x-ray source 194 is considered to be a vertex of a triangle, the x-ray beamlet on the detector pixels 186 is the base of the triangle, and the distance between the x-ray source 194 and the detector pixel is L (distance measured orthogonally), then if the x-ray mask 190 is placed a distance .alpha.L from the x-ray source where .alpha. is a fraction less than 1, the dimensions of the apertures 191 in the x-ray mask 190 must be equal to .alpha.(d7).times..alpha.(d7). Also, as with the variations discussed above, the apertures of the mask and the detector pixels can vary in size and shape depending on the need. The operation of the imaging apparatus 180 will now be described. When the x-ray source 194 emits a pulse of x-ray energy which strikes the x-ray mask 190, the mask blocks all of the x-rays from striking the object except at the mask apertures 191. The x-ray beamlets which pass through the apertures of the mask penetrate the object 196 and propagate toward the antiscatter grid 192. The antiscatter grid 192 eliminates the scattered radiation, so that only the primary radiation impacts the scintillator 188. As in the imaging apparatus 100 shown in FIGS. 1 and 2, the scintillator 188 can be a phosphor screen, which converts the x-rays to optical radiation. A photodiode on each detector pixel coverts the optical radiation to electrical charge. Alternatively, the scintillator 188 can be of the type that converts the x-rays directly to electrical charge, such as photoconductor, photocathodes, and so on. The geometry and dimensions of the detector pixels 186 and x-ray mask openings 191 are such that each x-ray beamlet passing through a respective aperture in the mask and a respective aperture in the antiscatter grid 192 will strike within a single detector pixel 186. Preferably, the active detector area of one pixel 186 captures the charges created by the impacting x-ray beamlet. After each exposure, the x-ray source is turned off or x-ray shutter is closed. The charges collected by the pixels 186 are then output via data lines in a manner similar to that described above for imaging apparatus 100. For this example, n=D1/d7=2. After one exposure and data read out, the detector grid 184 (and hence the substrate 182, scintillator 188 and antiscatter grid 192) is moved a distance D1/2 for n=2 in a sequence as shown in FIG. 12b and the x-ray mask 190 is moved by a distance .alpha.d7 in the same sequence as shown in FIG. 23b while the object 196 (patient) remains stationary, to expose a different portion of the object 196. This process is repeated n.sup.2 times with the detector and mask moved in unison so that every part of the object will be imaged. After all the necessary sub-images have been output and stored, the data is processed to produce one image in a manner similar to that described above. Even though n.sup.2 exposures are taken, the tissue is exposed to the same dose of x-ray as in one exposure without the mask, because each exposure is 1/n.sup.2 the area of an exposure without the mask. The data is then reconstructed digitally to produce the high-resolution image. Variations of the embodiments for the mask and the detector grid layout are the same as those exemplified in FIGS. 8 through 21, except that each aperture of the mask is reduced in size by the factor a and the motion of the mask is reduced by the same factor. FIG. 24a is a schematic diagram illustrating that the image filtering concept can be obtained by moving the location of the x-ray source 194 without moving the mask 190. For the detector shown in FIG. 6 and D1/d7=n=2, the detector motion is shown in FIG. 12b, the corresponding x-ray source displacement is shown in FIG. 24b, where the distance between displacement is d8 and d8.apprxeq.(D1/n)(.alpha./(1-.alpha.)). The direction of motion for the source, shown in FIG. 24b, is opposite to the direction of motion for the detector, shown in FIG. 12b. The range for .alpha. is between 0 and 1, and the optimal values for a are near 0.5. The positions for the x-ray source 194 are such that every part of the object will be imaged. Variations of the embodiments for the mask and the detector grid layout are the same as those exemplified in FIGS. 8 through 21, except that each aperture is reduced in size by the factor .alpha.. Another variation of FIG. 24a is to move the location of the x-ray source 194 and the x-ray mask 190, but not move the detector 184, the scintillator 188 or the antiscatter grid 192. As discussed above, the x-ray mask 190 should be made of high atomic number materials 191 on x-ray transparent substrate 192, so that the x-rays can be substantially completely blocked with even a thin mask. The desirable thickness will dependent on the allowable transmitted x-rays and the x-ray energy. Gold is most commonly used as x-ray lithography masks. The attenuation factor of gold over the density, .mu./.rho., varies with x-ray energy. For example, at x-ray energy of 22.16 keV, .mu./.rho.=59.7 cm.sup.2 /g and at x-ray energy of 30 keV, .mu./.rho.=25.55 cm.sup.2 /g, where .rho.=19.3 g/cm3 is the density of gold. The amount of x-ray that penetrates the mask is equal to exp(-.mu.L), where L is the thickness of the mask. Typically gold masks of can produce apertures with dimensions of 75 .mu.m to 100 .mu.m and vertical walls are routinely used to block x-rays in the 5-20 keV range. The mask needs to be thicker as the x-ray energy increases. The aperture walls of the mask should ideally be slanted along the direction at which the x-rays are received. If the x-ray source is from a point, then the mask should have the configuration shown schematically in FIGS. 25a, 25b or 25c, in which the slant angles increase with distance from the center of the mask. The top layer of the mask in FIG. 25c does not have to have the same thickness as the bottom layer. On the other hand, if the x-ray source is a parallel beam, the mask should have a configuration like that shown schematically in FIG. 25d, in which the aperture walls are all substantially vertical. The photoresist used in making the x-ray mask 193 does not have to be removed if it is x-ray transparent material, as shown in FIG. 25e. This is also true for a mask focused to a point x-ray source. In an imaging apparatus 100 as shown in FIGS. 1 and 2, x-ray scatter can be reduced if the mask is thick and configured as an antiscatter grid. However, in the imaging apparatus 180 as shown in FIG. 22, x-ray scatter can be reduced even without the use of an antiscatter grid. That is, when the x-ray sensitive area .epsilon. of the detector pixels is small compared to the area associated with the detector pitch E, the scatter is reduced by approximately the ratio .epsilon./E. Alternatively, a thin mask 200 with aperture d9.times.d9 can be used in the imaging apparatus 180 in place of the antiscatter grid 192, as shown schematically in FIG. 26, to reduce x-ray scatter by the ratio of (d9/D1).sup.2. FIG. 27 is a schematic illustration of another embodiment of an imaging apparatus according to the present invention. Imaging apparatus 202 includes a substrate 204, a digital detector pixel array 206 comprising detector pixels 208, a scintillator 210, and an x-ray mask 212 having apertures d10.times.d10. However, in this embodiment, the mask is placed a distance .lambda.1 above the scintillator, and the object (not shown) to be imaged is placed above the x-ray mask 212. The mask wall thickness and the distance x can act as an antiscatter grid. Alternatively, a properly aligned double mask 214, having apertures d11.times.d11 and individual mask portions separated by an appropriate distance .lambda.2, can be used to reduce scatter as shown schematically in FIG. 28. The invention as described with regard to FIGS. 1-28 employs a detector having a detector pixel pitch that is larger than the x-ray mask opening. The following embodiment of the invention employs detectors that have small pixels to obtain high-resolution images. A schematic of a CCD is shown in FIG. 29. The pixel sizes of the CCD can have dimensions d12.times.d12, with d12 being less than 10 .mu.m. However the resolution of the conventional x-ray image is degraded by the phosphor so that the small pixels of the CCD still cannot produce high-resolution images. The concept described above is also applicable to the CCD detector. A group of the CCD can be configured together to collect data for one x-ray image pixel, where d12 is the pixel pitch of the CCD. The CCD arrays can be used in configurations shown in FIGS. 1, 2, 22, 24, 26, 27 and 28. FIG. 30 is a schematic illustration showing the pattern of x-rays which passes through the mask overlaying the active area of the detector pixels of the detector pixel array shown in FIG. 29. The example shown in FIG. 30 utilizes 3.times.3 CCD pixels to collect the information relating to x-ray intensity for one x-ray image pixel, i.e., 3(d12)=D2, and d13 is the x-ray spot size overlapping the CCD. The signal collected by each group of CCD pixels with dimension D2.times.D2 under an x-ray beamlet will be grouped together to form the signal for the x-ray beamlet. Each D2.times.D2 group of pixels is effectively a macro pixel analogous to a single pixel of D1.times.D1 as shown, for example, in FIG. 6. For illustration purposes, nine CCD pixels form a macro pixel in FIG. 30. If the CCD pixels are much smaller than D2, then slight misalignment of the CCD array with respect to the mask can be tolerated by redistributing the signal of the CCD pixel to different macro pixels using software algorithms. The amount of misalignment may be on the order d11 over a distance of tens of D2. When CCD detectors are used and d13/d12 is greater than or equal to one, only the mask, and not the detector, needs to move for configurations shown in FIGS. 1, 2, 22, 27 and 28. Neither the mask nor the detector are required to move for the configuration shown in FIG. 24a. The high-resolution x-ray imaging apparatus discussed above according to the present invention has many applications. In addition to medical applications (e.g., mammography), such imaging apparatus can be used in scientific research, defense and security environments, biotechnology, x-ray microscopy, x-ray astronomy, three-dimensional x-ray tomography and various industrial applications such as those in which non-destructive testing is required. For example, radiographic testing is used in industry in process control to detect manufacturing flaws and is increasingly integrated as a crucial component on the manufacturing floor. The trend of non-destructive testing is moving toward the use of real-time, non-film radioscopic systems over traditional film-based systems. Digital non-destructive evaluation offers all the traditional benefits of detecting microscopic flaws and providing permanent inspection records. It enables new capabilities such as computer-based inspection methods and cost reduction. The electronics and automotive industries have moved fastest to adopt radioscopy; many other industries are following this trend. The spatial filtering which is performed by the present invention to obtain high-resolution digital x-ray or gamma ray images provides several advantages. The imaging apparatus can use either direct or indirect x-ray or gamma ray conversion to generate signals representative of the image. The invention provides an improvement of the MTF beyond the limitation of the pixel pitch of the detector pixel array. Image degradation by conversion blurring caused by phosphor screens can be minimized, and image degradation by oblique x-ray incidence can be minimized, thus providing improved image resolution as well as more spatially uniform image resolution. In medical applications, the method and apparatus of the present invention also allow for x-ray detection efficiency beyond the limitation of the fill factor of the imager, without the need for increasing the x-ray or gamma ray dosage to a patient. In addition, a wide range of image resolutions can be achieved using the present invention, with digital x-ray or gamma ray images having a resolution as small as 1 .mu.m. This concept of using mask to select the resolution is independent of the dimensions. Typically, the pixel size of gamma cameras are large while the pixel size of the CCDs are typically small. The pixel size depends on the energy of the radiation to be detected, the application and availability of detectors. Similarly, the mask thickness and the aperature size depends on the application's needs, the x-ray energy and the ability to fabricate the aperture size with the appropriate mask thickness. Although only a limited number of exemplary embodiments of the invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of the invention as defined in the following claims.
claims
1. Neutron shielding for the central column of a tokamak nuclear fusion reactor, the neutron shielding comprising an electrically conductive neutron absorbing material, wherein the neutron shielding is arranged such that the electrically conductive neutron absorbing material forms a solenoid for the initiation of plasma within the tokamak. 2. Neutron shielding according to claim 1, wherein the neutron shielding comprises an electrically insulating material arranged to separate turns of the solenoid formed by the electrically conductive neutron absorbing material. 3. Neutron shielding according to claim 1, wherein the neutron shielding is arranged such that any straight path between the location of the plasma during operation of the nuclear fusion reactor and the central column passes through the neutron absorbing material. 4. Neutron shielding according to claim 3, wherein the neutron shielding is formed from a plurality of radially arranged layers, each layer including neutron absorbing material arranged to cover gaps in the neutron absorbing material in other layers of the plurality of layers. 5. Neutron shielding according to claim 4, wherein each layer is constructed so as to form a solenoid, with alternate layers having opposite sense of winding, and wherein adjacent layers are connected in series at the top or bottom of the layers. 6. Neutron shielding according to claim 1, wherein the neutron shielding is constructed from a plurality of arcuate segments of the electrically conductive neutron absorbing material, wherein said segments are connected to provide a substantially helical current path. 7. Neutron shielding according to claim 6, wherein each segment comprises a first interlocking feature at one end of one face of the segment, and a second complementary interlocking feature at the opposite end of the opposite face of the segment, wherein the segments are connected by connecting the first interlocking feature of a first segment with the second interlocking feature of a subsequent segment. 8. Neutron shielding according to claim 7, wherein one end of a top arcuate face of the first segment is connected by the interlocking features to an opposite end of a bottom arcuate face of the subsequent segment. 9. Neutron shielding according to claim 7, wherein the first interlocking feature is a protrusion, and the second interlocking feature is a complementary recess. 10. Neutron shielding according to claim 7, wherein the first interlocking feature is a dowel, and the second interlocking feature is a complementary bore. 11. Neutron shielding according to claim 7, wherein the first interlocking feature is formed from a material having a greater shear strength than the electrically conductive neutron absorbing material. 12. Neutron shielding according to claim 7, wherein the first and/or second interlocking feature is formed from a material having a greater conductivity than the electrically conductive neutron absorbing material. 13. Neutron shielding according to claim 7, wherein each segment comprises an electrical connection region which is in contact with the respective subsequent segment, and a layer of insulating material arranged such that the only connection between segments is via the electrical connection region of each segment. 14. Neutron shielding according to claim 13, wherein the electrical connection region comprises a material having a greater conductivity than the electrically conductive neutron absorbing material. 15. Neutron shielding according to claim 14, wherein the electrical connection region comprises a patch made of a metal. 16. Neutron shielding according to claim 6, wherein each segment has top and bottom surfaces defined by two circular arcs and the lines connecting their ends, where the circular arcs have common angle and centre, and each segment has sides which extend vertically between the top and bottom surfaces. 17. Neutron shielding according to claim 16, wherein the angle of each circular arc is less than 180 degrees, and the segments are arranged to provide two or more helical current paths. 18. Neutron shielding according to claim 6, wherein the segments are arranged in two layers, the second layer being arranged radially outward of the first layer, and displaced axially and rotationally from the first layer such that any straight path between the location of the plasma during operation of the nuclear fission reactor and the central column passes through neutron shielding. 19. Neutron shielding according to claim 1, wherein the electrically conductive neutron absorbing material is a cemented carbide and/or boride comprising a binder and an aggregate, the aggregate comprising particles of a carbide and/or boride compound, and the binder comprising a metal. 20. Neutron shielding according to claim 19, wherein the aggregate comprises one or more of:tungsten carbide;tungsten boride;a ternary tungsten borocarbide. 21. Neutron shielding according to claim 1, wherein the electrically conductive neutron absorbing material has an electrical conductivity at 300K greater than 106 S/m. 22. Neutron shielding according to claim 1, wherein the electrically conductive neutron absorbing material comprises a metal of the sixth period of the periodic table. 23. Neutron shielding according to claim 15, wherein the metal is copper.
051071258
description
DESCRIPTION OF PREFERRED EMBODIMENTS In FIG. 2 a sectional detail of an X-ray imaging screen 200 according to the invention is illustrated. A thermoplastic film support 201 is shown having a planar coating surface 203 bearing a fluorescent layer 205 which is in turn covered by a transparent protective overcoat 207. On the major face of the film support opposite the planar coating surface an optional anticurl layer 209 is provided. The film support and anticurl layer extend to a common peripheral edge 211 that circumscribes the screen. The film support has an integral lip 213 located adjacent its peripheral edge. The integral lip extends above the planar coating surface and laterally surrounds the fluorescent layer. The integral lip, film support and protective overcoat together entirely surround the fluorescent layer. It is to be noted that in this construction the fluorescent layer is well protected along its peripheral edge from wear, delamination or other incidental physical damage. It is to be noted further that the presence of the integral lip obviates any requirement of providing a separate edge sealant. However, the use of an edge sealant similar to 113, although redundant, is not precluded. The integral lip can be thicker and therefore even more rugged than a conventional edge sealant layer. The integral lip is preferably of a height at least approximately corresponding to the thickness of the fluorescent layer. The upper extremity of the integral lip can be fused with the protective overcoat. The process of preparing the X-ray imaging screens of the invention can best be appreciated by reference to FIG. 3, which diagrammatically indicates a preferred sequence of preparation steps. In Step A a fluorescent layer of the type described above is coated onto a thermoplastic film support. A protective overcoat of the type described above is coated over the fluorescent layer. An anticurl layer, when included, can be coated either before or after the coating of the above layers. While any convenient conventional coating procedure can be employed, in manufacturing scale operations coating is typically conducted while winding the film support from one storage roll onto another. In Step B an unsized film support coated with a fluorescent layer, a protective overcoat and, optionally, an anticurl layer is oriented for cutting, preferably with the film support overlying the fluorescent layer--i.e., inverted as compared to the orientation shown in FIG. 2. The lateral extent of the film support and the coatings oriented in this step can be of any dimension larger than the dimensions of the X-ray imaging screen. The next step, Step C in FIG. 3, is to heat the film support along the peripheral edge of the X-ray imaging screen intended to be formed. The film support is formed of thermoplastic polymer and is heated along the peripheral edge to a temperature in excess of its softening temperature, preferably above or near its melting point. In this way the film support within the peripheral edge is readily structurally divided from the portion of the film support exterior of the peripheral edge. Although the thickness of the anticurl layer, fluorescent layer and overcoat have each been exaggerated for ease of visualization, in practice these layers are all much thinner than the film support and, in the absence of the film support, in themselves lack sufficient structural strength to join portions exterior and interior of the peripheral edge. Further, all of these layers are usually formed also of organic thermoplastic polymers that have softening and melting temperatures in the same general temperature ranges as the film support. Thus, the same localized heating step is capable of simultaneously cleaving all of these layers and the film support. In Step D the softened mass of the film support along its peripheral edge is caused to flow over the peripheral edge of the fluorescent layer to create the integral lip portion of the film support. In the preferred orientation, with the film support overlying the fluorescent layer, when the softened film support exhibits a sufficiently low viscosity, which is in turn a function of the thermoplastic polymer or polymers chosen and the temperature to which it is heated, gravity alone can provide a sufficient driving force to cause the downward peripheral flow of the softened film support. It is also possible to supplement the gravitational driving force by applying a physical force. A preferred technique for applying a physical force is to direct a fluid jet downwardly against the softened portion of the film support. Any chemically compatible fluid can be employed. Since phosphors are often sensitive to water and/or very low concentrations of liquid borne impurity ions, it is preferred to direct a gaseous jet downwardly against the softened film support. Using a fluid jet as opposed to a solid shaping tool contacting the softened film support has the advantage that there is no possibility of adhesion, as can occur with a solid shaping tool, and, additionally, the risk of edge contamination that can result from using a solid shaping tool is also avoided. By directing the fluid jet downwardly it is achieving a downward flow of the softened film support that is assisted by gravity. In many instances gravity alone is sufficient to produce the downward flow of the softened film support required to form the integral lip. In some instances, if the film support is softened to the point of exhibiting a very low viscosity, it can be advantageous to direct the fluid jet upward so that it assists in holding the softened film support in its desired position along the outer boundary of the fluorescent layer. It is possible to form the integral lip with the film support and layers oriented as shown in FIG. 2--that is, with the fluorescent layer overlying the film support. In this instance the force directing the softened peripheral portion of the film support to form the integral lip must act against gravity tending to cause the softened portion of the film support to move away from the fluorescent layer. Thus, if a fluid jet is employed, it must be increased in force as compared to that used with the inverted arrangement described above. For this reason the orientation rather than that shown in FIG. 2 is preferred for forming the integral lip. Once the softened portion of the film support has flowed to the desired location to form the peripheral integral lip the next step is to solidify the integral lip, as indicated by Step E in FIG. 3. Advantageously the same fluid jet that is employed to direct the softened film support around the edge of the fluorescent layer can also be used to cool and solidify softened film support in position as a peripheral integral lip. When the fluid jet impinges on the softened portion of the film support, it will direct the softened portion to the desired physical location while simultaneously extracting heat. Since the expansion that occurs when fluid is passed through a nozzle in itself cools the fluid, the mere act of forming an impinging fluid stream can in itself provide both the physical driving force and the cooling required to direct and immobilize the peripheral portion of the film support. While the use of a single fluid jet for both directing and immobilizing the peripheral portion of the film support is preferred as being the simplest and most convenient approach to performing these functions, it is appreciated that the step of directing the softened film into position to form the integral lip and the step of immobilization can be separated and performed separately, with both, either one or neither of these two steps being performed with a fluid jet. For example, one fluid jet at or only slightly below ambient temperatures can be used to direct the softened portion of the film support while a second following fluid jet of a much lower temperature can be used to chill set the integral lip. Instead of using a fluid jet for cooling any conventional quenching technique can be substituted that is not chemically incompatible with the performance properties of the fluorescent layer. Further, it is appreciated that cooling is not the only technique available for immobilizing the integral lip. Chemical hardening techniques, such as those conventionally employed in the crosslinking of organic polymers, can be used alternatively to immobilize the integral lip in its intended location. Film supports constructed of thermoplastic polymers contemplated for use in the practice of the invention can be selected from a wide array of film supports demonstrated to be suited for the construction of X-ray imaging screens and silver halide radiographic elements. Typical useful thermoplastic film supports include films of cellulose nitrate and cellulose esters such as cellulose triacetate and diacetate, polystyrene, polyamides, homo- and copolymers of vinyl chloride, poly(vinyl acetal), polycarbonate, homo- and copolymers of olefins such as polyethylene and polypropylene, and polyesters of dibasic aromatic carboxylic acids with divalent alcohols such as poly(ethylene terephthalate). Because of their superior dimensional stability the latter polyesters constitute particularly preferred thermoplastic film supports. Preferred polyester film supports are comprised of linear polyester such as illustrated by Alles et al U.S. Pat. No. 2,627,088, Wellman U.S. Pat. No. 2,720,503, Alles U.S. Pat. No. 2,779,684 and Kibler et al U.S. Pat. No. 2,901,446. Polyester films can be formed by varied techniques as illustrated by Alles, cited above, Czerkas et al U.S. Pat. No. 3,663,683 and Williams et al U.S. Pat. No. 3,504,075, and modified for use as radiographic film supports by subbing, etc., as illustrated by VanStappen U.S. Pat. No. 3,227,576, Nadeau et al U.S. Pat. Nos. 3,143,421 and 3,501,301, Reedy et al U.S. Pat. No. 3,589,905, Babbitt et al U.S. Pat. No. 3,850,640, Bailey et al U.S. Pat. No. 3,888,678, Hunter U.S. Pat. No. 3,904,420, Malinson et al U.S. Pat. No. 3,928,697, Van Paesschen et al U.S. Pat. No. 4,132,552, Schrader et al U.S. Pat. No. 4,141,735, McGrail et al U.S. Pat. No. 4,594,262, and Bayless et al U.S. Pat. No. 4,645,731. These and other suitable thermoplastic film supports are disclosed in Research Disclosure, Item 18431, Section IX, cited above, and Research Disclosure, Vol. 308, December 1989, Item 308119, the disclosures of which are here incorporated by reference. The thermoplastic film supports can be of any conventional thickness, but are typically in the form of flexible sheets having thicknesses of up to about 2 mm. The fluorescent layer coated on the film support is comprised of a mixture of phosphor particles and a binder. When the X-ray imaging screen is intended to be used as an intensifying screen, any conventional phosphor known to be useful for this purpose can be employed. Such phosphors are illustrated by those disclosed in Research Disclosure, Item 18431, Section IX, cited above and here incorporated by reference. Preferred prompt emission phosphors include calcium tungstate (CaWO.sub.4); niobium and/or rare earth activated yttrium, lutetium, and gadolinium tantalates; rare earth activated mixed alkaline earth sulfates; titanium activated hafnia and/or zirconia; and rare earth activated rare earth oxychalcogenides and halides. The rare earth oxychalcogenide and halide phosphors are preferably chosen from among those of the formula: EQU M.sub.(w-n) M'.sub.n O.sub.w X wherein: M is at least one of the metals yttrium, lanthanum, gadolinium, or lutetium, PA1 M' is at least one of the rare earth metals, preferably dysprosium, erbium, europium, holmium, neodymium, praseodymium, samarium, terbium, thulium, or ytterbium, PA1 X is a middle chalcogen (S, Se, or Te) or halogen, PA1 n is 0.0002 to 0.2, and PA1 w is 1 when X is halogen or 2 when X is chalcogen. Calcium tungstate phosphors are illustrated by Wyand et al U.S. Pat. No. 2,303,942. Niobium activated and rare earth activated yttrium, lutetium, and gadolinium tantalates are illustrated by Brixner U.S. Pat. No. 4,225,653. Rare earth activated gadolinium and yttrium middle chalcogen phosphors are illustrated by Royce U.S. Pat. No. 3,418,246. Rare earth-activated lanthanum and lutetium middle chalcogen phosphors are illustrated by Yocom U.S. Pat. No. 3,418,247. Terbium activated lanthanum, gadolinium, and lutetium oxysulfide phosphors are illustrated by Buchanan et al U.S. Pat. No. 3,725,704. Cerium activated lanthanum oxychloride phosphors are disclosed by Swindells U.S. Pat. No. 2,729,604. Terbium activated and optionally cerium activated lanthanum and gadolinium oxyhalide phosphors are disclosed by Rabatin U.S. Pat. No. 3,617,743 and Ferri et al U.S. Pat. No. 3,974,389. Rare earth activated rare earth oxyhalide phosphors are illustrated by Rabatin U.S. Pat. Nos. 3,591,516 and 3,607,770. Terbium-activated and ytterbium-activated rare earth oxyhalide phosphors are disclosed by Rabatin U.S. Pat. No. 3,666,676. Thulium-activated lanthanum oxychloride or oxybromide phosphors are illustrated by Rabatin U.S. Pat. No. 3,795,814. A (Y,Gd).sub.2 O.sub.2 S:Tb phosphor wherein the ratio of yttrium to gadolinium is between 93:7 and 97:3 is illustrated by Yale U.S. Pat. No. 4,405,691. Non-rare earth coactivators can be employed, as illustrated by bismuth and ytterbium activated lanthanum oxychloride phosphors disclosed in Luckey et al U.S. Pat. No. 4,311,487. Rare earth activated mixed alkaline earth sulfate phosphors are illustrated by Luckey U.S. Pat. No. 3,650,976. Titanium activated hafnia and/or zirconia phosphors are illustrated by Bryan et al U.S. Pat. Nos. 4,963,753, 4,963,754, 4,961,004, 4,967,087, 4,972,085, 4,967,085, 4,980,559, 4,980,560, 4,983,847 and 4,988,880. The mixing of phosphors as well as the coating of phosphors in separate layers of the same screen are specifically recognized. A phosphor mixture of calcium tungstate and yttrium tantalate is illustrated by Patten U.S. Pat. No. 4,387,141. However, in general neither mixtures nor multiple phosphor layers within a single screen are preferred or required. When the X-ray imaging screens are intended to used as storage phosphor screens, the particulate phosphors can take any of the forms disclosed by Luckey U.S. Pat. No. 3,859,527 (reissued as U.S. Pat. No. Re. 31,847), cited above and here incorporated by reference. Preferred stimulable storage phosphors are rare earth activated barium fluorohalide phosphors Exemplary phosphors of this type are disclosed by U.K. Patent 1,419,169, Ferretti U.S. Pat. Nos. 4,080,306 and 4,524,071, Aoki et al U.S. Pat. No. 4,109,152, Mori et al U.S. Pat. No. 4,138,529, Kotera et al U.S. Pat. Nos. 4,239,968, 4,261,854, 4,258,264, 4,239,968, 4,512,911, 4,889,996 and 4,978,472, Takahashi et al U.S. Pat. Nos. 4,368,390, 4,380,702, 4,394,581, 4,535,237, 4,535,238, 4,876,161, 4,894,548, 4,895,772, and 4,926,047, Nishimora et al U.S. Pat. No. 4,336,154, Nakamura et al U.S. Pat. Nos. 4,532,071, 4,605,861, 4,698,508, 4,835,398 and 4,891,227, Umemoto et al U.S. Pat. No. 4,505,889, Takahara et al U.S. Pat. No. 4,515,706, Arakawa et al U.S. Pat. No. 4,534,884, Miyahara et U.S. Pat. No. 4,539,138, Degenhardt U.S. Pat. No. 4,587,036, and Katoh et al U.S. Pat. No. 4,871,474. Other stimulable storage phosphor compositions are, of course, known, as illustrated by Ackerman U.S. Pat. No. 4,496,844, the disclosures of which are here incorporated by reference. The phosphors, whether applied to intensifying screen or storage phosphor screen use, can be used in any conventional particle size range and distribution. It is generally appreciated that sharper images are realized with smaller mean particle sizes, but light emission efficiency declines with decreasing particle size. Thus, the optimum mean particle size for a given application is a reflection of the balance between imaging speed and image sharpness desired. Conventional phosphor particle size ranges and distributions are illustrated in the phosphor teachings cited above. The fluorescent layer includes in addition to the phosphor particles sufficient binder to give structural coherence to the fluorescent layer. In general the binders useful in the practice of the invention are those conventionally employed in the art. Binders are generally chosen from a wide variety of known organic polymers which are transparent to X-radiation and emitted light. Preferred binders are, like the film support, chosen from among thermoplastic polymers. Binders commonly employed in the art include sodium o-sulfobenzaldehye acetal of poly(vinyl alcohol); chlorosulfonated poly(ethylene); a mixture of macromolecular bisphenol poly(carbonates) and copolymers comprising bisphenol carbonates and poly(alkylene oxides); aqueous ethanol soluble nylons; poly(alkyl acrylates and methacrylates) and copolymers of poly(alkyl acrylates and methacrylates with acrylic and methacrylic acid); poly(vinyl butyral); and poly(urethane) elastomers. These and other useful binders are disclosed in U.S. Pat. Nos. 2,502,529; 2,887,379; 3,617,285; 3,300,310; 3,300,311; and 3,743,833; and in Research Disclosure, Vol. 154, February 1977, Item 15444, and Vol. 182, June 1979. Research Disclosure is published by Kenneth Mason Publications, Ltd., Emsworth, Hampshire P010 7DD, England. Particularly preferred binders are poly(urethanes), such as those commercially available under the trademark Estane from Goodrich Chemical Co., the trademark Permuthane from the Permuthane Division of Beatrice Foods Co., and the trademark Cargill from Cargill, Inc. Any conventional ratio of phosphor to binder can be employed. Depending upon the specific imaging application and phosphor to binder weight ratios of from 1:1 to 40:1 or higher are feasible. Generally thinner phosphor layers and sharper images are realized when a high weight ratio of phosphor to binder is employed. The best balance between structural integrity and image sharpness is obtained with a phosphor to binder weight ratio in the range of from 10:1 to 25:1 and are preferred. When constructed within contemplated phosphor to binder ratios noted above the thickness of the fluorescent layer can range from about 50 to 500 .mu.m, most preferably from about 75 to 300 .mu.m, in thickness. In those instances in which it is desired to reduce the effective thickness of a phosphor layer below its actual thickness the phosphor layer is modified to impart a small, but significant degree of light absorption. If the binder is chosen to exhibit the desired degree of light absorption, then no other ingredient of the phosphor layer is required to perform the light attenuation function. For example, a slightly yellow transparent polymer will absorb a significant fraction of phosphor emitted blue light. Ultraviolet absorption can be similarly achieved. It is specifically noted that the less structurally complex chromophores for ultraviolet absorption particularly lend themselves to incorporation in polymers. In most instances a separate absorber is incorporated in the phosphor layer to reduce its effective thickness. The absorber can be a dye or pigment capable of absorbing light within the spectrum emitted by the phosphor. Yellow dye or pigment selectively absorbs blue light emissions and is particularly useful with a blue emitting phosphor. On the other hand, a green emitting phosphor is better used in combination with magenta dyes or pigments. Ultraviolet emitting phosphors can be used with known ultraviolet absorbers. Black dyes and pigments are, of course, generally useful with phosphors, because of their broad absorption spectra. Carbon black is a preferred light absorber for incorporation in the phosphor layers. Luckey and Cleare U.S. Pat. No. 4,259,588, here incorporated by reference, teaches that increased sharpness (primarily attributable to reduced crossover, discussed below) can be achieved by incorporating a yellow dye in a terbium-activated gadolinium oxysulfide phosphor layer. An overcoat, though not required, is commonly located over the fluorescent layer for humidity and wear protection. The overcoat can be chosen using the criteria described above for the binder. The overcoat can be chosen from among the same polymers used to form either the binder or the support, with the requirements of toughness and scratch resistance usually favoring polymers conventionally employed for film supports. For example, cellulose acetate is a preferred overcoat used with the preferred poly(urethane) binders. Overcoat polymers are often used also to seal the edges of the fluorescent layer and can be used for this purpose in the X-ray imaging screens of this invention. While anticurl layers are not required for the screens, they are generally preferred for inclusion. The function of the anticurl layer is to balance the forces exerted by the layers coated on the opposite major surface of the screen support which, if left unchecked, cause the screen to assume a non-planar configuration--e.g., to curl or roll up on itself. Materials forming the anticurl layers can be chosen from among those identified above for use as binders and overcoats. Generally an anticurl layer is formed of the same polymer as the overcoat on the opposite side of the support. For example, cellulose acetate is preferred for both overcoat and anticurl layers. To prevent blocking, such as the adhesion that can occur on a storage roll or upon contact with a radiographic element or other smooth surface, the overcoats of the fluorescent layers can include a matting agent. Useful matting agents are illustrated by those disclosed in Research Disclosure, Item 308119 cited above, Section XVI. A variety of other optional materials can be included in the surface coatings of the X-ray imaging screens, such as materials to reduce static electrical charge accumulation, plasticizers, lubricants, and the like. While the entire peripheral edge of the X-ray imaging screen can be simultaneously heated during the cutting to size step, it is generally most convenient to confine local heating to a single spot that is then guided along the peripheral edge, usually in a fixed spatial relationship to the fluid jet or jets described above, until the entire screen has been circumscribed. A laser beam is excellently suited for performing this operation. Generally any conventional laser having a sufficient power output capability to raise a spot on the coated film support above its softening temperature, preferably to a temperature at or near its melting point, can be employed. To facilitate transfer of laser beam energy to the film support, it is preferred that the film support be constructed to exhibit a high absorption of electromagnetic radiation at the wavelength of the laser beam. Stated quantitatively, the film support preferably exhibits an optical density to electromagnetic radiation corresponding to the wavelength of the laser beam. Fortuitously the absorption level of the film support is satisfied by the common practice of loading dye and/or pigment into the film support for the purpose of improving image sharpness. A conventional practice in constructing high definition X-ray imaging screens is to load a black dye or pigment, most commonly carbon black, into the polymer forming the film support. Such film supports are excellently suited for laser sizing. The broad absorption band of carbon black throughout the visible spectrum allows a broad choice of specific lasers. In some applications for highest attainable imaging speeds are favored over image sharpness. In such applications it is common practice to load into the film support reflective materials, such as titania pigment, or include in the support microvoids to reflect or scatter radiation, as illustrated by Roberts et al U.S. Pat. No. 4,912,333. Reflective film supports are not incompatible with laser cleaving, although absorption levels are significantly reduced. It is recognized that by choosing laser wavelengths in the ultraviolet or near infrared portions of the spectrum and incorporating in or on the film support an infrared absorbing dye or ultraviolet absorber the efficiency of laser beam absorption can be increased without significantly reducing support reflectance in the visible spectrum. Illustrative ultraviolet and infrared absorbers are disclosed in Research Disclosure, Item 308119, cited above, Section VIII-C, the disclosure of which is here incorporated by reference. The binder for the fluorescent layer, the overcoat and the anticurl layer are usually transparent. These layers are sufficiently thin that they can be heated adequately heat transfer from the film support even if little, if any, of the laser energy is directly absorbed. In high definition X-ray imaging screens it is common practice to incorporate small amounts of dye and/or pigment in the fluorescent layer binder to enhance image sharpness. When present the dye and/or pigment can function to facilitate laser heating as well. EXAMPLES The invention can be better appreciated by reference to the following specific illustration: Europium activated barium fluorobromide phosphor particles having a mean diameter of 18 .mu.m were mixed with Permuthane.TM. polyurethane binder in a phosphor to binder weight ratio of 17:1 with the polyurethane suspended in a 92.7:7.3 weight ratio mixture of methylene chloride and methanol. The phosphor and binder containing composition so formed was coated onto a planar surface of a poly(ethylene terephthalate) film support. The methylene chloride and methanol mixture was then removed by evaporation to leave a fluorescent layer on the film support having a thickness of 178 .mu.m (7 mils). Over the fluorescent layer was coated a transparent cellulose acetate protective overcoat having a thickness of 7.6 .mu.m (0.3 mils). The poly(ethylene terephthalate) film support had a thickness of 178 .mu.m (7 mils) and contained sufficient carbon black particles to render the film support black in appearance and opaque to visible light. The coated film support was mounted on a X-Y addressing table of a 50 watt carbon dioxide laser with the film support positioned to overlie the fluorescent layer. The laser beam was directed vertically downwardly and focused to a spot of approximately 178 .mu.m (7 mils) in diameter, resulting in immediate softening of the film support and cleavage of the film support and associated layers in this spot. Simultaneously a jet of air of was directed downwardly in the area of the laser spot. Movement of the X-Y addressing table resulted in forming an X-ray imaging screen having circumscribed by peripheral edge of the configuration shown in FIG. 2. Microscopic examination of the peripheral edge revealed that an integral lip had been formed on the film support with a width extending inwardly from the periphery of the screen from 75 to 125 .mu.m. Examination of the X-ray imaging screen revealed no evidence of edge delamination or other physical imperfections in the screen edge. The invention has been described in detail with particular reference to preferred embodiments thereof, but it will be understood that variations and modifications can be effected within the spirit and scope of the invention.
053613775
summary
FIELD OF THE INVENTION This invention is related to the production of electrical power or energy. More specifically, the present invention provides an apparatus and method for producing electrical power with steam generated by a nuclear reactor. DESCRIPTION OF THE PRIOR ART Conventional methods of electrical power production utilize pressurized steam generation and a subsequent pressure drop for rotating a steam turbine/generator to produce electricity. An essential part of the system cycle is to condense the exiting turbine steam back into water to permit repressuring the system. In turn, the water is again heated into steam, which requires a large quantity of heat for a transformation of state from water to steam, that is, the Heat of Vaporization. In a conventional nuclear-fueled system, water is heated and the water is transformed into saturated steam. Since saturated steam is highly erosive, higher cost 1800 RPM turbines must be used. Reheat of the steam flow to a L.P. (low pressure) Turbine is by use of main saturated steam. The foregoing are disadvantages of the nuclear fueled system. The main advantage is the low cost of the fuel, that is, cost per BTU. In a conventional fossil fired system the water is heated and the water is transformed into saturated steam. The saturated steam is in turn heated to a higher temperature (superheated) thus permitting greater power production for a given cycle fluid flow. Also with the use of superheated steam less costly 3600 RPM turbines are used. Reheat of the steam for L.P. turbine flow is accomplished by use of a fossil fired reheater. The main advantage of the fossil fired system above the nuclear fueled system is the system has smaller fluid flow for a given output since more heat can be added. This in turn reduces equipment sizes and permits the use of 3600 RPM steam turbines. The main disadvantage is the higher cost of fossil fuel, that is, cost per BTU. A patentability investigation was conducted and the following U.S. Pat. Nos. by Nos. were discovered: 3,329,575 entitled: POWER PLANT APPARATUS to Burback et al PA1 3,575,002 entitled: COMBINATION FOSSIL FUEL AND SUPER-HEATED STEAM NUCLEAR POWER PLANT to Vuia. PA1 3,583,156 entitled: GAS TURBINE POWERPLANTS to Schabert. PA1 3,826,091 entitled: PROCESS FOR CONVERTING HEAT PRODUCED BY A NUCLEAR REACTOR TO ELECTRICAL ENERGY to Stahl. PA1 3,894,394 entitled: HTGR POWER PLANT HOT REHEAT STEAM PRESSURE CONTROL SYSTEM to Braytenbah et al. PA1 4,015,430 entitled: ELECTRIC POWER PLANT AND TURBINE ACCELERATION CONTROL SYSTEM FOR USE THEREIN to Braytenbah et al. PA1 4,336,105 entitled: NUCLEAR POWER PLANT STEAM SYSTEM to Silvestri, Jr. PA1 4,530,814 entitled: APPARATUS FOR SUPERHEATING STEAM to Schluderberg. PA1 (a) providing a nuclear reactor engaged to a steam generator for generating steam when heated aqueous product is passed therethrough; PA1 (b) passing heated aqueous product through the steam generator of step (a) to produce steam; PA1 (c) passing the produced steam of step (b) through a superheater to superheat the produced steam, preferably to produce steam having an enthalpy above about 1450 BTU per lb.; PA1 (d) passing the superheated produced steam of step (c) through a first turbine to expand the superheated produced steam and produce steam, preferably producing steam having an enthalpy above about 1250 BTU per lb.; PA1 (e) reheating the produced steam of step (d) to obtain a reheated steam, preferably obtaining a reheated steam having an enthalpy above about 1470 BTU per lb.; PA1 (f) passing the obtained reheated steam of step (e) through a second turbine coupled to a generator in order to expand the obtained reheated steam and generate electrical power with the generator. U.S. Pat. No. 3,329,575 to Burbach et al deals with the problem of a separately fired superheater for a typical 600 PSI nuclear steam cycle. The patent indicates the problem can be solved by increasing the steam pressure from 600 PSI to 1000 PSI; that is, the nuclear steam generator steam is increased to a pressure of 1000 PSI by a compressor and then is piped to the fossil fired superheater. This is an inefficient set-up but confirms the need for higher pressures in the superheater to assure a superheater of reasonable size. U.S. Pat. No. 3,575,002 teaches that a separately fired superheater has problems relative to tube design in and around the "firebox" which results in tube temperatures exceeding the temperature limit of existing material. The patent indicates the problem can be solved by placing the superheater in a boiler which would be utilized for both a conventional fossil plant and the nuclear cycle superheater; that is, a separate fossil fuel plant and separate superheated steam nuclear power plant with the steam being heated in the fossil fuel plant's boiler. U.S. Pat. No. 4,530,814 to Schluderberg provides for a design of a reheater to add heat to the nuclear steam flow between the high and low pressure turbine of a nuclear power plant. The heat added comes from a fossil fired steam circuit; that is, fossil heated vapor heats the nuclear system cycle's steam. This patent is not related to the nuclear-fossil integrated designs presented in this invention. None of the foregoing prior art patents teach or suggest the particular apparatus and method of the present invention. What is needed and what has been invented by me is an apparatus and method for producing electrical power or energy without the deficiencies associated with the foregoing prior art. SUMMARY OF THE INVENTION The present invention accomplishes its desired objects by broadly providing a method for producing electrical power from steam generated by a nuclear reactor comprising the steps of: The method additionally comprises recovering expanded steam from the second turbine, said recovered expanded steam from the second turbine preferably having an enthalpy greater than about 1050 BTU per lb.; condensing the recovered expanded steam into an aqueous product; passing the aqueous product through a first pump to pump the aqueous product to produce a pumped aqueous product; passing the pumped aqueous product through at least one first heater to produce an aqueous product having an elevated temperature, an elevated pressure and elevated enthalpy; passing the aqueous product having an elevated temperature, pressure and enthalpy through a second pump; and passing subsequently the aqueous product from the second pump through at least one second heater to produce the heated aqueous product which is for being passed through the steam generator. The present invention also accomplishes its desired objects by broadly providing an apparatus for producing electrical power comprising in combination a nuclear reactor engaged to and communicating with a steam generator; a superheater (i.e. fossil fired or steam to steam superheater) engaged to and communicating with the steam generator; a first turbine engaged to and communicating with the superheater; a reheater engaged to and communicating with the first turbine; and a second turbine coupled to a generator and engaged to and communicating with the reheater. It is therefore an object of the present invention to provide a method for producing electrical power from steam generated by a nuclear reactor. It is another object of the present invention to provide an apparatus for accomplishing the method for producing electrical power from steam generated by a nuclear reactor. These, together with the various ancillary objects and features which will become apparent to those skilled in the art as the following description proceeds, are attained by this novel method and apparatus, a preferred embodiment being shown with reference to the accompanying drawings, by way of example only wherein:
claims
1. A gas discharge source for generating extreme ultraviolet and/or soft X-radiation, comprising:a first electrode having an opening therein defining an axis of symmetry and providing an outlet for a discharge of radiation;a second electrode comprising a hollow electrode substantially completely surrounding a cavity save for an opening therethrough positioned along the axis of symmetry, the second electrode opening facing the first electrode; anda diaphragm positioned between the first and the second electrode and having an opening positioned along the axis of symmetry, the diaphragm acting as a differential pump stage, a space between the diaphragm and the first and the second electrode comprising an intermediate electrode space adapted for being filled with a gas, wherein:the first electrode has a gas inlet leading into the intermediate electrode space from exterior the first electrode; andthe second electrode has a gas inlet leading into the intermediate electrode space from exterior the second electrode, the second electrode gas inlet not in direct fluid communication with the cavity. 2. A gas discharge source as claimed in claim 1, wherein the gas pressure in a part-area of the gas-filled intermediate electrode space defined by the diaphragm and the second electrode is greater than in a part-area of the gas-filled intermediate electrode space defined by the diaphragm and the first electrode. 3. A gas discharge source as claimed in claim 1, wherein at least a portion of the diaphragm comprises a ceramic material. 4. A gas discharge source as claimed in claim 1, wherein the diaphragm comprises a discharge-resistant material adjacent the discharge opening. 5. A gas discharge source as claimed in claim 1, wherein the diaphragm comprises multiple metallic diaphragms and multiple isolators for separating the diaphragms. 6. A gas discharge source as claimed in claim 1, wherein, along the axis of symmetry, the diaphragm extends between 1 mm and 20 mm. 7. A gas discharge source as claimed in claim 1, wherein the opening of the diaphragm has a diameter between 4 mm and 20 mm. 8. A gas discharge source as claimed in claim 1, wherein the first electrode gas inlet has a first opening facing toward a first part-area of the intermediate electrode space defined by the diaphragm and by the first electrode, wherein the second electrode gas inlet has an opening facing toward a second part-area of the intermediate electrode space defined by the diaphragm and by the second electrode. 9. A gas discharge source as claimed in claim 8, wherein the intermediate electrode space is adapted for containing a working gas used for the gas discharge and at least one further filler gas exhibiting lower radiation losses than the working gas. 10. A gas discharge source as claimed in claim 9, wherein the first part-area contains a greater proportion of the working gas than the filler gas, and the second part-area contains a greater proportion of the filler gas than the working gas. 11. A gas discharge source as claimed in claim 1, wherein the first electrode opening is adapted for evacuation of the intermediate electrode space (3). 12. A gas discharge source as claimed in claim 1, wherein the second electrode comprises a cathode. 13. A gas discharge source as claimed in claim 1, wherein a spacing and a gas pressure between the first and the second electrode are selected such that the gas discharge takes place on a left branch of a Paschen curve.
claims
1. A method for manufacturing a lens assembly of an electron beam microcolumn having a plurality of microlenses each provided with a hole at a central position thereof, and a plurality of insulating layers alternately interposed between the microlenses, the method comprising:forming at least one first microlens assembly set by anodic-bonding an insulating layer and a microlens together so that a part of a surface of the insulating layer is not covered with the microlens;layering the first microlens assembly set on a second microlens or a second microlens assembly set while aligning the holes of the microlenses, so that the second microlens or the microlens of the second microlens assembly set is in contact with the insulating layer of the first microlens assembly set, while the part of the insulating layer of the first microlens assembly set not covered by the first microlens is in contact with the second microlens or the microlens of the second microlens assembly set; andscanning a laser beam to bond the part of the insulating layer of the first microlens assembly set not covered by the first microlens to the second microlens or the microlens of the second microlens assembly set by passing the laser beam through the part of the insulating layer of the first microlens assembly set, thus welding the first microlens assembly set to the second microlens or the microlens of the second microlens assembly set. 2. The method according to claim 1, wherein the microlens and the insulating layer of each of the microlens assembly sets are anodic-bonded together after the microlens of each of the microlens assembly sets is rotated on the insulating layer around the hole thereof at a predetermined angle. 3. The method according to claim 1, wherein the microlens assembly sets are arranged while rotating the first microlens assembly set on the second microlens assembly set around the holes at a predetermined angle, so that the insulating layer of the first microlens assembly set and the microlens of the second microlens assembly set form a path of the laser beam during the scanning of the laser beam, thus forming a welding spot on both the insulating layer of the first microlens assembly set and the microlens of the second microlens assembly set. 4. The method according to claim 1, wherein each of the holes is circular or polygonal. 5. The method according to claim 1, further comprising:anodic-bonding the microlens assembly sets together after the scanning of the laser beam. 6. The method according to claim 1, wherein the anodic-bonding is executed by bringing a flat plate electrode having a wide contact surface into contact with an upper surface of the microlens. 7. The method according to claim 1, wherein the part of the microlens that is not covered is provided with a wiring connection. 8. A lens assembly manufactured through the method according to claim 1. 9. A lens assembly according to claim 8, wherein the anodic-bonding is executed by bringing a flat plate electrode having a wide contact surface into contact with an upper surface of the microlens, in order to assemble the lens assembly sets. 10. The method according to claim 2, wherein the microlens assembly sets are arranged while rotating the first microlens assembly set on the second microlens assembly set around the holes at a predetermined angle, so that the insulating layer of the first microlens assembly set and the microlens of the second microlens assembly set form a path of the laser beam during the scanning of the laser beam, thus forming a welding spot on both the insulating layer of the first microlens assembly set and the microlens of the second microlens assembly set. 11. The method according to claim 2, wherein each of the holes is circular or polygonal. 12. The method according to claim 2, further comprising:anodic-bonding the microlens assembly sets together after the scanning of the laser beam. 13. The method according to claim 2, wherein the anodic-bonding is executed by bringing a flat plate electrode having a wide contact surface into contact with an upper surface of the microlens. 14. The method according to claim 2, wherein the part of the microlens that is not covered is provided with a wiring connection. 15. A lens assembly manufactured through the method according to claim 2. 16. A lens assembly according to claim 15, wherein the anodic-bonding is executed by bringing a flat plate electrode having a wide contact surface into contact with an upper surface of the microlens, in order to assemble the lens assembly sets. 17. The method according to claim 3, wherein each of the holes is circular or polygonal. 18. The method according to claim 3, further comprising:anodic-bonding the microlens assembly sets together after the scanning of the laser beam. 19. The method according to claim 3, wherein the anodic-bonding is executed by bringing a flat plate electrode having a wide contact surface into contact with an upper surface of the microlens. 20. The method according to claim 4, further comprising:anodic-bonding the microlens assembly sets together after the scanning of the laser beam.
description
This application is the national phase under 35 U.S.C. § 371 of PCT International Application No. PCT/DE2004/052930 which has an International filing date of Nov. 11, 2004, which designated the United States of America and which claims priority on German Patent Application number 103 54 811.4 filed Nov. 21, 2003, the entire contents of which are hereby incorporated herein by reference. The present invention generally relates to a collimator for stray radiation. For example, it may to a collimator for medical X-ray devices, including numerous absorption elements for X-ray radiation, separated from one another by a filler and support material, which are aligned approximately in parallel or oriented towards a common focus. The invention also generally relates to a method for producing a collimator. In typical fields of application of radioscopy such as, for example, X-ray inspection or medical X-ray diagnostics, the resolution which can be achieved in the radioscopy plays an important role. Good resolution is achieved when detector arrays with detector elements of small area which are as close to one another as possible and a device for closely limiting the wide solid angle at which the X-ray radiation can impinge on the respective detector element, which is arranged in front of these detector elements, are used. In the ideal case, this device, known as collimator, only allows the X-ray radiation propagating on a straight line connection between the focus of the X-ray tube used and the respective detector element to pass and absorbs X-ray radiation which is incident an another angle due to scattering. Due to its history, the stray radiation does not contribute to the image information and leads to a distinct impairment of the signal/noise ratio and of the achievable resolution of the X-ray image if it impinges on the detector elements with full force. By using suitable collimators which, as a rule, are adapted to the geometric relationships of the respective X-ray system, particularly the arrangement of the X-ray tube and X-ray detectors, the proportion of stray radiation reaching the detector elements can be clearly reduced so that, in many cases, usable X-ray images are only obtained by this. Collimators include numerous absorption elements for X-ray radiation, which are separated from one another by a filler and support material and are aligned approximately in parallel or oriented towards a common focus, the focus of the X-ray tube. In present-day X-ray CT installations, collimators are still used, as a rule, which have lead strips extending approximately in parallel with one another or aligned towards the X-ray focus, between which paper strips are inserted as filler and support material. In many cases, the distance of the lead strips is adjusted during the production of the collimators in such a manner that the lead strips are located as accurately as possible above the partitions of the fluorescent arrays at the detector end when the collimator is used. The collimators must, therefore, be produced with great mechanical precision. The alignment onto the focus of the X-ray tube implemented in part also requires an elaborate production process. Due to these high requirements for precision, the production of the collimators causes high costs. A two-dimensional collimation of the X-ray radiation as is required when two-dimensional detector arrays are used cannot be achieved with such collimators, either. From DE 197 26 846 C1, a collimator is known in which the distance of the absorption elements which are also strip-shaped here and which are aligned in parallel with one another continuously increases from the center of the collimator towards the edge. At the same time, the width of the absorption elements is increased towards the edge. Constructing the collimator in this manner makes it possible to implement an absorption characteristic which is largely uniform over the entire collimator width. However, the requirements for manufacturing precision are also high in this case. From DE 199 20 301 C2, a further collimator is known in which the absorption elements extend essentially radially with respect to a center in spaced-apart rows. The variation and the arrangement of the absorption elements are predetermined in accordance with a particular rule in this collimator. The support material used is silicon into which holes are etched in accordance with the required variation of the rows of absorption elements. Into these holes, pin-shaped absorption elements of lead are inserted. This collimator, too, requires that very high precision is maintained during the production which is achieved, in particular, by the proposed manufacturing technique with silicon as support material. U.S. Pat. No. 5,263,075 A describes a collimator which allows two-dimensional collimation of the incident X-ray radiation. The collimator is produced from a glass fiber bundle from which individual disc-shaped sections are sawn out. The cores of the individual glass fibers are etched out so that capillary passage channels are produced for the X-ray radiation. The glass material is subsequently doped with up to 60% lead in the form of lead oxide so that an increased X-ray absorption is achieved outside the passage channels. Due to the etching and doping steps required in this arrangement, the production of this collimator is also relatively expensive. An object of at least one embodiment of the present invention relies in specifying a collimator for stray radiation and/or a method for producing it which provide for inexpensive production. Advantageous embodiments of the collimator and of the method can be found in the subsequent description and the example embodiments. At least one embodiment of the collimator for stray radiation includes numerous absorption elements for X-rays which are separated from one another by a filler and support material and which are either aligned approximately in parallel with one another or oriented towards a common focal point. The collimator, in at least one embodiment, is distinguished by the fact that the absorption elements are not arranged in precisely the same distance or in accordance with a particular mathematical rule, but are arranged in a statistically distributed manner. This provides for a much more inexpensive production of such a collimator since no highly precise alignment of the absorption elements and maintenance of narrow tolerances is required during the production. Due to the statistical distribution of the absorption elements, the use of such a collimator of at least one embodiment does not have a negative influence on the image quality of the X-ray image generated, since no image artifacts caused by periodic structures can occur. When such a collimator of at least one embodiment is used—or even when it is produced—it should be taken into consideration that the width of the absorption elements is less than the width of the detection area of an individual detector element so that no complete coverage of the area of a detector element can occur. In the context of embodiments of the present invention, a statistical distribution is understood to include randomly varying distances between the absorption elements which occur automatically during the production when the absorption elements are informally distributed over the width of the collimator. Naturally, the individual absorption elements must include a material which is highly absorbent for X-ray radiation, for example of a heavy metal such as lead, tungsten, tantalum or molybdenum. Other materials highly absorbent of X-ray radiation such as, for example, plastics filled with lead powder can also be used as materials for the absorption elements. On the other hand, the filler and support material should absorb the X-ray radiation as little as possible. Examples of such materials are plastics such as polyethylene, polystyrene or polypropylene. The absorption elements may be bonded with the filler and support material since this is a very simple and cost-effective technique for producing a collimator. For the operation of a collimator having such a structure, a filling percentage of the absorption elements, i.e. the volume percentage of the absorption elements in the total volume of the collimator of 5 to 30% has been found to be advantageous since adequate collimation is achieved with this value without having to accept significant weakening of the X-ray radiation carrying the image information. The collimator itself can be constructed to be plate-shaped, the absorption elements then being essentially aligned in parallel. Such a collimator produced in the form of a level plate can also be deformed mechanically, however, in such a manner that it forms a plate bent approximately like a calotte shell in which the absorption elements are then aligned at least approximately towards the center of the sphere which should correspond to the focus of the X-ray tube when the collimator is used. Such deformation can be achieved easily especially when plastics are used as filler and support material. The present collimator of at least one embodiment can be used for all applications in which collimation of the X-ray radiation is required. The preferred field of application, however, resides in using it with medical X-ray devices, particularly in computer tomography. By using rod- or fiber-shaped absorption elements which are aligned perpendicularly to the surface of the collimator—instead of strip or foil-like absorption elements—a two-dimensional statistical distribution, and thus two-dimensional collimation, can also be achieved. As a result, the present collimator of at least one embodiment is also suitable for two-dimensional detector arrays apart from single-row detector arrays. In particular, the collimator can also be used for large-area X-ray detectors. In an example embodiment, the absorption elements are formed by individual fibers of a material which is highly absorbent for X-ray radiation. In the same manner, fibers of a material which is largely transparent for X-ray radiation are used as filler and support material. Simple mixing and bonding of the two types of fiber then produces a fiber bundle which can be cut or sawn into individual discs perpendicularly to the fiber axis and which form the collimator. The fibers representing the absorption elements preferably have a fiber diameter of =0.2 mm, preferably within the range of between 10 μm and 200 μm so that they are thinner than the width of the conventional detector elements in every case. The method for producing the collimator according to at least one embodiment of the invention is mainly distinguished by the fact that the absorption elements are bonded with the filler and support material to form a collimator in such a manner that a statistical distribution of the absorption elements over the width of the collimator is obtained. When used, the collimator of at least one embodiment is only placed on the detector array or mounted above it without having to take into consideration a correlation with the individual detector elements or pixels of the detector array. Thus, there is no positioning effort in this case, either. FIG. 1 shows an example embodiment of the configuration of the present collimator 1 which corresponds to a section above an individual pixel of approx. 1 mm2 size, i.e. the detection area 6 of an example detector element. In this arrangement, the individual absorption elements are formed of metal fibers 2 of a heavy metal, which are aligned in parallel with one another and which are embedded between plastic fibers 3 as filler and support material. In the figure, the statistical distribution of the metal fibers 2 within the area of the collimator 1 shown can be seen. The plastic fibers 3 are constructed to be essentially transparent for the incident X-ray radiation whereas the metal fibers 2 are highly absorbent for this X-ray radiation. An X-ray quantum 4 impinging perpendicularly to the surface of the collimator 1 and carrying the desired image information penetrates the plastic fibers 3 almost with full force and impinges on the detector or fluorescent pixel located underneath so that it is detected by the detector element. By comparison, an obliquely incident stray radiation quantum 5 will encounter a number of highly absorbent metal fibers 2 on its way to the detector so that it will be absorbed. Due to the unstructured arrangement of the absorbent metal fibers 2 as absorption elements within the collimator 1, no precise positioning of the collimator 1 above the individual pixels of a detector array is required. The unstructured arrangement of the fibers 2 provides for very inexpensive production of such a collimator as is explained by way of example in the FIG. 2 following. For the production of a collimator like that of FIG. 1, fibers 3 of a material having a lower X-ray absorption, for example polymer fibers of polyethylene, polystyrene or polypropylene, and metal fibers 2 or fibers of other materials with high X-ray absorption are provided. The fibers 2, 3 are intermixed in a predeterminable mixing ratio, in particular with a filling percentage of the highly absorbent fibers 2 of preferably between 5 and 30% and provided as fiber stack 7 as can be seen in FIG. 2a. The fiber stack 7 is impregnated with adhesive 11 in order to bond the fibers to form a fiber compound 8. Mixing the fibers 2, 3 results in a statistical distribution of the highly absorbent fibers 2 within the fiber stack 7. After the compound fiber system 8 has been produced, it is split into individual discs perpendicular to the direction of the fibers, forming the collimator 1. FIG. 2b shows the sawing cuts 9 and FIG. 2c shows the collimator 1 produced by one of the sawn discs as compound fiber system. In this manner, a collimator for two-dimensional collimation has been produced which exhibits a statistical distribution of the absorption elements 2 over the width of the collimator as can be seen in section in FIG. 1. Apart from the collimator formed in this manner in the form of a level plate, collimators in the form of a plate formed approximately like a calotte shell can be produced as is shown diagrammatically in FIG. 3. Such a collimator 1 is obtained by deforming the collimator of FIG. 2 with the aid of mechanical devices. Thus, the collimation, i.e. the alignment of the absorption elements to the X-ray focus 10 of the respective X-ray installation can be achieved by suitable deformation. Example embodiments being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the present invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.
047088460
description
DETAILED DESCRIPTION Referring to the drawing, FIG. 1 shows a vertical section of a boiling water fuel assembly incorporating one of our improved water rods. The assembly comprises an outer flow channel 2. At the bottom is an inlet nozzle 4 which supports a lower tie plate 6, and which has, at its lower end, an opening 8 for the reception of cooling water. Within the flow channel 2 are a large number of fuel rods 10, which are supported at their lower ends on lower tie plate 6. The upper ends extend into an upper tie plate 12. Springs 14 are compressed between the fuel rods and the upper tie plate. The fuel rods 10 are held spaced from one another by grid spacers 16. The structure described thus far is typical of boiling water reactors in general. Our assembly includes one, and often several, water rods 18, one form of which is shown in more detail in FIGS. 2 and 3. In this embodiment, the lower end of the tube 18 is closed by an end plug 20, which rests on lower tie plate 6. Immediately above the tie plate are a plurality of inlet openings 22, through which assembly cooling water enters the water rod. A plurality of intermediate exit holes 24 are provided preferably somewhat above the midpoint of the tube and near the lower end of the critical heat transfer zone 32. The upper end of the water rod is closed by an end plug 26. Within the upper portion of the water tube is an inner tube 28, which is open at or near its top. Near the lower end of tube 28 are a plurality of exit openings 30 in tube 18. These are located at or near the midpoint of the critical heat transfer zone referred to above, and shown at 32. The upper portion of tube 18 is shown in more detail in FIG. 3. As shown in that figure, the lower end of inner tube 28 is flared outwardly at 34. This flared portion is originally made with a diameter the same as the internal diameter of tube 18. At several points in its periphery, it is deformed outwardly to a diameter which gives an interference fit with the inner surface of 18. The upper end is welded at 36 to end plug 26, and the inner end 34 is then forced downwardly to the point where end plug 26 fits within tube 18. The latter is then welded at 38 to the end plug. As shown, inner tube 28 is provided with several holes 40 near its upper end. In operation, cooling water from the assembly flows inward through openings 22. Some of it exits through intermediate outlets 24. The remainder flows upwardly, passing through inner tube 28 and out through holes 40, then downwardly and out through exit openings 30. As described above, the openings 30 are positioned so that the water flowing outwardly through them enters the critical heat transfer zone of the assembly. FIG. 4 shows an alternate form of "water rod," which is the one we prefer at present. In this embodiment, the tube 18 extends through the lower tie plate 6, and has an open lower end through which water enters directly from the coolant supply zone of the reactor, as shown by arrow 42. The remainder of the structure is the same as in FIG. 2 and either FIG. 4 or FIG. 5. This arrangement gives a greater water flow through a tube of a given size than that of FIG. 2. FIG. 5 shows an alternate upper end structure, appliable to either the embodiment of FIG. 2 or that of FIG. 4. In assembling it, the tube 28 is dimensioned so as to have a tight fit on reduced portion 43 of end plug 26. It is welded into inner plug 44 at 46. Plug 44 has a peripheral groove 48. After tube 28 has been pushed onto end cap 26, the assemblage is pushed into tube 18. The latter is then deformed into groove 48 and welded at 38 to end plug 26. While we have shown the intermediate openings 24 at only a single level, in practice there will be multiple holes distributed over several inches of the tube 18. The same is true of the upper openings 30. The intermediate openings should be positioned in the range of about 50% to about 65%, and the upper openings in the range of about 65% to about 75% of the height of the assembly, measured from the bottom. The two sets of openings should be spaced a substantial distance apart, e.g., 10% of the height of the assembly. While we have described various details of our structure, it will be apparent to those skilled in the art that various changes can be made. We therefore wish our invention to be limited solely by the scope of the appended claims.
052805077
summary
This invention relates to a method and apparatus for sensing obstructions in a tubular nuclear fuel rod or the like. BACKGROUND OF THE INVENTION Nuclear fuel rods are manufactured from openended zirconium alloy tubular rods. Fissionable pellets are inserted into the rods which are end-plugged. The completed fuel rods are arranged in a skeletal structure containing as many as 264 fuel rods and other core component rods and control rods. This structure is placed into the reactor housing to form its core thereat. In a nuclear reactor, the nuclear fuel contained within each fuel rod undergoes fission which increases the temperature and pressure within the rods. Any hydrogenous impurities present in the rods typically form hydrides causing the rod to leak radioactive products into the coolant. To minimize internal rod decay and hydriding during reactor operation, the interior of the rods are cleaned during their manufacture by blowing through the fuel rods felt or urethane cleaning plugs. However, during the cleaning process, a cleaning plug or portion thereof may become lodged within the rod. If visual inspection does not locate the cleaning plug before the rod is filled with fissionable pellets and then girth and seal welded, the cleaning plug is retained therein. During reactor operation, the cleaning plug will lead to hydriding causing rod leakage and subsequent contamination of the reactor coolant. Consequently, it is necessary to assure that no obstruction, such as a cleaning plug, is retained within the fuel rod before the fissionable pellets are inserted therein and the fuel rod is welded. Current visual inspection systems have been found inadequate because a cleaning plug or other obstruction can cause a partial obstruction which is difficult to detect. It is therefore an object of this invention to provide a method and apparatus for sensing obstructions, such as a cleaning plug, in a tubular nuclear fuel rod or the like without using a visual inspection system. It is still another object of this invention to provide a method and apparatus for sensing obstructions, such as a cleaning plug, in a tubular nuclear fuel rod or the like, which is adapted for repeatable use with many fuel rods. It is another object of the present invention to provide a method and apparatus for sensing obstructions, such as a cleaning plug, in a tubular nuclear fuel rod or the like, where an audible alarm signal can be generated if an obstruction is present. SUMMARY OF THE INVENTION In accordance with the present invention, there are provided a method and apparatus for sensing obstructions in a tubular nuclear fuel rod or the like. A nozzle is positioned in sealed relationship with one end of the fuel rod. A first gas flows through the nozzle and then into and through the fuel rod. The pressure of the flowing first gas is sensed at a location in the nozzle. The sensed pressure is compared with the flowing first gas with a standardized pressure which is equal to the sensed pressure when there is no obstruction in the fuel rod, and such that a difference between the sensed pressure and the standardized pressure is indicative of an obstruction in the fuel rod. In the preferred embodiment, a second gas flows through a balance circuit which includes an outlet having a variable restriction. The pressure of the flowing second gas within the balance circuit is sensed, and such that the sensed pressure of the flowing second gas defines a standardized pressure. The fuel rod and outlet pressure both vent to the atmosphere so as to render the method insensitive to changes in atmospheric pressure.
044787847
claims
1. In a nuclear reactor having components operative to generate reaction heat, means to utilize the reaction heat, and spaced structures including a vessel and a deck for enclosing and isolating the reactor components from a cooler external heat sink, the improved combination therewith of a plurality of heat pipes each having a vaporizing section and a pair of condensing sections, each vaporizing section being disposed in heat transfer relation with one of such structures and one of the pairs of condensing sections being in heat transfer relation with another of the structures spaced from the one structure while the other of the condensing sections being in heat transfer relation with the external heat sink, each heat pipe having an exterior housing sealed closed and wick means disposed on the inner faces of the heat pipe housing and a coolant sealed within the heat pipe housing, said coolant being at pressures within the heat pipe housing for vaporizing at the operating temperatures intended for the one structure and for condensing at the operating temperatures of the other structure and of the heat sink, whereby heat is passively transferred via each heat pipe from the one structure to the spaced structure and to the heat sink, enclosure means for normally reducing the effective heat transfer of said other condensing section relative to the heat sink, and means for venting the enclosure means responsive to operating conditions where the one structure approaches the upper limit of and overheats relative to its range of operating temperatures, whereupon the other condensing section becomes operative to provide additional cooling capacity for the heat pipe thereby tending to maintain the structures in the intended range of operating temperatures. 2. A nuclear reactor combination according to claim 1, wherein said condensing sections and said vaporizing section in each heat pipe are in continuous heat transfer relation with one another via the heat pipe, and wherein said one condensing section is disposed between the vaporizing section and the other condensing section, whereby heat pipe is effective to continuously transfer heat from the vaporizing section to the one condensing section for maintaining the respective temperatures of each within the intending operating range and whereby each other condensing section serves mainly to prevent overheating when the overall temperatures increases. 3. In a nuclear reactor, the combination of an open top vessel for confining liquid coolant, deck structure supported at its periphery relative to the open top of the vessel for closing and sealing the vessel, reactor components suspended from the deck structure and extended into the vessel, the deck being formed of separate lower and upper horizontal walls and reinforcing vertical walls between the lower and upper walls, a plurality of heat pipes each having a vaporizing section and one condensing section disposed in heat transfer relation respectively, with the lower and upper deck walls, whereby heat is transferred from the lower deck wall to the upper deck wall for maintaining the deck walls generally within a range of specific design temperatures, wherein each heat pipe further has another condensing section outside of the vessel spaced from the upper deck wall and exposed to a heat sink of air outside of and ambient the deck structure, and means normally minimizing the effective heat transfer of the other condensing section relative to the heat sink in all operating conditions except under reactor overheat conditions, whereupon the other condensing section becomes operative to add additional cooling capacity to each heat pipe. 4. A nuclear reactor combination according to claim 3, wherein the minimizing means is in the form of an enclosure over the other condensing section operable to isolate it from the heat sink, vent openings in the enclosure operable to allow effective heat transfer between the other condensing section and the heat sink, and means normally closing the vent means and for opening the vent means responsive to the reactor approaching and exceeding the upper limits of the range of design temperatures.
051005867
summary
BACKGROUND 1. The Field of the Invention The present invention relates to containers for storage of solid hazardous waste materials. More particularly, the present invention is directed to containers prepared from cementitious materials capable of long-term safe storage of certain highly toxic and nuclear waste materials. 2. Technology Review In recent years, the public has become more sensitive to the environment and the effect of hazardous and toxic waste materials on the environmental ecosystem. Nuclear waste materials are some of the most dangerous toxic wastes because they can remain radioactive for extremely long periods of time. There is, therefore, a serious need for effective longterm storage containers for nuclear and other hazardous waste materials. Much of the nuclear waste materials which needs to be disposed of includes refuse from nuclear weapons plants, civilian power plants, and medical industry sources. Unlike spent fuel rods which decay by emitting high level gamma radiation, the plutonium waste from weapons plants decays by penetrate paper. As a result, the plutonium waste materials from weapons plants may be handled without protective clothing and pose no danger, as long as they remain sealed. Nevertheless, plutonium is extremely toxic and very long-lived lived. In addition, it is estimated that sixty percent (60%) of the plutonium-contaminated waste from weapons plants is also tainted with hazardous chemicals such as industrial solvents. Gloves, shoes, uniforms, tools, floor sweepings, and sludge contaminated with radioactive materials while manufacturing nuclear warheads are typically contained in 55 gallon steel drums. The Waste Isolation Pilot Project ("WIPP") site near Carlsbad, N.M., is one possible disposal site for such waste materials. The WIPP site was excavated in a massive underground salt formation. Underground salt formations, such as the WIPP site, are considered as possible permanent nuclear waste disposal sites because of the long-term stability of the underground formation and because salt has a low water permeability. In one possible disposal plan using underground disposal sites for low-level nuclear waste materials, the underground rooms are filled with the waste containers and back-filled with a grout material to fill as much empty space as possible During the first 100 years, the underground storage rooms would collapse and crush the waste containers. One problem with conventional 55 gallon steel drums is Eventually, the drums will be crushed when the storage room collapses; however, the presence of empty spaces permits ground water to seep into the cavities which can cause corrosion of the steel drum and decomposition of organic waste materials. Since the disposal site is not completely sealed until the underground storage room collapses and fills all void spaces, rapid collapse of the storage room is desirable so that the disposal site is sealed quickly. Another disadvantage of conventional 55 gallon steel drums is that they are potentially capable of undergoing corrosion which would produce gases, especially H.sub.2, and which may lead to high pressure bubbles. Corrosion and its related gas evolution are considered long term liabilities. Corrosion is caused by groundwater, usually containing high concentrations of dissolved ions (i.e., 1 to 2 molar). If the hazardous waste includes organic materials, such as contaminated rubber and certain waste solvents, carbon dioxide gas may be produced which may also lead to high pressure bubbles. Only recently has the need to avoid formation of the so-called high pressure bubbles been recognized. Current government regulations of long-term hazardous waste storage sites assume that at some time over the storage lifetime, the storage medium will be breached by underground drilling devices. If high pressure bubbles exist at the location where the storage medium is breached, then it is possible that contaminated materials may be inadvertently released under pressure. An ideal solid hazardous waste container should satisfy some of the following characteristics: (1) the container should be made of a nonmetal or other material which intrinsically does not corrode and produce gases; (2) the container should be inexpensive; (3) the container should be impermeable to water and, if water does penetrate the container, it should act as an H.sub.2 O getter, i.e.. it should combine with water to form an insoluble solid; (4) the container should have CO.sub.2 getter characteristics, i.e., it should react with CO.sub.2 to form a solid; and (5) the container should be of a material which expands if for any reason aqueous solution does breach the impermeable outer layer. Expansion of the material on contact with water seals and fills any cracks in the container wall and also fills any space between the storage container and the walls of the salt mine which collapse around the container. From the foregoing, it will be appreciated that what is needed in the art are containers for storing solid hazardous waste which are constructed of nonmetal materials which do not intrinsically corrode to produce a gas. Additionally, it would be a significant advancement in the art to provide containers for storing solid hazardous waste which are H.sub.2 O and CO.sub.2 getters. It would be a further advancement in the art to provide containers for storing solid hazardous waste constructed of materials which expand upon contact with aqueous solution to fill holes and thereby inhibit further aqueous solution penetration into the container. Finally, it would be an important advancement in the art to provide containers for solid hazardous waste which are inexpensive. Such solid hazardous waste containers are disclosed and claimed herein. BRIEF SUMMARY AND OBJECTS OF THE INVENTION The present invention is directed to novel containers for storage of solid waste materials such as highly toxic and nuclear waste materials. More particularly, the present invention includes cementitious containers having a hydrated outer shell to provide mechanical strength and an unhydrated compressed inner layer in contact with the waste materials which is capable of reacting with any aqueous solution which may penetrate the outer shell or leak from the contained waste material. The waste containers within the scope of the present invention are preferably prepared by surrounding solid hazardous waste with a layer of powdered hydraulic cement and then compressing the cement around the solid waste. The outer surface of the compressed hydraulic cement is then hydrated in order to close the pore structure and to provide mechanical strength. The amount of hydration may vary from a very nominal amount to extensive hydration depending upon the desired strength characteristics of the final waste container. The term "solid hazardous waste" includes solid, substantially solid, and semisolid materials which may contain varying amounts of water. As used herein, the term "solid hazardous waste" includes hazardous waste materials typically contained in steel waste containers, with or without the waste container. In addition, the waste containers, which include conventional 55 gallon steel drums and other similar storage containers, may individually be included within the scope of the term "solid hazardous waste." The present invention is directed to containers for solid hazardous waste, as opposed to liquid hazardous waste. Although there may be some liquid associated with the hazardous waste, the waste material is preferably substantially solid or semisolid. The water content of the solid hazardous waste may range from anhydrous waste materials to waste materials saturated with water. According to government regulations, the amount of free liquid associated with the waste is preferably less than about a pint per 55 gallon drum. Hydraulic cements used within the scope of the present invention are inexpensive and do not produce gases. In some cases, more than one layer of powdered hydraulic cement may be used. For instance, an outer layer of Portland cement may surround an inner layer of expansive and fast reacting high alumina cement. Pressure compaction processes, including isostatic compression, may be used to prepare the containers within the scope of the present invention. Pressures sufficient to compact the cement to densities in the range from about 1.5 g/cm.sup.3 to about 3.2 g/cm.sup.3. Various techniques may be used to hydrate the compressed hydraulic cement. For instance, a compressed container may be hydrated by soaking it in an aqueous solution. The aqueous solution would diffuse into the container and hydrate the cement to an average depth in the range from about zero to several feet, and preferably in the range from about 0.25 inches to about 3 inches, depending on the exposure time. In some cases, sufficient hydration may be obtained by exposure with CO.sub.2 in a high relative humidity. Regardless of the extent of outer surface hydration, it is important that the inner powdered hydraulic cement remain in a substantially unhydrated state. If aqueous solution were to breach the outer layer, the unhydrated inner cement layer would be available to react with the water. Importantly, if carbon dioxide happens to be produced through the decomposition of organic materials, then calcium hydroxide (one of the reaction products of the container) is available to react with carbon dioxide as a CO.sub.2 getter. Of course, carbon dioxide would be produced only to the extent that water breaches the container and comes in contact with the waste material or if there is water in the waste material itself. Because the hazardous waste containers are prepared by compressing the hazardous waste within a layer of powdered hydraulic cement, the void space within the container is minimized. The hazardous waste materials are essentially compacted to a high density inside a strong and stable container. It is, therefore, an object of the present invention to provide novel containers for storing solid hazardous waste which are constructed of nonmetal materials which do not intrinsically corrode to produce a gas. Another important object of the present invention is to provide novel containers for storing solid hazardous waste which are H.sub.2 O and CO.sub.2 getters. Yet another important object of the present invention is to provide novel containers for storing solid hazardous waste constructed of materials which expand upon contact with aqueous solution to inhibit further aqueous solution penetration into the container. An additional object of the present invention is to provide novel containers for solid hazardous waste which are inexpensive. These and other objects and features of the present invention will become more fully apparent from the following description and appended claims, or may be learned by the practice of the invention.
description
This application claims priority to Japanese Patent Application No. 2008-287735 filed on Nov. 10, 2008, the entire contents of which are incorporated herein by reference. The present invention relates to a particle attachment preventing method and a substrate processing apparatus; and more particularly, to a particle attachment preventing method in a substrate processing apparatus in which a plasma processing is performed on a substrate mounted on a mounting table to which a bias power is supplied. In a substrate processing apparatus including an accommodation chamber for accommodating a substrate, e.g., a semiconductor wafer, therein and a mounting table arranged inside the accommodation chamber to mount the wafer thereon, when the wafer is subjected to a plasma processing, a plasma is generated in the accommodation chamber and the plasma (electrons and positive ions) is attracted to the wafer mounted the mounting table by supplying a bias power to the mounting table. Typically, a semiconductor device is manufactured from a wafer. If particles in the accommodation chamber are attached to the wafer during the plasma processing, a defect is caused in the semiconductor device. Accordingly, several techniques have been developed to remove such particles from the accommodation chamber before the plasma processing. However, for example, a part of a component in the accommodation chamber may be worn out to generate particles during the plasma processing. Such particles are positively or negatively charged. If the plasma disappears after the plasma processing, a negative bias potential is generated on the wafer. Accordingly, after the plasma processing, positively charged particles may attracted and attached to the wafer by an electrostatic force. For that reason, a technique has been developed to prevent a self bias potential from being generated on the wafer and positively charged particles from being attracted to the wafer by performing a plurality of plasma processings without any intervals between the plasma processings to continually generate plasmas when the wafer is subjected to the plasma processings (see, e.g., Japanese Patent Application Publication No. 2003-068708 and corresponding U.S. Patent Application Publication No. 2003/3758 A1). As shown in FIG. 4A, during the plasma processing, a negatively charged particle is mainly affected by a gravity FG, a force FE generated from an electric field caused by a bias power supplied to the mounting table, and a viscous force FN of a gas flowing inside the accommodation chamber. In a mounting table 100 to which a bias power is supplied from a bias power supply 101, a negative bias potential is generated. Accordingly, when the mounting table 100 is a lower electrode, the gravity FG and the force FE have opposite directions. If a particle P moves distantly from the mounting table 100 by a predetermined value, the gravity FG has the same magnitude as that of the force FE. Therefore, the particle P stays there and floats around an interface of a sheath 102 over a wafer W by the viscous force FN as shown in a dotted line of FIG. 4B. However, after the plasma processing, if supplying the bias power from the bias power supply 101 is stopped, no force FE acts on the particle P. As a result, as shown in FIG. 4C, the particle P falls downwardly by the gravity FG to be attached to the wafer W. In view of the above, the present invention provides a particle attachment preventing method and a substrate processing apparatus that can prevent a particle from being attached to a substrate. In accordance with an aspect of the present invention, there is provided a particle attachment preventing method including: adjusting the electron density control power supplied from the second power supply such that the electron density above the substrate gets lower than during a plasma processing, for a preset short period of time after the plasma processing is ended; and maintaining the bias power supplied from the first power for the preset short period of time. The particle attachment preventing method is executable in the substrate processing apparatus including an accommodation chamber configured to accommodate a substrate therein and generate a plasma therein, a mounting table configured to mount the accommodated substrate thereon, a first power supply configured to supply a bias power for attracting the plasma to the mounting table, and a second power supply configured to supply an electron density control power for controlling an electron density above the substrate. In accordance with another aspect of the present invention, there is provided a substrate processing apparatus including: an accommodation chamber configured to accommodate a substrate therein and generate a plasma therein; a mounting table configured to mount the accommodated substrate thereon; a first power supply configured to supply a bias power for attracting the plasma to the mounting table; and a second power supply configured to supply an electron density control power for controlling an electron density above the substrate. The electron density control power supplied from the second power supply is adjusted such that the electron density above the substrate gets lower than during a plasma processing, for a preset short period of time after the plasma processing is ended, and the bias power supplied from the first power supply is maintained for the preset short period of time. An embodiment of the present invention will now be described with reference to the accompanying drawings which form a part hereof. FIG. 1 is a schematic cross sectional view showing the structure of a substrate processing apparatus 10 in accordance with an embodiment of the present invention. The substrate processing apparatus 10 is configured to subject a wafer to a dry etching process. In FIG. 1, the substrate processing apparatus 10 includes: a chamber (accommodation chamber) 11 for accommodating a wafer having a diameter of, e.g., 300 mm therein; and a cylindrical susceptor (mounting table) 12 arranged inside the chamber 11 to mount the wafer for semiconductor devices thereon. The substrate processing apparatus 10 further includes: a side exhaust passageway 13 serving as a path through which a gas above the susceptor 12 is exhausted to an outside of the chamber 11, the side exhaust passageway 13 being defined by an inner wall of the chamber 11 and a side surface of the susceptor 12; and an exhaust plate 14 arranged in the side exhaust passageway 13. The exhaust plate 14, which is a plate shaped member having a plurality of holes, serves as a partition wall that divides an inside of the chamber 11 into an upper space 17 and a lower space 18. A plasma is generated in the upper space (hereinafter, referred to as a reaction chamber) 17 of the chamber 11 divided by the exhaust plate 14. An exhaust pipe 16 exhausting a gas inside the chamber 11 is connected to the lower space (hereinafter, referred to as an exhaust chamber (manifold)) 18 of the chamber 11. The exhaust plate is used to prevent a plasma generated in the reaction chamber 17 from leaking to the manifold 18 by capturing and reflecting the plasma. A turbo molecular pump (TMP) (not shown) and a dry pump (DP) (not shown) are connected to the exhaust pipe 16 to exhaust the inside of the chamber 11 to a vacuum level. Specifically, the DP lowers the pressure inside the chamber from an atmospheric pressure to a medium vacuum state (e.g., 1.3×10 Pa (0.1 Torr) or less) and the TMP cooperates with the DP to lower the pressure inside the chamber 11 from the medium vacuum state to a high vacuum state (e.g., 1.3×10−3 Pa (1.0×10−5 Torr) or less). The pressure inside the chamber 11 is controlled by an automatic pressure control (APC) valve. A first high frequency power supply (first power supply) 19 and a second high frequency power supply 31 are connected to the susceptor 12 inside the chamber 11 via a first matching unit (MU) 20 and a second matching unit (MU) 30, respectively. The second high frequency power supply 31 constitutes a second power supply having a function for controlling an electron density alone or by cooperating with a second DC power supply 15. The first high frequency power supply 19 supplies a bias power for attracting a plasma to the susceptor 12, i.e., a high frequency power of relatively low frequency, e.g., about 3.2 MHz to the susceptor 12 and the second high frequency power supply 31 supplies a power (electron density control power) (hereinafter, referred to as a plasma generation power) for generating a plasma, i.e., a high frequency power of relatively high frequency, e.g., about 40 MHz to the susceptor 12. The susceptor 12 serves as a lower electrode. An electrostatic chuck 22 is arranged on a top surface of the susceptor 12 and an electrostatic electrode plate 21 is included inside the electrostatic chuck 22. The electrostatic chuck 22 has a shape in which a top circular plate shaped member is stacked on a bottom circular plate shaped member, wherein a diameter of the top circular plate shaped member is smaller than that of the bottom circular plate shaped member. The top and bottom circular plate shaped member of the electrostatic chuck 22 may be made of a ceramic. A first DC power supply 23 is connected to the electrostatic electrode plate 21 in the electrostatic chuck 22. If a positive DC voltage is applied to the electrostatic electrode plate 21, a negative potential is applied on a surface (on the side of the electrostatic chuck 22) of the wafer W (hereinafter, referred to as a back surface of the wafer W), thereby generating a potential difference between the electrostatic electrode plate 21 and the back surface of the wafer W. As a result, the wafer W is attracted to and held on the top circular plate shaped member of the electrostatic chuck 22 under the action of a Coulomb force or a Johnson-Rahbek force generated by the potential difference. A ring-shaped focus ring 24 is mounted on the electrostatic chuck 22 to surround the wafer W attracted and held on the electrostatic chuck 22. The focus ring 24 is made of a conductor, e.g., a single crystalline silicon, same as the material of the wafer W. Since the focus ring 24 is made of a conductor, the distribution region of plasma is extended to above the focus ring 24 as well as the wafer W and the density of plasma at a peripheral portion of the wafer W is maintained to be identical to that of plasma at a center portion of the wafer W. Accordingly, it is possible to maintain the uniformity of the dry etching process over the entire surface of the wafer W. An annular coolant path 25 is provided in the susceptor 12, extending in, e.g., a circumstantial direction. A coolant, e.g., a cooling water or Galden (Registered trademark), of low temperature is supplied from a chiller unit (not shown) to the coolant path 25 via a coolant line 26 to be circulated. The susceptor 12 is cooled by the low-temperature coolant and the electrostatic chuck 22, the wafer W, and the focus ring 24 are cooled by the cooled susceptor 12. A plurality of heat transfer gas supply holes 27 are formed at a region (hereinafter, referred to as an attraction surface), in which the wafer W is attracted and held, of a top surface of the top circular plate shaped member of the electrostatic chuck 22. The heat transfer gas supply holes 27 are connected to a heat transfer gas supply unit (not shown) via a heat transfer gas supply line 28 and a heat transfer gas, e.g., helium (He) gas, is supplied from the heat transfer gas supply unit to a gap between the attraction surface and the back surface of the wafer W via the heat transfer gas supply holes 27. A heat of the wafer W is efficiently transferred to the electrostatic chuck 22 through the He gas supplied to the gap between the attraction surface and the back surface of the wafer W. In a ceiling portion of the chamber 11, a shower head 29 is arranged to face the susceptor 12. The shower head 29 includes: an upper electrode 33 (opposite electrode), i.e., a conductive circular plate having a plurality of gas holes 32; a cooling plate 34 configured to detachably hold the upper electrode 33; and a cover 35 configured to cover the cooling plate 34. A buffer chamber 36 is provided inside the cooling plate 34 and a processing gas inlet pipe 37 is connected to the buffer chamber 36. The second DC power supply 15 is connected to the upper electrode 33 and a DC power (electron density control power) is supplied from the second DC power supply 15 to the upper electrode 33. The second DC power supply 15 constitutes the second power supply having a function for controlling an electron density alone or by cooperating with the second high frequency power supply 31. In the substrate processing apparatus 10, the processing gas supplied from the processing gas inlet pipe 37 to the chamber 11 is introduced into the reaction chamber 17 via the gas holes 32. Then, the introduced processing gas is excited by the plasma generation power supplied from the second high frequency power supply 31 to the reaction chamber 17 via the susceptor 12, to thereby be converted into a plasma. Positive ions in the plasma are attracted to the wafer W mounted on the susceptor 12 by a negative bias potential caused by the bias power supplied to the susceptor 12, so that the wafer W is dry-etched. In the substrate processing apparatus 10, during the dry etching process, a negative DC power is supplied from the second DC power supply 15 to the upper electrode 33 and thus secondary electrons are emitted from the upper electrode 33. Since the amount of the emitted secondary electrons is adjusted according to a value of the DC power supplied to the upper electrode 33, the electron density inside the reaction chamber 17, especially, above the wafer W can be controlled by changing the value of the DC power supplied to the upper electrode 33. Moreover, since the production of plasma is adjusted according to a value of the plasma generation power supplied to the reaction chamber 17, the electron density inside the reaction chamber 17, especially, above the wafer W can be controlled by changing the value of the plasma generation power supplied from the second high frequency power supply 31. The operations of various components, e.g., the first and the second high frequency power supply 19 and 31 and the second DC power supply 15, of the substrate processing apparatus 10 are controlled by a program related to the dry etching process performed by a central processing unit (CPU) of a controller (not shown) included in the substrate processing apparatus 10. However, as described above, negatively charged particles float around an interface of a sheath over a wafer W during the dry etching process in the substrate processing apparatus 10. If the supplying of the bias power from the first high frequency power supply 19 is stopped after the dry etching process is ended, such particles may fall downwardly and be attached to the wafer W by the gravity. Accordingly, it is necessary to remove the particles from above the wafer W before the supplying of the bias power is stopped. Accordingly, in the present embodiment, the negatively charged particles are removed from above the wafer W by using a force FE generated from an electric field. Specifically, the particles are bounded away from above the wafer W by impulsively applying the force FE to the particles. FIG. 2 shows a power control sequence as a particle attachment preventing method in accordance with the embodiment of the present invention. As shown in FIG. 2, in the substrate processing apparatus 10, during the dry etching process (time T), a plasma generation power of 2700 W having a frequency of 40 MHz is supplied from the second high frequency power supply 31 to the susceptor 12 and a bias power of 4500 W having a frequency of 3.2 MHz is supplied from the first high frequency power 19 to the susceptor 12. Moreover, a DC power of −300 V is supplied from the second DC power supply 15 to the upper electrode 33. After the dry etching process is ended, it is immediately stopped supplying the DC power from the second DC power supply 15 to the upper electrode 33, while the plasma generation power supplied from the second high frequency power supply 31 is lowered from 2700 W to 200 W and maintained in 200 W during a preset short period of time (Δt). When the supplying of the DC power from the second DC power supply 15 is stopped, emission of the secondary electrons is also stopped. When the plasma generation power is lowered, generation of the plasma is suppressed. Accordingly, the electron density inside the reaction chamber 17, especially, above the wafer W, gets lower than that during the dry etching process. Meanwhile, the bias power supplied from the first high frequency power supply 19 is maintained in 4500 W during the preset short period of time (Δt). FIGS. 3A and 3B show how particles P are moved when an electron density above a wafer gets lower, FIG. 3A shows vectors of each force by which each of the particles P are affected, and FIG. 3B shows how the particles P are removed from above the wafer. If the electron density is lowered, the electrostatic shielding effect of plasma becomes weaker. Accordingly, an influence of a negative bias potential of the susceptor 12 is increased and thus the force FE (generated from the electric field) by which the particles P are affected is rapidly increased. At this time, the force FE is increased much more than the gravity FG. Accordingly, a balance between the gravity FG and the force FE is broken and the particles P are removed from above the wafer W by the force FE. In more detail, since the electron density above the wafer W is lowered during the preset short period of time as described above, the respective particles P are impulsively affected by the force FE, thereby being bounded away from above the wafer W. Further, the respective particles P are affected by a viscous force FN of a gas flowing along the surface of the wafer W. Accordingly, the particles P are bounded away obliquely with respect to the surface of the wafer W as shown in FIG. 3B instead of being bounded in a vertically upward direction with respect to the surface of the wafer W. Therefore, the obliquely bounded particles P hardly fall downwardly to the wafer W again. After a lapse of the preset short period of time (T+Δt), the supplying of the plasma generation power from the second high frequency power supply 31 and the bias power from the first high frequency power supply 19 are stopped. Thereafter, this sequence is ended. A certain response time is required for the electron density above the wafer to change in response to the change of the plasma generation power. If the period of time that the plasma generation power is lowered is shorter than 0.5 seconds, the electron density above the wafer is not sufficiently lowered. Moreover, if the plasma generation power is changed and maintained in the changed state for 10 seconds or more, newly generated particles arrive and float over the wafer W, other than the particles P bounded away from above the wafer W. Accordingly, in the power control sequence, the period of time that the plasma generation power is lowered is set as 0.5 to 1.0 second. In the power control sequence, since a process of removing the particles P is significantly affected by the change of the electron density, the substrate processing apparatus 10 includes a device (not shown) for measuring the electron density inside the reaction chamber 17. In accordance with the power control sequence shown in FIG. 2, immediately after the dry etching process is ended, the supplying of the DC power to the upper electrode 33 is stopped and the plasma generation power supplied to the susceptor 12 is lowered from 2700 W to 200 W and maintained in 200 W for 0.5 to 1.0 second. However, the bias power supplied to the susceptor 12 is maintained in 4500 W for the 0.5 to 1.0 second. Lowering the plasma generation power causes the generation of plasma to be suppressed and stopping the supplying of the DC power causes the emission of secondary electrons from the upper electrode 33 to be stopped. Accordingly, the electron density above the wafer W gets lower than during the dry etching process. If the electron density above the wafer W is lowered during a short period of time, i.e., 0.5 to 1.0 second, a negative bias potential caused by the bias power supplied to the susceptor 12 is rapidly decreased but the force FE (generated from the electric field) by which the particles P floating over the wafer W is affected is rapidly increased. Therefore, a balance between the gravity FG and the force FE is broken and the particles P are impulsively affected by the force FE, thereby being bounded away from the wafer W. As a result, it is possible to prevent the particles P from being attached to the wafer W. In the power control sequence shown in FIG. 2, after the dry etching process is ended, the supplying of the DC power is stopped and the plasma generation power is lowered. However, it is sufficient to satisfy one of the two conditions, that is, the supplying of the DC power is stopped or the plasma generation power is lowered. Even in either case, the electron density above the wafer W gets lower than during the dry etching process. Accordingly, the force FE by which the particles P are affected is reliably increased, to thereby remove the particles P from above the wafer W. Especially, since the emission of the secondary electrons is stopped immediately after the supplying of the DC power is ended, it is preferable to stop the supplying of the DC power considering the responsibility of the control of the electron density. Alternatively, the DC power may be lowered instead of stopping the supplying of the DC power. Lowering the DC power causes the emission of the secondary electrons from the upper electrode 33 to be suppressed. Accordingly, the electron density above the wafer W may get lower than during the dry etching process. In the power control sequence shown in FIG. 2, the supplying of the DC power is stopped and the plasma generation power is lowered. However, after the dry etching process is ended, the supplied bias power may be increased without the change of the supplied DC power and plasma generation power. If the supplied bias power is increased, the negative bias potential of the susceptor 12 is decreased (however, an absolute value of the potential difference is increased) due to the increase of the bias power. Accordingly, the force FE by which the particles P are affected may be increased. In the substrate processing apparatus 10, the reaction chamber 17 (first region) in which the plasma generation power is supplied to generate a plasma includes a space (second region) above the wafer W in which the wafer W is subjected to a plasma processing. Accordingly, an influence of the lowered plasma generation power may be immediately reflected to the space above the wafer W, thereby quickly decreasing the electron density above the wafer W. Moreover, although, in the substrate processing apparatus 10, the region (hereinafter, referred to as “plasma generation region”) in which the plasma generation power is supplied to generate a plasma includes the region (hereinafter, referred to as “processing region”) in which the wafer W is subjected to a plasma processing, it is sufficient that the plasma generation region is adjacent to the processing region. When the plasma generation region is adjacent to the processing region, the influence of the lowered plasma generation power in the plasma generation region may be immediately reflected to the processing region, thereby quickly decreasing the electron density in the space above the wafer W, included in the processing region. In other words, the power control sequence shown in FIG. 2 can be applied to a parallel plate type etching apparatus such as the substrate processing apparatus described above and also other apparatuses, e.g., an electron cyclotron resonance (ECR) sputter apparatus, an inductive coupling plasma (ICP) apparatus, and especially, a transformer coupling plasma (TCP) apparatus. In the substrate processing apparatus 10, the plasma generation power is supplied to the susceptor 12. Alternatively, once the bias power is supplied to the susceptor 12, the plasma generation power may be supplied to the upper electrode 33. When the bias power is supplied to the susceptor 12 and the plasma generation power is supplied to the upper electrode 33, lowering the plasma generation power causes the electron density inside the reaction chamber 17 to be decreased, thereby reducing the negative bias potential of the susceptor 12. Although the substrate to be subjected to the dry etching process is a wafer for semiconductor devices in the present embodiment, the substrate is not limited thereto. For example, the substrate may be a glass substrate for use in a liquid crystal display (LCD) or a flat panel display (FPD). The purpose of the present invention is also achieved by providing a computer (e.g. a controller) with a storage medium storing program codes of software realizing the operations of the present embodiment and allowing a central processing unit (CPU) of the computer to read and execute the program codes stored in the storage medium. In this case, the program codes themselves read from the storage medium realize the functions of the aforementioned embodiment, and thus the present invention includes the program codes and the storage medium storing the program codes. The storage medium for providing the program codes may be, e.g., a RAM, an NV-RAM, a floppy (registered trademark) disk, a hard disk, a magneto-optical disk, an optical disk such as CD-ROM, CD-R, CD-RW, and DVD (DVD-ROM, DVD-RAM, DVD-RW, and DVD+RW), a magnetic tape, a nonvolatile memory card, or other types of ROM capable of storing the program codes. The program codes may be provided to the computer by being downloaded from another computer or a database, which is not shown, connected to the Internet, a commercial use network, a local area network, or the like. The operations of the aforementioned embodiment can be realized by executing the program codes read by the computer or by the actual processing partially or wholly executed by an operating system (OS) operated on the CPU according to the instructions of the program codes. In addition, the operations may also be realized by the actual processing partially or wholly executed by a CPU or the like in a built-in function extension board or an external function extension unit of a computer according to the instructions of program codes read from a storage medium after the program codes are inputted into a memory in the built-in function extension board or the external function extension unit. The program codes may be object codes, program codes executed by an interpreter, script data provided to an operating system, or the like. Next, test and comparative examples of the present embodiment will be described. In the substrate processing apparatus 10, when the power control sequence shown in FIG. 2 was performed in processes A to E having different recipes (pressure inside the reaction chamber 17, a setting value of plasma generation power, and a setting value of the bias power), the number of particles having a diameter of 0.08 μm or less, attached to the surface of the wafer W was counted. The following table 1 shows the counted number of the particles according to test examples 1 to 5. In the power control sequence, after the dry etching process, the plasma generation power was lowered to 40% or less as compared with the plasma generation power during the dry etching process. In the substrate processing apparatus 10, when a conventional power control sequence was performed in the processes A to E, the number of particles having a diameter of 0.08 μm or less, attached to the surface of the wafer W was counted. The following table 1 shows the counted number of the particles according to comparative examples 1 to 5. In the conventional power control sequence of the comparative examples 1 to 5, the supplying of the DC power to the upper electrode 33 and the supplying of the plasma generation power and the bias power are ended immediately after the dry etching process. TABLE 1Process ACE 1TE 1355Process BCE 2TE 2322 11 Process CCE 3TE 3365Process DCE 4TE 4227 9Process ECE 5TE 5398 In the substrate processing apparatus 10, when the power control sequence (no bias power is supplied) shown in FIG. 2 was performed in a process F that supplies the plasma generation power and the DC power to the upper electrode 33, the number of particles having a diameter of 0.08 μm or less, attached to the surface of the wafer W was counted. The following table 2 shows the counted number of the particles according to a test example 6. In the power control sequence, after the dry etching process, the plasma generation power was also lowered to 40% or less as compared with the plasma generation power during the dry etching process. In the substrate processing apparatus 10, when the conventional power control sequence was performed in the process F, the number of particles having a diameter of 0.08 μm or less, attached to the surface of the wafer W was counted. The following table 2 shows the counted number of the particles according to a comparative example 6. In the conventional power control sequence of the comparative example 6, the supplying of the plasma generation power and the supplying of the DC power to the upper electrode 33 are ended immediately after the dry etching process. TABLE 2Process FCE 6TE 621163 In the substrate processing apparatus 10, when the power control sequence (no DC power is supplied to the upper electrode 33) shown in FIG. 2 was performed in processes G and H that supply the plasma generation power and the bias power, the number of particles having a diameter of 0.08 μm or less, attached to the surface of the wafer W was counted. The following table 3 shows the counted number of the particles according to test examples 7 and 8. In the power control sequence, after the dry etching process, the plasma generation power was also lowered to 40% or less as compared with the plasma generation power during the dry etching process. In the substrate processing apparatus 10, when the conventional power control sequence was performed in the processes G and H, the number of particles having a diameter of 0.08 μm or less, attached to the surface of the wafer W was counted. The following table 3 shows the counted number of the particles according to comparative examples 7 and 8. In the conventional power control sequence of the comparative examples 7 and 8, the supplying of the plasma generation power and the bias power are ended immediately after the dry etching process. TABLE 3Process GCE 7TE 7284Process HCE 8TE 8151 As the results of comparing the test examples 1 to 5 with the comparative examples 1 to 5, the test example 6 with the comparative example 6, and the test examples 7 and 8 with the comparative examples 7 and 8, it can be seen that it is possible to reduce the number of the particles attached to the surface of the wafer W when the power control sequence shown in FIG. 2 is performed. Accordingly, if the plasma generation power is lowered to 40% or less as compared with the plasma generation power during the dry etching process, the electron density above the wafer W is sufficiently lowered as compared with the electron density during the dry etching process; the force FE (generated from the electric field) by which the particles floating over the wafer W are affected is sufficiently increased; and the particles are prevented from being attached to the surface of the wafer W. While the invention has been shown and described with respect to the embodiments, it will be understood by those skilled in the art that various changes and modification may be made without departing from the scope of the invention as defined in the following claims.
claims
1. A polypeptide comprising at least one helix-loop-helix calcium-binding (EF-hand) motif with deletion of two amino acid residues in the 12-amino-acid calcium-binding loop sequence selected from the group consisting of deletion in positions 1 and 2, and deletion in positions 2 and 3, wherein said polypeptide binds uranyl. 2. The polypeptide of claim 1, wherein said EF-hand motif(s) are derived from signaling EF-hand protein(s) of the calmodulin superfamily selected from the group consisting of calmodulin and troponin C. 3. The polypeptide of claim 1, which comprises two or four EF-hand motifs, wherein at least one EF-hand motif comprises said deletion in the calcium-binding loop sequence, and the other EF-hand motif(s) comprise said deletion or not. 4. The polypeptide of claim 2, which is a calmodulin domain 1 variant comprising two EF-hand motifs, respectively from the EF-hand1 and the EF-hand2 of calmodulin protein(s). 5. The polypeptide of claim 3, which comprises an amino acid sequence selected from the group consisting of SEQ ID NO: 17, 18, 20 and 60. 6. A fusion protein comprising a polypeptide according to claim 1 fused to another protein moiety. 7. The fusion protein of claim 6, which is a cameleon protein comprising tandem fusions of a fluorescence-donor protein, a polypeptide which comprises two or four EF-hand motifs, wherein at least one EF-hand motif comprises said deletion in the calcium-binding loop sequence and the other EF-hand motif(s) comprise said deletion or not, and a fluorescence-acceptor protein. 8. The fusion protein of claim 7, which comprises a sequence selected from the group consisting of SEQ ID NO: 35, 38, 61, 63 and 67. 9. The polypeptide of claim 1 or a fusion protein comprising a polypeptide according to claim 1 fused to another protein moiety, which is immobilized onto a solid support. 10. A polynucleotide encoding the polypeptide of claim 1. 11. A host cell comprising with the polynucleotide of claim 10, wherein the host cell is not an organism. 12. A non-human transgenic organism comprising the polynucleotide of claim 10. 13. A method of detecting uranium contamination in a sample comprising contacting the sample with a uranyl chelating agent comprising:i. the polypeptide of claim 1,ii. a fusion protein comprising a polypeptide according to claim 1 fused to another protein moiety,iii. a host cell comprising a polynucleotide encoding the polypeptide of claim 1 or a fusion protein comprising a polypeptide according to claim 1 fused to another protein moiety, oriv. A non-human transgenic organism comprising a polynucleotide encoding the polypeptide of claim 1 or a fusion protein comprising a polypeptide according to claim 1 fused to another protein moiety, anddetecting the presence of uranyl chelation in the sample. 14. A method of decontaminating or bio-remediating a sample containing uranium comprising contacting the sample with a uranyl chelating agent comprising:i. the polypeptide of claim 1,ii. a fusion protein comprising a polypeptide according to claim 1 fused to another protein moiety,iii. a host cell comprising a polynucleotide encoding the polypeptide of claim 1 or a fusion protein comprising a polypeptide according to claim 1 fused to another protein moiety, oriv. A non-human transgenic organism comprising a polynucleotide encoding the polypeptide of claim 1 or a fusion protein comprising a polypeptide according to claim 1 fused to another protein moiety. 15. The polypeptide of claim 3, which consists of an amino acid sequence selected from the group consisting of SEQ ID NO: 17, 18, 20 and 60. 16. The fusion protein of claim 7, which consists of a sequence selected from the group consisting of SEQ ID NO: 35, 38, 61, 63 and 67. 17. A polynucleotide encoding a fusion protein comprising the polypeptide according to claim 1 fused to another protein moiety.
abstract
The present disclosure relates to a collimator. The collimator may include a motor, a transmission unit having a first end and a second end, and a leaf unit having a leaf. The first end of the transmission unit may be connected to the motor and the second end of the transmission unit may be connected to the leaf. The present disclosure also relates to a collimator system. The collimator system may include a leaf module having a leaf, a driving module having a motor configured to drive the leaf, and a processing module to generate a movement profile of the leaf. The movement profile of the leaf may include a first speed during a first stage, a second speed of the leaf during a second stage, and a third speed of the leaf during a third stage.
summary
description
The present invention relates to an impurity introducing apparatus and an impurity introducing method, and more particularly to a plasma doping apparatus and a plasma doping method which serve to implant an ion onto a surface of a solid sample by using a plasma. There has been proposed a technique referred to as plasma doping in order to introduce a P-type impurity or an N-type impurity when forming a PN junction in a process for manufacturing a semiconductor such as a transistor. This serves to expose a semiconductor substrate to a plasma containing an electrically active impurity to introduce an impurity into a surface portion of the semiconductor substrate with an energy of a plasma when doping the semiconductor substrate with the impurity. Referring to a necessary impurity for a semiconductor, however, a purification or a quantitative control at an element and molecule level of the impurity is required in order to definitely give characteristics thereof, for example, electrically positive and negative characteristics. In the case of some closing or semi-closing environment, more specifically, a vacuum chamber or an atmospheric pressure plasma, the plasma doping is carried out in a plasma treating mechanism in order to confine the plasma. For this reason, a thin film containing an impurity substance is deposited in a portion provided in contact with the plasma in a substance constituting the closing or semiclosing environment. The deposited substance comes in contact with the plasma, and is thus sputtered again by the energy of the plasma and is mixed into the plasma in some cases, and the plasma and the thin film are brought into a kind of stationary state by a synthesis of competing processes of the deposition and the sputtering. If the deposited substance is homogeneous to the impurity in the plasma, a balance is maintained by the deposition and a remixture. If the deposited substance is heterogeneous, a different kind of substance from the impurity contained in the plasma is mixed into the plasma. Consequently, the characteristic of the impurity contained in the plasma is confused. As a result, it is impossible to accurately control the characteristic and amount of the impurity to be doped into a semiconductor thin film. For this reason, the plasma doping method is used with difficulties in a manufacture of a semiconductor on which the characteristic greatly depends based on a very small difference in an amount. The inventors have proposed that a vacuum chamber is sorted every type of an impurity to provide a special chamber in order to avoid the mixture (Patent Document 1). According to the Patent Document 1, for example, a right chamber is used as a P-type doping chamber 50 and a left chamber is used as an N-type doping chamber 60 in FIG. 5. A semiconductor substrate 130 is subjected to P-type patterning with a photoresist and P-type doping is performed in the chamber 50, and subsequently, the semiconductor substrate 130 is subjected to N-type patterning with the photoresist and N-type doping is performed in the chamber 60. By disposing the special plasma chamber for each desirable impurity, thus, it is possible to avoid the mixture of impurities having different characteristics. Therefore, it has been found that the plasma doping can be utilized in the manufacture of the semiconductor. The inventors according to the invention further found that an amorphization of a silicon single crystal substrate through an inert gas, particularly, a He plasma plays a very important part (Patent Document 2). More specifically, even if a large amount of He is irradiated for a long period of time in a He ion implantation through a conventional beam line ion implantation, the silicon single crystal substrate cannot be amorphized. This has been a common sense of an ion implantation industry before 2004. When the plasma is used, however, a large amount of He (which is almost 100 times as large as the amount of an ion implantation) is irradiated in a unit time at a much lower energy as compared with the past common sense. For this reason, it has been found that the silicon single crystal is also amorphized. Referring to the effect of the amorphization through He, an impurity is introduced subsequently to or simultaneously with the amorphization so that a profile in a direction of a depth of the impurity is changed to be preferable (Non-Patent Document 1). Consequently, it is possible to produce a special advantage linked to an enhancement in a uniformity and a control of the amount of the impurity. It is obvious that a simple mixture of an impurity, for example, B2H6 into He does not produce a special effect. When the inventors made an experiment for plasma doping in the early stage, B2H6 was diluted into 5% with He and was thus used as described in Patent Document 4. However, it was impossible to produce the advantage of the amorphization. Patent Document 1: Japanese Patent No. 2780419 Specification Patent Document 2. Japanese Patent Application No. 2003-041123 Patent Document 3: Japanese Patent Application No. 2004-360122 Patent Document 4: Japanese Patent No. 2022204 Specification Non-Patent Document 1: Y. Sasaki et al., “B2H6 Plasma Doping with In-situ He Pre-amorphyzation”, 2004 Symposia on VLSI Technology and Circuits Patent Document 5: JP-A-2004-179592 It was found that substances are mixed in very small amounts in a mechanism for delivering a semiconductor substrate and a step of removing a pattern through a photoresist formed on a semiconductor substrate in addition to a main mechanism for executing plasma doping, for example, a plasma chamber, and there has been a problem in that a confusion on the semiconductor substrate due to the mixture of a very small amount of substances which did not matter at all in 1990 in which the patent application described in the Patent Document 1 was filed, that is, a so-called contamination is generated. The invention has been made in consideration of the actual circumstances and has an object to provide an impurity introducing method and an impurity introducing apparatus which can prevent a contamination and can introduce a desirable impurity with a high controllability. Referring to impurities to be introduced into a semiconductor substrate, in the case in which there is no problem even if different kinds of impurities are mixed with each other, they can be treated at the same time. However, it is necessary to perfectly eliminate a combination in which a manufacture of a semiconductor apparatus is adversely influenced when they are mixed with each other. In addition to the following distinction of a reaction chamber, it is also necessary to distinguish a step in relation to a mechanism for delivering a treated wafer or a poststep (a removal of a photoresist will be taken as an example). More specifically, plasma doping is a method of introducing an impurity by a contact with a plasma differently from an ion implantation for implanting an ion having a high energy. Therefore, it was found that a considerably small amount of contamination greatly influences the manufacture of the semiconductor apparatus. By paying attention thereto, the combination in which the impurities are mixed with each other to have a bad influence is eliminated completely. Accordingly, a combination of plasma doping steps which makes a distinction depending on a characteristic of an impurity is as follows. 1 A helium plasma amorphization (HePA) is executed in P-type and N-type regions on a semiconductor substrate through a chamber A at the same time, and patterning is carried out using a photoresist to execute B2H6 doping over the P-type region through a chamber B. Subsequently, the N-type region is patterned with the photoresist and is thus opened, and PH3 doping is executed through a chamber C. 2 The patterning is carried out over the semiconductor substrate by using the photoresist to execute the HePA over the P-type region through the chamber A, and subsequently, to execute the B2H6 doping. Next, the N-type region is patterned by using the photoresist and is thus opened to execute the HePA through the chamber B, and subsequently, to dope PH3. 3 The patterning is carried out over the semiconductor substrate by using the photoresist to execute boron doping over the P-type region by a B2H6 plasma diluted with He into a dilution rate which has a HePA effect through the chamber A. Subsequently, the N-type region is patterned by using the photoresist and is thus opened to carry out phosphorus doping with a plasma by PH3 diluted with He into a dilution rate which has the HePA effect through the chamber B. Also in an ashing or removing process for a photoresist which is usually executed after doping an impurity, moreover, a treating mechanism is used in a step of a plasma or a wet type. Therefore, an impurity stuck to the photoresist or a semiconductor substrate and a thin film adheres to an inner part of the treating mechanism again. It is impossible to avoid the mixture of the substance thus stuck in another treatment again. Even if the amount of the mixture is very small, however, there is a high possibility that an inside of the semiconductor substrate might be confused to reduce a yield of the newest semiconductor apparatus. Accordingly, it was devised to distinguish treating mechanisms every characteristic of an impurity, thereby avoiding a mixture at the photoresist removing step to enhance the yield of the semiconductor. However, at least two kinds of photoresist removing mechanisms are used exclusively. In small scale factories, particularly, the devisal causes an increase in a cost. For this reason, it is preferable to determine an employment based on a relationship with the yield. In order to exhibit a serial function, furthermore, coupling through one vacuum coupling portion and an accommodation in an integral enclosure are effective in another sense, that is, a surface of the semiconductor can be prevented from being oxidized and particles can be prevented from being stuck. Even if the action is not taken, the contents of the invention can be utilized substantially. More specifically, by using wafer delivering mechanisms managed highly in a semiconductor manufacturing factory and their managing functions, it is possible to exhibit a serial function by the presence of an apparatus group capable of fulfilling the same function as a result even if a great distance is made therebetween or they are not stored in one enclosure for reasons of an arrangement in the factory. In the extreme, also in the case in which a certain step described in the invention is to be executed in a factory A and the other steps are to be executed in a factory B, other slight adverse effects such as a contamination from an ambient or an increase in particles can be supposed. However, the execution is not very hard in these days in which a highly physical distribution system maintaining a cleanness between the factories is established, and does not distort the essence and main point of the invention and does not depart from the scope of the invention but can be basically achieved. More specifically, the invention provides an impurity introducing apparatus and an apparatus group which use a plasma in treatments at all of steps or a part of the steps to introduce plural kinds of impurities into a solid sample, wherein at least one of the following mechanisms is included or one of the following mechanisms is intended for the apparatus group in such a manner that respective impurities are not mixed with each other in the case in which mutual specific functions are damaged if desirable impurities which are required for the introduced impurity to directly or indirectly cause a solid sample to retain electrical, mechanical or some specific functions are mixed with each other during a treatment at an impurity introducing step: 1 an impurity introducing mechanism which is independent every desirable impurity; 2 a solid sample delivering mechanism which is independent every desirable impurity; 3 a delivering mechanism for linking the impurity introducing mechanism and the solid sample delivering mechanism which are independent every desirable impurity; 4 a mechanism set exclusively every desirable impurity and serving to remove a resin to prevent a mixture with the other impurities; 5 a sample delivering mechanism for linking a plurality of impurity introducing apparatuses which is independent every desirable impurity; and 6 a mechanism for delivering a sample and a sample group between the impurity introducing apparatuses which are independent every desirable impurity and an apparatus for removing a resin. The desirable impurity implies an impurity required for the introduced impurity to directly or indirectly cause the solid sample to retain the electrical, mechanical or some specific functions, and may be one impurity or plural kinds of impurities. In the invention, in a manufacture of a semiconductor apparatus prior to a design of the apparatus, the apparatus is designed to execute, by independent mechanisms, a step of extracting a step requiring a plasma step, a step of extracting an impurity which is necessary for the extracted step, a step of deciding whether the impurity influences subsequent steps or not, a step of extracting any of the steps which is decided to have an influence at the deciding step, and the extracted step. Moreover, the invention provides an impurity introducing apparatus and an apparatus group which use a plasma in treatments at all of steps or a part of the steps to introduce plural kinds of impurities into a semiconductor substrate or a semiconductor thin film, wherein at least one of the following mechanisms is included or one of the following mechanisms is intended for the apparatus group in such a manner that respective impurities are not mixed with each other in the case in which mutual specific functions are damaged if desirable impurities which are required for the introduced impurity to directly or indirectly cause a solid sample to retain an electrical specific function are mixed with each other during a treatment at an impurity introducing step: 1 an impurity introducing mechanism which is independent every desirable impurity; 2 a solid sample delivering mechanism which is independent every desirable impurity; 3 a delivering mechanism for linking the impurity introducing mechanism and the solid sample delivering mechanism which are independent every desirable impurity; 4 a mechanism set exclusively every desirable impurity and serving to remove a resin to prevent a mixture with the other impurities; 5 a sample delivering mechanism for linking a plurality of impurity introducing apparatuses which is independent every desirable impurity; and 6 a mechanism for delivering a sample and a sample group between the impurity introducing apparatuses which are independent every desirable impurity and an apparatus for removing a resin. In addition, the invention provides an impurity introducing apparatus and an apparatus group which use a plasma in treatments at all of steps or a part of the steps to introduce plural kinds of impurities into a solid sample, wherein at least one of the following mechanisms is included or one of the following mechanisms is intended for the apparatus group in such a manner that nonpreferable impurities are not mixed with each other in the case in which desirable impurities required for causing the solid sample to retain electrical, mechanical or some specific functions do not damage the function even if they are mixed with each other during a treatment at an impurity introducing step in some combination and damage the mutual specific functions in the other combination: 1 an impurity introducing mechanism capable of simultaneously introducing impurities which do not damage the function even if they are mixed with each other; 2 a solid sample delivering mechanism which is exclusively independent for only the combination of the impurities which do not damage the function even if they are mixed with each other; 3 a solid sample delivering mechanism for exclusively and independently delivering only a solid sample into which impurities which do not damage the function even if they are mixed with each other are to be introduced or the impurities are introduced; 4 a mechanism set exclusively every combination of the impurities which do not damage the function even if they are mixed with each other and serving to remove a resin to prevent a mixture with nonpreferable impurities, 5 a sample delivering mechanism for linking a plurality of impurity introducing apparatuses set exclusively every combination of the impurities which do not damage the function even if they are mixed with each other; and 6 a mechanism for delivering a sample and a sample group between the impurity introducing apparatuses set exclusively every combination of the impurities which do not damage the function even if they are mixed with each other and an apparatus for removing a resin. Furthermore, the invention provides an impurity introducing apparatus and an apparatus group which use a plasma in treatments at all of steps or a part of the steps to introduce plural kinds of impurities into a semiconductor substrate and a semiconductor thin film, wherein at least one of the following mechanisms is included or one of the following mechanisms is intended for the apparatus group in such a manner that nonpreferable impurities are not mixed with each other in the case in which desirable impurities required for causing the solid sample to directly or indirectly retain an electrical specific function do not damage the function even if they are mixed with each other during a treatment at an impurity introducing step in some combination and damage the mutual specific functions in the other combination: 1 an impurity introducing mechanism capable of simultaneously introducing impurities which do not damage the function even if they are mixed with each other; 2 a solid sample delivering mechanism which is exclusively independent for only a combination of the impurities which do not damage even if they are mixed with each other; 3 a solid sample delivering mechanism for exclusively and independently delivering a solid sample into which the impurities which do not damage the function even if they are mixed with each other are to be introduced or the impurities are introduced; 4 a mechanism set exclusively every combination of the impurities which do not damage the function even if they are mixed with each other and serving to remove a resin to prevent a mixture with nonpreferable impurities; 5 a sample delivering mechanism for linking a plurality of impurity introducing apparatuses set exclusively every combination of the impurities which do not damage the function even if they are mixed with each other; and 6 a mechanism for delivering a sample and a sample group between the impurity introducing apparatuses set exclusively every combination of the impurities which do not damage the function even if they are mixed with each other and an apparatus for removing a resin. In the invention, moreover, some of electrically direct specific functions have a characteristic of a positive P type and the others have a characteristic of a negative N type. In the invention, furthermore, electrical indirect specific functions have a function of changing coupling states of crystal, polycrystal and amorphous lattices, and atoms and molecules. In the invention, moreover, the function of changing the coupling states of the crystal and polycrystal lattices and the atoms and molecules has an amorphizing function. Furthermore, the invention provides an impurity introducing method of introducing plural kinds of impurities into a solid sample by using a plasma in treatments at all of steps or a part of the steps, wherein at least one of steps using the following mechanisms is included or one of steps using the following mechanisms is intended for a combination of the steps in such a manner that respective impurities are not mixed with each other in the case in which desirable impurities required for the introduced impurity to directly or indirectly cause a solid sample to retain electrical, mechanical or some specific functions damage mutual specific functions if they are mixed with each other in a treatment at an impurity introducing step: 1 an impurity introducing step to be executed by using an impurity introducing mechanism which is independent every desirable impurity; 2 a delivering step to be executed by a solid sample delivering mechanism which is independent every desirable impurity; 3 a delivering step to be executed by a delivering mechanism for linking the impurity introducing mechanism and the solid sample delivering mechanism which are independent every desirable impurity; 4 a resin removing step to be executed by a mechanism set exclusively every impurity and serving to remove a resin to prevent a mixture with the other impurities; 5 a delivering step to be executed by a sample delivering mechanism for linking a plurality of impurity introducing apparatuses which is independent every desirable impurity; and 6 a delivering step to be executed by a mechanism for delivering a sample and a sample group between the impurity introducing apparatuses which are independent every desirable impurity and an apparatus for removing a resin. In the invention, the impurity implies a substance which influences an electrical characteristic indirectly and greatly through an amorphization, for example, a He plasma in addition to a directly electrically active substance which is generally represented by a semiconductor. In the invention, moreover, at least one of the following mechanisms is included or one of the following mechanisms is intended for the apparatus group in such a manner that respective impurities are not mixed with each other as well as the impurity introducing apparatus itself. According to the invention, a substance to retain electrically positive and negative characteristics is prevented from being mixed at a certain step in an introduction of an impurity into a semiconductor substrate in a manufacture of a semiconductor apparatus, thereby contributing to an accurate manufacture of the semiconductor apparatus. More specifically, a method of distinguishing an impurity is shown and a solution can be carried out in consideration of the circumstances such as a cost performance in a manufacturing factory. 10 load lock 20 partition wall 30 transfer chamber 40 HePA chamber 50 P-type doping chamber 60 N-type doping chamber 70 P-type ashing chamber 80 N-type ashing chamber 90 arm 100 arm 106 arm 110 arm 116 arm 120 tray 122 plasma source 124 plasma 130 semiconductor substrate 132 substrate table 140 valve Embodiments according to the invention will be described below with reference to the drawings. The invention is not restricted to the following embodiments. An embodiment according to the invention will be described with reference to FIG. 1. A plasma doping apparatus is an example in which a plasma in a vacuum state is utilized. If it is possible to make the best of features of various plasmas, the plasma in the vacuum state is not restricted. The example features that a He plasma amorphization (HePA) is carried out and impurity doping is then performed. In the invention, in an apparatus having a structure which will be described below, three load locks 10 are provided and five plasma chambers are disposed in a transfer chamber 30 having a structure which can be separated through a partition wall 20 depending on uses. The five plasma chambers are constituted by a HePA chamber 40, a P-type doping chamber 50 using B2H6, an N-type doping chamber 60 using PH3, a P-type ashing chamber 70 for removing a photoresist on a semiconductor substrate subjected to P-type doping, and an N-type ashing chamber 80 for removing a photoresist on a semiconductor substrate subjected to N-type doping, respectively. In order to deliver the semiconductor substrate among the load lock, the transfer chamber, and the plasma chamber, a robot arm is disposed. The robot arm is designed to be freely rotatable at 360 degrees. In this case, three types of a HePA arm 90, a P-type doping arm 100 and an N-type doping arm 110 are prepared to avoid a mutual mixture. A tray 120 is disposed in such a manner that the semiconductor substrate can be transferred in a HePA portion and a doping portion if necessary. Basic structures of the five plasma chambers will be described with reference to FIG. 2. FIG. 2 shows a sectional structure of the HePA chamber according to an example. A plasma source 122 is disposed in the plasma chamber 40. A gas introducing mechanism is not shown in the drawings but a gas or an impurity material is introduced into the chamber 40 to generate a plasma 124. A semiconductor substrate 130 to be treated is mounted on a substrate table 132. The substrate 130 has such a structure that it can be moved to a substrate table 180 from the transfer chamber via a valve 140A by using the arm 90. Description will be given to a method of manufacturing a semiconductor apparatus using the apparatus. At least one semiconductor substrate 130 is disposed in a load lock 10A. At this time, the HePA is first executed. Therefore, the semiconductor substrate 130 is disposed in the load lock 10A. For a technique of the HePA, the load lock 10A is brought into a constant vacuum state, the valve 140A provided between the load lock 10A and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 90, and is guided to the HePA chamber 40 and is disposed therein. As described in the Non-Patent Document 1, the HePA generates a He plasma for seven seconds at a degree of vacuum of 0.9 Pa, thereby causing a semiconductor single crystal substrate to be amorphous. In this case, there are two techniques. As a first technique, all of portions forming a semiconductor device such as a transistor are brought into an amorphous state at a time. As a second technique, a portion to be doped into a P type and a portion to be doped into an N type are isolated and patterned by a photoresist, and are separately brought into the amorphous state. First of all, in the first case, a semiconductor substrate brought into the amorphous state by the HePA chamber 40 once passes through the load lock 10A and is thus delivered to the outside of the apparatus, and is then patterned by a photoresist via a lithographic step, and is subsequently transported to a load lock 10B in order to carry out P-type doping, the load lock 10B is brought into a constant vacuum state and a valve 140B provided between the load lock 10B and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 100, and is guided to the P-type doping chamber 50 and is disposed therein. As described in the Non-Patent Document 1, a B2H6 plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa by the P-type doping, and the P-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the P-type doping is delivered to the P-type ashing chamber 70 by means of the arm 100. A resist layer which is degenerated and cured is removed by the P-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 15 nm that is degenerated and cured, in order to avoid an oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10B by means of the arm 100. The semiconductor substrate 130 is subjected to a subsequent posttreatment and a lithographic step, and an N-type doped region is patterned and the semiconductor substrate 130 is thereafter disposed in a load lock 10C. The load lock 10C is brought into a constant vacuum state and a valve 140C provided between the load lock 10C and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 110, and is guided to the N-type doping chamber 60 and is disposed therein. Herein, a PH3 plasma is generated with a PH3 gas for ten seconds by the N-type doping, and the N-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the N-type doping is delivered to the N-type ashing chamber 80 by means of the arm 110. A resist layer which is degenerated and cured is removed by the N-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 20 nm which is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10C by means of the arm 110. The second case will be described. At least one semiconductor substrate 130 in which the P-type doped region is patterned by a photoresist via the lithographic step is disposed in the load lock 10A. At this time, the HePA is first executed. Therefore, the semiconductor substrate 130 is disposed in the load lock 10A. The load lock 10A is brought into a constant vacuum state, the valve 140A provided between the load lock 10A and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 90, and is guided to the HePA chamber 40 and is disposed therein. As described in the Non-Patent Document 1, a He plasma is generated for seven seconds at a degree of vacuum of 0.9 PA, thereby causing a semiconductor single crystal substrate to be amorphous. For the P-type doping, subsequently, the semiconductor substrate 130 is taken out of the HePA chamber 40 through the arm 90 and is transported to a tray 120A. Next, the semiconductor substrate 130 put on the tray 120A is delivered through the arm 100, and is guided to the P-type doping chamber 50 and is disposed therein. As described in the Non-Patent Document 1, a B2H6 plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa by the P-type doping, and the P-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the P-type doping is delivered to the P-type ashing chamber 70 by means of the arm 100. A resist layer which is degenerated and cured is removed by the P-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 15 nm which is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10B by means of the arm 100. The N-type doped region of the semiconductor substrate 130 is patterned via a subsequent posttreatment and a lithographic step, and the semiconductor substrate 130 is then disposed in the load lock 10A. The load lock 10A is brought into a constant vacuum state, the valve 140A provided between the load lock 10A and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 90, and is guided to the HePA chamber 40 and is disposed therein. As described in the Non-Patent Document 1, the He plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa by the HePA, thereby causing a semiconductor single crystal substrate to be amorphous. For the N-type doping, subsequently, the semiconductor substrate 130 is taken out of the HePA chamber 40 through the arm 110 and is transported to a tray 120B. The semiconductor substrate 130 is delivered through the arm 110, and is guided to the N-type doping chamber 60 and is disposed therein. Herein, a PH3 plasma is generated with a PH3 gas for ten seconds and the N-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the N-type doping is delivered to the N-type ashing chamber 80 by means of the arm 110. A resist layer which is degenerated and cured is removed by the N-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 20 nm which is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10C by means of the arm 110. In the way described above, the necessary P-type and N-type doping for the semiconductor substrate 130 is ended by the first method or the second method, and the semiconductor substrate 130 is transferred to a subsequent annealing step. Since the P-type region and the N-type region have already been close to each other in a hyperfine pattern at the annealing step, it is actually impossible to execute the step separately. However, the delivery and annealing can be carried out in a gas atmosphere which does not react to a semiconductor in a vacuum, with an inert gas or at an ordinary temperature in order to protect a surface of the semiconductor in the same apparatus, a vicinal apparatus subjected to a delivery by a delivering method taking the handling of the surface into consideration or an entirely different apparatus. A second embodiment according to the invention will be described with reference to FIG. 3. In the invention, description will be given to an example in which HePA and doping are carried out in the same chamber. First of all, an apparatus will be described. Load locks 10B and 10C are used for P and N types, respectively. Four plasma chambers are disposed in a transfer chamber 30. The four plasma chambers are constituted by a P-type doping chamber 50 using B2H6, an N-type doping chamber 60 using PH3, a P-type ashing chamber 70 for removing a photoresist on a semiconductor substrate subjected to P-type doping, and an N-type ashing chamber 80 for removing a photoresist on a semiconductor substrate subjected to N-type doping. In order to deliver the semiconductor substrate among the load lock, the transfer chamber, and the plasma chamber, a robot arm is disposed. The robot arm is designed to be freely rotatable at 360 degrees. In this case, three types of a P-type doping arm 100 and an N-type doping arm 110 are prepared to avoid a mutual mixture. A tray 120 is disposed in such a manner that the semiconductor substrate can be transferred in the HePA portion and the doping portion if necessary. Description will be given to an embodiment for manufacturing a semiconductor apparatus by using the apparatus. There are two methods. Referring to a first method, the HePA is first carried out in a P-type chamber and an N-type chamber, and subsequently, P-type or N-type doping is executed. Referring to a second method, a P-type or N-type dopant substance is diluted with He, and PA and doping are simultaneously carried out on a condition that a HePA effect is exhibited at the same time. First of all, the first method will be described. At least one semiconductor substrate 130 in which the P-type doped region is patterned by a photoresist via the lithographic step is disposed in a load lock 10B. At this time, the HePA is first executed by using the P-type doping chamber 50. The load lock 10B is brought into a constant vacuum state, a valve 140B provided between the load lock 10B and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through an arm 100, and is guided to the P-type doping chamber 50 and is disposed therein. Referring to the HePA to be first executed, a He plasma is generated for seven seconds at a degree of vacuum of 0.9 PA, thereby causing a semiconductor single crystal substrate to be amorphous as described in the Non-Patent Document 1. Next, a reactant gas is switched for P-type doping. As described in the Non-Patent Document 1, a B2H6 plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa and the P-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the P-type doping is delivered to the P-type ashing chamber 70 by means of the arm 100. A resist layer which is degenerated and cured is removed by the P-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 15 nm which is degenerated and cured in order to avoid an oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10B by means of the arm 100. The N-type doped region of the semiconductor substrate 130 is patterned via a subsequent posttreatment and a lithographic step, and the semiconductor substrate 130 is then disposed in a load lock 10C. First of all, the HePA is also executed over the N-type patterned region by using the N-type doping chamber 60. The load lock 10C is brought into a constant vacuum state, a valve 140C provided between the load lock 10C and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 110, and is guided to the N-type doping chamber 60 and is disposed therein. Referring to the HePA to be first executed, the He plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa, thereby causing a semiconductor single crystal substrate to be amorphous as described in the Non-Patent Document 1. Subsequently, a reactant gas is switched for the N-type doping. Herein, a PH3 plasma is generated with a PH3 gas for ten seconds by the N-type doping, and the N-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the N-type doping is delivered to the N-type ashing chamber 80 by means of the arm 110. A resist layer which is degenerated and cured is removed by the N-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 20 nm that is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10C by means of the arm 110. Next, the second method will be described. At least one semiconductor substrate 130 in which the P-type doped region is patterned by a photoresist via a lithographic step is disposed in the load lock 10B. The load lock 10B is brought into a constant vacuum state, the valve 140B provided between the load lock 10B and the transfer chamber 30 is opened, and the semiconductor substrate 130 is delivered through the arm 100, and is guided to the P-type doping chamber 50 and is disposed therein. Herein, a B2H6 plasma is generated for seven seconds with a B2H6 gas diluted mainly with He by the P-type doping, and the P-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the P-type doping is delivered to the P-type ashing chamber 70 by means of the arm 100. A resist layer which is degenerated and cured is removed by the P-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 15 nm that is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10B by means of the arm 100. The N-type doped region of the semiconductor substrate 130 is patterned via a subsequent posttreatment and a lithographic step, and the semiconductor substrate 130 is then disposed in the load lock 10C. The load lock 10C is brought into a constant vacuum state, the valve 140C provided between the load lock 10C and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 110, and is guided to the N-type doping chamber 60 and is disposed therein. Herein, a PH3 plasma is generated for ten seconds with a PH3 gas diluted mainly with He by the N-type doping, and the N-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the N-type doping is delivered to the N-type ashing chamber 80 by means of the arm 110. A resist layer which is degenerated and cured is removed by the N-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 20 nm that is degenerated and cured, in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10C by means of the arm 110. In the way described above, the necessary P-type and N-type doping for the semiconductor substrate 130 is ended by the first method or the second method, and the semiconductor substrate 130 is transferred to a subsequent annealing step. Since the P-type region and the N-type region have already been close to each other in a hyperfine pattern at the annealing step, it is actually impossible to execute the step separately. However, the delivery and annealing can be carried out in a gas atmosphere which does not react to a semiconductor in a vacuum, with an inert gas or at an ordinary temperature in order to protect a surface of the semiconductor in the same apparatus, a vicinal apparatus subjected to a delivery by a delivering method taking the handling of the surface into consideration or an entirely different apparatus. A third embodiment according to the invention will be described with reference to FIG. 4. A plasma doping apparatus is an example in which a plasma in a vacuum state is utilized. It is sufficient to make the best of features of various plasmas and the plasma in the vacuum state is not restricted. The embodiment features that an apparatus group for carrying out a He plasma amorphization (HePA), and then, impurity doping and removing a photoresist is arranged intentionally to efficiently execute a serial step. Referring to the delivery of the semiconductor substrate, however, by using wafer delivering mechanisms managed highly in a semiconductor manufacturing factory and their managing functions, it is sufficiently possible to exhibit a serial function by the presence of an apparatus group capable of fulfilling the same function even if a great distance is made therebetween or they are not stored in one enclosure for reasons of an arrangement in the factory. In the extreme, also in the case in which a certain step described in the invention is to be executed in a factory A and the other steps are to be executed in a factory B, other adverse effects such as a contamination from an ambient or an increase in particles can be supposed. However, the execution is not very hard in these days in which a highly physical distribution system maintaining a cleanness between the factories is established, and does not distort the essence and main point of the invention but can be achieved. The case in which apparatuses are intentionally provided intensively in the vicinity will be described again. Description will be given to a structure of the apparatus. Each apparatus is provided with a load lock 10, a transfer chamber 30 and a plasma chamber. The function of measures in which five apparatuses are provided together is constituted by an apparatus 42 comprising a HePA chamber 40, an apparatus 52 comprising a P-type doping chamber 50 using B2H6, an apparatus 62 comprising an N-type doping chamber 60 using PH3, an apparatus 72 comprising a P-type ashing chamber 70 for removing a photoresist on a semiconductor substrate subjected to P-type doping, and an apparatus 82 comprising an N-type ashing chamber 80 for removing a photoresist on a semiconductor substrate subjected to N-type doping. Description will be given to an example in which plasma doping is executed by utilizing these apparatus groups. Four techniques will be described. (First Technique) At least one semiconductor substrate 130 is disposed in a load lock 10A of the apparatus 42 comprising the HePA chamber 40. At this time, the HePA is first executed. The load lock 10A is brought into a constant vacuum state, a valve 140A provided between the load lock 10A and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through an arm 90, and is guided to the HePA chamber 40 and is disposed therein. As described in the Non-Patent Document 1, a He plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa by the HePA, thereby causing a semiconductor single crystal substrate to be amorphous. The semiconductor substrate brought into the amorphous state by the HePA chamber 40 once passes through the load lock 10A and is thus delivered to the outside of the apparatus, and is then patterned by a photoresist via a lithographic step, and is subsequently transported to a load lock 10B of the apparatus 52 comprising the P-type doping chamber 50 in order to carry out P-type doping, the load lock 10B is brought into a constant vacuum state and a valve 140B provided between the load lock 10B and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through an arm 100, and is guided to the P-type doping chamber 50 and is disposed therein. As described in the Non-Patent Document 1, a B2H6 plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa by the P-type doping, and the P-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the P-type doping once passes through the load lock 10A and is delivered to the outside of the apparatus, and is transported to a load lock 10D of the apparatus 72 comprising the P-type ashing chamber 70 for removing a photoresist on the semiconductor substrate subjected to the P-type doping, and the load lock 10D is brought into a constant vacuum state, a valve 140D provided between the load lock 10D and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through an arm 106 and is disposed in the P-type ashing chamber 70. A resist layer which is degenerated and cured is removed by the P-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 15 nm which is degenerated and cured in order to avoid an oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10D by means of the arm 106. The semiconductor substrate 130 is subjected to a subsequent posttreatment and a lithographic step, and an N-type doped region is then patterned, and the semiconductor substrate 130 is thereafter disposed in a load lock 10C of the apparatus 62 comprising the N-type doping chamber 60. The load lock 10C is brought into a constant vacuum state and a valve 140C provided between the load lock 10C and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through an arm 110, and is guided to the N-type doping chamber 60 and is disposed therein. Herein, a PH3 plasma is generated with a PH3 gas for ten seconds by the N-type doping, and the N-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the N-type doping once passes through the load lock 10C and is delivered to the outside of the apparatus by means of the arm 110, and is delivered to a load lock 10E of the apparatus 82 comprising the N-type ashing chamber 80 for removing the photoresist on the semiconductor substrate subjected to the N-type doping, and is provided in the N-type ashing chamber 80 through an arm 116. A resist layer which is degenerated and cured is removed by the N-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 20 nm which is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10E by means of the arm 116. The second case will be described. At least one semiconductor substrate 130 subjected to the P-type patterning through the photoresist is disposed in the load lock 10A of the apparatus 42 comprising the HePA chamber 40. At this time, the HePA is first executed. The load lock 10A is brought into a constant vacuum state, the valve 140A provided between the load lock 10A and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 90, and is guided to the HePA chamber 40 and is disposed therein. As described in the Non-Patent Document 1, a He plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa by the HePA, thereby causing a semiconductor single crystal substrate to be amorphous. The semiconductor substrate brought into the amorphous state by the HePA chamber 40 once passes through the load lock 10A and is thus delivered to the outside of the apparatus, and is then transported to the load lock 10B of the apparatus 52 comprising the P-type doping chamber 50 in order to carry out P-type doping, the load lock 10B is brought into a constant vacuum state and the valve 140B provided between the load lock 10B and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 100, and is guided to the P-type doping chamber 50 and is disposed therein. As described in the Non-Patent Document 1, a B2H6 plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa by the P-type doping, and the P-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the P-type doping once passes through the load lock 10A and is delivered to the outside of the apparatus, and is transported to the load lock 10D of the apparatus 72 comprising the P-type ashing chamber 70 for removing a photoresist on the semiconductor substrate subjected to the P-type doping, and the load lock 10D is brought into a constant vacuum state, the valve 140D provided between the load lock 10D and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 106 and is disposed in the P-type ashing chamber 70. A resist layer which is degenerated and cured is removed by the P-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 15 nm that is degenerated and cured, in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10D by means of the arm 106. The semiconductor substrate 130 is subjected to a subsequent posttreatment and a lithographic step, and an N-type doped region is then patterned and the semiconductor substrate 130 is thereafter disposed in the load lock 10A of the apparatus 42 comprising the HePA chamber 40. The load lock 10A is brought into a constant vacuum state and the valve 140A provided between the load lock 10A and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 90, and is guided to the HePA chamber 40 and is disposed therein. Herein, a He plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa by the HePA to bring the semiconductor single crystal substrate into an amorphous state as described in the Non-Patent Document 1. In this case, a P-type impurity layer doped earlier is wholly covered with a patterning material such as a photoresist. Therefore, impurities having different characteristics can be prevented from being mixed with each other in the HePA chamber. The semiconductor substrate brought into the amorphous state by the HePA chamber 40 once passes through the load lock 10A and is thus delivered to the outside of the apparatus, and is disposed in the load lock 10C of the apparatus 62 comprising the N-type doping chamber 60. The load lock 10C is brought into a constant vacuum state and the valve 140C provided between the load lock 10C and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 110, and is guided to the N-type doping chamber 60 and is disposed therein. Herein, a PH3 plasma is generated with a PH3 gas for ten seconds by the N-type doping, and the N-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the N-type doping once passes through the load lock 10C by means of the arm 110 and is delivered to the outside of the apparatus, and is transported to the load lock 10E of the apparatus 82 comprising the N-type ashing chamber 80 for removing a photoresist on the semiconductor substrate subjected to the N-type doping and is disposed in the N-type ashing chamber 80 through the arm 116. A resist layer which is degenerated and cured is removed by the N-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 20 nm which is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10E by means of the arm 116. A third technique is a method of first carrying out the HePA in a P-type chamber and an N-type chamber and subsequently executing P-type or N-type doping. At least one semiconductor substrate 130 in which the P-type doped region is patterned by a photoresist via the lithographic step is disposed in the load lock 10B. At this time, the HePA is first executed by using the P-type doping chamber 50. The load lock 10B is brought into a constant vacuum state, the valve 140B provided between the load lock 10B and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through an arm 100, and is guided to the P-type doping chamber 50 and is disposed therein. Referring to the HePA to be first executed, a He plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa, thereby causing a semiconductor single crystal substrate to be amorphous as described in the Non-Patent Document 1. Next, a reactant gas is switched for the P-type doping. As described in the Non-Patent Document 1, a B2H6 plasma is generated for ten seconds at a degree of vacuum of 0.9 Pa by the P-type doping, and the P-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the P-type doping once passes through the load lock 10A and is delivered to the outside of the apparatus, and is transported to the load lock 10D of the apparatus 72 comprising the P-type ashing chamber 70 for removing a photoresist on the semiconductor substrate subjected to the P-type doping and is disposed in the P-type ashing chamber 70. A resist layer which is degenerated and cured is removed by the P-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 15 nm which is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10D by means of the arm 100. The semiconductor substrate 130 is subjected to a subsequent posttreatment and a lithographic step, and an N-type doped region is patterned and the semiconductor substrate 130 is thereafter disposed in the load lock 10C. First of all, the HePA is also executed over a region subjected to N-type patterning by using the N-type doping chamber 60. The load lock 10C is brought into a constant vacuum state, the valve 140C provided between the load lock 10C and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 110, and is guided to the N-type doping chamber 60 and is disposed therein. Referring to the HePA to be first executed, a He plasma is generated for seven seconds at a degree of vacuum of 0.9 Pa, thereby causing a semiconductor single crystal substrate to be amorphous as described in the Non-Patent Document 1. Then, a reactant gas is switched for the N-type doping. Herein, a PH3 plasma is generated with PH3 for ten seconds by the N-type doping, and the N-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the N-type doping once passes through the load lock 10C by means of the arm 110 and is thus delivered to the outside of the apparatus. Subsequently, the semiconductor substrate 130 is delivered to the load lock 10E of the apparatus 82 comprising the N-type ashing chamber 80 for removing the photoresist on the semiconductor substrate subjected to the N-type doping, and is disposed in the N-type ashing chamber 80 through the arm 116. Herein, a resist layer which is degenerated and cured is removed by the N-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 20 nm that is degenerated and cured, in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10C by means of the arm 110. Referring to a fourth technique, a P-type or N-type dopant substance is diluted with He, and PA and doping are simultaneously carried out on a condition that a HePA effect is exhibited at the same time. The load lock 10B is provided with at least one semiconductor substrate 130 in which the P-type doped region is patterned with a photoresist through a lithographic step. The load lock 10B is brought into a constant vacuum state, the valve 140B provided between the load lock 10B and the transfer chamber is opened, and the semiconductor substrate 130 is delivered through the arm 110, and is guided to the P-type doping chamber 50 and is disposed therein. Herein, a B2H6 plasma is generated for seven seconds with a B2H6 gas diluted mainly with He by the P-type doping, and the P-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the P-type doping once passes through the load lock 10A and is delivered to the outside of the apparatus, and is transported to the load lock 10D of the apparatus 72 comprising the P-type ashing chamber 70 for removing a photoresist on the semiconductor substrate subjected to the P-type doping and is disposed in the P-type ashing chamber 70. A resist layer which is degenerated and cured is removed by the P-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 15 nm which is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10D by means of the arm 100. The semiconductor substrate 130 is subjected to a subsequent posttreatment and a lithographic step, and an N-type doped region is patterned and the semiconductor substrate 130 is thereafter disposed in the load lock 10C. Herein, a PH3 plasma is generated for ten seconds with a PH3 gas diluted mainly with He by the N-type doping, and the N-type doping is carried out over the semiconductor substrate. The semiconductor substrate 130 subjected to the N-type doping once passes through the load lock 10C by means of the arm 110 and is thus delivered to the outside of the apparatus. Subsequently, the semiconductor substrate 130 is delivered to the load lock 10E of the apparatus 82 comprising the N-type ashing chamber 80 for removing the photoresist on the semiconductor substrate subjected to the N-type doping, and is disposed in the N-type ashing chamber 80 through the arm 116. Herein, a resist layer which is degenerated and cured is removed by the N-type doping. Although all of the resists may be removed, it is possible to sufficiently fulfill a function by simply removing a layer having a thickness of approximately 20 nm which is degenerated and cured in order to avoid the oxidation of the semiconductor substrate. The semiconductor substrate 130 subjected to the ashing is delivered to the outside of the apparatus via the load lock 10C by means of the arm 110. In the way described above, the necessary P-type and N-type doping for the semiconductor substrate 130 is ended by the first to fourth methods, and the semiconductor substrate 130 is transferred to a subsequent annealing step. Since the P-type region and the N-type region have already been close to each other in a hyperfine pattern at the annealing step, it is actually impossible to execute the step separately. However, the delivery and annealing can be carried out in a gas atmosphere which does not react to a semiconductor in a vacuum, with an inert gas or at an ordinary temperature in order to protect a surface of the semiconductor in the same apparatus, a vicinal apparatus subjected to a delivery by a delivering method taking the handling of the surface into consideration or an entirely different apparatus. If B2H6 or PH3 used as an example in all of the embodiments is utilized, moreover, hydrogen is also introduced when boron or phosphorus is to be introduced. In this case, if the hydrogen does not carry out a nonpreferable action in a semiconductor apparatus as a result, it may be introduced at the same time. Although the description has not been given in the embodiments, a BF3 gas is often used in the semiconductor industry. At this time, fluorine is also introduced when boron is to be introduced. In this case, if the fluorine does not carry out a nonpreferable action in a semiconductor apparatus as a result, it may be introduced at the same time. In an introduction of an impurity into a semiconductor substrate in a manufacture of a semiconductor apparatus, it is possible to prevent substances retaining electrically positive and negative characteristics from being mixed at a certain step, thereby controlling an amount of the introduction of the impurity with high precision. Therefore, the invention is effective for manufacturing a fine semiconductor integrated circuit apparatus such as a VLSI.
summary
abstract
A panel that uses the gamma radiation emitted by fission to produce electrical power. The panel includes layers of a metal with a relatively high atomic number (Z), that form an emitter, a high temperature electrical resistor, and an electrical conductor with a relatively low Z value, that forms a collector. The gamma radiation emitted during the fission process produces Compton and photoelectrical electrons in the layer of the Emitter located between the reactor Baffle and the fuel assemblies. The electrons that have sufficient energy to penetrate the resistor layer between the emitter layer and the collector layer will be stopped in the collector. This creates a substantial voltage difference between the emitter and the collector. This voltage difference may be used to produce significant electric power both during reactor operations and with the reactor shutdown to meaningfully augment the electricity produced by the turbine generators.
description
This application claims priority of U.S. Provisional Application No. 60/453,156 filed on Mar. 6, 2003, entitled RAPID DEFECT COMPOSITION MAPPING USING MULTIPLE X-RAY EMMISION PERSPECTIVE DETECTION SCHEME, by Anne Testoni which is incorporated herein by reference in its entirety for all purposes. This application is related to U.S. patent application Ser. No. 10/691,940, filed on Oct. 22, 2003, entitled VOID CHARACTERIZATION IN METAL INTERCONNECT STRUCTURES USING X-RAY EMISSION ANALYSES, by Yeishin Tung and Anne Testoni which is incorporated herein by reference in its entirety for all purposes. The present invention relates generally to inspection of semiconductor devices for the purpose of identifying defects thereon. Additionally, it relates to X-ray emission analysis techniques for identifying and spatially locating defects found in or on integrated circuit devices. Semiconductor defects may include structural flaws, residual process material and other surface contamination, which occur during the production of semiconductor wafers. Defects can be introduced to a wafer at any process step in wafer production. For example, a particle defect may originate from contamination during a deposition process or it may be introduced to the wafer due to exposure during a wafer transfer from one process chamber to another. As another example, a scratch defect may occur due to abrasive polishing during a chemical mechanical planarization process, or it may occur due to faulty cleaning process or it may occur from operator error during wafer handing. Since defects can have a similar appearance but originate from different process steps, it can be difficult to find root causes of the defects, such as a faulty process. It is also important to know where the defect is located with respect the different process layers of the semiconductor wafer, which may also aid determination of the root cause of the defect. To help detect and locate defects, a class of instruments called inspection tools is used. Inspection tools inspect the wafers at various critical points between process steps in wafer production. Such instruments scan wafer surfaces using a variety of techniques and detect and record the location of anomalies. Typically, these techniques involve directing a light or electron beam towards the surface of the semiconductor where the defect is, and detecting the resultant light reflected off or electrons emitted from the sample. The reflected light or emitted electrons may then be used to generate a target image of the surface of the semiconductor. Differences between the target image and a reference image (which is known to contain no defects) are determined and, when the differences are above a predetermined threshold, it may be determined that a defect exist. One problem that occurs with existing inspection technologies is they do not always accurately locate where the defects are in relation to the different layers of the semiconductor. This is because many inspection tools collect one or two dimensional images of defects. For example, most electron beam inspection tools detect emitted electrons using one detector at one angle in relation to the sample surface. The data is collected from one perspective and results in a one dimensional representation or, at best, a flat two dimensional image, much like a common camera photograph. The one and two dimensional representations alone do not show depth. When viewing the image, one may not be able to distinguish between an indentation that recesses below the wafer surface and a bump or particle that protrudes above the wafer surface. If the defect is particle, it may occlude or shadow another defect behind it. Also, one will not know if the defect simply lies on the surface, extends into other process layers or spans over multiple layers. The spatial location of defects can be vital information for engineers to accurately determine the root causes of defects. For example, if a particle is introduced in a deposition chamber during a deposition process, the particle may be embedded with the material of the deposition process layer. Whereas, if the particle was introduced after the deposition process, the particle will likely not be embedded within the material of the particular deposition process layer but rather reside on top of the wafer surface or within another process layer besides the particular deposition layer. Likewise, it is important for engineers to know the spatial location of other defects such as scratches, indentations, bumps and other irregularities in relation to the various layers of the wafer structure. These are only a few examples of the types of puzzles that engineers must solve everyday to insure efficient, cost effective and quality wafer production. Accordingly, there is a need to spatially resolve the location of defect that may reside in or on integrated circuit product devices or wafers. In general terms, the present invention provides methods and apparatus for localizing and characterizing one or more defects by analyzing the X-ray count of one or more emitted X-ray species emitted from the semiconductor wafer and defect(s) under test in response to a impinging beam, such as an electron beam, directed towards the sample surface in the area where the defect(s) reside. Specifically, this analysis may be used for spatially resolving the location of a defect with respect to the semiconductor wafer using multiple perspective X-ray emission detection. Defects which can be inspected using the methods of the invention include particles, flakes, bumps, pits, voids, recesses, scratches and other irregularities or undesirable features that can exist in or on wafers. By directing a beam of appropriate energy toward the wafer sample surface in the area where a defect resides, a certain sample volume of the wafer sample with defect will generate X-rays. Preferably, the defect that is being inspected resides within this sample volume. The X-rays will radiate from the volume within the wafer sample and some of the X-rays will be emitted from the wafer sample surface. These emitted X-rays are characteristic of composition of the wafer sample and of the defect. If the emitted X-rays are detected at two or more perspectives or angles, the defect can be spatially resolved and a three dimensional image of the defect in or on the wafer sample can be produced. Combining the X-ray data from at least two X-ray emission energy spectra can generate the image. The more perspectives or angles used to detect emitted X-rays, the better the confidence of the spatially resolved data and three dimensional images. A number of embodiments of the invention are based on how the detector or detectors are oriented with respect to the wafer surface so that emitted X-rays from at least two perspectives can be achieved. In a preferred embodiment, the emitted X-rays are detected using a plurality of detectors positioned at a plurality of angles with respect to the wafer surface. In this embodiment, the emitted X-rays can be detected simultaneously. In other embodiments, one detector is used to collect the emitted X-rays from a plurality of perspectives by either moving the detector with respect to the wafer surface or by tilting the wafer with respect to the detector position between samplings. In these embodiments, the emitted X-rays are detected at different sampling times. Any number of samplings and perspectives can be performed. In another embodiment, one detector is used to collect the emitted X-rays from a plurality of perspectives by using a detector capable of collecting X-rays emitted from the sample surface at least two significantly different angles. In this embodiment, the emitted X-rays can be detected simultaneously. A number of embodiments of the invention are based on the methods in which the beam can be used to impinge the wafer sample. In a few embodiments, the beam is stepped over the area where the defect resides. In a preferred embodiment, the beam is stepped in a grid pattern or configuration over the area where the defect resides. The stepping pattern of the beam can include any number of inspection points, preferably to fully sample the volume of the wafer wherein/whereon the defect resides. In another embodiment, the beam is rastered or scanned over the area where the defect resides. In another embodiment, the beam impinges the area where the defect resides at a single point. A few embodiments of the invention are based on the type of beam used to cause the generation of X-rays from the wafer sample. In a preferred embodiment, an e-beam is used to impinge the wafer. The e-beam is preferably sufficiently focused to resolve even small defects. The e-beam has preferably high enough energy to travel through the different layers of a typical semiconductor film stack and cause X-ray emission from the defect and surrounding wafer sample. In another embodiment, a focused ion beam is used to impinge the wafer. Methods of the present invention can be used to inspect defects in a number of different types of semiconductor wafer devices, including devices comprised of aluminum, copper, silicon, silicon dioxide, low k dielectrics, tantalum, titanium, tungsten, silicon nitride, tantalum nitride and other materials found in semiconductor wafers. In one embodiment, the wafer sample is comprised of copper surrounded by dielectric material, such as damascene devices. In this embodiment, detecting and collecting the copper Kα and copper Lα X-rays emitted from the sample, and by taking various ratios of the copper Kα and copper Lα X-rays, one can characterize the semiconductor material surrounding the defect. One can also detect and collect X-ray emission from other elemental species emitted from the sample, such as those from the defect. These and other features of the present invention will be presented in more detail in the following specification of the invention and the accompanying figures, which illustrate by way of example the principles of the invention. Introduction In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail to not unnecessarily obscure the present invention. While the invention will be described in conjunction with the specific embodiment, it will be understood that it is not intended to limit the invention to the embodiment. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims. A “semiconductor wafer” or “wafer” is a semiconductor substrate at any state of manufacture in the production of integrated circuits. One standard semiconductor wafer described in this invention is 200 mm in diameter, 0.75 mm thick (see e.g., SEMI Specification M1-0298). Of course, semiconductor wafers of other dimensions, such as a standard 300 mm diameter silicon wafer, can also be processed in accordance with this invention. Note that standard specifications for a 300 mm diameter wafer may be found in SEMI Specification M1.15-0997. As used herein, “wafer surface” refers to any semiconductor wafer surface whereby an inspection for defects is performed. Wafer surfaces include semiconductor wafer surfaces in various states of manufacture. During wafer production, the wafer surface is typically inspected for defects after critical process steps, which critical steps are well known to those skilled in the art. Generally, the present invention applies to inspecting defects in or on wafers using X-ray emission techniques. In general terms, X-ray emission analyses involve characterizing the composition of a sample by exciting the atomic core electrons within a sample and analyzing the resulting emitted X-rays. In the present invention, excitement of the atomic core electrons is achieved by bombarding the sample with a focused electron beam (e-beam), although other techniques of inducing X-ray emission such as a focused ion beam can be used. Upon sample bombardment, a transfer of energy occurs which excite the atomic core electrons into different electronic energy levels. Once in this excited state, the atoms have two possible modes of relaxation: emission of X-rays, or emission of Auger electrons. To illustrate these two possibilities, FIG. 1 illustrates a Bohr model of an atom with three electronic energy levels K, L and M (105, 115 and 117, respectively), with electrons in K having greater electronic binding energy than those in L, and electrons in L having greater binding energy than those in M. An incident electron 101 strikes an atom with enough energy to displace an atomic core electron 103, causing the ejection of a secondary electron 119 and producing a core hole or vacancy 107. With the vacancy in the core energy level, the atom is energetically unstable. The most probable stabilization mechanism is filling the vacancy with another electron in a higher energy level 109. That is, a second electron falls from a higher level into the vacancy with release of energy. The resulting energy may then be carried off by one of two mechanisms: Auger electron emission or X-ray emission. In Auger electron emission, the resulting energy is carried off when an Auger electron 111 from a higher energy level is ejected. In X-ray emission, the resulting energy is carried off in the form of emitted X-rays 113, leaving an ionized atom. Auger electron emission and X-ray emission are competitive processes. The present invention pertains to the detection and analysis of X-ray emissions of a sample in accordance with the above description. Each element has its own characteristic electronic energy configurations and its own characteristic X-ray emissions. For example, copper has two dominant characteristic X-ray emissions: an Lα emission (emitted when an electron falls into the L electronic energy level) with an associated energy of about 0.93 kilo electron volts (keV); and a Kα emission (emitted when an electron falls into the K electronic energy level) with an associated energy of about 8.04 keV. Other elements will have their own characteristic associated energy transitions and X-ray emissions. The X-ray emissions of a sample can be collected in the form of an X-ray emission energy spectrum. For example, FIG. 2 is an X-ray emission energy spectrum from a copper interconnect structure in an integrated circuit. The horizontal axis represents energy in keV and the vertical axis is the relative intensity. The oxygen Kα (O Kα) peak 201 has an energy of about 0.93 keV. The copper Lα (Cu Lα) peak 203 has a larger intensity than the 0 Kα and has an energy of around 1 keV. The silicon Kα (Si Kα) peak 205 has a larger intensity than the Cu Lα and 0 Kα peaks and has an energy of almost 2 keV. The copper Kα peak (Cu Kα) 207 has a lower intensity than the 0 Kα, Cu Lα and Si Kα peaks and has an energy of about 8.04 keV. The relative intensities of the peaks in this X-ray spectrum can be compared to spectra of the pure elements or other samples of known composition to determine the elemental composition and amounts of each element within the sample. Therefore, if the atoms of a defect and the surrounding material are sufficiently excited to emit X-rays and these X-rays are detected, the elemental composition of the defect and surrounding material can be determined. One can use standard techniques to display an image, also called a map, of the wafer with the defect showing elemental compositions. This will be discussed further below. In a preferred embodiment of the invention, X-ray emission is induced with an electron beam (e-beam). If the e-beam is of sufficient energy, bombardment of a sample will result in an approximately “teardrop” shaped region, or volume, of excitation within the sample. This teardrop volume is depicted in FIG. 3. The figure illustrates a cross sectional view of a sample integrated circuit stack 303 composed of layers of copper 305 and dielectric material 307 over a silicon substrate 309 being bombarded by a focused e-beam 301. The e-beam bombardment results in a teardrop shaped volume 311 wherein electron trajectories travel, thereby causing X-ray emission from the copper, dielectric and silicon layers in the stack (313, 315 and 317, respectively). This teardrop region is three-dimensional in that it covers a teardrop shaped volume within the sample. An X-ray detector can detect emitted X-rays from this teardrop region. In one embodiment, at least two detectors are used so that spatial information can be obtained. This and other variations will be described in detail further. Note that the incident e-beam will preferably have a high enough energy to generate X-rays from the surface of the wafer as well as from different layers of the film stack. This e-beam energy will depend on the sample composition and thickness and on how deeply the defect to be examined lies or how deeply a defect can possibly lie. Defects in integrated circuits can be very small. Therefore, to characterize such small defects, the e-beam diameter is preferably sufficiently focused to resolve them. If a defect is large, the e-beam may be rastered or stepped over the general area where the defect resides. In a preferred embodiment, the e-beam is stepped in a grid pattern over the area where the defect resides. This stepping technique will be described later. Multiple Perspective X-Ray Detection As stated previously, X-ray emissions techniques can be used to spatially resolve the location of defects. That is, X-ray emissions techniques can be used to determine the location of defects in three dimensions in relation to the different process layers of the wafer. Some aspects of these techniques will now be described. Typical defects include particles, flakes, bumps, pits, voids, recesses, scratches and other irregularities or undesirable features that can exist in or on wafers. To simplify discussions, reference will be made to a specific example, a particle defect. However, it should be noted that the following techniques can be used to inspect any type of wafer defect, such as voids, recesses, scratches, etc. FIG. 4A depicts a top view of a portion of a semiconductor wafer sample 400 containing a particle defect 405. The defect 405 lies partially on top of a conductive line made of copper 401 and partially on surrounding dielectric insulation material 403. Traditional two dimensional inspection techniques such as scanning e-beam imaging techniques would typically show an image similar to this top view of the defect, with no three dimensional orientation. FIG. 4B depicts a cross sectional view of the same portion of wafer 400 of FIG. 4A, cross sectioned at line 407. This cross sectional view shows that defect 405 not only resides on top of copper lines 401 and dielectric 403, but also under the wafer surface and into the surrounding copper lines 401 and dielectric 403. Techniques in the present invention are used to resolve the three dimensional spatial relationship between the defect 405 and the wafer 400. As discussed previously, in a preferred embodiment, an e-beam is used to induce X-ray emissions from the wafer sample. In addition, there are numerous methods of detecting the emitted X-rays in ways that allow for spatially resolving the location of defects. In general terms, the X-ray emissions from various portions of the sample are detected and then converted into a gray scale or color image, where each gray level or color of the image corresponds to a particular intensity level at a particular energy level within a particular sample area. For example, the peak intensity values for copper may correspond to the color blue, so that a copper particle defect has a blue appearance within the image generated from the X-rays emitted from such defect. FIGS. 5A–5D illustrate three different detector configurations in accordance with the present invention. Note that the illustrations of FIGS. 5A–5D are not to scale. That is, the wafer and defect are shown as much larger than they would be in reality in relation to the size and locations of the detectors. This is to better illustrate aspects of the invention. FIGS. 5A–5D will now be described in detail. FIG. 5A depicts the wafer sample 500 with an e-beam 515 directed at the defect 505. This causes a volume of the sample 517 comprising part of the defect 505, copper 501 and dielectric 503 to emit X-rays 519 and 523. Note that in reality, X-rays are emitted randomly in all directions from the volume, and from all regions within the volume 517. Some of the emitted X-rays are blocked by atoms within the sample, scatter within the sample and do not get emitted from the surface. Others X-rays do not get scattered and are emitted from the surface of the sample. Detectors that are placed above the wafer surface 531 can detect some of these X-rays, depending on the angles of the emitted X-rays and the angles of the detectors with respect to the wafer surface 531. FIG. 5A shows X-rays 519 emitted from the wafer 500 with defect 505 and detected by a detector 521, which is placed at an angle 527 with respect to the wafer surface 531. X-rays 523 are detected by a detector 525, which is placed at a different angle 529 with respect to the wafer surface 531. In this example, angle 527 is 45 degrees and angle 529 is 135 degrees with respect to the wafer surface 531. Since emitted X-rays are being detected at two different angles, this provides two different X-ray spectra. For each detected spectra, each intensity peak at each different energy level is translated into a different color or gray level within an image of the wafer 500 with defect 505. These two images generated from the two different X-ray spectra can then be combined using any of a number of standard techniques to create a three dimensional image, or map. One of these techniques will be described later. If the detector angles 527 and 529 are situated to “view” the defect 505 at sufficiently different perspectives, the resultant combined image will show that the defect 505 not only lies on top of the wafer 500, but also within the copper lines 501 and dielectric 503. Of course, any number of detectors can be used to collect any number of X-ray maps that may later be combined to one or several images. Another advantage of using multiple detectors is that more data can be collected when compared to using only one detector in the same amount of sampling time. For example, if one is using two detectors, twice as much data is collected per e-beam sampling compared to using only one detector, thereby increasing the confidence of the collected data. This advantage can be employed in several applications. One application is to reduce the sampling time for an inspection. For example, if two detectors are used, the sampling time can theoretically be reduced in half while still collecting the same amount of data as when using one detector. This can be useful in a production environment when it is important to reduce the duration of any steps in the manufacturing process. Another application of this embodiment is to keep the sampling time the same but to collect more data, thereby improving the signal to noise ratio (S/N). This can be useful when accurate characterization and localization of a defect is necessary. In other embodiments of the invention, one detector can be used to collect emitted X-rays from more than one angle of perspective with respect to the wafer surface. FIGS. 5D–5F illustrate some examples of this. FIGS. 5B and 5C illustrate two examples where one detector is used and either the wafer sample or the detector is moved between e-beam samplings so that more than one angle of perspective can be achieved. FIG. 5D illustrates an example where one detector that is capable of detecting emitted X-rays from a number of significantly different angles is used to resolve spatial information. These examples will now be described in detail. FIG. 5B shows X-rays 537 emitted from wafer 500 and defect 505 and detected by detector 521, which is placed at an angle 533 with respect to the wafer surface 531. In this example, angle 533 is 45 degrees with respect to the wafer surface 531. The e-beam is turned on and X-rays are detected at this 45 degrees perspective. After this first e-beam sampling, the detector 521 is then moved to another angle 535 with respect to the wafer surface 531. In this example, angle 535 is 110 degrees with respect to the wafer surface 531. Any suitable movement mechanism, such as a motor, may be used to position the detector 521. The e-beam is then turned on again for a second sampling and the resultant emitted X-rays 539 are detected at this 110 degrees perspective by the same detector 521. The images generated from the X-ray data from both of these e-beam samplings are then combined using methods described previously to spatially resolve the defect. If one wants to collect data from different angles, the detector 521 can be positioned to any number of different angles with respect to the wafer surface 531. FIG. 5C shows X-rays 541 emitted from wafer 500 and defect 505 and detected by detector 521. As shown, the detector 521 is placed at an angle 547 with respect a plane 545 that is perpendicular to the impinging e-beam 515. The wafer 500 is also tilted at an angle 543 with respect to the e-beam perpendicular plane 545. In this example, angle 547 is 45 degrees and angle 543 is 25 degrees with respect to the e-beam perpendicular plane 545. Therefore, the angle of perspective from which detector 521 detects X-rays is 70 degrees (angle 547 plus angle 543). The e-beam is turned on and X-rays are detected at this 70 degrees perspective. After this first e-beam sampling, the wafer 500 is then tilted to another angle with respect to the e-beam perpendicular plane 545. Note that the tilting of wafer 500 to a different angle is not shown in FIG. 5C. The e-beam is then turned on again for a second sampling at this second angle of perspective by the same detector 521. The images generated from the X-ray data from both of these e-beam samplings are then combined using methods described previously to spatially resolve the defect. If one wants to, collect data from different angles, the wafer 500 can be tilted to any number of different angles with respect to the e-beam perpendicular plane 545. In other embodiments, one detector can be used to detect emitted X-rays from more than one angle of perspective. For example, FIG. 5D shows such a system with such a detector 549. Detector 549 is able to detect X-rays over a large enough radial area so that X-rays at various significantly different angles detected so as to spatially resolve defects. For example, detector 549 can detect X-rays 551 emitted at angle 555 and X-rays 553 emitted at angle 557 with respect to the wafer surface 531. In this example, there is a hole 559 in the detector 549 in the region where the e-beam 515 is directed through to reach the wafer 500. As with the multiple detector embodiment of FIG. 5A, this type of detector will allow for the collection of X-rays at different angles at the same time. E-Beam Sampling Methods As mentioned previously, preferred methods of sampling the wafer and defect include impinging the area of the wafer in which the defect resides with an e-beam. There exist many different methods for using an e-beam to inspect wafers. Three general techniques that can be used in accordance with the invention will now be described. Note that the e-beam techniques described can also be applied to methods for using other charged particle beams such as focused ion beams in accordance with the present invention. A first method is to simply direct the e-beam towards the wafer surface at one point for a certain time until X-rays are caused to be emitted from the sample in response to the e-beam. This method is the simplest way of inspecting the wafer. The inspection area of the wafer is limited by the size of the e-beam diameter and the detected X-rays are limited by the number of X-rays emitted from the excited volume of sample (see FIGS. 5A–5D). A second method of using an e-beam is rastering, or scanning, the e-beam over an inspection area of the sample. The e-beam is scanned in a continuous side-to-side fashion over the inspection area. The e-beam movement is controlled using electro-optical techniques and the e-beam is kept on during the time in which the sample is being rastered. Rastering is typically done over a short period of time and typically covers only a small area. Typically, e-beam rastering is done in conjunction with e-beam positional monitoring so that the area which is scanned can be transferred into a two dimensional image. Note that this method alone does not allow for three dimensional spatial resolution. To do this, the emitted X-ray must also be detected using a multiple perspective technique as described above. E-beam rastering is a commonly used method because of its short sampling time. A third method of e-beam inspection is stepping the e-beam. This method is similar to the first method described in which the e-beam is directed in only one area at a time, however, the e-beam is stepped several times over a larger sampling area. The e-beam is stepped in a pattern, typically a grid pattern, over the wafer surface. For example, FIG. 6A depicts a wafer sample 600, showing a five-by-five stepping grid pattern over the general area of a defect 605. Of course, any suitable sizes of grid may be utilized to inspect a defect, depending on the particular type and size of the defect. The grid points, wherein the e-beam impinges, may have any suitable size and be spaced apart any suitable distance for analyzing a defect. For example the grid points and corresponding e-beam diameters may be sized and spaces such that the resulting excitation volumes overlap or touch to thereby generate a complete image of the defect area (e.g., as illustrated in FIG. 6B). The e-beam is typically on only during the time that it is over each of the points in the grid. In this case, the e-beam is initially positioned over the point 611. The e-beam is then turned on and the emitted X-rays are detected. The e-beam is then turned off. Next the e-beam is positioned over point 613. The e-beam is then turned on and the emitted X-rays for this second point 613 are detected. This pattern is continued until the five-by-five matrix is complete. FIG. 6B depicts a cross sectional view of the wafer sample 600 and defect 605 of FIG. 6A. E-beam 615 is shown impinging the area of the wafer surface with defect 605 at five of the twenty-five points of the stepping grid. This causes regions 617 a through 617e within the sample to emit X-rays. Note that the e-beam 615 impinges each of these points at different times. The X-rays can be detected by any of the various methods described earlier, such as those illustrated in FIGS. 5A–5D. The resulting data is collected and combined to create a multidimensional X-ray image or map of the stepped region, which is described further below. This third method of stepping the e-beam is more time consuming compared to the single point inspection and e-beam rastering methods. This is because stepping the e-beam typically requires turning on an off the e-beam and placement of the e-beam over each of the grid points. However, the stepping method allows for the collection of more differentiated data, thereby allowing for more accurate characterization and localization of defects compared to the other two e-beam sampling methods. That is, the sampling increments between the points of a stepping pattern can be chosen to optimize the coverage of a particular volume of wafer to be sampled. Conversely, when rastering, the e-beam is on during the entire scanning, creating overlapping sample volumes and collection of redundant data. The time it takes to perform e-beam stepping inspection varies broadly since it depends on the number of inspection points and the size of the inspection area. All of the e-beam inspection methods described above can be used in accordance with the present invention. The third method of stepping the e-beam over the wafer sample is a preferred embodiment for the reasons stated above. A preferred method of stepping the e-beam is to step the e-beam in a grid pattern. It is noted, however, that the invention is not limited to this e-beam sampling method, or of the e-beam sampling methods described above. The invention can be applied to variations and combinations of these methods as well as any other suitable e-beam sample methods. Resovling Elemental Composition of Defects As described previously, X-ray emissions techniques can be used to determine the elemental composition of a sample. In the example used previously, an X-ray emission spectrum from a copper interconnect structure surrounded by silicon dioxide was shown (FIG. 2). This spectrum showed peaks from Cu Lα, Cu Kα, O Kα and Si Kα X-ray emissions. Each sampling from different parts of the interconnect structure will provide a unique spectrum. These spectra are used to determine the elemental composition of a portion of the wafer sample. These same X-ray emissions techniques can also be used to determine the elemental composition of defects. Combining these elemental analysis techniques with the spatial localization techniques will further characterize defects. For example, FIGS. 7A and 7B illustrate a top view and a cross sectional view, respectively, of a wafer portion 700. The view FIG. 7B is a cross sectional view at line 711. Wafer portion 700 contains a particle defect 705, which lies on and within copper lines 701 and surrounding silicon dioxide 603. The defect 705 is comprised of two components, carbon 709 and aluminum 707, localized in different parts of the defect 705. Using X-ray emission techniques just described, the elemental composition of defect 705 (carbon and aluminum) can be determined. To further characterize the defect 705, the spatial locations of the different elemental compositional components are determined by using any of the various methods described earlier, such as those illustrated in FIGS. 5A–5D. By combining the elemental composition and spatial data, the location of the carbon 709 and aluminum 707 portions with respect to the defect can be determined. This is in addition to the already determined spatial location of the defect with respect to the wafer. From this information, one could produce a multi dimensional X-ray image of the defect showing the locations of different elemental components of the defect. These elemental components can be shown using any of a number of standard imaging techniques. One technique may involve matching each element to a certain color. For example, blue could represent silicon, orange could represent copper, black could represent carbon and purple could represent aluminum. Or one could use a gray scale representation in which each element is represented by different shades of gray. Creating Maps Methods for detecting emitted X-rays from multiple perspectives, using different e-beam sampling techniques and determining the elemental composition of defects have been described. Focus will now be given to typical methods for creating the multiple perspective X-ray images, or maps, after the X-ray data is acquired. Other standard techniques not described can also be used in accordance with the present invention. As described previously, the elemental composition of the defect and the surrounding semiconductor material can be determined using spectral data. In practice, each time the e-beam is turned on and X-rays are detected from a certain angle or perspective, the X-ray spectrum from this perspective is collected and stored in a computer processor. FIG. 8 is a flow chart illustrating a procedure for forming a multi-perspective image based on the X-ray spectrum. Initially, the map area on the wafer or sample is determined in operation 801. The map area includes one or more defects, preferably one defect. For example, the map area may include any of the areas shown in FIGS. 4A, 6A, or 8A. The defect in the map area may have been found using any suitable inspection technique, such as an optical or scanning electron microscopy inspection technique. Once a defect is found, it is then desirable to image the defect so that the image (as described herein with respect to FIG. 8) may then be used with any further defect analysis procedures, such as automatic defect classification. After the map area is determined, in operation 803 the map area is conceptually divided into a plurality of grid points, such as the grid points 611 and 613 of FIG. 6A. Each grid point corresponds to an e-beam diameter. E-beam parameters are then set in operation 805 and the e-beam is moved relative to the sample so that a first grid point is under the e-beam in operation 807. The e-beam parameters are selected to produce an excitation volume of X-ray emission around and under the first grid point. The e-beam parameters may include landing energy, beam current, and data acquisition time. Operations 805 and 807 may be interchanged and performed in a reverse order. The e-beam is then turned on so that it impinges upon the first grid point in operation 809. As discussed above, an excitation volume which emits X-rays as a result of the impinging e-beam is then produced at the first grid point. X-ray spectrum data is then detected and acquired by each detector in operation 811. The grid points are sized and spacing distance between each grid point are selected so that the resulting excitation volumes will result in a desirable resolution within the resulting image to facilitate analysis and/or classification of the defect. The e-beam is then turned off in operation 813, and operations 809 through 813 are repeated for additional grid points. After the e-beam impinges on the last grid point, an image may be reconstructed from the acquired X-ray data from one or all of the detectors in operation 817. Alternatively, images may be constructed as each grid point is inspected with the e-beam. For example, one can create an individual image, or map, for each element found in the spectra. These elements could then be associated with different screen colors. For example, one could use blue to represent silicon, orange to represent copper, yellow to represent tantalum and black to represent carbon-containing species. One image may be generated for each detector and its corresponding spectra obtained at each grid point. Then the computer processor can combine these images from the different grid points and different detectors into one image to create a final image will all the representative elements. Alternatively, one could use a gray scale whereby different shades are used to represent the different elements. The images may be combined in any suitable manner. By way of examples, the images may be summed together or subtracted from each other to obtain a final image. Alternatively, each image may be analyzed separately without combining them. The images (or final combination image) generated from the X-ray spectra obtained from each grid point and each detector may then be analyzed for any suitable defect analysis purpose. In one application, an image which combines the X-ray spectrum from the different detectors at different perspectives at each grid point may be analyzed to determine the three dimensional nature of the defect. For instance, the image may contain shadowing, which shows that the defect is a particle or a recess. In another application, an image from a first detector at a first angle may be compared with an image from a second detector at a second angle, which is symmetrical to the first angle with respect to the defect to determine characteristics of the defect, such as symmetry. For instance, when shadowing is present within the first image and not the second image, one may determine that the defect is not symmetrical or homogenous. In contrast, if the two images contain identical shadowing or no shadowing, it may be determined that the defect is symmetrical or homogenous. X-Ray Emissions Apparatus Any suitable e-beam induced X-ray microanalysis system may be utilized to practice and/or implement the techniques of the present invention. An eV300 automated e-beam wafer inspection system available from KLA-Tencor Corporation of San Jose, Calif. may be used. FIG. 9 is a diagrammatic representation of a system utilizing an e-beam induced X-ray microanalysis test system according to one embodiment of the present invention. The system represented in FIG. 9 includes a beam generator 900, which directs an e-beam 901 at the sample 903. The sample 903 in the example depicted in FIG. 9 is a semiconductor wafer containing a particle defect 909. The spot size of e-beam may be any suitable size to resolve defects, preferably even very small defects. As described previously, the e-beam is preferably stepped over the general area in which a defect resides. At least two X-ray detectors are used to collect the X-rays emitted from the surface of the sample, although any suitable number of detectors may be used. The system in FIG. 9 includes four X-ray detectors 905 positioned at different angles above the sample. Any suitable type of detector for measuring X-rays at specific energy levels may be used. One type of detector is an Energy Dispersive system (EDX), which collects photons in a wide spectrum of energies. EDX systems are capable of collecting a greater range of signals. As a result however, EDX detectors also collect photons having energies surrounding the characteristic photon energies. This causes EDX detectors to have lower signal to noise ratios. Another type of detector is a wavelength dispersive system (WDS) X-ray detector. Several suitable embodiments of WDS X-ray detectors are described further in co-pending U.S. patent application Ser. No. 09/695,726, filed 23 Oct. 2000, which application is incorporated herein by reference in its entirety. In the system depicted in FIG. 9, each of the X-ray detectors is coupled with an analysis or processor unit 907. The analysis/processor unit 907 can be configured to analyze the data collected by the X-ray detectors 905, to generate X-ray maps/images from different perspectives and combine the X-ray maps/images to create spatially resolved images described previously. The analysis/processor unit 907 may take the form of any suitable processing or computing system, such as a workstation. Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Therefore, the described embodiments should be taken as illustrative and not restrictive, and the invention should not be limited to the details given herein but should be defined by the following claims and their full scope of equivalents.
059050144
description
The invention is illustrated by the following examples without however limiting it thereto. Important concerning image quality as reflected in S-SWR measuring methods will be described hereinafter in the examples. EXAMPLES Definitions and methods used. Measurement of sensitivity S and square wave response SWR for stimulable phosphor screens For the photostimulable phosphor screens coated with BaSrFBr:Eu.sup.2+ phosphor the measurement of S and SWR was carried out with an image scanner made up with a He-Ne laser. The beam of a 10 mW red He-Ne laser is focussed to a small spot of 140 .mu.m (FMWH) with an optic containing a beamexpander and a collimating lens. A mirror galvanometer is used to scan this small laserspot over the entire width of a phosphor sample. During this scanning procedure the phosphor is stimulated and the emission light is captured by an array of optical fibers which are sited on one line. At the other end of the optical fibers being mounted in a circle a photomultiplier is situated. To attenuate the stimulating light an optical filter, type BG3 from SCHOTT, is placed between the fiber and the photomultiplier. In this way only the light emitted by the phosphor is measured. The small current of the photomultiplier is first amplified with an I/V convertor and digitalised with an A/D convertor. The measuring set up is connected with a HP 9826 computer and a HP 6944 multiprogrammer to controll the measurement. Starting the procedure an electronic shutter is closed to shut down the laser. A phosphor sample measuring 50 mm.times.210 mm is excited with a 85 kV X-ray source provided with an aluminum filter having a thickness of 21 mm. The radiation dose is measured with a FARMER dosemeter. Between the X-ray source and the phosphor layer a thin lead-raster containing 6 different spatial frequencies is mounted to modulate the X-ray radiation. Frequencies used are 0.50, 1.00, 2.00 and 3.00 line pairs per mm. After exposure the sample is put into the laser scanner. To read out one line the shutter is opened and the galvanometer is moved linearly. During the scanning procedure the emitted light is measured continuously with the A/D convertor at a sampling rate frequency of 100 kHz and stored within a memory card in the multiprogrammer. One scan thus contains 100000 pixels. Once the scan is complete the shutter is closed again and the galvanometer is put on his original position again. The data of the scan line are transferred from the memory card in the multiprogrammer to the computer where said data are analysed. A first correction takes into account the sensitivity variation of the scan line with the distance. Therefore a calibration scan was measured previously for a phosphor sample that was exposed quite homogeneously. A second correction takes into account the amount of X-ray dose by dividing said values by the said dose amount. The different blocks are separated and the amplitude on each spatial frequency is calculated, making use of Fourier analysis. The amplitude of the first block having a spatial frequency of 0.025 line pairs per mm is taken as the sensitivity of the stimulable phosphor screen. The other values are the results for the curve of the Square Wave Response (SWR: SWRl referring to the response at 1 line pair per mm; SWR2 to the response at 2 line pairs per mm) which is representative for the resolution of the screen. Composition of the screens ______________________________________ Antihalation undercoat layer: Solution A: MOWILITH CT5 (from HOECHST AG) 300 g Ethanol 700 g CYMEL 300 60 g p-toluene sulphonic acid 12 g Solution B: Dye-1 0.750 g Ethanol 150 g Sodium hydroxide 0.08 g *16 hours after its preparation solution B is filtered off: a red-brown solution is obtained. Coating solution: Solution A 33.3 g Solution B 3.0 g Ethanol 63.6 g ______________________________________ The coating solution was coated by dipcoating techniques at a rate of 4 m per minute on a polyethylene terephthalate support (see further Table 1) having reflecting properties (containing BaSO.sub.4 particles) or absorbing properties (having carbon black particles). Thermal curing was performed over one night at 80.degree. C. after drying. Properties of the thus obtained antihalation layer. An absorption of 0.22 at a wavelength of 633 nm (HeNe laser emission wavelength). No substantial absorption is measured at the emission wavelength of the stimulable phosphor (having its maximum emission at 390 nm). ______________________________________ Phosphor layer composition: BAEROSTAB M36 (from Barlocher GmbH) 1.5 g DISPERSE AYD 9100 (from Daniel Produkts Company) 0.75 g KRATON FG19101X (from Shell Chemicals) 12.5 g BaSrFBr:Eu (mean particle size: 7 .mu.m) 270 g BaSrFBr:Eu (mean particle size: 3 .mu.m) 30 g ______________________________________ Preparation of the phosphor laquer composition: BAEROSTAB M36, DISPERSE AYD 9100 and KRATON FG19101X were dissolved while stirring in the prescribed amounts in 61.5 g of a solvent mixture from wash benzine 100-120, toluene and butyl acrylate in ratios by volume of 50:30:20. The phosphors were added thereafter and stirring was further proceeded for another 10 minutes at a rate of 1700 r.p.m.. The composition was doctor blade coated at a coating rate of 2.5 m per minute onto a subbed 175 .mu.m thick polyethylene terephthalate support and dried at room temperature during 30 minutes. In order to remove volatile solvents as much as possible the coated phosphor plate was dried at 90.degree. C. in a drying stove. It has been established that a layer composition was obtained having good curable properties. Moreover no diffusion of colorant was found from the intermediate antihalation layer between support and phosphor layer to the phosphor layer: this particular dye is advantageously soluble in ethanol but insoluble in solvents present in the coating composition of the phosphor layer. In Table I the coating composition is given for the stimulable phosphor. For each screen sample the following data referring to the composition are summarised in the respective Tables: number of the sample (Matl. No.) PA1 support: expressed as % reflectance: 0-10% corresponds to a support having carbon black dispersed in the support material; 85-100% to a support having BaSO.sup.4 dispersed therein; PA1 presence of antihalation undercoat layer (AHU): yes (Y) or no (N); PA1 phosphor coverage weight (PCW) (between 70 and 90 mg/cm.sup.2). PA1 presence (N=No) and, if present, amount of dye in the phosphor layer; PA1 screen speed (a higher figure refers to a more sensitive screen); PA1 SWR1 and SWR2 values respectively. TABLE I __________________________________________________________________________ Stimulable phosphor screens with BaSrFBr:Eu.sup.2+ as a stimulable phosphor. Matl. Support PCW No. (% refl.) AHU (mg/cm.sup.2) DYE SPEED SWR1 SWR2 __________________________________________________________________________ 1 85-100 Y 69 N 135 .70 .37 2 85-100 Y 78 N 150 .67 .34 3 0-10 N 79 N 132 .68 .36 4 0-10 N 90 N 146 .66 .33 5 0-10 N 85 N 143 .67 .34 6 0-10 N 86 0.006%* 138 .68 .36 7 0-10 N 87 0.06%* 108 .73 .43 __________________________________________________________________________ *solution B (in volume %) As is clear from the data related with speed and sharpness the highest (most preferred) values are attained for materials Nos. 1 and 2 (both having an antihalation undercoat and a reflective support): an increased amount of phosphor coated makes speed increase, without a remarkable decrease in sharpness. Addition of antihalation dye in minor amounts to the phosphor layer alone as in material No. 6 having no reflection layer also leads to a suitably good relationship between speed and sharpness.
abstract
Described is the use of a transponder in a commercial installation, particularly a power plant, which allows problems and dangerous situations existing in this context to be avoided and the attainable occupational safety in a commercial installation, particularly a power plant, to be increased. The transponder includes a reception element, a transmission element, a visual display unit and a memory element and also a control element which is operatively connected to these, in a commercial installation, particularly a power plant, in an arrangement or positioning on or in proximity to a component or at a switching or measuring point associated with the component for the purpose of visually displaying an operating state for the component or the switching or measuring point associated therewith using the visual display unit of the transponder.
summary
description
The present Application is a divisional application of U.S. application Ser. No. 10/997,777, filed Nov. 24, 2004, now U.S. Pat. No. 7,211,814 (issued May 1, 2007), the entire disclosure of which is incorporated by reference herein. The present invention relates generally to systems (e.g., drapes, shields, protective pads, garments, etc.) configured to attenuate radiation. More particularly, the present invention relates to attenuation systems suitable for attenuating radiation during a radiological examination. Radiation barriers or shields are used to attenuate (e.g., deflect, absorb, etc.) the flux of electromagnetic radiation originating from a radiation source and directed towards an article (e.g., sample, room, human body, or part thereof, etc.). Radiation can be provided from a variety of natural or man-made sources and can be electromagnetic energy at wavelengths of 1.0×10−15 meters (e.g., cosmic rays) to 1.0×106 meters (e.g., radiation from AC power lines). Radiation can have beneficial and/or negative effects. One beneficial effect of radiation relates to radiological examinations. The phrase radiological examination, for purposes of this disclosure, refers generally to any procedure wherein radiation is applied to an article for the purpose of producing an image or representation of the article. Radiological examinations may provide a non-invasive means capable of obtaining an image of the internal composition of the article. Radiological examinations may be employed in a variety of applications including, but not limited to, medical procedures. A wide array of medical procedures exist where radiological examinations are employed to obtain an image of the anatomy of a patient or portions thereof. For example, portions of a patient's anatomy may be irradiated during: (i) diagnostic procedures (e.g., Computed Tomography (CT) scanning, x-ray photography, or any other imaging procedure) allowing non-invasive investigation of anatomical regions of a patient (e.g., internal tissue, organs, etc.); or (ii) various invasive procedures, such as the fluoroscopic guidance and/or manipulation of instruments during surgical procedures (e.g., CT fluoroscopy, etc.). To obtain an image through a radiological examination, a primary radiation beam (i.e., entrance radiation) is be applied to the article (e.g., patient). Preferably, radiation is selectively applied only to those areas to be examined (i.e., target areas) to minimize the article's overall radiation exposure. Typically, the target areas of the article are directly irradiated without any obstruction or impairment provided between the primary radiation beam and the surface of the article. It is generally known to cover those areas not being examined (i.e., secondary areas) with a radiation barrier or shield to prevent and/or reduce radiation exposure for those areas. Such shields are formed of a radiation attenuating material and are often placed directly upon the surface of the article. It has been discovered that in certain procedures limited imaging of the article can still be generated when a barrier or shield (made of a radiation attenuating material) is placed over the target area (i.e., coincident with the primary radiation beam). The radiation attenuation material absorbs much of the primary radiation beam, but allows an amount (sufficient to generate an image of the article) to penetrate through and subsequently penetrate the article. Placing the shield over the target area reduces the amount of radiation exposure realized by the article. This method of reducing radiation exposure may be particularly beneficial during fluoroscopy procedures during which particularly sensitive areas (e.g., male or female reproductive regions, female breast tissue, etc.) of a patient are exposed to a primary radiation beam. However, it has further been discovered that it is often difficult (if not impossible) to sufficiently examine certain regions of the article when a radiation attenuation material is positioned coincident with the primary radiation beam and over the target area. For example, placing a radiation attenuation material on the surface of the article prevents a clear and/or accurate image of the surface (or regions slightly below the surface) from being obtained. Such examination limitations are due to x-ray glare (e.g., noise, scatter, artifact, etc.), referred to in this disclosure generally as interference, generated when radiation encounters the radiation attenuation material. This interference hinders a worker's (e.g., physician's) ability to visualize the necessary regions and therefore cannot be used during the radiological examination. Accordingly, it would be advantageous to provide a radiation attenuation system that may be used during a radiological examination to reduce the amount of radiation exposure realized by an article undergoing the examination. It would further be advantageous to provide a radiation attenuation system that may be positioned coincident to the primary radiation beam to protect the target area (i.e., the area of examination) from increased radiation exposure. It would further be advantageous to provide a radiation attenuation system that may be used during a radiological examination without allowing the interference (caused when radiation encounters a radiation attenuation material) from interfering with the clarity and/or accuracy of the generated image of an article. It would further be advantageous to provide a radiation attenuation system that reduces the amount of radiation exposure for personnel present during a radiological examination. It would also be advantageous to provide a radiation attenuation system that is relatively adaptable for use with a variety of radiological examinations. It would be desirable to provide for a radiation attenuation system having one or more of these or other advantageous features. According to an exemplary embodiment, a system for attenuating radiation applied to an article undergoing a radiological examination includes a first portion formed of a radiation attenuation material and a second portion formed of a relatively non-radiation attenuation material. The second portion provides a buffer region between the first portion and the article configured to improve the clarity of an image generated during the radiological examination. According to another exemplary embodiment, a system for attenuating radiation applied to an article undergoing a radiological examination includes a first portion formed of a radiation attenuation material, a second portion formed of a relatively non-radiation attenuation material, and a cover disposed about at least one of the first portion and the second portion. The second portion provides a buffer region between the first portion and the article configured to improve the clarity of an image generated during the radiological examination. According to another exemplary embodiment, a system for attenuating radiation applied to an article undergoing a radiological examination includes a means for attenuating radiation applied to an article during a radiological examination and a means for offsetting the means for attenuating radiation a distance from the article. The distance that the means for attenuating radiation is offset from the article is sufficient for improving the clarity of an image otherwise degraded from the radiation interacting with the means for attenuating radiation. According to another exemplary embodiment, a method of providing a system for attenuating radiation applied to an article undergoing a radiological examination includes the steps of providing a layer of radiation attenuation material suitable for being positioned over a target area on the article and providing a relatively non-radiation attenuation buffer region between the layer of radiation attenuation material and the target area on the article. The buffer region is configured to sufficiently offset the layer of radiation attenuation material from the article to allow an image of the target area to be obtained. According to another exemplary embodiment, a method of performing a radiological examination includes the steps of placing a radiation attenuation system over a target area on an article and providing radiation to the target area. The radiation attenuation system comprises a first portion formed of a radiation attenuation material and a second portion formed of a relatively non-radiation attenuation material. The second portion provides a buffer region between the first portion and the article configured to improve the clarity of an image generated during the radiological examination. A radiation attenuation system which can be readily used to attenuate radiation and allow for a radiological examination in a number of applications, environments, and configurations is disclosed. Generally the system includes a first portion (e.g., region, zone, area, layer, etc.) for attenuating radiation applied an article and a second portion for buffering (e.g., displacing, offsetting, elevating, spacing apart, etc.) the first portion from the surface of the article (e.g., a specimen, the anatomy of a patient or portions thereof, etc.) undergoing the radiological examination. By providing a buffer region (i.e., the second portion) between the first portion and the article surface, improved examination (e.g., visualization, imaging, image capturing, image displaying, etc.) of the article can be achieved. For example, providing a buffer region between the radiation attenuating portion and the surface of the article may allow for examination of internal regions of the article as well as other regions of the article (e.g., surface regions, regions slightly below the surface of the article, etc.) that may otherwise be difficult to examine due to glare (e.g., noise, scatter, artifact, etc.), referred to in this disclosure generally as interference, generated when radiation encounters the radiation attenuating portion. Referring to FIGS. 1 through 14b, radiation attenuation systems and components thereof are shown according to exemplary embodiments. The systems disclosed herein provide a relatively convenient and functionally integrated means of attenuating radiation while allowing for a thorough examination of multiple regions of the article. The systems are applicable for use with any radiological examination procedure wherein radiation is applied to an article for the purposes of producing an image of the article. While the systems will be described as protecting a patient during a medical procedure, the scope of the appended claims is intended to encompass systems employed in any application (not limited to medical applications) that uses radiation to generate an image of an article. The systems may be used with any medical procedure (e.g., fluoroscopy procedures, Computed Tomography (CT) procedures (e.g., invasive (fluoroscopy) and/or noninvasive (scanning)), x-ray photography procedures, and/or any other image producing medical procedure using radiation, etc.) involving a radiological examination wherein radiation is applied to the anatomy of a patient (or portions thereof) to generate an image on an appropriate display (e.g., monitor, screen, x-ray film, etc.). The radiation attenuation system can be placed upon, near, under, or otherwise about the patient undergoing the radiological examination. The radiation attenuation system lessens or otherwise reduces the amount of radiation (e.g., primary radiation beam, incidental scatter radiation, etc.) realized by a patient and/or personnel (e.g., physicians, surgeons, technicians, etc.) present during the procedures. FIG. 1 shows a radiation attenuation system 10 suitable for at least partially covering a patient during a procedure involving a radiological examination. According to one embodiment, radiation attenuation system 10 is intended to be positioned (e.g., disposed, supported, placed, etc.) coincident with (e.g., in line with) a primary radiation beam to attenuate the primary radiation beam before reaching a target area (i.e., the area of examination) of a patient. Radiation attenuation system 10 attenuates only a portion of the radiation and allows an amount of radiation sufficient to generate an image to penetrate the system (and subsequently the patient) to generate an image that can be viewed by a worker (e.g., surgeon, physician, technician, etc.). In this manner, radiation attenuation system 10 reduces a patient's radiation exposure by protecting the target area of the patient which is traditionally exposed (e.g., uncovered, unprotected, etc.) to the primary radiation beam. In addition to protecting a patient, radiation attenuation system 10 may also protect one or more individuals present during the radiological examination (e.g., physicians, surgeons, technicians, etc.). Individuals present during a radiological examination may also be susceptible to radiation exposure from the primary radiation beam (e.g., during a fluoroscopy procedure, etc.), but are more likely to be susceptible to radiation exposure from incidental scatter radiation. Radiation attenuation system 10 protects against scatter radiation by absorbing at least a portion of the primary radiation beam and scatter radiation. FIG. 2 shows a partial cross sectional view of radiation attenuation system 10 according to one embodiment. Radiation attenuation system 10 generally includes a first portion or layer (e.g., platform, web, matrix, film, shield, pad, radiation attenuating material, etc.), shown as a barrier 20, and a second portion or layer (e.g., filler, spacer, lifter, relatively non-radiation attenuating material, etc.), shown as a buffer 40. The attenuation of radiation is provided by barrier 20, while buffer 40 provides a non-radiation attenuating boundary or zone between barrier 20 and the surface of the patient. Barrier 20 may be configured to attenuate the flux of electromagnetic radiation over a broad wavelength range depending on the intended application. For example, barrier 20 may attenuate radiation from wavelengths of around 1.0×10−15 meters (e.g., cosmic rays) to around 1.0×106 meters (e.g., radiation from AC power lines) including visible and invisible light, and may find incidental uses at relatively low or high frequency extremes (including gamma rays). The degree of radiation transmission attenuation factor by barrier 20 will depend in part on the specific application to which radiation attenuation system 10 is utilized. According to one embodiment, barrier 20 has a radiation attenuation factor of a percent (%) greater than about 10% of a primary 100 kVp x-ray beam. According to other suitable embodiments, barrier 20 has a radiation attenuation factor of a percent of about 10-50%. According to further suitable embodiments, barrier 20 has a radiation attenuation factor greater than about 50%, suitably greater than about 90%, suitably greater than about 95%. According to a preferred embodiment, barrier 20 has a radiation attenuation factor of around 20-60%. According to still further suitable embodiments, barrier 20 may have radiation attenuation factors less than 10% or greater than 95% depending on the application. Barrier 20 may also at least partially attenuate gamma rays, and may have a gamma ray attenuation fraction of at least about 10% of a 140 keV gamma radiation source. Barrier 20 may be fabricated from of any radiation attenuation material including, but not limited to, bismuth, barium, lead, tungsten, antimony, copper tin, aluminum, iron, iodine, cadmium, mercury, silver, nickel, zinc, thallium, tantalum, tellurium, and/or uranium. Anyone of the aforementioned attenuation materials alone or in a combination of two or more of the attenuation materials may provide the desired attenuation. Barrier 20 may have a composition that includes only a radiation attenuation material or combinations thereof, or alternatively, barrier 20 may have a composition that includes a combination of a radiation attenuation material and a non-radiation attenuating material. For example, barrier 20 may include one or more radiation attenuation materials compounded (e.g. mixed, blended, alloyed, dispersed, layered, etc.) with a relatively non-radiation attenuating carrier material. According to one embodiment, barrier 20 has a composition similar to the radiation attenuation system disclosed in U.S. Pat. No. 4,938,233, which is hereby incorporated by reference in its entirety. According to another embodiment, barrier 20 has a composition similar to the radiation attenuation system disclosed in U.S. Pat. No. 6,674,087, which is hereby incorporated by reference in its entirety. However, it should be noted that barrier 20 is not limited to such embodiments. Barrier 20 be provided as a relatively single body, or alternatively may include a plurality of members (e.g., multiple layers of attenuating films or sheets stacked (e.g., overlapping) relative to each other). According to one embodiment, barrier 20 is a relatively light weight and flexible. Configuring barrier 20 as a flexible member allows provides for optimized workability for processing, bending, folding, rolling, shipping, etc. Barrier 20 may be formable (e.g. deformable) or compliant, and relatively “stretchable” (e.g. elastic). In this manner, barrier 20 can advantageously conform to the contours of a patient when placed thereon. According to alternative embodiments, barrier 20 may be generally rigid and inflexible, and/or substantially weighted. Still referring to FIG. 2, barrier 20 includes a first surface 22 (e.g., outer surface, upper surface, etc.) and a second surface 24 (e.g., inner surface, lower surface, etc.). The primary radiation beam enters radiation attenuation system 10 through first surface 22 of barrier 20 and does not penetrate a target area on the patient until passing through second surface 24 of barrier 20. The amount of radiation penetrating the target area (radiation exiting second surface 24 of barrier 20) is less than if barrier 20 was not provided. The interaction between the primary radiation beam and barrier 20 generates glare (noise, scatter, artifact, etc.), referred to generally as interference. As mentioned above, such interference traditionally limited the use of radiation barriers or shields over or near the target area. To prevent the interference from degrading the clarity and/or accuracy of an image generated by a radiological examination, radiation attenuation system 10 includes buffer 40. As illustrated in FIG. 2, buffer 40 is provided between barrier 20 and a surface 100 of the patient. Buffer 40 provides a relatively non-radiation attenuating boundary or zone between barrier 20 and surface 100 of the patient. Providing a non-radiation attenuating zone between barrier 20 and surface 100 of the patient is intended to allow for a thorough examination of the surface regions of the patient or region slightly below the surface that would otherwise be non-viewable due to the interference generated when the radiation encounters barrier 20. Buffer 40 offsets barrier 20 from surface 100 a distance sufficient so that the interference does not prevent a readable image from being obtained. Buffer 40 may also advantageously reduce the radiation dose leaving the patient by providing increased absorption. Buffer 40 is formed of one or more relatively non-radiation attenuating materials. While buffer 40 may attenuate a certain amount of radiation, it is chosen for having relatively low radiation attenuating properties in comparison to barrier 20. In one embodiment, buffer 40 is formed of a polymeric material such as a foam material (e.g., closed cell foam, open cell foam, etc.). According to various other suitable embodiments, buffer 40 may be formed of a variety of other non-radiation attenuation materials including, but not limited to, any woven or non-woven textile, cloth, fiber, vinyl, nylon, gel, fluid, gas (e.g., bubble wrap, etc.), etc. Anyone of the aforementioned relatively non-radiation attenuation materials alone or in a combination of two or more of the non-radiation attenuation materials may provide the desired buffer 40. FIG. 2 shows buffer 40 as having a first surface 42 and a second surface 44. According to an exemplary embodiment, second surface 44 of buffer 40 is positioned adjacent to second surface 24 of barrier 20, while first surface 42 of buffer 40 is intended to be positioned adjacent to surface 100. Second surface 44 of buffer 40 may contact second surface 24 of barrier 24, or alternatively, an intermediate layer or gap may be provided between second surface 24 of barrier 20 and second surface 44 of buffer 40. Similarly, first surface 42 of buffer 40 may be configured to contact surface 100 of the patient, or alternatively, an intermediate layer (e.g., a cover material, etc.) or gap may be provided between first surface 42 of buffer 40 and surface 100. Barrier 20 is offset (e.g., spaced-apart) from surface 100 a distance 46 necessary to obtain an image of the patient. Distance 46 depends on a number of factors such as the radiation attenuation factor of barrier 20, physical characteristics of the patient (e.g., size, weight, etc.), and/or the region of the patient being examined (e.g., slightly below the surface, internal portions, etc.). According to an exemplary embodiment, buffer 20 has a height or thickness 47 sufficient to offset barrier 20 from the surface of the article approximately distance 46 when positioned relative to the patient. According to one embodiment, distance 46 is between approximately 0.1 centimeters and approximately 30 centimeters. According to a preferred embodiment, distance 46 is between approximately 1 centimeter and 10 centimeters. Distance 46 may be defined by thickness 47 of buffer 40 alone, or alternatively, radiation attenuation system 10 may include intermediate or supplemental layers or components (e.g., a cover material, etc.) that further define distance 46. According to a one embodiment, buffer 40 is coupled to barrier 20. For purposes of this disclosure, the term “coupled” means the joining or combining of two members (e.g., portions, layers, materials, etc.) directly or indirectly to one another. Such joining or combining may be stationary in nature or movable in nature. Such joining may be achieved with the two members or the two members and any additional intermediate members being integrally formed as a single unitary body with one another or with the two members or the two members and any additional intermediate member being attached to one another. Such joining or combining may be permanent in nature or alternatively may be removable or releasable in nature. Buffer 40 may be coupled (e.g., bonded, fused, adhered, fastened, attached, connected, etc.) to barrier 20 employing any of a variety of suitable techniques. According to other suitable alternative embodiments, barrier 20 may simply be disposed over or supported above buffer 40 without actually being coupled (either directly or indirectly) to buffer 40. FIG. 3 shows a partial cross sectional view of radiation attenuation system 10 according to another embodiment. In addition to barrier 20 and buffer 40, radiation attenuation system 10, as shown in FIG. 3, further includes a third portion or layer (e.g., housing, casing, coating, skin, outer material, membrane, etc.), shown as a cover 60. Cover 60 forms at least a portion of the exterior portion or surface (e.g., exposed surface, etc.) of radiation attenuation system 10. Cover 60 may be useful in retaining and/or supporting barrier 20 relative to buffer 40, protecting barrier 20 and/or buffer 40 from contaminants (e.g., fluids, particles, etc.), providing enhanced comfort for a patient, and/or, improving the overall durability of radiation attenuation system 10. Cover 60 is at least partially disposed over or around one of barrier 20 and buffer 40, and is preferably disposed over both barrier 20 and buffer 40. Cover 60 may be provided as a single unitary body integrally formed with barrier 20 and buffer 40, or alternatively, cover 60 may be provided as one or more sections positioned around buffer 20 and/or barrier 40 and coupled together. Cover 60 may be permanently coupled to barrier 20 and/or buffer 40, or alternatively, may be configured to be detachably coupled. Providing cover 60 as a detachable member may allow barrier 20 and/or buffer 40 to be conveniently interchangeable and/or replaceable. FIG. 4 shows a partial cross sectional view of radiation attenuation system according to another embodiment. As shown, cover 60 includes a first section 62 configured to substantially cover barrier 20 and a second section 64 configured to substantially cover buffer 40. First section 62 is coupled to second section 64 along one or more seams 66. According to one embodiment, at least a portion of barrier 20 and/or buffer 40 is captured within seam 66 to assist in retaining barrier 20 and buffer 40 in a desired position. First portion 62 may be coupled to second portion 64 along seam 66 using any suitable technique (e.g., adhesives, welding (e.g., ultrasonic welding, etc.), heat sealing, fasteners (e.g., clips, snaps, buttons, zippers, Velcro, etc.), sewing, etc.). According to other suitable embodiments, cover 60 may merely surround barrier 20 and/or buffer 40 (e.g., as an envelope, etc.) and need not necessarily be attached to the barrier and/or buffer. Cover 60 may be made from a variety of materials. For example, cover 60 may be made of a material that is the same or different from the material of buffer 40, a material to enhance processability, softness or comfort for a user, a material that is substantially impervious to fluid, and/or a material having heat sealing properties to assist in the retention of body heat. Cover 60 may be fabricated from a variety of woven or non-woven materials including, but not limited to, polymers, natural fibers (cotton, wool, silk, etc.), nylon, vinyl, or composite materials. Cover 60 may further include an absorbent layer for maintaining fluid control (e.g., block blood from seeping onto the patient during a surgical procedure, etc.). The absorbent layer may be attached to a relatively liquid impervious layer such as a plastic, polyethylene, etc. The impervious layer may hinder the transmission of fluid from the absorbent layer to cover 60 The size, shape, and configuration of radiation attenuation system 10 may be provided in any number of forms (only a few of which are illustrated in the FIGURES) suitable for at least partially covering an article such as the anatomy of a patient or portions thereof. Referring again to FIG. 1, radiation attenuation system 10 is configured as a substantially rectilinear cover, shield, or drape. Radiation attenuation system 10 could be of sufficient width and length to span entirely across the patient and an operating table, or alternatively could be configured only span across a portion of the patient. According to an exemplary embodiment, the compliant nature of radiation attenuation system 10 allows it to reside closely next to the body of the patient. It is comfortable and fits positively against the undulating surface of the patient thus improving its stability while the surgical team is operating on the body of the patient. Preferably the coefficient of friction between radiation attenuation system 10 and the surface of the patient adds to that stability, preventing movement of the radiation attenuation system during the surgical procedure and further obviating the need to take extraordinary measures to prevent slippage or movement of the drape. FIG. 5 shows radiation attenuation system 10 according to another embodiment. Radiation attenuation system 10 shown in FIG. 5 is similar to radiation attenuation system shown in FIG. 1, but further includes one or more apertures (e.g., fenestrations, slits, missing portions, keyway, cut-out, etc.), shown as an opening 50. Such an embodiment may be particularly applicable for invasive procedures (e.g., fluoroscopy, etc.) where opening 50 may provide an entry point to introduce and/or manipulate instrumentation. FIGS. 6 and 7 show radiation attenuation system 10 according to another suitable embodiment. According to such an embodiment, radiation attenuation system 10 is formed having one or more localized or selectively positioned areas or regions 52 (shown in phantom lines) for which buffer 40 is provided. For example, buffer 40 may only be applied as a strip positioned in sensitive areas likely to be examined (e.g., breasts, male and female reproductive areas, thyroid region, eyes, etc.). In this manner, the areas or regions 52 of buffering may be optimized based on the likely requirements of the radiological examination procedure. One advantageous feature of such an embodiment is that materials and manufacturing costs may be reduced and the inefficient use of a buffer material in areas being examined may be eliminated. According to another suitable embodiment, radiation attenuation system 10 may be configured as a garment or article of clothing. For use with various medical procedures, radiation attenuation system 10 may be configured and incorporated in any number of convenient shapes and sizes including, but not limited to, breast shields, thyroid shields, male gonadal shields, female gonadal shields, aprons (including miniaprons), scoliosis shields, eye shields, etc. Such articles may be provided in a variety of sizes to accommodate a wide range of patients, or alternatively may be provided in only a few sizes that are configured as adjustable articles. Such articles may be worn or draped about a patient during a variety of procedures involving a radiological examinations such as CT procedures, fluoroscopic procedures, x-ray photographs, etc. Exemplary articles of the radiation attenuation shield are shown in FIGS. 8 through 14b. FIG. 8 shows a breast protective barrier drape or shield 80 worn by or placed over a user (e.g. female patient), for example during a mammographic x-ray procedure. Breast shield 80 is thus comprised of a shield which protects the portion of the anatomy of the user that is subjected to examination (i.e., the target area). Breast shield 80 extend downwardly from the body of the user (e.g. from the shoulder toward the abdomen) to provide further shielding of the user (e.g., breast shield 80 may also protect the gonadal region of the user to protect those organs as well). Accordingly, breast shield 80 allows the area traditionally exposed (i.e., the area to be examined) to be protected against increased levels of exposure. Breast shield 80 includes barrier 20 and buffer 40. FIG. 9 shows a scoliosis shield 90. Scoliosis shield 90 drapes from the shoulder region of the user (e.g. patient) to the lower abdomen. Scoliosis shield 90 includes barrier 20 and buffer 40. FIGS. 10 and 11 illustrate male and female gonadal shields 84 and 86 (respectively). These shields are configured to protect the gonadal region of a user (e.g. patient) during a radiological examination while allowing for visualization of the same area. Gonadal shields 84, 86 include barriers 20 and buffers 40 (respectively). FIG. 12 shows a thyroid shield 82. Thyroid shield 82 is configured to protect the thyroid region of a user (e.g. patient) during a radiological examination while allowing for visualization of the same area. Thyroid shield 82 includes barrier 20 and buffer 40. FIG. 13 shows a protective eye shield 92. Eye shield 92 assists in safeguarding the optical anatomy of the user from unwanted or undesirable exposure to the primary radiation beam while allowing for a radiological examination of the same area. Eye shield 92 includes barrier 20 and buffer 40. FIGS. 14a and 14b show protective aprons 88 and 89 (respectively). Aprons 88, 89 are comprised of a shield that encircles the front and/or back of the body of the wearer. Aprons 88, 89 include barriers 20 and buffers 40 (respectively). Radiation attenuation system 10 may be configured to be disposable in whole or in part, thereby minimizing ancillary sources of contamination that may arise from multiple uses. For example, radiation attenuation system 10 may be configured to allow at least one of barrier 20 and buffer 40 to be retained while the other of barrier 20 and buffer 40 is replaced. If cover 60 is employed, radiation attenuation system may be configured to allow barrier 20 and/or buffer 40 to be retained while cover 60 is replaced. If cover 60 comprises one or more portions (e.g., soft layer, any one or more of the portions may be replaced to allow barrier 20 and/or buffer 40 to be retained. According to another suitable embodiment, components of radiation attenuation system 10 are generally non-toxic, recyclable, and/or biodegradable. According to an alternative embodiment, the articles of radiation attenuation system may be reusable (e.g. for attenuation of radiation from atomic/nuclear disaster, clean up, rescue operations, etc.). According to a preferred embodiment, the articles of radiation attenuation system 10 (e.g., barrier 20, buffer 40, and/or cover 60, etc.) may be sterilized between uses to minimize the likelihood of bacteriological or virus contamination. Sterilization may be performed in any convenient manner, including gas sterilization and irradiation sterilization. It is important to note that the construction and arrangement of the elements of the standoff radiation attenuation system as shown in the illustrated embodiments is illustrative only. Although only a few embodiments of the present inventions have been described in detail in this disclosure, those skilled in the art who review this disclosure will readily appreciate that many modifications are possible (e.g., variations in sizes, dimensions, structures, shapes and proportions of the various elements, values of parameters, mounting arrangements, use of materials, colors, orientations, etc.) without materially departing from the novel teachings and advantages of the subject matter recited. For example, elements shown as integrally formed may be constructed of multiple parts or elements shown as multiple parts may be integrally formed, the operation of the interfaces may be reversed or otherwise varied, or the length or width of the structures and/or members or connectors or other elements of the system may be varied. It should be noted that the elements and/or assemblies of the system may be constructed from any of a wide variety of materials that provide sufficient strength or durability, in any of a wide variety of colors, textures and combinations. Accordingly, all such modifications are intended to be included within the scope of the present inventions. Other substitutions, modifications, changes and omissions may be made in the design, operating conditions and arrangement of the preferred and other exemplary embodiments without departing from the spirit of the present inventions. The order or sequence of any process or method steps may be varied or re-sequenced according to alternative embodiments. In the claims, any means-plus-function clause is intended to cover the structures described herein as performing the recited function and not only structural equivalents but also equivalent structures. Other substitutions, modifications, changes and omissions may be made in the design, operating configuration and arrangement of the preferred and other exemplary embodiments without departing from the spirit of the inventions as expressed in the appended claims.
description
In FIG. 1-3 an absorber body according to an embodiment of the invention is shown. The absorber body 1 is formed as a rod of a neutron absorbing material, here boron carbide, B4C, with a length of approximately 100 mm, and a thickness t of in the order of magnitude 5.6 mm along a larger part of its length and with a reduced thickness of in the order of magnitude 5 mm at its two ends. As is clear from FIG. 3, the absorber body 1 has an essentially circular cross-section, whereby its thickness t is the same as its diameter. The absorber body 1 comprises a plurality of areas 2, 3, 4 with a locally reduced thickness. Two such areas 2, 3 are arranged at the opposite ends of the absorber body 1. The areas 2, 3 are defined by a bevel of the absorber body 1 such that its thickness decreases in the direction towards the respective ends. The length l of the areas 2, 3 corresponds essentially to the thickness of the absorber body 1. The length l of the areas 2, 3 is in this case thus of the order of magnitude 5 mm. Considerable deviations from these measures may however be the case. Consequently, the maximal thickness reduction in these areas 2, 3 may be of in the order of magnitude 2-20%, preferably 5-15% and most preferred 7-12%. The length l of the areas 2, 3 may be of in the order of magnitude 0.25xc3x97t to 2xc3x97t, preferably 0.5xc3x97t to 1.5xc3x97t, and most preferred 0.7xc3x97t to 1.2xc3x97t. The areas 2, 3 do not have to be defined by a bevel of the absorber body 1, but could also be defined by a stepped reduction of the thickness of the absorber body 1. A plurality of further areas 4 with a reduced thickness are also provided on the absorber body 1. These areas 4 are defined by recesses 4 or notches each of which extends around the circumference of the absorber body 1 and thereby defines circular notches in the same. At each recess 4 the absorber body 1 thus has a sharply marked waist which has a reduced thickness relative to the closest neighbouring portion 5 of the absorber body 1. The distance between the recesses 4 may vary and may for example be of in the order of magnitude 0.5xc3x97t to 10xc3x97t, preferably 1xc3x97t to 5xc3x97t, or, such as here, of in the order of magnitude 1.5xc3x97t to 2.5xc3x97t. The recesses 4 are essentially evenly distributed along the length of the absorber body 1. The material which is taken away for the provision for the respective notch 4 is small, i.e. the lowered absorption capacity of the absorber body 1 is small in relation to the possibility of expansion in axial direction of the peripheral portion of the body which such notches make possible. The recesses 4 have a depth d which may vary considerably from case to case. In order not to weaken the absorber body too much, the ratio between the depth d of said recesses 4 and the thickness t of the absorber body 1 is xe2x89xa60.4, preferably xe2x89xa60.35. In the shown embodiment all recesses 4 have essentially the same depth, but they could be provided in such a manner that they alternately have a larger or smaller depth, respectively, along the length of the absorber body 1. Each recess 4 has a width of in the order of magnitude 0.02xc3x97t to 0.4xc3x97t, preferably 0.05xc3x97t to 0.2xc3x97t. Thereby is meant the width of the recesses 4 at the outer surface of the absorber body 1. In the shown embodiment the width of the recesses 4 decreases in the direction towards the centre of the absorber body 1. The total width btot for all recesses 4 along the length of the absorber body 1 constitutes here 5-10% of said length. The recesses 4 reduce the existence of tensions between the peripheral portion of the absorber body 1 and its centre, whereby is meant the tensions which are caused due to the fact that a lower amount of radiation reaches the centre and that consequently the peripheral portions are subjected to a larger radiation induced expansion/swelling than the centre of the absorber body 1. Thanks to the existence of the recesses 4, the absorber body 1 will thus have an increased useful life in relation to a corresponding absorber body without said recesses 4. Such a solid rod will, based on experience, break into parts of about the length of the diameter or into a larger number of pieces than what is the case with the absorber body 1 provided with the recesses 4. Such a division of a solid rod leads, due to a certain repositioning, to a larger total axial elongation than the elongation which the centre part undergoes in the absorber body 1 provided with recesses 4 if this absorber body does not break. In FIG. 4 a control rod for controlling a neutron flux in a nuclear energy arrangement of a conventional type is shown. The control rod comprises four blades 7 each of which comprises a plurality of channels 8 which extend from the free outer end of the respective blade 7 towards the centre of the control rod 6, where the blades meet. In at least some of said channels 8 an absorber body 1 according to the invention is provided, preferably hermetically enclosed. One longer or a plurality of shorter absorber bodies 1 may be arranged in such a channel 8. It is obvious that the person skilled in the art will realise a plurality of possible variations and alternative embodiments of the subject of the invention without this person thereby leaving the scope of the invention. The invention should only be considered to be limited by the annexed claims, with support of the description and the annexed drawing. For example, the dimension of individual absorber rods will be adjusted to the expected expansion in the different positions in the control rods. Furthermore, it would also be possible to let the at least one recess extend along a helical curve along the length of the absorber body.
summary
039649660
claims
1. In a liquid-metal-cooled fast breeder reactor including a core disposed within a pressure vessel and supported therein by a core support plate, the improvement comprising a molten core retention assembly disposed below the core comprising a horizontal, circular baffle plate of diameter greater than that of the reactor core having a plurality of openings therein, vertical heat-transfer tubes mounted in said openings and extending upwardly therefrom, and having flow holes near the upper end thereof, an imperforate, cylindrical baffle welded to the periphery of the baffle plate and extending upwardly therefrom, a plurality of radial beams intermittently welded to the under surface of said baffle plates and joined at the center by a hub, and a plurality of hanger rods adapted to be supported from the core support plate of the reactor, each of which has its lower end welded to the end of one of the radial beams, wherein said retention assembly is disposed within the pressure vessel of the reactor below the reactor core. 2. An assembly according to claim 1 wherein said heat transfer tubes have a flared end extending a short distance below the bottom of the baffle plate and the radial beams have channels in the top thereof accommodating the flared ends of the heat-transfer tubes. 3. An assembly according to claim 2 wherein said cylindrical baffle has an outwardly extending lip thereon and including a plurality of bearings each having a slot therein welded to said lip, each of said slots receiving a hanger rod. 4. In a liquid-metal-cooled fast breeder reactor including a core disposed within a pressure vessel and supported therein by a core support plate, the improvement comprising a molten core retention assembly disposed below the core and consisting of a horizontal, circular baffle plate of diameter greater than that of the core having a plurality of openings therein arranged in concentric rings about a central opening, vertical heat-transfer tubes mounted in said openings and extending upwardly therefrom with a flared end extending just below the bottom of the baffle plate and a roll just above the baffle plate, said heat-transfer tubes having flow holes near the upper end thereof, an end cap closing the end of said heat-transfer tubes, an imperforate, cylindrical baffle welded to the periphery of the baffle plate and extending upwardly therefrom, a plurality of radial beams intermittently welded to the under surface of the baffle plate and joined at the center by a hub, said radial beams having channels in the top thereof accommodating the flared ends of the heat-transfer tubes, a plurality of hanger rods supported from the core support plate by retainers such that up and down loads can be transmitted, the lower end of each hanger rod being welded to the end of a radial beam, said cylindrical baffle having an outwardly extending lip thereon, and a plurality of bearings each having a slot therein welded within notches in said lip, each of the slots receiving a hanger rod.
046577220
abstract
Method and apparatus are disclosed for producing and sustaining a very intense pinch effect in a ralativistic electron beam by applying a continually accelerating strong electric field along the entire length of the beam. A special anode collects electrons that stray from the beam and conducts them along a conducting wall of the anode. The potential drop due to the flow of these electrons in the anode wall produces a strong electric field along the beam. The clusters of heavy ions in the beam impact a target made of lead, for example, and produces various varieties of sub-nuclear products including mesons, neutrons, neutrinos, and hadrons. Electrons liberated from atoms appear adjacent the cathode along with ions also resulting from the liberation. These electrons are accelerated toward the target leaving behind the positive ions which produce a positive potential trough. The positive trough turns electrons in the beam toward the center line of the beam thereby producing an increased electron density and a complementary negative potential trough. The negative potential trough concentrates the positive ions and causes them to accelerate with the beam toward the target.
abstract
A primary lid is set in a top opening of a vessel body that contains radioactive substance, and closes the top opening. The peripheral edge portion of the primary lid is welded to the inner peripheral surface of the vessel body. As the primary lid is welded, steam in the vessel body is discharged to the outside through a discharge hole in the primary lid, and a shield gas is filled into or run through a space in the outer peripheral portion of the primary lid, so as to prevent the steam from flowing into the welding portion.
claims
1. A radiation image conversion panel comprising:a substrate; anda phosphor layer of columnar crystals formed on said substrate by vapor-phase deposition, with a column diameter distribution of said columnar crystals having two or more peaks. 2. The radiation image conversion panel according to claim 1, wherein said two or more peaks of said column diameter distribution satisfy Expression:0.4R≦r≦0.8Rwherein R is a column diameter at a largest column diameter peak and r is a column diameter at any one of the remainder in said two or more peaks of said column diameter distribution. 3. The radiation image conversion panel according to claim 1, wherein said phosphor layer comprises a stimulable phosphor represented by a general formula “CsBr:Eu”. 4. A process for producing a radiation image conversion panel comprising the steps of:preparing a substrate on which two or more types of projections different in diameter are formed and satisfies Expression “0.4R≦r≦0.8R” where R is a diameter of a largest projection and r is a diameter of any one of the remainder in said two or more types of projections, thereby making a surface of said substrate uneven; andforming a phosphor layer on said uneven surface of said substrate by vapor-phase deposition.
claims
1. A fuel bundle surrogate for the irradiation of a target material in a heavy water reactor for the production of an isotope, the fuel bundle surrogate comprising:a plurality of tube sheaths, each tube sheath being parallel to a longitudinal center axis of the fuel bundle surrogate;a plurality of end caps, each end cap being disposed on a corresponding end of a tube sheath;a pair of end plates, wherein the end plates are disposed at opposing ends of the plurality of tube sheaths; anda first target comprised of a first target material suitable for producing the isotope by way of a neutron capture event, the first target being disposed in a first tube sheath of the surrogate fuel bundle,wherein the first tube sheath of the plurality of tube sheaths comprises an elongated thickened wall portion and a pair of annular end portions, each annular end portion and corresponding end cap forming an unimpeded cylindrical void that is disposed on a corresponding end of the thickened wall portion and extends an entire length of the annular end portion, and the annular end portion has a wall thickness that is less than a wall thickness of the thickened wall portion. 2. The fuel bundle surrogate of claim 1, wherein the first target further comprises an outer capsule defining an interior volume, wherein the outer capsule is comprised of the first target material and the first target material is disposed in the interior volume of the outer capsule. 3. The fuel bundle surrogate of claim 2, wherein the first target material disposed in the interior volume of the outer capsule is in the form of pellets. 4. The fuel bundle surrogate of claim 1, further comprising a second target comprised of a second target material, wherein the second target is disposed in a second tube sheath of the fuel bundle surrogate. 5. The fuel bundle surrogate of claim 1, further comprising an identification indicia on an outer surface of the first tube sheath, wherein the identification indicia is one of a bar code, an appendage or a bearing pad. 6. The fuel bundle surrogate of claim 1, wherein each end cap is rigidly affixed to the corresponding end of the corresponding tube sheath by a weld. 7. The fuel bundle surrogate of claim 1, wherein one of the end caps of the plurality of end caps is secured to a corresponding one of the annular end portions of the first tube sheath.
abstract
A neutron capture therapy system, including a beam shaping assembly and a vacuum tube. The beam shaping assembly includes a beam entrance, an accommodating cavity accommodating the vacuum tube, a moderator adjacent to an end of the accommodating cavity, a reflector surrounding the moderator, a radiation shield disposed in the beam shaping assembly, and a beam exit. A target is disposed at an end of the vacuum tube, nuclear reactions occur between the target and a charged particle beam entering through the beam entrance to generate neutrons. The moderator moderates the neutrons, the reflector guides deflected neutrons back to the moderator. The moderator at least includes two cylindrical moderating members with different outer diameters respectively, the moderator has a first end close to the beam entrance and a second end close to the beam exit, and the target is accommodated between the first end and the second end.
abstract
A method is described for generating EUV radiation, comprising the steps of generating a flow of a medium (39); transporting this medium through a source space (60) connected to a vacuum pump (75), and irradiating part of the medium with an intensive, pulsed laser beam (41) focused on said part of the medium, thus creating a plasma (47) which emits EUV radiation. In order to maintain a vacuum in the source space and to prevent elements of the medium, like vapor or elementary particles, from leaving the source space through apertures (63, 64) provided in the wall of the space for passing the laser beam and EUV radiation, the flow of medium is embedded in a flow of rare gas. The invention also describes an EUV radiation source unit for performing the method and the application of the method in the manufacture of devices like IC devices, and in a lithographic projection apparatus.
043057837
claims
1. Tokamak apparatus comprising a pressure vessel for defining a reservoir and confining liquid therein, said reservoir being circularly symmetrical about a reservoir axis and symmetrical about the midplane of the reservoir normal to said reservoir axis, a toroidal liner symmetrically disposed within said pressure vessel for defining a toroidal space within said liner, said toroidal space having its major axis substantially coincident with said reservoir axis and its midplane substantially coincident with said reservoir midplane, liquid metal filling said reservoir outside of and surrounding said liner, means for passing electric current through said liquid metal over a conductive path linking said toroidal space to produce a toroidal magnetic field within said toroidal space about the major axis thereof for confining a toroidal plasma, said means for passing electric current including a low impedance, high power source providing current substantially evenly distributed azimuthally around the major axis of the toroidal space, said first and second radial electrically conductive members disposed within said reservoir and separated by an electrically insulating member disposed at said reservoir midplane, and means for developing toroidal plasma within said toroidal space about the major axis thereof. 2. Apparatus according to claim 1 wherein said low impedance, high power source comprises a homopolar generator circularly symmetrical about said major axis and mounted at the midplane of said toroidal space and including a homopolar rotor mounted for rotation about said major axis, and means for producing a magnetic field transversely of said rotor. 3. Apparatus according to claim 1 wherein said low impedance, high power source comprises a magnetohydrodynamic generator. 4. Apparatus according to claim 3 wherein said magnetohydrodynamic generator is comprised of a number of separate sections disposed end-to-end equatorially around said toroidal space. 5. Apparatus according to any one of claims 1 and 2 to 4 wherein said liquid metal is lithium. 6. Apparatus according to any one of claims 1 and 2 to 4 wherein said means for developing a toroidal plasma includes ohmic heating coils carried by said toroidal liner. 7. Apparatus according to claim 6 further including equilibrium field coils carried by said toroidal liner for positioning said toroidal plasma.
060305878
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Generally, designs of supercritical water oxidation systems found in the literature are composed of six basic components. The first is a means to pressurize the waste water; the second, an oxidant which is added and mixed with the waste water; the third, is a source of heat, the fourth, a reaction chamber which provides sufficient volume for the reaction to proceed and capable of withstanding the temperatures and pressures encountered during supercritical operation, the fifth, is a pressure letdown means; and the sixth, is a means of effluent cooling. In a water liquid/vapor phase diagram, shown in FIG. 1 one may see that there is a critical point of temperature (about 700.degree. F.) and a critical point of pressure (about 3,200 psia) over which there is only one single fluid phase, and which, although represents neither liquid nor vapor, behaves and seems to have more of a vapor character than of a liquid one. The single-phase condition occurring above the critical points is called supercritical condition. The use of very high pressures at elevated temperatures, however, presents serious challenges in the construction of a reactor capable of withstanding these adverse conditions. In order to meet this situation, excessively elongated reactors having accordingly small diameter have been largely utilized in the past. These conventional reactors, however, exhibit a number of disadvantages which include restrictions on waste feed materials (to preclude corrosive feeds and products of destruction), increased plugging potential due to small diameters, restrictions on feed rates, increased investment cost, and safety hazards. Furthermore, nearly all conventional approaches have been drawn to continuous flow systems. The preferred embodiment of the instant invention avoids these limitations by approaching the problem in an entirely different manner. Rather than attempting to provide a system based on a continuous flow reactor, a batch reactor has been designed. Furthermore, in order to avoid many of the problems associated with containing a pressurized, supercritical liquid a reactor has been provided which maintains supercritical conditions only within a limited zone near the center of the reactor. The operational concept of the present invention is as follows: waste is loaded into a reactor vessel and a solution of hydrogen peroxide and water is added. The solution is then heated at which point the hydrogen peroxide decomposes to oxygen and water. Air or oxygen could also serve as the oxidizer, but water is still required as the reaction medium. After the reactor is sealed, the fluid is heated by means of electrical resistance heaters located along the axis of the reactor. As the system heats up, the internal pressure increases until the temperature and pressure of the system reach supercritical conditions near the center of the reactor. Coincidentally, the fluid near the reactor wall is cooled by conduction through the wall to a heat transfer means attached to the exterior of the reactor. Above the critical pressure of 3204 psia, fluids can be heated and cooled between subcritical and supercritical temperatures with no discontinuity in density. Consequently, the reactor does not have separate liquid and gas phases; the density changes in a continuous gradient from liquid-like conditions in a lower temperature region near the wall to a gas-like supercritical condition at the center of the vessel. At the desired operating conditions, the system reaches an equilibrium in which the fluid continuously circulates through the vessel due to natural convection: the heat input at the center is balanced by the heat loss at the wall. At supercritical conditions, organic wastes and oxygen react spontaneously to form water, carbon dioxide, acids, and salts. As the fluid circulates through the supercritical region in the center of the reactor, all the waste is destroyed. The system is then cooled and the contents of the reactor analyzed to verify that the treated waste has been completely consumed. The reactor is then emptied and prepared for another batch process. It is worth noting that organic matter decomposes readily under supercritical conditions, and in the presence of oxygen carbonaceous compounds oxidize completely to carbon dioxide, sulfur, compounds mostly to SO.sub.3, and nitrogen compounds decompose mostly to molecular nitrogen. It is also worth noting that under supercritical water oxidation conditions, only small amounts of nitrogen oxides are produced. The invention can be explained most effectively by referring to FIG. 2A. The reactor of the present invention comprises a conventional pressure vessel 200 fabricated from high strength steel or other structural material member such as alloys of nickel, titanium, aluminum, and the like, and alloys thereof. The vessel 200 further comprises a cap 201 and shell 202. Both the cap 201 and shell 202 have a mating seal ring flange 203 and 204 located at, an running around, the outside edge of each. The seal ring flanges 203 and 204 are used to permit the to two parts of the reactor to be secured together. A series of clamps 205 are provided which are placed at equidistant locations around the perimeter cap/shell assembly ring flanges. The clamps 205 compress the two flanges against a metal compression gasket 206 provided between cap 201 and shell 202 in order to provide a hermetic seal within the closed pressure vessel 200. Clamps 205 are here a Graylok type "c" clamp but may be any convenient device or method. These might include, but not be limited to, nuts and bolts through a plurality of equidistant spaced through holes, hydraulic clamps, or a screw clamp. In all respects the vessel comprising the reactor is conventional, except that both the cap 201 and shell 202 are provided with hollow, cylindrical protuberances 207 and 208 lying along the central axis and extending deep into the interior of vessel 200. These protuberances are closed to the interior of vessel 200 but open to the surrounding exterior environment. High watt-density heating cartridges 209 are inserted into the protuberances such that the cartridge wall and the exterior wall of the protuberance mate and form a tight fitting seal. The heating cartridge may include a contact layer 210 for providing better conductive contact with the vessels' exterior wall. Shell 202 is provided with a cooling portion 211, such as a cooling jacket or the like, by circulating a fluid media, preferably water, through an envelop constructed directly on shell 202 and using the exterior wall of shell 202 as one wall of the jacket. Alternatively, cooling portion 211 may be established by attaching a separate manifold around the shell wall 202a and securing it in intimate contact with the wall. Cooling portion 211 is used to maintain shell exterior wall 202a at temperatures substantially below the supercritical temperatures setup in the reactor center zone. In practice this temperature would range between about 400.degree. F. and 575.degree. F. while the interior of the reactor fluid is expected to temperatures in excess of 1000.degree. F. This temperature difference will provide a large density gradient within the fluid medium and will insure that the fluid circulates throughout the reactor. This circulation promotes rapid oxidation in the hot center zone by constantly moving reactants into this zone while removing reaction products. It also has the added benefit of minimizing corrosion of the interior wall. Such circulation thus helps to maintain the efficiency of the oxidation process. In addition, an internal baffle 212 or series of baffles in the form of long cylinders spaced slightly away from the shell interior wall 202b may be incorporated in the reactor interior in order to further enhance circulation by nominally isolating the central supercritical zone from the cooler walls. Baffle 212 shields the interior wall from the effects of side circulation eddies and further helps to establish a discrete convective circulation between the wall and the vessel interior. Each baffle is supported by standoff supports to hold it off the interior wall and bottom of the reactor. These supports may be any convenient device or method such as, but not limited to angled supports welded at different heights around the circumference of the interior walls and around the bottom of the vessel. Alternatively the baffle itself may incorporate arms and legs or a series of openings in through it's surface at strategic locations. An alternate embodiment shown in FIG. 2B, includes a reactor shell 202 having central hollow tube 213 running the length of the reactor. This tube would be open to the outside environment, but closed to the interior of reaction vessel 200. The tube 213 would be sealed or secured to the cap/shell assembly in any of a number of ways. Any techniques well known to the art may be used for the hermetic connection or sealing including but are not limited to welding, pipe fitting/compression sealing, and the like. Most preferably, tube 213 would run up, and extend out of a hole fitted with a male nipple 214 welded, or otherwise secured, to the reactor cap 201. The tube 213 would be attached to shell 202 by welding it to a close fitting hole in the shell bottom end. Tube 213 would then be passed through threaded male nipple 214, the nipple having a close fitting inside diameter for passing the tube through, the tube secured by a ferret/gasket means 215. High watt-density heating cartridges 209 again are placed at or near the center of the tube for heating the central zone of the reactor. In operation, the reactor vessel would be loaded with a quantity of water, the oxidizer added, the waste materials, which are to be destroyed, added, and the vessel sealed. Circulating water would then be admitted through the cooling jacket and the heating elements slowly powered. The internal temperature and pressure of the vessel are closely monitored as the heating elements gradually bring the water inside the reactor achieves a supercritical state. By controlling the rate of heating a cooling, adjusting the current to the heaters and the volume and temperature of cooling water passed over the vessel walls, the desired internal circulation is established. This circulation constantly replenishes reactants into the supercritical region helping the reaction proceed. Once it has been determined that no further reaction is taking place, power is removed from the center heating elements and the vessel is allowed to cool. The processed and cooled brine is sampled and analyzed for composition and then further treated in order to precipitate and remove any metals in the effluent stream, to neutralize acids and to remove dissolved salts. It should be pointed out that in the different embodiments of the present invention, oxygen could be replaced by other oxidants or mixtures of oxidants, or mixtures of oxidants and other gases or liquids. It should also pointed out that the is reactors of the present invention are particularly useful in the case of supercritical water conditions, especially in the presence of corrosive compounds, such as for example halogens, and the like. The examples and the description of the above embodiments have been given for illustrating purposes only and they should not be construed as restricting the scope of the present invention. Features described in one embodiment of this invention may be combined with any appropriate features of other embodiments, or replace features of other embodiments for the purpose of exemplifying the scope of the invention.
summary
abstract
A method and system integrates the monitoring of system components and detecting errors or potential problems (or both) in components of bulk fuel distribution facilities. Generally, the method and system includes a diagnostic support module for identifying what stand alone software modules, what fuel hardware, and what software interfaces/drivers associated with the fuel hardware are present in a bulk fuel distribution facility. After the diagnostic support module identifies the unique system components of a particular bulk fuel facility that can include software and fuel hardware, the diagnostic support module can perform system checks of the system components to determine if any system components are missing or if errors or potential problems (or any combination thereof) may exist in a particular bulk fuel distribution facility. The system checks performed by the diagnostic support module can include comparing information collected from the system components against a predetermined set of validation rules.
claims
1. A cleaning device of a porous plate for nuclear power comprising:a cleaning tank that is capable of storing a cleaning liquid therein and is capable of housing the porous plate in an upright state;a rotation device that is capable of rotating the porous plate within the cleaning tank; andan ultrasonic wave oscillation device that irradiates the porous plate within the cleaning tank with ultrasonic waves, whereinthe ultrasonic wave oscillation device includes an ultrasonic transducer that is disposed on an inner wall surface of the cleaning tank to face one of a front part and a back part of the porous plate, and a reflecting plate that is disposed on the inner wall surface of the cleaning tank to face the other of the front part or the back part, andthe cleaning device further comprises a moving device from which a traction rope is pulled upward and enters the cleaning tank via guide rollers to be connected to the ultrasonic transducer such that the ultrasonic transducer is provided to be movable along a vertical direction by the moving device, andthe reflecting plate is fixed to the inner wall surface of the cleaning tank at an opposed side to the ultrasonic transducer. 2. The cleaning device according to claim 1,wherein a plurality of drive rollers forming the rotation device is provided at a lower portion of the interior of the cleaning tank, and a plurality of support rollers configured to prevent collapse of the porous plate is provided at an upper portion of the interior of the cleaning tank. 3. The cleaning device according to claim 2,wherein the support rollers include a first pair of guide rollers that supports the front part and the back part of the porous plate, and a second pair of guide rollers that supports an outer peripheral end surface of the porous plate. 4. The cleaning device according to claim 1,wherein a heating device configured to heat the cleaning liquid is provided at the lower portion of the interior of the cleaning tank. 5. The cleaning device according to claim 1,wherein a supply path configured to supply the cleaning liquid to the interior of the cleaning tank, and a discharge path configured to discharge the cleaning liquid within the cleaning tank.
summary
summary
abstract
A scintillator panel which is capable of obtaining a radiation image exhibiting enhanced luminance and sharpness and achieving improved storage stability is disclosed, comprising on a support a base layer and a phosphor layer provided sequentially in this order, wherein the phosphor layer comprises (columnar) phosphor crystals formed of a phosphor parent compound and an activator by a process of vapor phase deposition and the base layer comprises crystals formed of the phosphor parent compound and an activator, and a relative density of the base layer is lower than a relative density of the phosphor layer and a relative content of an activator of the base layer is lower than a relative content of an activator of the phosphor layer.