patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
summary
claims
1. A device for encapsulating a fuel rod or a fuel rod section in a container, the device comprising:chambers including a first chamber and a second chamber, said first and second chambers disposed spaced apart from one another and on a common system axis, said first chamber having a first opening formed therein, said second chamber having a second opening formed therein, said first opening configured to receive a first end of the container and said second opening configured to receive a second end of the container, wherein the first end of the container projects into said first chamber and the second end of the container projects into said second chamber such that said first and second chambers, are fluidically connected to one another exclusively via the container;an inlet line connected to said first chamber;an outlet line connected to said second chamber, said inlet and outlet lines for transporting a scavenging gas;a bypass line connecting said inlet line to said outlet line, said bypass line running outside of said chambers such that a closed gas circuit is formed;a pump and a heating device disposed in said closed gas circuit for assisting in circulating and heating a heating gas situated in said closed gas circuit;measurement devices disposed in said closed gas circuit and configured for detecting an absolute moisture content of the heating gas flowing from said second chamber into said bypass line; andeach of said chambers having means for closing the container. 2. The device according to claim 1, further comprising a connecting pipe concentrically surrounding the container with the first and second ends of the container proiecting beyond ends of said connecting pipe, said connecting pipe configured to rigidly connect said first and second chambers along the common system axis. 3. The device according to claim 2, further comprising at least one sealing element disposed between the container and said connecting pipe, said at least one sealing element configured to prevent fluid communication between said two chambers via said connecting pipe. 4. The device according to claim 3, wherein said at least one sealing element is two adjustable sealing elements, said sealing elements disposed on both ends of said connecting pipe between the container and said connecting pipe and configured to fluidly isolate a cylindrical gap between the container and said connecting pipe from said chambers.
abstract
A radiation protection system comprising a radiation resistant cubicle, radiation-shielding screen disposed between a patient and medical professionals within the cubicle, a radiation-shielding flexible interface and an integrated procedural environment which may include a control module, monitor displays, conduit for physiological monitoring or equipment leads, staging platforms, intravenous equipment and an improved radiation-resistant surgical drape.
043269176
description
DESCRIPTION OF THE PREFERRED EMBODIMENT Referring now to the drawings wherein the showings are for purposes of disclosing a preferred embodiment of the invention and are not intended to limit the invention thereto, FIG. 1 shows a basic block diagram of a control system 10 utilizing the variable temperature set point and the manual "droop mode" control of the present invention. The control system 10 is connected to an automatic dispatch system through a control line 12 which dispatch system automatically provides indications of desired load demand changes. These control signals from the automatic dispatch system are typically in terms of a logic load increase contact closure, a logic load decrease contact closure, or a logic zero. The percent of reactor load demand change is dependent upon the number of contact closures or pulses. Typically, the pulse duration must be one-half millisecond or greater and equivalent to about one megawatt. The control system 10 may also have manually-inputed percent load demand changes through a control line 14 which is under the control of the plant operator who sets the load demand target. Both the control lines 12 and 14 go to an OR-GATE 16 which passes the manual control signal from line 14, when such a signal appears, to the exclusion of the signal from the automatic dispatch system 12. In either case, the target load input is inputed along line 18 to a unit load demand module 20. A turbine-generator frequency error signal is also inputed to the unit load demand module along line 22. This frequency error signal is not intended to perform the primary control but rather acts to anticipate and thus support the traditional frequency control function of the turbine-generator. The unit load demand module converts the load demand signals inputed along line 18 and the frequency error signal 22 into an electrical megawatt demand signal and outputs that signal along line 24 to a megawatt summing station 26. This station compares the desired megawatt signal from line 24 with the actual reactor megawatt output measured and provided from line 28 and develops an error signal which is inputed to a throttle pressure control module 30 along line 31. The throttle pressure control module 30 also receives an input signal from an absolute throttle pressure error module 32 along line 34. The absolute throttle pressure error module compares the fixed throttle pressure set point inputed along line 36 with the actual throttle measured pressure signal inputed along line 38 and sends the error signal between this comparison to the throttle pressure control module 30 along line 34. The output of the comparison between the megawatt error signal inputed along line 31 and the throttle pressure error signal inputed along line 34 is then forwarded along line 40 to a turbine valve control module 42 which combines the formentioned signal with the turbine-generator frequency error signal from line 22 to provide a control signal along line 44 which is used to position the turbine valves by well-known positioning devices which are not shown. The electric signal indicative of megawatt load demand is also transmitted by the unit load demand module 20 along lines 46 and 48 to a reactor feedwater master comparison station 50. This module 50 receives a signal from the absolute throttle pressure error module 32 along line 52 and this signal is used as a correction for absolute throttle pressure error. The output of the reactor feedwater master control module 50 is sent along lines 54 and 56 to converting modules 58 and 60, respectively. The converting module 58 takes the electric signal indicative of megawatt requirements from line 54 and converts it to a pump speed and valve position signal outputting that signal along line 62 to feedwater valve and pump control positioners and speed controls indicated by the control system 64. Similarly, the conversion module 60 converts the megawatt signal indicative of load demand from line 56 to a control rod position signal along line 66 to a summing station 68, the output of which provides a control signal to the control rod actuating devices indicated at the control box 72 along line 70. There is a cross-limit communication provided between the conversion modules 58 and 60 along line 74 which provides alternate actuation depending on the limits reached by the individual modules 58 and 60. The unit load demand signal indicative of reactor megawatt requirements is also forwarded along line 46 to a function generator 76 which converts the power load requirements to a reactor coolant average temperature signal according to the graph 79 of the chart at FIG. 2. For purposes of this specification, the phrases "reactor vessel average temperature" and "reactor coolant average temperature" both mean the arithmetic average of the reactor coolant temperatures as measured by temperature sensing devices at the reactor vessel inlet and at the reactor vessel outlet. The reactor coolant average temperature set point signal which is dependent upon load, as per graph 79, is then transmitted along line 78 to a comparator 80 which compares the actual reactor coolant average temperature provided to the comparator 80 from the temperature averaging station 82 along line 84. The temperature averaging station 82 accepts actual measured temperatures from the reactor inlet along line 86 and from the reactor outlet along line 88 to provide the actual measured average temperature. The output of the comparator 80 is therefore an error signal which is indicative of any deviation between the reactor coolant average temperature set point which is load dependent, as per graph 79, with the actual reactor coolant average temperature. This error signal is transmitted along line 90 to the summing station 68 where it is used to modify the signal which controls the position of the reactor control rods through the reactor control module 72. Before continuing with the manually-actuated "droop mode" of control, let us take a more detailed look at the automatic control of the reactor in response to the reactor coolant average temperature set point as shown by the curve 79 at FIG. 2. During power escalation from zero percent full power to 20 percent full power, the reactor coolant average temperature increases linearly from 548.8.degree. F. to 606.degree. F. Between 20 percent full power and 70 percent full power the reactor coolant average temperature is held constant at 606.degree. F., 8.5.degree. F. higher than the constant reactor coolant average temperature of 597.5.degree. F. in the prior art constant temperature set point control reactors. The reactor coolant average temperature decreases linearly from 606.degree. F. at 70 percent full power to the 597.5.degree. F. temperature at 100 percent full power used for constant set point operations. Operation in this "normal" or automatic mode is along this described curve 79. The outlet temperature of the reactor coolant is determined by the reactor coolant average temperature and the feedwater flow rate, both of which are functions of reactor power demand such that the reactor coolant outlet temperature approximately follows the curve 81 and does not exceed the limit of 626.degree. F. set for present reactors. All controlled reactor power decreases and power increases at slow ramp rates are along the curve described at 79. Thus, the plant normally controls to a programmed value of reactor coolant average temperature which is a function of the unit load demand. The advantage of the "normal mode" of operation is that during power decreases, the programmed average temperature increase operates to reduce the required rod insertion or boration. During power increases, the programmed reactor coolant average temperature decrease reduces the required rod withdrawal or the need for deboration. When it is desired to increase power of the reactor at faster ramp rates (up to five percent full power per minute), the control rods of the reactor would be withdrawn with the reactor coolant average temperature following along the curve 79, either until the control rods are completely withdrawn or until an administratively imposed core power distribution limit such as imbalance restricts further rod withdrawal. Once the control rod withdrawal limit is reached, the cross-limits imposed by the control system 10 through the line 74 connecting the modules 58 and 60 put the control system 10 in track and prevent further increases in load demand. At such a time, the "droop mode" manual control can be utilized to continue to increase reactor power in response to the increasing unit load demand even though the control system 10 cannot withdraw control rods. In this mode, which will be described in detail later, feedwater continues to increase to match the increasing unit load demand and the reactor coolant average temperature is allowed to drop a predetermined amount below the average temperature set point defined by the curve 79. When the reactor coolant average temperature drops below the average temperature set point curve defined by the curve 79, the reactor vessel inlet and reactor vessel outlet temperatures drop by the same amount below their normal values defined by curves 81 and 89. The reactor operator may elect to place the control system 10 into this "droop mode" also during slower rates of power increase in order to reduce the bleed volumes associated with these transients. The advantage of the "droop mode" of operation is that it allows an even greater temperature decrease and hence a greater positive reactivity addition due to the negative moderator temperature coefficient than is provided during power increases by operation in the "normal" or automatic control mode. The amount of drop below the programmed average temperature set point as defined by the curve 79 is as shown by the cross-hatched area 83 and has a lower limit of 592.5.degree. F. Referring now to FIGS. 1 and 2, the operator initiates the "droop mode" by depressing pushbutton 85 which blocks the signal from line 90, which signal is indicative of the error between the variable set point of curve 79 and the actual reactor coolant temperature. A control signal from the "droop mode" actuator button 85 is also transmitted along line 87 to the cross-limit connection 74, which blocks the limit on feedwater so that the control system 10 can increase the feedwater in response to increasing unit load demand from the station 20 and will not be limited by the average temperature error signal as long as the reactor coolant average temperature does not decrease below 592.5.degree. F. Normally, the cross-limits imposed by the connection 74 would block feedwater increase as the reactor coolant temperature average decreased below the temperature average set point. The cross-limits 74 when inhibited by the control signal along line 87, also inhibit the withdrawal of control rods, thereby preventing the control system 10 from trying to recover the reactor coolant average temperature to the set point by rod withdrawal. (Rod insertion is not inhibited.) Normally, the control rods would be fully withdrawn before initiating the "droop mode". This control rod withdrawal inhibit gives the system flexibility by allowing the operator to place the control system 10 in the "droop mode" and continue the power increase when further control rod withdrawal might cause core power distribution or imbalance limits to be exceeded. Rod withdrawal by the operator from the control rod drive station on the operator console, however, is not inhibited. Should the reactor coolant average temperature drop several degrees below the 592.5.degree. F. limit, the control system 10 will automatically switch from the "droop mode" to the "normal mode" and recover the reactor coolant average temperature to its set point by reducing feedwater flow rate or withdrawing any control rods which are less than fully withdrawn. This automatic action is provided to protect the system from operator errors such as failure to restore the normal operation mode in a timely manner. The operator may reset the normal operation by resetting the pushbutton 85 whenever the reactor coolant average temperature is within 0.5.degree. F. of the normal mode set point described as curve 79. This limitation is imposed to prevent the operator from initiating an undesirable transient as the control system 10 tries to recover reactor coolant average temperature to its set point value. Temperature recovery to the set point may be achieved by either zenon burnout or deboration. In the "droop mode" below 597.5.degree. F., it may be necessary to reduce the turbine throttle valve pressure to preserve the desired margin of steam superheat at high power. This is achieved by a logic signal generated in throttle pressure reset module 93 and transmitted along line 91 to the throttle pressure control module 30. The inputs to the throttle pressure reset module 93 are the actual unit megawatt signal on line 28, the reactor coolant average temperature along line 84 and the "droop mode" logic signal along line 87. The module 93 reduces the throttle pressure 50 or 60 psi when the reactor coolant average temperature is less than 597.5.degree. F. and reactor power in actual megawatts is greater than the megawatt rating at 90 percent full power and the "droop mode" is actuated. The preferred embodiment of the invention was developed for implementation at reasonable cost on an existing design. Hence, specific numbers given in this specification are for implementation on that particular design and reflect existing limitations of that design and should not be construed to be limits on the control concept or system which we claim as our invention. Certain modifications and improvements will occur to those skilled in the art upon reading this specification. It will be understood that all such improvements and modifications have been deleted herein for the sake of conciseness and readability but are properly covered within the scope of the following claims.
abstract
A first species is implanted into an entire surface of a workpiece and helium is implanted into this entire surface with a non-uniform dose. The first species may be, for example, hydrogen, helium, or nitrogen. The helium has a higher dose at a portion of a periphery of the workpiece. When the workpiece is split, this split is initiated at the periphery with the higher dose. The non-uniform dose may be formed by altering a scan speed of the workpiece or an ion beam current of the helium. In one instance, the non-uniform dose of the helium is larger than a uniform dose of the hydrogen.
056407025
claims
1. A method of reducing the volume of any individual or combination of a) hazardous wastes which are contaminated with radioactive wastes, b) non-hazardous materials which are contaminated with radioactive wastes, c) non-hazardous wastes which are contaminated with hazardous wastes, the method comprising the steps of: (a) directing the solid, liquid, or gaseous contaminated materials to a molten reducing metal reaction chamber; (b) applying a reduction metal in molten form so as to contact the contaminated waste materials in the reaction chamber, thereby chemically reducing them to moieties which are nontoxic except by virtue of their radioactivity; (c) removing at least a portion of unreacted molten metal and reacted waste materials from the reaction chamber so as to allow them to solidify thereby producing a substantially less hazardous final product which is easily and safely disposable in a significantly smaller space than that occupied by the original waste materials; and (d) showering the hazardous material with the molten metal by means of a shower or curtain of molten metal in the reaction chamber. (a) directing the solid, liquid, or gaseous contaminated materials to a molten reducing metal reaction chamber; (b) applying a reduction metal in molten form so as to contact the contaminated waste materials in the reaction chamber, thereby chemically reducing them to moieties which are nontoxic except by virtue of their radioactivity, said step of applying including applying liquid aluminum from a reservoir to contact the gaseous, liquid or solid waste contaminants to effect reduction, and a sub step of recirculating the showered aluminum from the reservoir to the reaction chamber; (c) removing at least a portion of unreacted molten metal and reacted waste materials from the reaction chamber so as to allow them to solidify thereby producing a substantially less hazardous final product which is easily and safely disposable in a significantly smaller space than that occupied by the original waste materials; and (d) showering the hazardous material with the molten metal by means of a shower or curtain of molten metal in the reaction chamber. (a) directing gaseous, or liquid wastes from a first source thereof to an operating chamber; (b) directing solid wastes from a second source thereof to the operating chamber; (c) providing a source of molten aluminum at the operating chamber; (d) contacting the waste materials with the molten aluminum, (a) directing liquid, solid and gaseous radioactive contaminated waste materials to a molten reducing metal reaction chamber; (b) applying a reduction metal in molten form so as to contact the radioactive contaminated solid, liquid or gaseous waste materials in the reaction chamber thereby chemically reducing them, said step of applying including applying liquid aluminum to effect reduction; (c) adjusting the temperature of the molten reducing metal so as to reduce the contaminated radioactive elements, and to vaporize the volatile radioactive elements; (d) removing at least a portion of unreacted molten metal including the radioactive elements and the reaction products of reacted waste materials including the non-volatile radioactive elements as either a slag component or an alloyed component from the reaction chamber so as to allow them to solidify, thereby producing a substantially less hazardous final product which is easily and safely disposable in a significantly smaller space than that occupied by the original wastes; (e) removing contaminated reacted or unreacted gases from the reaction chamber; and (f) trapping the volatile radioactive metals. 2. The method of claim 1 wherein said showering step (d) provides a circuitous path for the gases and vaporized solid or liquid contaminants and their reaction products to pass through to insure complete reduction. 3. A method of reducing the volume of any individual or combination of a) hazardous wastes which are contaminated with radioactive wastes, b) non-hazardous materials which are contaminated with radioactive wastes, c) non-hazardous wastes which are contaminated with hazardous wastes, the method comprising the steps of: 4. The method of claim 1 wherein the molten metal comprises other metal contaminants which may be inert to reaction. 5. A method of chemically reducing waste materials, including mixtures of wastes which are both hazardous and radioactive, or non-hazardous and radioactive, comprising the steps of: 6. The method of claim 5 wherein the waste materials are from a group including non-radioactive, non-hazardous implements and materials of metal, plastic, glass, paper, or biological materials which have been used, treated with or contaminated by radioactive materials. 7. The method of claim 5 wherein the waste materials are from a group containing hazardous materials in the form of solvents, chemical reagents, poisons, or diseased biological materials and which have been used in conjunction with, or contaminated by, radioactive materials. 8. The method of claim 5 wherein the waste materials are from a group including non-radioactive implements and materials of metal plastic, paper or biological materials which have been used, treated with, or contaminated by radioactive materials; and with otherwise hazardous materials in the form of solvents, chemical reagents, poisons, or diseased biological materials. 9. The method of claim 8 wherein the non-radioactive, non-hazardous waste materials may be from a group including syringes, needles, animal cages, specimen containers, glass tubes, vials, caps, tissues, towels, clothing, surgical implements, mechanical contrivances, and any other implement of device used in experimentation, industrial use or power generation using radioactive materials, and which have been or may have been contaminated by radioactive materials. 10. The method of claim 8 wherein the radioactive materials may be from the group including radio-nuclides occurring naturally; those that have been produced by nuclear fission or fusion, or by particle accelerators or other artificial means. 11. The method of claim 7 wherein the solvents, chemical reagents or poisons may be selected from: a) halogenated hydrocarbons, including polychlorinated biphenyls, chlorinated dioxins, chlorinated furans, and all aromatic an aliphatic organic compounds, solvents, insecticides or herbicides which are partially or completely chlorinated; b) hazardous halogenated or non-halogenated organic compounds containing as substituents, oxygen, nitrogen, sulfur or phosphorus, either singly or in combination with other elements; to include aldehydes, ketones, alcohols, carboxylic acids, esters, ethers, nitriles, amines, sulfides, thiols, thioketones, thiocarbonyls, mercaptans, phosphates, phosphites, phosphonates, phosphines and phosphine oxides, nitro compounds, nitroso compounds, amides, and amino acids, amino alcohols, sulfonic acids, sulfonates, and sulfones, thioamines, amino-thiols, and any other combinations of these with each other, or with other elements; c) nerve gases, cholinesterase inhibitors, mustard gases, and other military chemical agents; d) heavy metal salts, sulfates, sulfites, chlorides, nitrates, organic acid salts, heavy metal salts, oxides, sulfides and selenides, e) anionic groups containing heavy metal and oxygen, sulfur or selenium; f) phosphorus and selenium sulfides and oxides; g) oxidizing anionic groups containing halogen; h) anionic groups containing sulfur or nitrogen; i) hazardous halides; and j) cyanides. 12. The method of claim 6 wherein the biological materials are from a group including tissues from mammals, biological fluids, infectious bacteria, viruses, spores; or carcinogenic agents. 13. A method of reducing the volume of liquid, solid, gaseous radioactively contaminated waste materials including radioactive elements, comprising the steps of:
description
The present specification relies on, for priority, U.S. Patent Provisional Application No. 62/402,102, entitled “X-Ray Source for 2D Scanning Beam Imaging”, and filed on Sep. 30, 2016, for priority. The above-mentioned application is herein incorporated by reference in its entirety. The present specification relates to apparatus and methods for scanning a beam of penetrating radiation, and, more particularly, apparatus and methods for scanning a pencil beam over an area to acquire wide field-of-view X-ray images of stationary objects without source rotation. All practical backscatter X-ray imaging systems are raster scanners, which acquire an image pixel by pixel while moving a well-collimated X-ray beam (also referred to as pencil beam) across the object under inspection. Typically, the sweeping X-ray beam is formed by mechanically moving an aperture in a line in front of a stationary X-ray source. The line is typically a straight line, or nearly so, such that an emergent beam sweeps within a plane, over the course of time. That plane is referred to as a “beam plane.” As the aperture moves along its typically linear path, a resulting X-ray beam sweeps through the system's beam plane across the imaged object, such that an image line may be acquired. An orthogonal image dimension is obtained either by moving the imaged object through the beam plane or by moving the beam plane across the imaged object. The common conveyer-based inspection systems use the first approach (moving the imaged object through the beam plane). The latter (moving the beam plane across to the object) is suitable for stationary objects. Motion of the beam plane is typically achieved by one of two methods: The imaging system is moved linearly along the imaged object, or else the imaging system turns and thereby sweeps the beam plane over the imaged object in doing so. A notable exception to the general practice of scanning within a beam plane and moving the beam plane relative to an object is described in U.S. Patent Application No. 20070172031 by Cason and Rothschild, incorporated herein by reference. The application discloses “a beam scanning device comprising: a. a first scanning element constrained to motion solely with respect to a first single axis and having at least one aperture for scanning radiation from inside the first scanning element to outside the first scanning element; and b. a second scanning element constrained to motion solely with respect to a second single axis and having at least one aperture for scanning radiation that has been transmitted through the first scanning element across a region of an inspected object”. An imaging system for stationary objects that derives one axis of motion from rotation is conceptually simple but rotating the system, or a large part of it, is not only slow (typical image acquisition times would be many seconds) but also becomes mechanically challenging for larger, higher power systems. Signal-to-noise and spatial resolution considerations dictate that in order to acquire two-dimensional backscatter images in a second or less, the imaging system must typically feature a high line rate and a powerful X-ray source. U.S. Pat. No. 8,576,989, assigned to Rapiscan Systems, Inc. discloses “a beam chopping apparatus, and more specifically, a helical shutter for an electron beam system that is employed in radiation-based scanning systems, and more specifically, a beam chopping apparatus that allows for variability in both velocity and beam spot size by modifying the physical characteristics or geometry of the beam chopper apparatus.” The highest line rates are achieved by sweeping an electron beam along a linear target and collimating the emitted X-rays with a stationary aperture. Not only can the electron beam be controlled to scan the entire length of the X-ray production target in a fraction of a millisecond, moving the beam fast across the target also distributes heat generated by the impinging electron beam and thus enables focal spots of significantly higher power densities than possible in conventional X-ray tubes. U.S. Pat. No. 6,282,260, assigned to American Science & Engineering, Inc. which is incorporated herein by reference, discloses “a hand holdable inspection device for three-dimensional inspection of a volume distal to a surface. The inspection device has a hand-holdable unit including a source of penetrating radiation for providing a beam of specified cross-section and a detector arrangement for detecting penetrating radiation from the beam scattered by the object in the direction of the detector arrangement and for generating a scattered radiation signal.” Although conventional methods for acquiring a two-dimensional image exist, such methods do not lend themselves to fast scanning or scanning with long collimation lengths. Further, electron beam tubes with sufficiently large two-dimensional transmission targets are technically challenging and have not yet become commercially available. For high-power sources, reflection targets remain the only viable choice that can make electron beam line scanning sources practical. Having a fast line scanner enables imaging of fast moving objects. However, for acquiring image frames of a stationary object, the beam plane must move at the desired frame rate. For sub-second image frame acquisition times, rotating the entire X-ray source and beam forming assembly is not practical or efficient. Hence there is need for a novel method and apparatus for acquiring wide field-of-view backscatter X-ray images of stationary objects without rotating the source. The following embodiments and aspects thereof are described and illustrated in conjunction with systems, tools and methods, which are meant to be exemplary and illustrative, and not limiting in scope. In some embodiments, the present specification may disclose a two-dimensional X-ray scanner comprising: a beam focuser and a beam steerer for scanning an electron beam on a path along an X-ray production target as a function of time; and an aperture adapted for travel in an aperture travel path relative to X-rays emitted by the X-ray production target. Optionally, the aperture is an intersection of a fixed slit and a moving slit. Optionally, the moving slit is adapted for rotation within a chopper wheel. Optionally, the moving slit is aligned radially with respect to rotation of a chopper wheel about an axis. Optionally, the X-ray production target is enclosed within a snout. Optionally, the X-ray production target is a planar target block. Optionally, the X-ray production target is convex. Optionally, the two-dimensional X-ray scanner is configured to have a predefined take-off angle and wherein, during operation, the electron beam is steered to maintain the pre-defined take-off angle with the travelling aperture. In some embodiments, the present specification may disclose a method for sweeping an X-ray beam across an object of inspection in two dimensions using a two-dimensional X-ray scanner, the method comprising: varying a direction of a beam of electrons relative to a target upon which the beam of electrons impinges; and coupling X-rays generated at the target via an aperture that moves along a prescribed path as a function of time. Optionally, coupling X-rays generated at the target may include coupling the X-rays via an intersection of a fixed slit and a moving slit. Optionally, the moving slit is adapted for rotation within a chopper wheel. Optionally, the moving slit is aligned radially with respect to rotation of a chopper wheel about an axis. Optionally, the target is enclosed within a snout. Optionally, the target is a planar target block. Optionally, the target is convex. Optionally, the electron beam is steered to maintain a pre-defined take-off angle with the travelling aperture. Optionally, the two-dimensional X-ray scanner is configured to have a predefined take-off angle and wherein, during operation, the electron beam is steered to maintain the pre-defined take-off angle with the travelling aperture. In some embodiments, the present specification may disclose a two-dimensional X-ray scanner comprising: a beam steerer for steering an electron beam to impinge upon a target; and a collimator comprising an aperture adapted for travel in an aperture travel path for rotating the electron beam impinging upon the target for emitting an X-ray beam. Optionally, the aperture is an intersection of a fixed slit and a moving slit adapted for rotation within a chopper wheel. Optionally, the moving slit is aligned radially with respect to rotation of the chopper wheel about an axis. Optionally, the target is enclosed within a snout. Optionally, the target is a planar target block. Optionally, the target is convex. Optionally, the electron beam is steered to maintain a pre-defined take-off angle with the travelling aperture. Optionally, the two-dimensional X-ray scanner is configured to have a predefined take-off angle and wherein, during operation, the electron beam is steered to maintain the pre-defined take-off angle with the travelling aperture. The aforementioned and other embodiments of the present specification shall be described in greater depth in the drawings and detailed description provided below. In various embodiments, the present specification provides a method and apparatus for acquiring wide field-of-view backscatter X-ray images of stationary objects without rotating the source in an X-ray imaging system. The following definitions are provided to further describe various aspects of the present specification in some embodiments: The term “beam angle” refers to an instantaneous exit angle of a beam from a scanning device measured in relation to a center line of the angular beam span. (The beam angle, thus, varies from instant to instant as the beam is scanned.) The term “snout” is defined as an enclosure that is opaque to the radiation in question and comprises one or more defined openings through which radiation is allowed to emerge. The term “snout length” is defined as the normal distance between a target where X-rays are generated and an aperture within a snout from where the generated X-rays emerge from the snout. The snout length determines the system's “collimation length” (see below). The term “collimation length” is defined as the shortest distance between the focal spot on the X-ray production target and an aperture serving to collimate an emergent X-ray beam. The term “take-off angle” is defined as the angle between the direction of X-ray beam extraction through the aperture and the plane that is tangent to the target surface at the focal spot. The term “scan head” encompass any structure which contains an X-ray source for two-dimensional scanning, whether by moving the scan head or in accordance with teachings of the present specification. Where an element is described as being “on,” “connected to,” or “coupled to” another element, it may be directly on, connected or coupled to the other element, or, alternatively, one or more intervening elements may be present, unless otherwise specified. The terminology used herein is for the purpose of describing particular embodiments and is not intended to be limiting. The singular forms “a,” “an,” and “the,” are intended to include the plural forms as well. The present specification is directed towards multiple embodiments. The following disclosure is provided in order to enable a person having ordinary skill in the art to practice the specification. Language used in this specification should not be interpreted as a general disavowal of any one specific embodiment or used to limit the claims beyond the meaning of the terms used therein. The general principles defined herein may be applied to other embodiments and applications without departing from the spirit and scope of the specification. Also, the terminology and phraseology used is for the purpose of describing exemplary embodiments and should not be considered limiting. Thus, the present specification is to be accorded the widest scope encompassing numerous alternatives, modifications and equivalents consistent with the principles and features disclosed. For purpose of clarity, details relating to technical material that is known in the technical fields related to the specification have not been described in detail so as not to unnecessarily obscure the present specification. In the description and claims of the application, each of the words “comprise” “include” and “have”, and forms thereof, are not necessarily limited to members in a list with which the words may be associated. It should be noted herein that any feature or component described in association with a specific embodiment may be used and implemented with any other embodiment unless clearly indicated otherwise. An electromagnetic scanner is now described with reference to FIG. 1A. A scanning electron beam X-ray source, designated generally by numeral 100, comprises an electron gun 101, a beam focuser 102 (also referred to herein as a “focus lens” 102), a beam steerer 103 (also referred to herein as “deflection module” 103), and a beam controller 104 which scans a focused electron beam 105 along a focal path 115 on an X-ray production target 110. Beam focuser 102 and beam steerer 103, alone or together, may be referred to herein as a “focus and deflection module”, designated generally by numeral 106. Collimator 120, which is stationary with reference to the X-ray production target 110, contains an aperture 125, creating a scanning X-ray beam 130 that spans a beam plane 135. X-ray beam 130 may be referred to herein as X-ray pencil beam 130 without regard to the precise cross-section of the beam. Electrons 105 emerging from gun 101 are steered by focus lens 102 and deflection module 103, governed by beam controller 104, such that electron beam 105 is scanned on a focal path 115 along X-ray production target 110 (also referred to herein as “target” 110). X-rays emitted through aperture 125 during a scan of electron beam 105 lie within a beam plane defined as the unique plane containing the focal path 115 and the aperture 125. If focal path 115 is not a straight line and/or aperture 125 is not a simple aperture but formed by a collimator as taught in U.S. Pat. Nos. 9,117,564 and 9,257,208, both assigned to American Science and Engineering and incorporated herein by reference, then X-rays emitted through aperture 125 during a scan of electron beam 105 lie on a non-planar surface. For simplicity we will still refer to the surface as a beam “plane”. An inspection object 140 is placed in the path of the beam plane 135. As the scanning X-ray beam 130 traverses the beam plane 135, scattered and/or transmitted X-rays from a scan line 142 are recorded by X-ray detectors (not shown). The inspection object 140 may be imaged by moving it successively along an axis 144 transverse to beam plane 135 while collecting scan lines. This method and apparatus is further described in U.S. Pat. No. 4,045,672, assigned to Watanabe, which is incorporated herein by reference. Another electromagnetic scanner (EMS) 50 is described with reference to FIG. 1B. Electrons in an electron beam 501 are focused and steered by beam controller 505 so as to sweep over a target 508, which may optionally be water-cooled. Beam controller 505 applies electric and/or magnetic fields for confining and steering electron beam 501, and, in particular, beam controller 505 includes beam steering coil 519. The source of electrons typically is an electron gun 101 (shown in FIG. 1A) from which electrons in electron beam 501 are emitted. Impingement of electron beam 501 onto target 508 produces X-rays 511 into a snout 515 that has a single-exit aperture 517 at its apex. (The vacuum seal, or window (not shown) may be anywhere, and is typically close to target 508 to minimize the vacuum volume.) The emerging X-ray beam 520 is swept in angle as electron beam 501 is swept across target 508. As described with reference to FIG. 1A, the collimator 120 of the electromagnetic scanner (such as the one shown in FIG. 1A) remains stationary during the course of inspection of an object. FIGS. 1C and 1D illustrate electromagnetic scanner embodiments 160 wherein the collimator 120 is moved during the course of the inspection process. Referring to FIGS. 1C and 1D, the movement of collimator 120 creates a sweeping beam plane 137 and allows keeping the inspection object 140 stationary with reference to the scanning electron beam X-ray source 100 (shown in FIG. 1A). In accordance with this method, the extent of the beam plane's sweep angle, and thus the field of view, may be limited by the heel effect at one end, as shown in FIG. 1C, where the intensity of the beam 130 is degraded towards one extremum of its motion due to attenuation within the X-ray production target 110 itself. At the other extremum, spatial resolution may be lost due to the increasing size of the apparent focal spot, as would occur in FIG. 1D. A practical range for the beam plane's sweep angle is 30° to 40°. FIG. 2A depicts a planar cross-section of a hybrid electrical/mechanical scanner, in accordance with a wide-angle embodiment of the present specification. In embodiments, the term ‘wide-angle’ is used to denote an angle exceeding the aforementioned range of 30° to 40° by a factor ranging from two to three. In an embodiment, the angle may be 120° as depicted in FIGS. 2A, 2B and 2C. Focused, steered electron beam 205 impinges upon X-ray production target 210. Successive lines are generated by moving collimator 220 having an aperture 225 (wherein the beam plane moves with aperture 225), along aperture travel path or range 270 (also referred to herein as “lateral travel” 270) which extends from a first end or outer boundary 236 of the beam plane to the second end or outer boundary 237, as shown in FIG. 2A, whereby scanning X-ray beam 230 emerges from aperture 225. It should be appreciated that the beam plane is perpendicular to FIG. 2A and therefore its projection onto FIG. 2A is the X-ray beam 230. Since the beam emerges from the aperture, it must also move with the aperture. The beam plane is turned or rotated incrementally by moving aperture 225. The aperture travel range is designated by the extrema (or outer bounds) ranging from a first end 236 of the beam plane to the second end 237, while the nominal snout length is designated by numeral 280. While in FIGS. 1C and 1D the axis of rotation for the beam plane is the focal path 115 (shown in FIGS. 1A, 1B) on the X-ray production target 110, the wide angle embodiment depicted in FIG. 2A does not feature a simple rotational axis for the beam plane. Instead the beam plane is approximately tangent to the convex X-ray production target 210. The time needed for the aperture 225 to travel its path 270 constitutes the image frame acquisition time. Accordingly, frame rates fast enough for backscatter motion imaging become advantageously possible. Referring to FIGS. 1C and 1D, when using a flat (planar) X-ray production target 110, the angular range (which has an identical meaning, herein, to the term “angular span”, and corresponds to the range over which the beam plane rotates, i.e., the angular extent of motion of the beam plane) between the beam planes depicted in FIGS. 1C and 1D depends on the so-called ‘heel effect,’ as in cone beam imaging with film or a flat panel detector. By virtue of the heel effect, the intensity of the beam 130 is degraded towards the extreme of its motion due to attenuation within the target 110 itself. Typically, 30° to 40° of angular range are used with the take-off angle starting at about 1°. The other limit is due to the enlargement of the apparent focal spot and the associated loss in spatial resolution. Referring to FIGS. 1C and 1D, assuming a 12″ (300 mm) snout length, a 500 mm long focal track will create an angular beam span of about 80° in the beam plane 137. Assuming a planar target with a 40° angular range for the take-off angle and thus the beam plane, this EMS would cover a 4′4″ (1.31 m) wide and 8′4″ (2.5 m) high image at 5′ (1.5 m) from the collimator. With a 12″ (300 mm) snout length (as defined above), the lateral travel path 154 (i.e. the vertical path of the electron beam's focal spot on the target) of the aperture needs to be 8.6″ (218 mm). Therefore, for certain snout lengths, an angular beam span range of 40 to 80 degrees may be achieved by a have a track length of 150 mm to 600 mm, preferably 200 mm to 500 mm. In one embodiment of the present specification, aperture 225 is made to travel on an arc with the X-ray production target 210 at its center in order to maintain angular alignment. In an embodiment, the radius of the arc is approximately 12″. In an embodiment, an X-ray transparent floater is used in an arc shaped mercury filled pipe to enable the aperture travel on an arc hydraulically, wherein the mercury blocks the X-rays and the floater forms the aperture. Since the position of electron beam 105/205 on target 110/210 can be easily controlled using an X-Y deflection module (similar to deflection module 103 shown in FIG. 1A), converting from a conventional, flat production target 110 (shown in FIGS. 1C and 1D) to a target 210 with a convex surface allows extending the angular range. While the simplest convex surface is cylindrical, other convex shapes may be employed within the scope of the present specification. As is known, the limiting heel angle is with reference to the tangential plane at the focal track, and a convex shape provides a range of tangential planes depending upon the positioning of the focal track. FIGS. 2A, 2B and 2C show planar cross-sections of a hybrid electrical/mechanical scanner, in accordance with other wide-angle embodiments of the present specification. Referring to FIGS. 2A, 2B and 2C, by using a conservative 30° take-off range 250 from a quarter-round target 210 creates a 120° angular range 260, as shown in FIGS. 2B and 2C, where FIG. 2B shows the steered electron beam 205 strike the target 210 at a first outer boundary 206 and FIG. 2C shows the steered electron beam 205 strike the target 210 at a second outer boundary or extrema 207. The aperture 225 would be near extremum 236 for the electron beam deflection shown in FIG. 2B and near extremum 237 for the electron beam deflection shown in FIG. 2C. The electron beam is steered so that a desired take-off angle is maintained. Accordingly, the focal track is moved with the aperture to maintain the desired take-off angle. Hence, in various embodiments, by moving the comparably small collimator and not the entire X-ray source, the field of view of an X-ray imaging system can be increased by a factor of 3 or more over that of a conventional, heel-effect-limited X-ray source. This would, however, necessitate a fairly large X-ray exit window and the moving aperture 225 would have to travel linearly 2√{square root over (3)} times the snout length 280. For a 150-mm snout length the aperture 225 would have to travel linearly over a distance of approximately 520 mm to achieve a 120° angular range. If only a 90° angular range is needed, aperture 225 must travel twice the snout length 280. Accordingly, a curved travel path may be preferable. An embodiment of a two-dimensional scanner, designated generally by numeral 300, is shown in perspective in FIG. 3A. A scanning aperture (such as aperture 225 in FIG. 2A) is achieved by rotating slits 302 of chopper disk 304 across X-ray beam window 310, which is shown with chopper 304 removed in FIG. 3B. Slit 302 is an example of a moving slit. Electrons from source 301 scan a target block 303 (which may be planar, or convex, as shown), with Bremsstrahlung X-rays confined by snout 305 to emerge only at the aperture created where rotating slit 302 intersects with X-ray beam window 310. X-ray beam window 310 is an example of a fixed slit. In the embodiment shown in FIG. 3A, rotating slit 302 is aligned radially with respect to an axis of rotation (not shown) of chopper disk 304 as one example. FIG. 3B is another depiction of the X-ray source of FIG. 3A, cutaway to show convex target 303 and X-ray beam window 310. The breadth of X-ray window 310 defines the line of pivot points for the X-ray beam as the electron beam scans along the target and thus creates the fast scan lines. The breadth of X-ray window 310 depends upon the desired field of view, and in an embodiment, is approximately equal to the lateral travel path 270. In another embodiment, the breadth dimension of the X-ray window is within ten percent (10%) of the lateral travel path dimension. The rate of angular change of the beam plane caused by moving the aperture is much slower. Scanning with chopper disk 304 for rotating apertures/slits 302 across X-ray beam window 310 is one way to achieve the moving aperture 225 (shown in FIG. 2A), and is suitable when the system does not require a large beam angle. Other ways of implementing a moving aperture are within the scope of the present specification, and the following examples are provided without limitation: a rotating twisted slit collimator, variations of which are described in U.S. Pat. Nos. 4,745,631, 4,995,066, and 5,038,370, assigned to Philips Corp. and European Patent No. 1,772,874, assigned to Bundesanstalt für Materialforschung and Prufung (BAM), all of which are incorporated herein by reference; translating an aperture like the twisted slit described in U.S. Pat. Nos. 9,117,564 and 9,257,208 assigned to American Science and Engineering, Inc. (both incorporated herein by reference), with an actuator linear motor; and a hoop with parallel slits rotating with respect to a common axis of rotation. Embodiments of a two-dimensional scanner, in accordance with the foregoing teachings, may advantageously provide fast two dimensional image acquisition, with imaging at a rate of multiple frames per second made possible for the first time. The field of view provided by systems enabled hereby may be multiple times the field of view of a stationary tube system in size. Thus, 120° azimuth is now possible, vs. current limits of 30°-40°. A stationary two-dimensional scanner in accordance with the foregoing teachings may be particularly useful in situations that require a scanner that is compact in the lateral direction, or where it is important to operate close to the target without risk of accidentally contacting the target, or where movement of the scan head could be problematic for the platform on which the scan head is mounted. Examples, provided without limiting intent, include: inspecting aircraft, where any accidental collision renders the aircraft legally non-airworthy until a certified mechanic can inspect the aircraft to verify that no damage has been done; inspecting suspected improvised explosive devices (IEDs), where any accidental contact could detonate the IED; inspection of IEDs or any other application using a robot mounted imaging system. Space on a robotic vehicle is typically very limited, and a shifting or even rotating scanner might change the center of balance of the entire assembly which can be a problem, particularly on uneven terrain; medical X-ray applications, where the scanner must operate in close proximity to the patient without touching the patient or interfering with medical personnel working on the patient. Eliminating the need to move the scanner is also helpful in cases where high precision of beam placement is needed. Examples, provided without limiting intent, include: imaging at a distance, where small movements could translate to large position errors of the beam; Non-Destructive Testing (NDT) applications which often require very high resolution; NDT and Explosive Ordnance Disposal (EOD) applications which might use the image data for precision measurements of the target. EOD systems might use the measurement results to help aim a disruptor, or for forensic work, in addition to simply detecting the presence of an IED; applications which sum data from multiple repeat ‘frames’ to build up image statistics over a period of time (also likely for NDT or EOD applications). It should be noted that the formation and scanning of X-ray pencil beam may be employed for any manner of imaging, such as transmission, sidescatter, or backscatter imaging, for example, within the scope of the present specification. The above examples are merely illustrative of the many applications of the system and method of present specification. Although only a few embodiments of the present specification have been described herein, it should be understood that the present specification might be embodied in many other specific forms without departing from the spirit or scope of the specification. Therefore, the present examples and embodiments are to be considered as illustrative and not restrictive, and the specification may be modified within the scope of the appended claims.
claims
1. A method of preparing a nuclear fuel, which method includes:depositing a mixture of silicon and silicon carbide around a kernel of fissile material by chemical vapour deposition in a fluidised bed deposition chamber of a chemical vapour deposition reactor from a chemical vapour deposition source gas comprising methylchlorosilane which is fed to the deposition chamber, andfluorinating the silicon and silicon carbide with fluorine gas by adding the fluorine gas to the methylchlorosilane which is fed to the deposition chamber. 2. The method as claimed in claim 1, comprising introducing magnesium into the fluorinated silicon and silicon carbide. 3. The method as claimed in claim 1, comprising depositing silicon-nitride-bonded-silicon-carbide around the kernel of fissile material. 4. The method as claimed in claim 3, wherein depositing the silicon-nitride-bonded-silicon-carbide includes the steps of depositing a mixture comprising silicon and silicon carbide around the kernel and nitriding the silicon and silicon carbide mixture. 5. The method as claimed in claim 4, further comprising introducing carbon into the nitrided silicon and silicon carbide mixture. 6. The method as claimed in claim 1, comprising depositing diamond around the kernel. 7. The method as claimed in claim 6, comprising depositing silicon carbide around the kernel and at least partly reducing the silicon carbide to diamond. 8. The method as claimed in claim 7, wherein reducing the silicon carbide includes reacting the silicon carbide with hydrogen chloride or chlorine. 9. The method as claimed in claim 6, in which the silicon carbide is beta polytype silicon carbide. 10. The method as claimed in claim 1, comprising, during a continuous process and whilst maintaining a temperature of between 1,300 degrees Celsius and 1,950 degrees Celsius, in sequence, depositing stoichiometric beta polytype silicon carbide followed by a mixture of silicon and silicon carbide around the kernel of fissile material, nitriding the silicon and silicon carbide mixture, introducing carbon into the nitrided silicon and silicon carbide mixture, depositing a further amount of a mixture of silicon and silicon carbide, and fluorinating the further amount of silicon and silicon carbide mixture. 11. The method as claimed in claim 10, comprising introducing magnesium into the fluorinated silicon and silicon carbide mixture. 12. The method as claimed in claim 10, further comprising, during the continuous process and whilst maintaining the temperature, depositing stoichiometric silicon carbide and reducing the silicon carbide at least partly to diamond by reacting the silicon carbide with chlorine. 13. The method as claimed in claim 1, which includes the prior step of forming a plurality of nuclear fuel particle kernels by atomising a uranyl nitrate solution to form microparticles, followed by calcining the microparticles to provide a kernel of fissile material.
claims
1. A drawing apparatus which irradiates a plurality of charged particle beams on an object and thereby performs drawing a pattern on the object with the plurality of charged particle beams, the apparatus comprising:a plurality of blankers configured to individually blank the plurality of charged particle beams to individually adjust intensities of the plurality of charged particle beams irradiated on the object;a deflector configured to collectively deflect the plurality of charged particle beams to collectively change positions, irradiated with the plurality of charged particle beams, on the object; anda controller configured to control the plurality of blankers and the deflector,wherein the controller is configured to respectively obtain positions irradiated with the plurality of charged particle beams deflected by the controlled deflector, to generate control data based on the positions irradiated with the plurality of charged particle beams deflected by the deflector, and to individually control the plurality of blankers based on the control data so as to individually adjust the intensities of the plurality of charged particle beams irradiated on the object. 2. The apparatus according to claim 1, wherein the controller is configured to hold a coefficient used to obtain, with respect to each of the plurality of charged particle beams, error caused by the deflector in a position thereof on the object. 3. The apparatus according to claim 2, wherein the controller is configured to hold, as the coefficient, a coefficient representing a relationship between the error and a reference position of each of the plurality of charged particle beams. 4. The apparatus according to claim 3, wherein the controller is configured to hold, as the coefficient, a coefficient of a polynomial, with respect to a coordinate of the reference position, for representing the relationship. 5. The apparatus according to claim 2, wherein the controller is configured to hold the coefficient with respect to each component of a two-dimensional coordinate on the object. 6. The apparatus according to claim 2, wherein the coefficient is obtained via simulating of a strength and direction of an electric field generated by the deflector. 7. The apparatus according to claim 2, further comprising:a detector configured to detect a charged particle beam,wherein the controller is configured to obtain the coefficient via measuring of an irradiation position of a charged particle beam in each of a first deflection state and a second deflection state caused by the deflector based on an output from the detector with respect to each of the plurality of charged particle beams. 8. The apparatus according to claim 1, wherein the controller is configured to hold data corresponding to error in position of each of the plurality of charged particle beams, that is not deflected by the deflector, on the object, and to generate the control data further based on the data. 9. The apparatus according to claim 1, wherein the controller is configured, with respect to each of the plurality of charged particle beams, to obtain caused by the deflector in a position thereof on the object, and to generate the control data based on the error. 10. The apparatus according to claim 1, wherein the intensities of the plurality of charged particle beams irradiated on the object are individually adjusted by individually adjusting time during which the plurality of charged particle beams pass through the plurality of the blankers. 11. The apparatus according to claim 1, wherein the control data is generated by the controller so as to correct errors in irradiation positions of the plurality of charged particle beams deflected by the deflector with respect to target positions, to be deflected by the deflector, of the plurality of charged particle beams. 12. A method of manufacturing an article, the method comprising:performing drawing a pattern on a substrate using a drawing apparatus;developing the substrate on which the drawing has been performed; andprocessing the developed substrate to manufacture the article,wherein the drawing apparatus irradiates a plurality of charged particle beams on an object and thereby performs the drawing a pattern on the substrate with a plurality of charge particle beams, the apparatus includinga plurality of blankers configured to individually blank the plurality of charged particle beams to individually adjust intensities of the plurality of charged particle beams irradiated on the object;a deflector configured to collectively deflect the plurality of charged particle beams to collectively change positions, irradiated with the plurality of charged particle beams, on the substrate; anda controller configured to control the plurality of blankers and the deflector,wherein the controller is configured to respectively obtain positions irradiated with the plurality of charged particle beams deflected by the controlled deflector to generate control data based on the positions irradiated with the plurality of charged particle beams deflected by the deflector, and to individually control the plurality of blankers based on the control data so as to individually adjust the intensities of the plurality of charged particle beams irradiated on the object. 13. The method according to claim 12, wherein the controller is configured, with respect to each of the plurality of charged particle beams, to obtain error caused by the deflector in a position thereof on the object, and to generate the control data based on the error.
summary
048428078
description
DETAILED DESCRIPTION OF THE INVENTION Referring now to the drawings, wherein like characters designate like or corresponding parts throughout the several views, there is shown in FIG. 1 a diagrammatic representation of a typical nuclear power plant 10, having a pressurized water reactor (PWR) 12 contained within a reactor vessel 14. As is well known, the reactor vessel 14 forms a pressurized container for a nuclear core 16 when sealed by a head assembly 18. Consisting mainly of a plurality of clad nuclear fuel elements 20 arranged in assemblies for generation of substantial amounts of heat through a conventional fission process, the core 16 is controlled by part-length and full-length control rods 22. The specific amount of heat which is generated by the core 16 is dependent primarily upon the position of such control rods 22 with respect to the fuel elements 20. Heat generated by the core 16 is conveyed therefrom by a coolant flow entering through an inlet means 24 and exiting through an outlet means 26, each of which is formed integral with and through a cylindrical wall 28 of the reactor vessel 14 and is otherwise known as a "nozzle". Generally, the coolant flow exiting through the outlet means 26 is conveyed to one or more steam generator systems 30, wherein a heat exchange relationship is established between the coolant flow and water (otherwise known as "secondary coolant") which is utilized to produce steam. The steam thus produced is conventionally used to drive a turbine-generator (not shown) for the ultimate production of electricity. A closed recycling "primary", or steam generating loop, is thus provided with coolant piping coupling the reactor vessel 14 and the steam generator systems 30. As is apparent, the reactor vessel 14 illustrated in FIG. 1 is adaptable for three such loops, although it should be understood that the number of such loops varies from plant to plant with two, three, or four such loops being commonly employed. As is also shown in FIG. 1, the PWR 12 is surrounded by a primary biological shield 32, typically made of concrete, for minimizing the escape therefrom of the biologically-hazardous radiation which is emitted by the PWR 12. In order to monitor the core 16, ex-core neutron detectors 34 (in addition to various forms of in-core instrumentation, not shown) are disposed symmetrically about the core 16 within the biological shield 32. The entire plant 10 is typically enclosed by a containment 16, which includes a reactor sump 38 formed beneath the core 16. Other details relating to purposes of the in-core an ex-core instrumentation, as well as details relating to be operation of a conventional PWR 12 may be found in the above mentioned Ser. No. 032,894, which is assigned to the assignee of the present invention and is incorporated herein by reference. While much information can be obtained through such in-core and ex-core instrumentation, additional information can be obtained by installing supplementary passive neutron dosimeters within an annulus formed between the reactor vessel 14 and the biological shield 32. That annulus, referred to as the reactor cavity 40, is shown in FIG. 2. Prior art approaches to the installation of supplementary neutron dosimeters within the reactor cavity 40 typically hung such dosimeters from accessible portions of the reactor vessel 14 on lengths of stainless steel, nickel or iron wires. Not only were such approaches subject to inaccurate placement of the dosimeters axially with respect to the "beltline" region of the reactor vessel 14, but they also presented problems during change out of the fuel elements 20 (i.e., "refueling operations"). As is well known, an inflatable bladder 42 is often used to seal the uppermost space between the reactor vessel 14 and the biological shield 32. Such a bladder 42 allows the space 44 (FIG. 1) within the biological shield 32 and above the reactor vessel 14 (sometimes referred to as the "refueling cavity") to be flooded with water in order that the radiation associated with the removal of fuel elements 20 from the reactor vessel 14 can be minimized. As is readily apparent, therefore, prior art approaches which hung the dosimeters from wires could easily present puncture hazards to the bladder 42, or they could prevent the bladder 42 from properly sealing the uppermost space between the reactor vessel 14 and the biological shield 32. Further problems related to the inaccuracy of placement of the dosimeters resulted from movement of such dosimeters due to the heavy ventilating air currents within the reactor cavity 40, mechanical vibrations during operation of the PWR 12, and expansion or contraction of the reactor vessel 14 during heat-up and cool-down of the plant 10. In an effort to overcome such problems, the inventors of the present application disclosed in Ser. No. 032,894 a system and method for accurately and repetitively positioning supplementary neutron dosimeters within the reactor cavity 40 of a PWR 12. The system was comprised generally of a means for indicating a neutron dosage accumulated over the fuel cycle of a nuclear reactor, and a means for remotely positioning the indicating means at a plurality of preselected locations within the reactor cavity. The indicating means comprises a plurality of dosimeters arranged along a length of bead chain adapted to be formed in a continuous loop 56 (FIG. 3). In accordance with a first embodiment of that invention, the positioning means comprises U-shaped tubes which are affixed to the walls of the reactor vessel. The continuous loop is led through such tubes, with the dosimeters being remotely positioned from the reactor sump. According to another embodiment of that invention, the positioning means comprises a collapsible support stand, installed within the reactor cavity, from which is suspended a bar having at least one of the U-shaped tubes which is also used to guide the continuous loop as remotely positioned from the reactor sump. A major problem which is presented by the reactor cavity dosimetry system and method according to Ser. No. 032,894, however, is that its implementation is difficult in nuclear power plants having access restrictions to their reactor sumps. Such restrictions may be due to any one or more of the three following factors: (1) the presence of high radiation levels within the reactor sump; (2) the presence of deep water within the reactor sump; or (3) the absence of permanent scaffolding within the reactor sump. Accordingly, it is readily apparent that a more accessible reactor cavity dosimetry system and method than that which is disclosed in Ser. No. 032,894 would be desirable in nuclear power plants having the above-noted restrictions, since each of the embodiments described therein require access to the reactor sump for positioning and retrieval of the dosimeters. In accordance with one important aspect of the present invention, therefore, access for positioning and retrieving the dosimeters is provided for from the top of the reactor vessel 14. Referring again to FIG. 2, there is shown a top access support stand 46 installed within the reactor cavity 40 in order to accurately and repetitively position dosimetry means (not shown in FIG. 2) relative to preselected positions axially with respect to the core 16. As is shown in greater detail in FIGS. 3-7, the top access support stand 46 is comprised generally of a frame assembly 48, a pair of pivot arm assemblies 50 which include a spring-loaded slide 52 and a radial take-up bolt 54, and a continuous chain loop 56 including a chain support plug 58. Referring for the moment to FIG. 3, it can be seen that the continuous chain loop 56 is further comprised of a transport chain 126, a locating chain 128, and a dosimetry chain 140. The pivot arm assemblies 50 are each adapted to be rotated from a stowed position within the same plane as the frame assembly 48 to a locked position perpendicularly deployed across the reactor cavity 40. In such a deployed position, as shown in FIG. 2, the slides 52 of both pivot arm assemblies 50 are spring-loaded against an insulation layer 60 attached to the reactor vessel 14 in order to maintain the top access support stand 46 in a suitable orientation. Where necessary, the radial take-up bolts 54 are installed and adjusted to account for variations in the distance between the insulation layer 60 and an outer wall 62 of the reactor cavity 40 as determined by field measurements. The frame assembly 48, as can be seen from FIG. 3, is comprised generally of a pair of frame tubes 64 which are attached, such as by welding, to a pair of cross members 66. For reactor cavities 40 of a typical size, the frame tubes may be comprised of Type 304 stainless steel tubing having a 1/2-inch outer diameter and a 0.065" thick wall, while the cross members 66 may be likewise comprised of Type 304 stainless steel 11/2" by 11/2" angles having a 1/8" thick wall. The overall dimensions of the fully-assembled top access support stand 46 is, therefore, approximately 12 inches by 12 inches. Referring for the moment to FIG. 4, details of the pivot arm assemblies 50 will now be explained. While only one such pivot arm assembly 50 is shown in FIG. 4, it should be understood that each pivot arm assembly 50 includes a joint block 68 mounted to a frame sleeve 70 which is slidably coupled to its respective frame tube 64. A pair of diametrically opposed grooves 72 are formed in the top of the frame sleeve 70 for engagement with a spring pin 74 which is fitted at the top of each frame tube 64. As thus configured, the pivot arm assemblies 50 are adapted to be locked into position disposed perpendicularly across the reactor cavity 40 when the frame sleeves 70 are pushed upward by respective coil springs 76 and washers 78, thereby engaging the spring pin 74 with the grooves 72. Also attached to each joint block 68 is a pivot arm tube 80 having a spring pin 82 fitted through parallel to the frame sleeve 70. Slidably coupled to the pivot arm tubes 80 are the slides 52. Each such slide 52 is spring-loaded to be biased outward from its respective pivot arm tube 80 by a coil spring 84 mounted within the slide 52. A pair of T-shaped slots 86 are also formed in each slide 52 in order to provide a bayonet joint between the slide 52 and its respective pivot arm tube 80, the slots 86 slidingly engaging the spring pin 82. As thus configured, the slides 52 may be locked in a compressed fashion against the force of their springs 84 by sliding the slides 52 inwardly towards their respective joint block 68, guided by a major portion of the slots 86. Upon reaching the junction of the major portion of the slots 86 with a minor, perpendicularly disposed portion of the slots 86, the slides 52 are rotated about their respective pivot arm tube 80, forcing the spring pin 82 within the minor portions of the slots 86, thereby locking the slides 52 in place. Referring again to FIG. 3, in conjunction with FIGS. 4-6, a means for accurately and repetitively positioning reactor cavity dosimetry (such as that shown in FIG. 7) at a plurality of preselected locations within the reactor cavity 40 remotely from a plane where the head assembly 18 joins the walls 28 of the reactor vessel 14, will now be explained. The reactor cavity dosimetry shown in FIG. 7, constituting a means for indicating the neutron dosage accumulated by the reactor vessel 14 over a fuel cycle, is similar in all respects to that which is described and claimed in copending application Ser. No. 032,894. It is, therefore, comprised generally of a plurality of sensor sets 88, contained within holders 134 (FIG. 7), which are coupled together by lengths of beaded chain 90 and are guided from the remote location to preselected locations within the reactor cavity 40 by a U-shaped tube 92. A detailed discussion of the requirements for such dosimetry, however, is not deemed to be necessary for a complete understanding of the present invention. The description of such dosimetry contained within Ser. No. 032,894 is, therefore, incorporated herein by reference. In order to repeatedly position the indicating means at a plurality of preselected locations within the reactor cavity 40 remotely from a plane where the head assembly 18 joins the walls 28 of the reactor vessel 14, the upper cross member 66 of the top access support stand 46 includes a hole 94 adapted to hold the chain support plug 58 and a slit 96. The chain support plug 58 supports one end of a continuous loop comprising the sensor sets 88 and the beaded chain 90, while the slit 96 entraps the other end. As shown in greater detail in FIG. 6, the chain support plug 58 is comprised generally of a T-shaped back member 98 having a pair of pins 100 formed therein. An end connector 102 attached to the beaded chain 128 is adapted to be mounted over the pin 100 in such a manner as to hang straight from the chain support plug 58. Each end of the chain 56 comprising the continuous loop is, thus, coupled to the chain support plug 58 by the end connectors 102 which are held in place by a cover member 104, mounted upon the back member 98 by any conventional means such as screws 106. The sensor sets 88 shown in FIG. 7 are hung by the locating chain 128 depending from the bottom (as shown in FIG. 6) of the chain support plug 58, while the other end of the continuous loop, starting from the transport chain 126 depending from the top (as shown in FIG. 6) of the chain support plug 58, is routed over to and held in place by the slit 96. The length of the locating chain 128 is based upon a field measurement relative to the top surface of the upper cross member 66. Referring again to FIGS. 3-5, it can be seen that the slit 96 is formed in the top portion of the upper cross member 66, and includes an outer, triangular-shaped portion which narrows down in the vicinity of a plate 108 having mounted thereto a spring plunger 110. In accordance with a presently preferred embodiment of this invention, the spring plunger 110 is comprised of a full-travel, hand-retractable spring plunger which allows its plunger portion 112 to be withdrawn completely into its body portion 114 and then locked into the fully retracted position. Unlocking and extending the plunger portion 112 from the body portion 116 is, thereby easily accomplished by simply turning a handle portion 114 as shown in FIG. 4. One suitable such spring plunger 110 is manufactured by Reid Tool Supply Company. The spring plunger 110 is mounted to the plate 108, through a hole 118 formed therein, by any conventional means such as a nut 120. As thus configured, and referring especially to FIGS. 3 and 5, the plunger portion 112 of the spring plunger 110 is adapted when extended to trap the transport chain 126 locked within the slit 96 to accurately and repetitively position the other end of the continuous loop. It should be noted at this juncture, referring again to FIG. 4, that the width of the slit 96 as well as the thickness of the upper cross member in close proximity to the slit 96 are both formed to be substantially equal to the dimensions of the wire between a pair of individual beads in the transport chain 126. Such a configuration, it has been found, enables the transport chain 126 to be easily but adequately captured within the slit 96 during the remote positioning of the reactor cavity dosimetry. The U-shaped tube 92 shown in FIG. 3 is conveniently mounted to a support plate 122 by a pair of brackets 124, the support plate 122, in turn, being attached to the insulation layer 60 surrounding the reactor vessel 14 by any suitable means such as #14.times.7/8" long self-tapping stainless steel screws (not shown). One such combination of U-shaped tube 92, support plate 122 and brackets 124 is mounted at each of a plurality of preselected locations azimuthally about and beneath the reactor vessel 14. The "legs" of the U-shaped tubes 92 are disposed upwardly towards the top access support stand 46 as shown in FIG. 3. Once the guide means comprising the U-shaped tubes 92, support plates 122, and brackets 124 are, thus, disposed, the reactor cavity dosimetry may be accurately and repetitively positioned axially with respect to the core 16. A suitable length of the beaded chain (referred to hereinbefore as the "transport chain" 126) is routed through each of the U-shaped tubes 92, with the free ends thereof being brought up to the refueling cavity 44. From the refueling cavity 44, at a level of the plane defined by the junction between the head assembly 18 and the walls 28 of the reactor vessel 14, one of the free ends of the transport chain 126 is connected to the top of the chain support plug 58. The dosimetry chain 140, comprising the sensor sets 88 and holders 134, interconnecting beaded chain 90, and upper and lower stop elements 130 (FIG. 7), is then connected at one of its ends to the locating chain 128. The other end of the locating chain 128 is threaded through the hole 94 in the upper cross member 66 of the top access support stand 46, and is connected to the bottom of the chain support plug 58. The other end of the dosimetry chain 140 is likewise connected to the other free end of the transport chain 126 in order to complete the continuous loop through each of the U-shaped tubes 92. As necessary, the continuous loops 56 are cycled through the U-shaped tubes 92 to ensure a free movement. Each of the dosimetry chains 140 may further comprise an identification plate 132 which is attached in the vicinity of one of the stop elements 130. In operation, when an operator first installs the reactor cavity dosimetry, the operator first compresses each spring-loaded pivot arm slide 52 and locks it in place with the bayonet joint (FIG. 3). Each pivot arm assembly 50 is thereafter depressed and rotated into the plane of the frame assembly 48. The top access support stand 46 (with the continuous chain loop 56 in place as described herein above) is then inserted within the reactor cavity 40 to be placed upon a ledge 150 (FIG. 2) formed in the primary biological shield 32. After the top access support stand 46 has been thusly positioned by the operator, each pivot arm assembly 50 is rotated outwardly from the plane of the frame assembly 48 until the grooves 72 of the frame sleeves 70 latch into engagement with their respective pins 74 on the frame tubes 64, thereby locking the pivot arm assemblies 50 disposed perpendicularly across the reactor cavity 40. The pivot arm slides 52 are then rotated by the operator to release each bayonet joint, thereby allowing the slides 52 to be spring-loaded against the insulation layer 60. Any differences in the radial clearance between the biological shield 32 and the insulation layer 60 as measured in the field are accounted for by appropriate pre-adjustments to the take-up bolts 54, threading the take-up bolts 54 into or out of their respective joint blocks 68 as needed to hold the top access support stand 46 in a substantially upright position, with the springs 84 partially compressed. Thereafter, the dosimetry chains 128 are lowered into the reactor cavity 40 and appropriately locked into place by a portion of the chain's being entrapped by the slit 96 and retained by the plunger portion 112. As is known from copending application Ser. No. 032,894, the reactor cavity dosimetry may consist solely of lengths of the beaded chain 90, centered axially about the midplane of the core 16, or may additionally consist of solid state track recorders (SSTR) and radiometric monitors (RM) contained in aluminum capsules 136, as shown in FIG. 7, which are centered axially at preselected locations with respect to the core 16 (e.g., the upper and lower reactor vessel beltline circumferential welds). Subsequent replacement of reactor cavity dosimetry involves operation of the plunger 110 to retract the plunger portion 112, sliding the transport chain 126 out of the slit 96, and then cycling the chain loop 56 hand-over-hand so that the dosimetry chain 140 is brought up to the elevation of the refueling cavity floor 160 (FIG. 2). The portion between the stop elements 130 is removed and replaced with new sensor sets 88, holders 134, and chain 90. The process is then reversed, lowering the chain hand-over-hand until the chain support plug 58 again rests on the top surface of the upper cross member 66, thus accurately repositioning the dosimetry axially relative to the core 16 and the reactor vessel 14. The transport chain side of the loop is pulled up snug, the chain is engaged within the slit 96 and the plunger 110 is released to serve as a keeper across the slit 96, thereby preventing the chain from coming out of the slit 96. Any excess chain is thereafter draped over the top access support stand 46 as shown in FIG. 3. A method, according to the present invention, of monitoring neutron exposure to the reactor vessel 14 consists generally of the steps of forming a plurality of the sensor set 88, and remotely positioning the sensor sets 88 from a plane defined by the junction between the head assembly 18 and the insulation layer 60 attached to the walls 28 of the reactor vessel 14. The sensor sets 88 are thusly positioned at preselected positions within the reactor cavity 40, and exposed to neutrons by operating the plant 10. Upon exhaustion of the fuel elements 20 of the PWR 12, operations of the plant are ceased, the sensor sets 88 are retrieved remotely from the same plane, thereby minimizing interference with refueling operations. New sensor sets 74 are installed and accurately repositioned at the preselected heights relative to the reactor vessel 14 in order to better assess the long-term effects of neutron irradiation on properties of the reactor vessel material. Obviously, many modifications and variations are possible in light of the above teachings. It should, therefore, be understood that within the scope of the appended claims the present invention may be practiced otherwise than as specifically described herein.
description
1. Field of the Invention The present invention relates to an electron microscope capable of analyzing the electronic state of a solid specimen by electron energy-loss spectroscopy (EELS). 2. Description of Related Art When incident electrons collide against atoms constituting a solid specimen, some of the electrons interact with electrons within the specimen crystal or crystal lattice, lose part of their energy, and are scattered, that is, their velocity decreases. These scattered electrons are known as inelastically scattered electrons. A technique for analyzing the electronic state of a solid specimen by analyzing inelastically scattered electrons in terms of energy is known as electron energy-loss spectroscopy (EELS). On the other hand, when incident electrons collide against atoms constituting a solid specimen and scatter without losing energy, the electrons are termed elastically scattered electrons. In the current electron microscopy, specimens are analyzed by the aforementioned EELS. FIG. 1A shows an EELS profile obtained by an EELS analysis. This profile has been obtained by image-processing a spectral image shown in FIG. 1B. This spectral image has been taken by a CCD camera disposed behind the projector lens. A method of gaining this spectral image shown in FIG. 1B is described below. To obtain this spectral image, an electron microscope equipped with an energy filter (such as an Omega filter) behind a specimen is used. In this microscope having the energy filter, a sharply focused electron beam is directed at the specimen. As a result, electrons are ejected from the specimen. The ejected electrons are energy-dispersed in a given direction (i.e., direction substantially perpendicular to the direction in which electrons hit the specimen) by an energy filter. This energy-dispersed electron beam creates the spectrum shown in FIG. 1B between the energy filter and the projector lens. The spectrum is projected onto the CCD camera by the projector lens. A method of gaining the spectral image shown in FIG. 1B has been described so far. The arrow E shown in the spectral image of FIG. 1B indicates the direction of energy dispersion. This direction E is coincident with the longitudinal direction of the spectrum. The spectral image obtained in this way is converted into the EELS profile shown in FIG. 1A by an image processor. In particular, the processor accumulates the intensity of the obtained spectral image in a direction perpendicular to the direction of energy dispersion E. The intensity variation is plotted against the direction of energy dispersion, thus giving rise to an EELS profile (see Japanese Patent Laid-Open No. 2001-76664). A sharp peak P0 appearing on the EELS profile shown in FIG. 1A is a zero-loss peak at which energy loss is zero. This zero-loss peak P0 is produced by the aforementioned elastically scattered electrons. Where some surface of a specimen is analyzed by EELS, the electron beam hitting the specimen is deflected in the x- and y-directions by deflectors. The specimen surface is scanned in two dimensions by the sharply focused beam. The aforementioned spectral image is obtained at each analysis point on the specimen irradiated with the beam. Hence, an EELS profile at each analysis point on the sample is obtained. The electronic state of some surface of the specimen can be analyzed from these EELS profiles. However, this surface analysis using EELS produces the following problems: (1) When the electron beam scans over the specimen surface, the spectral position on the CCD camera is moved. The amount of movement of the spectrum is in proportion to the scanning width of the beam on the specimen surface. Where the electron beam is deflected to a great extent and a wide area of the specimen surface is scanned by the beam, the spectrum does not lie within the light-sensitive surface of the CCD camera. (2) Since the spectral position moves across the CCD camera as mentioned previously, the spectral positions within plural spectral images taken by the CCD camera are not fixed. Consequently, the EELS profile cannot be calibrated in the direction of energy dispersion unless the image position (position p in FIG. 1B) corresponding to the zero-loss peak P0 is detected by an image recognition technique for every spectral image obtained at each analysis point. Since the image recognition processing is time-consuming, it has been heretofore difficult to display EELS profiles at high speed. (3) Where the spectral position moves across the CCD camera and the spectral part forming the zero-loss peak P0 comes out of the light-sensitive surface of the camera as described above, it is impossible to determine the energy position of the EELS profile by the image recognition technique. It is an object of the present invention to provide an electron microscope capable of obtaining EELS profiles irrespective of the electron beam position on the specimen. An electron microscope of the present invention for achieving the above-described object comprises: an electron gun for emitting an electron beam; a condenser lens for focusing the beam onto a specimen; first deflection means for deflecting the beam hitting the specimen to vary electron beam position on the specimen; an energy filter for energy-dispersing the electron beam ejected from the specimen in a given direction to form a spectrum; and a projector lens for projecting the spectrum formed by the filter onto an image detector. This microscope is further equipped with second deflection means for deflecting the beam ejected from the specimen in such a way that the spectrum is kept projected onto the detector irrespective of the beam position on the specimen. Accordingly, the present invention can provide an electron microscope capable of obtaining an EELS profile irrespective of the beam position on the specimen. Other objects and features of the invention will appear in the course of the description thereof, which follows. The preferred embodiments of the present invention are hereinafter described with reference to the accompanying drawings. FIG. 2 shows a transmission electron microscope according to the present invention. The microscope has an electron gun 1. A condenser lens 2, two stages of scan coils (first deflector) 3, an objective lens 4, four stages of intermediate lenses 5-8, an Omega filter (energy filter) 9, two stages of projector lenses 10, 11, a deflection coil (second deflector) 12 for moving the spectral position, and a CCD camera (image detector) 13 are placed in this order from the gun side behind the gun 1. A specimen 14 is set on a specimen holder (not shown) and positioned between the front electric field 4a and rear electric field 4b of the objective lens 4. Referring also to FIG. 2, there are shown an amplifier (drive power supply) 15 for driving the scan coils 3, an amplifier 16 for driving the intermediate lenses 5-8, an amplifier 17 for driving the projector lenses 10, 11, and an amplifier 18 for driving the deflection coil 12 for moving the spectral position. The driver amplifier 15 is electrically connected with a central controller 20 via a scan generator (deflection signal supply circuit) 19. The driver amplifier 16 is electrically connected with the central controller 20 via an intermediate lens excitation signal supply circuit 21. The driver amplifier 17 is electrically connected with the central controller 20 via a projector lens excitation signal supply circuit 22. The driver amplifier 18 is electrically connected with a spectral position correcting signal supply circuit 23. The spectral position correcting signal supply circuit 23 has a scanning direction rotating circuit 23a and a scanning amplitude varying circuit 23b. The correcting signal supply circuit 23 is electrically connected with the central controller 20. The scan generator 19 produces an output signal to the scanning direction rotating circuit 23a of the spectral position correcting signal supply circuit 23. Referring still to FIG. 2, the CCD camera 13 supplies an output signal to an image processor 24. The instrumental configuration of the electron microscope shown in FIG. 2 has been described so far. The aforementioned scan coils 3, driver amplifier 15, scan generator 19, and central controller 20 together form the “first deflection means” referred to herein. The spectral position moving deflection coil 12, driver amplifier 18, spectral position correcting signal supply circuit 23, and central controller 20 together form the “second deflection means” referred to herein. The operation of the electron microscope shown in FIG. 2 is described below. Where a surface of the specimen 14 is analyzed by EELS, an electron beam emitted by the electron gun 1 is sharply focused onto the specimen by the condenser lens 2 and the front field 4a of the objective lens. To scan the focused beam over the specimen 14 in the x- and y-directions which are perpendicular to each other and to the optical axis O, the central controller 20 supplies a scan signal A to the scan generator 19. The generator 19 creates a scanning waveform signal (electron beam-deflecting signal) A′ based on the scan signal A and supplies the created waveform signal A′ to the driver amplifier 15. The driver amplifier 15 controls the electrical current flowing through the scan coils 3 based on the waveform signal A′. The electron beam is deflected in the x- and y-directions by the scan coils 3 controlled in this way. The analysis points on the specimen are successively irradiated with the sharply focused beam. The electron beam ejected from the specimen 14 in response to the irradiation is focused by the rear field 4b of the objective lens. Diffraction patterns at the analysis points are formed in turn on the rear focal plane h of the objective lens 4. The intermediate lenses 5-8 magnify the diffraction patterns formed on the rear focal plane h and form the magnified diffraction patterns on the incident image plane j of the Omega filter 9. The central controller 20 supplies an excitation signal B to the intermediate lens excitation signal supply circuit 21 in controlling the intermediate lenses 5-8. The supply circuit 21 supplies an excitation signal B′ corresponding to the excitation signal B to the driver amplifier 16, which in turn controls the excitation currents flowing through the intermediate lenses 5-8 based on the excitation signal B′. In FIG. 2, an incident crossover point i is located between the final stage of intermediate lens 8 and the incident image plane j of the Omega filter 9. The beam focused on the specimen surface is focused at this crossover point i. As the beam is scanned over the specimen surface, the crossover point i moves in the x- and y-directions. The amount of movement is in proportion to the scanning width of the beam over the specimen surface. The amount of movement of the incident crossover point i in the x- and y-directions when the beam is scanned is also varied by variation in the excitation of the intermediate lenses 5-8. That is, when the amount of excitation of the intermediate lenses 5-8 is varied to reduce the size of the diffraction pattern formed on the incident image plane j, the amount of movement of the crossover point i in the x- and y-directions during scanning is increased. Conversely, when the excitation of the intermediate lenses 5-8 is varied to increase the size of the diffraction pattern formed on the incident image plane j, the amount of movement of the crossover point i in the x- and y-directions during scanning is reduced. The diffraction pattern formed on the incident image plane j does not move in the x- or y-direction if the beam is scanned over the specimen surface. The electron beam passed through the intermediate lenses 5-8 impinges on the Omega filter 9, which in turn energy-disperses the incident electron beam in a given direction (e.g., x-direction) substantially perpendicular to the incident direction (along the optical axis O) and focuses the beam onto an energy dispersive plane m (see FIG. 2). As a result, the spectrum (see FIG. 1B) is formed on the energy dispersive plane m. As the incident crossover point i moves in the x- and y-directions as described previously, the spectrum formed on the energy dispersive plane m moves in the x- and y-directions. That is, the spectrum formed on the energy dispersive plane m moves in the x- and y-directions as the electron beam is scanned over the specimen surface. Usually, an energy-selecting baffle with slit (not shown) for passing only a certain energy of electrons is disposed on the energy dispersive plane m. Where a spectrum is obtained, the baffle with slit is retracted from the optical axis O or opened. In FIG. 2, the Omega filter 9 has an exit image plane k on which a diffraction pattern is formed. The projector lenses 10 and 11 positioned behind the Omega filter 9 magnify the spectrum formed on the energy dispersive plane m and focus (project) the spectrum onto the light-sensitive surface of the CCD camera 13. The central controller 20 supplies an excitation signal C to the projector lens excitation signal supply circuit 22 in controlling the projector lenses 10 and 11. The supply circuit 22 supplies an excitation signal C′ corresponding to the excitation signal C to the driver amplifier 17. The driver amplifier 17 controls the excitation current of the projector lenses 10 and 11 based on the excitation signal C′. One feature of the present invention is that there is provided the deflection coil 12 for moving the spectral position. Movement of the spectrum across the CCD camera 13 when the deflection coil 12 is not operated is described next by referring to FIGS. 3A-6B. In each of FIGS. 3A-6B, (A) shows scanning of the electron beam over the specimen 14, and (B) shows movement of the spectrum on the CCD camera 13 caused by the scanning of the beam. FIG. 3B shows movement of spectra (Sp1, Sp2, . . . , Spn) on the light-sensitive surface D of the CCD camera 13 when the area S of the specimen 14 scanned with the electron beam is S1 (FIG. 3A), the amount of excitation of the intermediate lenses 5-8 is I1, and the amount of excitation P of the projector lenses 10, 11 is P1. In this case, as shown in FIG. 3B, the area S1′ on the CCD camera 13 scanned with the beam is totally within the light-sensitive surface D and so the spectra (Sp1, Sp2, . . . , Spn) at all the analysis points can be imaged by the CCD camera 13. In this case, the direction of scanning of the electron beam on the CCD camera 13 is coincident with the horizontal direction (x-direction) of the light-sensitive surface D. However, the spectra (Sp1, Sp2, . . . , Spn) move across the CCD camera 13. Consequently, it takes a long time to perform image recognition processing as described already. FIG. 4B shows movement of spectra (Sp1, Sp2, . . . , Spn) on the light-sensitive surface D of the CCD camera 13 when the area S of the specimen 14 scanned with the electron beam is S2 (FIG. 4A) greater than S1 in FIG. 3A, the amount of excitation I of the intermediate lenses 5-8 is I1 in the same way as in the case of FIG. 3A, and the amount of excitation P of the projector lenses 10, 11 is P1 in the same way as in the case of FIG. 3A. In this case, as shown in FIG. 4B, the area S2′ of the CCD camera 13 scanned with the beam is not placed fully within the light-sensitive surface D. Therefore, some spectra, such as Sp1 and Sp2, are not imaged by the CCD camera 13. Also, in this case, the direction of scanning of the beam on the CCD camera 13 is coincident with the horizontal direction (x-direction) of the sensitive surface D. FIG. 5B shows movement of spectra (Sp1, Sp2, . . . , Spn) on the light-sensitive surface D of the CCD camera 13 when the area S of the specimen 14 scanned with the electron beam is S1 (see FIG. 5A) in the same way as in the case of FIG. 3A, the amount of excitation I of the intermediate lenses 5-8 is I2 different from the amount of excitation in the case of FIG. 3A, and the amount of excitation P of the projector lenses 10, 11 is P1 in the same way as in the case of FIG. 3A. The amount of excitation I2 dused at this time is intended to reduce the size of the diffraction pattern formed on the incident image plane j of FIG. 2 as compared with the size used in the case of FIG. 3A. That is, the amount of excitation I2 is intended to increase the amount of movement of the incident crossover point i (see FIG. 2) in the x- and y-directions caused by scanning of the electron beam. Accordingly, in this case, the area S1″ on the CCD camera 13 scanned with the beam is wider than in the case of FIG. 3A. The area S1″ is not fully within the light-sensitive surface D. Therefore, some of the spectra, such as Sp1 and Sp2, are not imaged by the CCD camera 13. The scanned area S1″ of FIG. 5B is rotated relative to the scanned area S1′ of FIG. 3B (i.e., the direction of scanning of the beam on the CCD camera 13 is not coincident with the horizontal direction (x-direction) of the sensitive surface D), because the image is rotated by a variation in the magnetization of the intermediate lenses 5-8. FIG. 6B shows movement of spectra (Spi, Sp2, . . . , Spn) on the light-sensitive surface D of the CCD camera 13 when the area S on the specimen 14 scanned with the electron beam is S1 (see FIG. 6A) in the same way as in the case of FIG. 3A, the amount of excitation I of the intermediate lenses 5-8 is I1 in the same way as in the case of FIG. 3A, and the amount of excitation P of the projector lenses 10, 11 is P2 different from the amount of excitation in the case of FIGS. 3A-5A. This amount of excitation P2 is intended to magnify the spectra as compared with the case of FIGS. 3A-5A and to project the spectra onto the CCD camera 13. Accordingly, in this case, the spectra (Sp1, Sp2, . . . , Spn) are greater than in the case of FIGS. 3A-5A. Furthermore, the area S1′″ of the CCD camera 13 scanned with the beam is wider than in the case of FIG. 3A and so the area S1′″ does not fit within the light-sensitive surface D. Therefore, some spectra, such as Sp1 and Sp2 are not imaged by the CCD camera 13. The scanned area S1′″ of FIG. 6B has rotated relative to the scanned area S1′ of FIG. 3B, i.e., the direction of scanning of the beam on the CCD camera 13 does not agree with the horizontal direction (x-direction) of the light-sensitive surface D, because the image is rotated by a variation in the excitation of the projector lenses 10 and 11. This image rotation has rotated the spectra (Sp1, Sp2, . . . , Spn) of FIG. 6B relative to the spectrum of FIG. 3B. The case in which the deflection coil 12 for moving the spectral position is not operated in the electron microscope of FIG. 2 has been described so far. In this case, the aforementioned problem occurs. In the microscope of FIG. 2, the deflection coil 12 is operated when spectra are obtained. The operation is described below. As mentioned previously, the scanning waveform signal A′ created by the scan generator 19 is sent to the scanning direction rotating circuit 23a of the spectral position correcting signal supply circuit 23. The rotating circuit 23a is used to rotate the scanning waveform signal A′ produced from the scan generator 19. The angular position is controlled by the central controller 20. That is, when the electron beam scans over the specimen 14, the rotating circuit 23a creates a beam deflection signal G for maintaining the scanning of the beam on the CCD camera 13 in the horizontal (x-direction) direction of the light-sensitive surface D at all times. In creating this signal G, the rotating circuit 23a creates the deflection signal G based on the scanning waveform signal A′ and on a rotation correction signal E (S, I, P) from the central controller 20. The rotation correction signal E (S, I, P) is described. This signal E maintains the scanning of the beam on the CCD camera 13 in the horizontal direction (x-direction) of the light-sensitive surface D at all times when the electron beam scans over the specimen 14. This correction signal E (S, I, P) is previously stored in the memory 20a of the central controller 20 for various combinations of values of the scanned area S on the specimen, the amount of excitation I of the intermediate lenses 5-8, and the amount of excitation P of the projector lenses 10, 11. That is, various sets of values of the correction signal E (S1, I1, P1), . . . , E (S2, I1, P1), . . . , E (S1, I2, P1), . . . , E (S1, I1, P2), . . . are stored in the memory 20a of the central controller 20. The central controller 20 reads one set of values of rotation correction signal E (S, I, P) corresponding to the present scanned area S on the specimen, the present amount of excitation I of the intermediate lenses 5-8, and the present amount of excitation P of the projector lenses 10, 11 from the memory 20a in which those various sets of values of the correction signal are stored, and supplies the read set of values of the correction signal E to the rotating circuit 23a. For example, where the instrument is currently in the state shown in FIG. 5 (S=S1, I=I2, and P=P1), the central controller 20 reads the rotation correction signal E (S1, I2, P1) for causing the scanning of the beam on the CCD camera 13 to assume the horizontal (x-direction) direction of the light-sensitive surface D (see FIG. 7A) from the memory 20a, and supplies the signal to the scanning direction rotating circuit 23a. The beam deflection signal G which is created by the scanning direction rotating circuit 23a to cause the scanning of the beam on the CCD camera 13 to assume the horizontal direction (x-direction) of the light-sensitive surface D at all times is sent to the scanning amplitude varying circuit 23b that permits the amplitude of the deflection signal G from the scanning direction rotating circuit 23a to be varied to an arbitrary value. The amplitude is controlled by the central controller 20. That is, the amplitude varying circuit 23b creates a spectral position correcting signal H (beam deflection signal H) for projecting the spectra (Sp1, Sp2, . . . , Spn) at a given position (e.g., the center) of the light-sensitive surface D of the CCD camera 13 at all times when the beam scans over the specimen 14. In creating this signal H, the amplitude varying circuit 23b creates the spectral position correcting signal H based on the beam deflection signal G and on an amplitude correcting signal F (S, I, P) from the central controller 20. The amplitude correcting signal F (S, I, P) is now described. This correcting signal F is used to project the spectra (Sp1, Sp2, . . . , Spn) at a given position on the light-sensitive surface D of the CCD camera 13 at all times when the beam scans over the specimen 14. This signal F (S, I, P) projects the spectral position p (FIG. 1B) corresponding to the zero-loss peak P0 at the center of the light-sensitive surface D at all times for the spectra Sp1, Sp2, . . . , Spn. The amplitude correcting signal F (S, I, P) is previously stored in the memory 20b of the central controller 20 for various combinations of values of the scanned area S on the specimen, the amount of excitation I of the intermediate lenses 5-8, and the amount of excitation P of the projector lenses 10, 11. That is, various sets of values of the correcting signal F (S1, I1, P1), . . . , F (S2, I1, P1), . . . , F (S1, I2, P1), . . . , F (S1, I1, P2), . . . are stored in the memory 20b of the central controller 20. The central controller 20 reads one set of values of amplitude correcting signal F (S, I, P) corresponding to the present scanned area S on the specimen, the present amount of excitation I of the intermediate lenses 5-8, and the present amount of excitation P of the projector lenses 10, 11 from the memory 20b in which those various sets of values of the correction signal are stored, and supplies the signal F to the scanning amplitude varying circuit 23b. For example, where the instrument is currently in the state shown in FIG. 5A (S=S1, I=I2, and P=P1) and the scanning direction rotating circuit 23a is creating a rotation-correcting beam deflection signal as shown in FIG. 7A, the central controller 20 reads the amplitude correcting signal F (S1, I2, P1) for projecting the spectra (Sp1, Sp2, . . . , Spn) located at the position of FIG. 7A at the center of the light-sensitive surface D of the CCD camera 13 (see FIG. 7B) from the memory 20b, and supplies the signal to the scanning amplitude varying circuit 23b. The spectral position correcting signal H which is created by the scanning amplitude varying circuit 23b to project the spectra (Sp1, Sp2, . . . , Spn) at the center of the light-sensitive surface D of the CCD camera 13 is supplied to the driver amplifier 18. As is obvious from the foregoing description, the correcting signal H corresponds to the beam deflecting signal A′ supplied to the driver amplifier 15 from the scan generator 19, the excitation signal B′ supplied to the driver amplifier 16 from the intermediate lens excitation signal supply circuit 21, and the excitation signal C′ supplied to the driver amplifier 17 from the projector lens excitation signal supply circuit 22. The driver amplifier 18 controls the electrical current flowing through the spectral position moving deflection coil 12, based on the spectral position correcting signal H. As a result, the electron beam passed through the projector lens 11 is deflected by the deflection coil 12 for moving the spectral position, so that the spectra (Sp1, Sp2, . . . , Spn) are projected at the center of the light-sensitive surface D of the CCD camera 13. The spectra (Sp1, Sp2, . . . , Spn) projected at the center of the light-sensitive surface D are successively accepted into the CCD camera 13 and sent to the image processor 24, which processes the incoming spectral images (Sp1, Sp2, . . . , Spn) and converts each spectral image into an EELS profile as shown in FIG. 1A. The image processor 24 then displays the profiles onto a CRT (not shown). The operation of the electron microscope shown in FIG. 2 has been described so far. As described previously, spectra are always projected at a given position (the center in the above-described embodiment) on the CCD camera 13 irrespective of the scanning of the beam over the specimen in the microscope shown in FIG. 2. Furthermore, if the amounts of excitation of the intermediate lenses 5-8 and projector lenses 10, 11 are varied during scanning, the spectra are projected at a given position on the CCD camera 13 at all times. Therefore, EELS profiles can be reliably obtained regardless of variations in the electron beam position on the specimen and variations in the excitations of the lenses. Furthermore, in the electron microscope of FIG. 2, spectra are always projected at a given position (center) of the CCD camera and so the positions of the spectra within the spectral images accepted by the CCD camera are constant. Therefore, if the image position (position p in FIG. 1B) corresponding to the zero-loss peak P0 is detected by an image recognition technique, the detection can be achieved by searching only a central portion of each spectral image. The direction of energy dispersion in EELS profiles can be calibrated in a short time. Accordingly, EELS profiles can be quickly obtained in the present invention. It is to be understood that the present invention is not limited to the above-described embodiment. For example, the central controller 20 can know the present electron beam position (coordinates in the horizontal and vertical directions) on the specimen because the scan generator 19 is controlled by the central controller 20. Accordingly, the central controller 20 may computationally find a signal corresponding to the output signals from the scanning direction rotating circuit 23a and scanning amplitude varying circuit 23b and supply the found signal (i.e., the spectral position correcting signal H) to the driver amplifier 18. In addition, in the above-described embodiment, the spectral position correcting signal H is supplied to the deflection coil 12 for moving the spectral position. Instead, the correcting signal H may be supplied to a projector lens deflection coil normally mounted between the projector lenses 10 and 11. Further, instead of disposing the deflection coil 12 for moving the spectral position, two stages of beam deflection coils (second deflector) may be positioned, for example, between the rear focal plane h and the intermediate lens 5. The electron beam placed off the optical axis O by scanning of the beam over the specimen 14 may be returned to the axis O by the two stages of beam deflection coils. Where this method is adopted, if the beam is scanned over the specimen, the incident crossover point i does not move in the x- or y-direction. Consequently, spectra are always projected onto the CCD camera irrespective of the scanning of the beam over the specimen. Having thus described my invention with the detail and particularity required by the Patent Laws, what is desired protected by Letters Patent is set forth in the following claims.
summary
summary
claims
1. A pressure-equalizing container for storing radioactive waste in sediments on the ocean floor at a subduction fault, said container comprising:a chamber body having a generally cylindrical interior and a convex exterior;a piston plug movable within the cylindrical interior;a collar which captures the piston-plug and is affixed to one end of the chamber body;an end cap which is attached to the other end of the chamber body to seal in the radioactive waste;a soft metal liner coating the generally cylindrical interior of the chamber body, said liner coating becoming a traveling sealant as external pressures increase; andone or more all-metal O-rings to provide a seal between the chamber body and the end cap. 2. The pressure-equalizing container of claim 1, wherein said chamber body has a convex external surface. 3. The pressure-equalizing container of claim 1, wherein said soft metal liner is fabricated primarily of lead. 4. A pressure-equalizing container for storing radioactive waste in sediments on the ocean floor at a subduction fault, said container comprising:a chamber body having a cylindrical interior coated with a layer of lead, and sealed at a lower end thereof;a piston plug having a solid cylindrical piston having a first diameter, and a solid cylindrical plug having a second diameter that is less than said first diameter, said cylindrical piston and said cylindrical plug being both unitary and coaxial, said piston being installable within an upper portion of said cylindrical interior after said cylindrical interior is partially filled with radioactive waste, said piston having a curved, sharpened circular lower edge designed to scrape lead from the cylindrical interior as the piston plug moves further into said cylindrical inner chamber of said chamber body under external pressure;a collar having a cylindrical aperture that is less than said first diameter, but greater than said second diameter, said collar being securable to an upper end of the chamber body following installation of the piston plug therein, said collar thereby acting to capture the piston of said piston-plug so that it cannot be removed from said cylindrical inner chamber. 5. The pressure-equalizing container of claim 4, which further comprises a coating of copper covering the layer of lead. 6. The pressure-equalizing container of claim 4, wherein the lower end of said cylindrical interior is sealed with an end cap that is bolted to a lower end of said chamber body. 7. The pressure-equalizing container of claim 6, wherein said end cap is pointed to facilitate penetration into sediments on the ocean floor at the subduction fault. 8. The pressure-equalizing container of claim 6, which further comprises fins attached to an upper portion of said container, said fins acting to stabilize descent of said container to sediments on the ocean floor at the subduction fault. 9. The pressure-equalizing container of claim 4, wherein an upper end of said plug is hemispherical. 10. The pressure-equalizing container of claim 4, wherein said piston, in combination with said lead layer, acts to seal the upper portion of said cylindrical interior. 11. The pressure-equalizing container of claim 4, wherein an upper portion of said cylindrical interior is conically beveled so as to faciliate initial entry of the piston into the cylindrical interior.
048287598
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention concerns an agent for decontaminating contaminated metallic or cement-containing substances. The invention also concerns, however, a process for the production of this decontamination agent by using boric acid, which is contained in the primary cycles of pressure water reactors. The invention furthermore concerns processes for using the decontamination agent. Although the decontamination agent in accordance with the invention is not restricted to the use of radioactively contaminated materials, the primary emphasis in the following description will be laid on this application. 2. Description of the Prior Art In the past, the contaminated surface layers of reactor cooling conduits were frequently removed by means of aqueous mineral acid solutions. One such decontamination solution, with 20% nitric acid and 3% hydrofluoric acid, is cited, for example, in "Kernenergie" 11th year, 1968, page 285. Since, because of the aggressive nature of such mineral acid solutions, the removal process can only be controlled with great difficulty, there exists the danger that the pure metal below the contaminated surface layer will be corroded, so that weak points may arise, which may lead to the formation of leaks--which must in all cases be avoided. Of all the decontamination processes later developed in order to remove such or similar defects, the best known one must be the so-called "AP-Citrox" process ("Kernenergie", 11th year, 1968, page 285), in which the contaminated surface is first treated with an oxidizing alkaline permanganate solution to prepare for dissolution, and is then treated with a reducing, aqueous solution of dibasic ammonium citrate. In U.S. Pat. No. 3,873,362, a similar two-stage decontamination process is described, in which, during the first stage, hydrogen peroxide is preferably used for oxidation, and, during the reducing, second process stage, aqueous solutions of mixtures of mineral acids (sulfuric acid and/or nitric acid) and complex-forming substances, such as oxalic acid, citronellic acid, or formic acid, are employed. In accordance with another known decontamination process taught in German Pat. No. DE-PS 27 14 245, the contaminated metallic surface is treated with a cerous solution containing at least one cerium-IV-salt and a water-bearing solvent. A further decontamination process is described in European Patent Application, publication No. 00 73 366, in which an aqueous solution of formic acid and/or acetic acid is used as a decontamination agent, and, as a reducing agent, formaldehyde and/or acetaldehyde is used. In this process, it is particularly advantageous that a relatively slight need for chemicals exists, and, during the removal of the used decontamination solution, a quantity of precipitated radio-active substances corresponding approximately to the volume of the surface layers removed is used. In the wet chemical decontamination processes which have been briefly described above, the basic concept is connected with the fact that the activity in the contaminated surface layer decreases with mass, as the surface layer itself is dissolved by the decontamination solution. The penetration depth of active material into the surface layer can be determined or measured before decontamination. Decontamination tests on various metallic reactor components have only one conflict with the statement above, that the amount of residual activity is solely a function of the thickness of the surface layer removed. For various decontamination solutions, there are provided various decontamination factors with the same gravimetrically determined abrasion of layers. Research with a scanning electron microscope has shown that solid layers or islands of solids have formed on the decontaminated metal surfaces, in which active material is concentrated, and which are as considered as undesirable by-products of the specific abrasive reactions. Such variations are particularly observed in substances which contain silicon or aluminum, and thus in stainless steels and high-temperature materials, such as, for example, are used in helium-cooled high temperature reactors, and even in slightly alloyed steels. Apart from an undesirably high residual activity, the monitoring and control of the decontamination process is, because of the irregular removal of such surface layers difficult, so that reliable decontamination is no longer ensured, and the previously stated corrosion damage has to be taken into account. In the primary water cycle of water pressure reactors, boric acid is found in concentrations of up to 3000 ppm. During the operation of such reactors, small quantities of the stated fluid precipitate as waste. This waste contains, in addition to boric acid, further contaminants, such as, for example, cobalt compounds, as well as solid contaminants, such as, for example, rust residues, materials fibers, dust, and the like. This waste can, in certain cases, be treated to such an extent that it is present in the form of a solid material. The waste was previously generally concentrated to approximately 16 weight % by means of evaporation, so that this concentrate then had an activity of 0.1 to 3 Ci/m.sup.3 and up to 1 g/l of solids (28,000 ppm Boron). Such a concentrate may be solidified with cement (see also, for example, Nagra: (Nationale Genossenschaft zur Lagerung radioaktiver Abfalle) Technical Report, 84-09. A quantity of 123 kg of concentrate solution/200 liter matrix, with a volumetric weight of 1.89 Mg/m.sup.3, that is, 123 kg (=114 liters with a density of 1.08 Mg/m.sup.3) is solidified in a matrix weighing 378 kg. The quantities of concentrate can amount to up to 10 m.sup.3 per nuclear plant per year. To remove this amount of concentrate, approximately 88 vessels were required, according to the above assumptions, whereby the volume of each vessel amounted to about 200 liters. With a price of Swiss 5,000.00 francs per vessel, including removal, the sum of Swiss 440,000.00 francs for the removal of the annually precipitating quantity of waste results. SUMMARY OF THE OBJECTIVE It is the of the present invention to propose a decontamination agent which is more economical than the previously known agent, can be obtained by using boric acid from pressure water reactors, and permits a versatile application. A decontamination agent comprising a fluoroboric acid provides improved decontamination of contaminated metallic and cement-containing materals. Fluoroboric acid decontamination agent may be produced from the reaction of boric acid products from water pressure reactors with fluorine or hydrofluoric acid. Decontamination of contaminated metallic and cement containing materials may then be achieved by contact with the fluoroboric acid decontamination agent, with subsequent separation of the decontamination agent from the contaminants and solid impurities.
abstract
A sample container, sampling system and operating methods permit representative sampling from a liquid phase or boiling liquid, a gaseous phase, a containment sump, containment atmosphere, or condensation chamber of a nuclear power plant following a severe accident. A sample container obtaining an environmental sample includes an outer chamber surrounded by an outer container wall, being directly fluidically connected to the environment through a passage opening in the outer container wall and being fillable with a liquid at least in a base region. An inner chamber surrounded by an inner container wall is fluidically connected to the base region through a passage opening in the inner container wall, has connections for sampling and conveyor medium lines and is otherwise pressure and media tightly sealed from the environment. A pneumatically or hydraulically actuatable closure device for the passage opening between the outer and inner chambers has an actuation medium line connection.
050892142
abstract
An apparatus for monitoring the pressure within a cask containing radioactive materials is disclosed. The apparatus comprises a differential pressure sensor sealingly connected to a bore that penetrates through the wall of the cask for directly monitoring the pressure of the helium gas contained within the cask and for providing a first barrier between the helium gas and the ambient atmosphere. An evacuated sensor chamber surrounds both the outlet end of the through-wall bore and the differential pressure sensor for providing a second barrier between the helium and the ambient atmosphere as well as a vacuum reference point for the differential pressure sensor. An absolute pressure sensor is connected in parallel to the through-wall bore to allow the system operator to determine whether or not a reduction in the pressure sensed by the differential pressure sensor is the result of a leakage condition in the cask, or in the evacuated sensor chamber. The pressure monitoring apparatus allows direct pressure readings to be taken within the cask itself which are reliably confirmable, and further provides multiple mechanical barriers between the hazardous helium gas contained within such a cask, and the ambient atmosphere.
summary
abstract
An apparatus for generating a highly energetic plasma employs a low-energy neutral beam injected into a magnetically contained mirror plasma to produce plasma ions boosted in energy to fusion levels by a coordinated radiofrequency field.
description
This application claims priority to and incorporates entirely by reference U.S. Provisional Patent Application Ser. No. 62/471,078 entitled High Resolution X-Ray Imaging System and filed on Mar. 14, 2017. A method, system, and apparatus for imaging with radiation technology by masking regions of the specimen with radiation blocking materials in conjunction with application of a radiation filter between the specimen and the source of radiation. Current inspection equipment, particularly in the medical environment, takes a “bottom-up” approach in applying energy levels for radiation that is exposed onto a specimen for imaging. Accordingly, the specimen is exposed to wide ranges of radiation power levels before the proper setting is achieved, and those instances of radiation impact the quality of the image. In certain industrial applications, the opposite arrangement of starting the testing with the highest levels of radiation cause the same problems. Incident radiation that is not beneficial to the imaging process is directed onto the specimen and the imaging surface, causing not only wear on the imaging surfaces but also artifacts on the resulting image. Lower doses can be achieved while maintaining the proper level of sensitivity if the incident radiation on the specimen is used only for imaging without the extraneous rays causing problems. A need exists in the art of radiological imaging for tapping into potential radiology systems using a combination of radiation filtering at the source and radiation masking at the specimen to better utilize much lower levels of radiation relative to the area-of-interest. The lower level radiation translates to longer-lived imaging panels without sacrificing image quality. The benefits of exposing specimens (whether live or inanimate) to lower levels of radiation are clearly found in lower incidents of health conditions related to radiation exposure as well as lower costs in radiological equipment that lasts longer. Prior art embodiments that use shielding constructions to protect a specimen during imaging do not take into account the inevitable presence of extraneous and uncontrolled presence of radiation about the specimen. This uncontrolled radiation may take on a variety of wave magnitudes and frequency spectra, either from the source radiation source or by structural attenuation at the specimen, and standard imaging operations occur with no real check on undesirable radiation waves having an impact on the underlying specimen or imaging. Most shielding in use up to this point includes sheet construction housings that are relatively thin in comparison to the shielding disclosed herein, which has a thickness that facilitates the purposes of this disclosure. In one embodiment of this disclosure, a masking assembly at least partially masks a specimen that is subject to radiation imaging with sections of radiation blocking material connected to define a specimen holding frame that is configured to be positioned onto an imaging surface. The sections of radiation blocking material further define an interior region that is so dimensioned to receive exterior contours of the specimen against internal faces of the holding frame with at least a portion of the specimen visible to the imaging surface. In another embodiment, a system for imaging a specimen with radiation includes a source of radiation to be directed to the specimen and a filter between the source of radiation and the specimen to attenuate the radiation to a preferred power and wavelength. The specimen is positioned within a masking assembly for partially masking the specimen from imaging radiation directed thereto. The masking assembly has sections of radiation blocking material connected to define a specimen holding frame configured to be positioned onto an imaging surface. The sections of radiation blocking material further define an interior region that is so dimensioned to receive exterior contours of the specimen against internal faces of the holding frame with at least a portion of the specimen visible to the imaging surface. In yet another embodiment, a method of masking a specimen for radiation imaging includes attaching sections of radiation blocking material to one another such that the connected sections define a specimen holding frame configured to hold a specimen and configured to position a specimen within a line of sight of an imaging surface proximate the specimen holding frame. Arranging the sections of radiation blocking material may further define an interior region that is so dimensioned to receive exterior contours of a specimen against internal faces of the holding frame with at least a portion of the specimen visible through the imaging window. Embodiments of this disclosure use terms that are not intended to be limiting of the products, methods, or systems described. For example, when this disclosure refers to an imaging surface, that piece of equipment may include peripheral electronics that provide imaging functionality to the imaging surface. The imaging surface may include any portion of an imaging apparatus used to provide a line of sight from an electronic imaging array that is sensitive to radiation exposure and has appropriate computerized hardware to produce an image, particularly when a specimen as shown is placed within that line of sight for imaging. Accordingly, this disclosure is not limited to any particular shape or size for imaging surfaces, and the position of the imaging surface relative to a specimen may include any relative positions that fulfill the goal of radiation imaging of the specimen. In this sense, when the disclosure refers to a specimen being “on” an imaging surface, that is not limited to any one orientation, physical proximity or relative position between the imaging surface and the specimen. Being on an imaging surface is a broad phrase to express that a specimen is within a line of sight of a digital imaging apparatus via an imaging surface. FIGS. 1-3 illustrate an ongoing problem in the field of radiography used to provide innumerable images of specimens for the medical industry (i.e., diagnostic or interventional radiology) as well as industrial applications (quality testing for structural integrity of components, dimensional analysis for specimens, fault tolerances in manufacturing processes, just to name a few). The prior art FIGS. 1 and 2 show a specimen (10) that has exterior contours defined by various surfaces (15A, 15B, 15C, 15D) that connect to form the exterior of the specimen, giving the specimen a defined profile from various viewing angles. Two problems arise in conjunction with specimens (10) that are simply placed on or within any line of sight of an imaging surface (20), especially when all areas of the specimen surface are not flush with the imaging surface. As shown in FIGS. 1 and 2, when the exterior contours of a specimen are not flush with the imaging surface, there are voids (75) defined between at least one exterior portion of the specimen (10) and the imaging surface (20). These voids (75) provide regions in which various rays of radiation at diverse frequencies can traverse the specimen surface and become trapped in the void (75). These trapped radiation signals (i.e., waves) tend to be reflected and attenuated by various surfaces such that scattered radiation may “bounce around” within the void, causing over-exposure on the imaging surface (20), and an unusable portion of the image results in areas related to the void (75). FIGS. 1 and 2 depict the over-exposed areas of the imaging surface in terms of radiation intensities (30). The darkest areas show a sharp increase in radiation intensity, and thus over-exposure, in regions associated with the void (75) under a front region of the specimen. As set forth in FIG. 3, a simple masking frame (35) around an outer perimeter of the overall specimen profile does little to alleviate the issue. FIG. 4 illustrates one construction of a masking and radiation shielding apparatus as described herein. The masking apparatus (55) of FIG. 4 includes a highly precise fit between the exterior contours (15) of the specimen (10) and sections of radiation blocking material (55). Sections (55) of radiation blocking material are connected to define a specimen holding frame (60) and an imaging window (80) within the specimen holding frame. The imaging window 80 exposes the specimen and can be located in any position that aids the imaging process and the specimen receipt of radiation thereon. The sections of radiation blocking material (55) further define an interior region that is so dimensioned to receive the exterior contours (15A, 15B, 15C, 15D) of the specimen (10) against internal faces (55B) of the holding frame, with at least a portion of the specimen visible through the imaging window (80). The faces of the holding frame are designed to fit against the exact shape of corresponding portions of the specimen (10) to avoid gaps between the sections of radiation blocking material and the specimen. A tight tolerance for the fit between the holding frame (60) and the specimen (10) prevents unwanted energy levels reflecting and diffracting within the holding frame (60) and causing noise in a resulting image. In one embodiment, the faces (55) of the holding frame (60) define an angle (90) at which the holding frame (60) of radiation blocking material extends over an exterior portion of the specimen to further alleviate any gaps between the holding frame (60) and the specimen. The gaps in this region allow unwanted traps for radiation to affect image quality, and positioning the holding frame (60) over an edge of the specimen reduces the problems associated with trapping radiation in the gap. FIG. 7 illustrates that additional masking regions (55k) may be added to the imaging surface (20) to define an imaging surface (215) of a digital radiological imaging assembly (200) that is also surrounded by a mask (55k) to further eliminate gaps and voids therein. The physical relationship between the imaging surface (215) and the specimen (10) may be determined by the position of an imaging window (80) exposing the specimen and the imaging surface (215) of the imaging apparatus receiving a desired wavelength of radiation through the specimen and onto or into the imaging device. In one embodiment, the imaging surface (215) has a define level of radiation transmission through the imaging surface and onto an image detector or array associated with the imaging surface. The imaging window that exposes the specimen to radiation may also be defined in part as exposing the specimen to the imaging surface if desired. In other words, this disclosure expressly covers arrangements in which the holding frame (60) masks every surface of the specimen, including the surface receiving radiation from the source as well as a different surface that is most proximate to the imaging surface of an imaging radiation detector or digital array. In one embodiment, the masking assembly described herein may be made of high density radiation blocking materials, such as lead, but possibly titanium or tungsten, depending on the application. The higher density materials can be selected for the use at hand. The materials of the holding frame (60) that encloses voids between the specimen, the masking apparatus (55), and the imaging surface (20) may be selected in conjunction with the wavelengths and power profile of the radiation source (125). In one non-limiting embodiment, the radiation source is an X-Ray tube, but the use of X-rays is not the only radiation applicable to this disclosure. Source frequencies and power levels may be varied for the uses at hand. The filter (130) between the radiation source (125) and the specimen (10) is also a component that can be designed to minimize lower energy level radiation (prone to trapping as discussed above). For applications that achieve better results with high energy radiation, the filter can be designed with a thickness that absorbs the lower power components of the energy spectrum. In this embodiment, the thickness of the filter (135) and the material of the filter (whether lead or other metals) can be optimized for use with a masking assembly set forth in the earlier description. FIG. 6 shows the filtering operation at the source (125) of the radiation directed to a specimen (10), but the position is for example only and is not considered limiting of this disclosure. The filter (130) could be positioned at any place within the path of source radiation directed to the specimen, and this position includes, but is not limited to, being attached closely to the source (125) of radiation as shown in FIG. 6, being attached to or closer to the specimen (10) and shielding (60), or being attached to or closer to any structure there between so long as the radiation path is properly unobstructed and reaches the specimen within desirable parameters (e.g., the proper magnitude, frequency, and wavelength). In one embodiment, the filter (130) may be a high resolution x-ray bandpass attenuator. This bandpass attenuator may be thicker than commonly used x-ray filter equipment, but additional attenuation of the radiation wave may be allowable so long as the ultimate imaging sensitivity is realized at the imaging surface (20). In other words, the thickness or other filtering capacity of the filter (130) that assists in controlling the radiation reaching the specimen may allow less overall radiation to reach the specimen but the frequency and wavelength of the radiation at the specimen produces less scattering at the imaging surface. This disclosure, therefore, incorporates a concept and method of maximizing imaging quality at a given imaging array resolution at the imaging surface (20) by engineering the source of radiation, the radiation parameters (e.g., magnitude, wavelength, frequency) with filters (130) of variable capacity to filter out certain instances of source radiation. The embodiments of this disclosure are readily used with overall systems that direct radiation energy through a specimen (10) for contact with an imaging surface (20). The imaging surface may be part of a direct digital array that is tuned to image those incident radiation frequencies that pass through the specimen at the appropriate power level and wavelength. The technology of the source of radiation and the imaging equipment such as a digital array is not discussed in detail but includes all of the hardware, software, and imaging modules known in the industry. These kinds of imaging devices utilize specialized computer readable memory in conjunction with processors and associated electronics to provide appropriate radiological images used herein. An example of an imaging array that may be used as an imaging surface for radiation imaging operations includes by example, but is not limited to, scintillating screens with electronic devices such as charge-coupled devices (CCDs), thin-film transistors (TFT), complementary metal oxide semiconductor (CMOS), and similar kinds of digital electronics and switches that produce an electronic output in the presence of radiation that has been attenuated through a specimen. For example, and without limiting this disclosure to any one embodiment, imaging apparatuses include flat panel imagers that have been introduced for general radiography, dental, mammography and non-destructive testing (NDT) applications. Large-area CMOS active-pixel sensors (APS) in combination with scintillation films has been widely used in this technology. FIGS. 8A and 8B show before and after embodiments of an imaging apparatus (200) that incorporates the above described radiation detector array responsive to receipt of radiation at particular frequencies via a specimen (10) on an imaging surface (215). The imaging apparatus (200) incorporates the detector array, imaging surface, and associated electronics within a housing (222) that may are may not have filtering properties. In the image of FIG. 8A, the housing is unshielded with any kind of shield or mask as described herein. In one example, superior results have been noticed in systems that also provide full radiation shielding (75) around the entire housing of the imaging apparatus, particularly any housing enclosing the imaging surface. FIG. 8B shows that full shielding (75) around the imaging apparatus (200) and its housing (222) may be used in conjunction with any of the above described embodiments that would incorporate the holding frame (60) of masking material that blocks particular portions of radiation that is incident on the specimen to minimize scattering, reflection, refraction, and diffusion of the radiation at the specimen. In one embodiment the imaging apparatus (200) is a digital detector array (DDA). In one embodiment used for testing, and in no way limiting the disclosure to any particular dimensions, one of the DDA-panels dimensions may be about 14″×14″ square and about 5″ thickness. One goal of this disclosure is to show that extremely thick specimens requiring extended exposure times may be able to achieve usable imaging according to this disclosure when prior art devices had thicknesses, filters, and specimen-masking that have been insufficient in the prior art. One factor disclosed herein lies in shielding the entire imaging apparatus (200), including the housing (222) from radiation. Nothing herein should limit covering even the imaging surface with either a filter or a section of radiation blocking material so long as a desired radiation permeability is achieved for suitable imaging resolution. In the example of FIGS. 8A and 8B, a 14″×14″ top surface of the imaging apparatus (200) is a black square in the center, that square has dimensions of 10″×12″. The only location of the detectors (i.e., the array) is directly underneath the black area, the imaging surface (222) of FIG. 8, although, scatter radiation will disrupt and saturate the detectors if the entire top surface of the housing (222) and possibly even the imaging surfaced (222) is not properly shielded with a high-density mask. If the specimen is substantially thick or dense and exposure times get very long, additional masking along the sides and bottom are also necessary to achieve a clean radiographic image with absolute minimum scatter. The DDA-panel exhibits zero inherent shielding from scatter radiation. The system may have additional features/functionality. For example, the system may include additional storage (removable and/or non-removable) including, but not limited to, magnetic or optical disks or tape. The system typically includes a variety of computer readable media. Computer readable media can be any available media that can be accessed by the system and includes both volatile and non-volatile media, removable and non-removable media. By way of example, and not limitation, computer readable media may comprise computer storage media and communication media. Computer storage media includes volatile and non-volatile, removable and non-removable media implemented in any method or technology for storage of information such as computer readable instructions, data structures, program modules or other data. Memory, removable storage, and non-removable storage are all examples of computer storage media. Computer storage media includes, but is not limited to, RAM, ROM, electrically erasable programmable read-only memory (EEPROM), flash memory or other memory technology, CD-ROM, digital versatile disks (DVD) or other optical storage, magnetic cassettes, magnetic tape, magnetic disk storage or other magnetic storage devices, or any other medium which can be used to store the desired information and which can be accessed by the system. Any such computer storage media may be part of the system. The system may also contain communications connection(s) that allow the device to communicate with other devices. Communications connection(s) is an example of communication media. Communication media typically embodies computer readable instructions, data structures, program modules or other data in a modulated data signal such as a carrier wave or other transport mechanism and includes any information delivery media. The term “modulated data signal” means a signal that has one or more of its characteristics set or changed in such a manner as to encode information in the signal. By way of example, and not limitation, communication media includes wired media such as a wired network or direct-wired connection, and wireless media such as acoustic, radio frequency (RF), infrared and other wireless media. The term computer readable media as used herein includes both storage media and communication media. The system may also have input device(s) such as keyboard, mouse, pen, voice input device, touch input device, etc. Output device(s) such as a display, speakers, printer, etc. may also be included. All these devices are well known in the art and need not be discussed at length here. It should be understood that the various techniques described herein may be implemented in connection with hardware or software or, where appropriate, with a combination of both. Thus, the methods and apparatus of the presently disclosed subject matter, or certain aspects or portions thereof, may take the form of program code (i.e., instructions) embodied in tangible media, such as floppy diskettes, CD-ROMs, hard drives, or any other machine-readable storage medium wherein, when the program code is loaded into and executed by a machine, such as a computer, the machine becomes an apparatus for practicing the presently disclosed subject matter. In the case of program code execution on programmable computers, the computing device generally includes a processor, a storage medium readable by the processor (including volatile and non-volatile memory and/or storage elements), at least one input device, and at least one output device. One or more programs may implement or utilize the processes described in connection with the presently disclosed subject matter, e.g., through the use of an API, reusable controls, or the like. Such programs are preferably implemented in a high level procedural or object oriented programming language to communicate with a computer system. However, the program(s) can be implemented in assembly or machine language, if desired. In any case, the language may be a compiled or interpreted language, and combined with hardware implementations. Although example embodiments may refer to utilizing aspects of the presently disclosed subject matter in the context of one or more stand-alone computer systems, the subject matter is not so limited, but rather may be implemented in connection with any computing environment, such as a network or distributed computing environment. Still further, aspects of the presently disclosed subject matter may be implemented in or across a plurality of processing chips or devices, and storage may similarly be effected across a plurality of devices. Such devices might include personal computers, network servers, and handheld devices, for example. Although the subject matter has been described in language specific to structural features and/or methodological acts, it is to be understood that the subject matter defined in the appended claims is not necessarily limited to the specific features or acts described previously. Rather, the specific features and acts described previously are disclosed as example forms of implementing the claims. This disclosure includes all electronics, computers, processors, and associated memory that would be used to implement an imaging system as shown herein. Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art. Methods and materials similar or equivalent to those described herein can be used in the practice or testing of the present disclosure. As used in the specification, and in the appended claims, the singular forms “a,” “an,” “the” include plural referents unless the context clearly dictates otherwise. The term “comprising” and variations thereof as used herein is used synonymously with the term “including” and variations thereof and are open, non-limiting terms. While implementations will be described for steering wheel hand detection systems, it will become evident to those skilled in the art that the implementations are not limited thereto. As utilized herein, the terms “approximately,” “about,” “substantially”, and similar terms are intended to have a broad meaning in harmony with the common and accepted usage by those of ordinary skill in the art to which the subject matter of this disclosure pertains. It should be understood by those of skill in the art who review this disclosure that these terms are intended to allow a description of certain features described and claimed without restricting the scope of these features to the precise numerical ranges provided. Accordingly, these terms should be interpreted as indicating that insubstantial or inconsequential modifications or alterations of the subject matter described and claimed are considered to be within the scope of the invention as recited in the appended claims. It should be noted that the term “exemplary” as used herein to describe various embodiments is intended to indicate that such embodiments are possible examples, representations, and/or illustrations of possible embodiments (and such term is not intended to connote that such embodiments are necessarily extraordinary or superlative examples). The terms “coupled,” “connected,” and the like as used herein mean the joining of two members directly or indirectly to one another. Such joining may be stationary (e.g., permanent) or moveable (e.g., removable or releasable). Such joining may be achieved with the two members or the two members and any additional intermediate members being integrally formed as a single unitary body with one another or with the two members or the two members and any additional intermediate members being attached to one another. References herein to the positions of elements (e.g., “top,” “bottom,” “above,” “below,” etc.) are merely used to describe the orientation of various elements in the FIGURES. It should be noted that the orientation of various elements may differ according to other exemplary embodiments, and that such variations are intended to be encompassed by the present disclosure. It is important to note that the construction and arrangement of the sensing system for a steering wheel as shown in the various exemplary embodiments is illustrative only. Although only a few embodiments have been described in detail in this disclosure, those skilled in the art who review this disclosure will readily appreciate that many modifications are possible (e.g., variations in sizes, dimensions, structures, shapes and proportions of the various elements, values of parameters, mounting or layering arrangements, use of materials, colors, orientations, etc.) without materially departing from the novel teachings and advantages of the subject matter described herein. For example, elements shown as integrally formed may be constructed of multiple parts or elements, the position of elements may be reversed or otherwise varied, and the nature or number of discrete elements or positions may be altered or varied. The order or sequence of any process or method steps may be varied or re-sequenced according to alternative embodiments. Other substitutions, modifications, changes and omissions may also be made in the design, operating conditions and arrangement of the various exemplary embodiments without departing from the scope of the present embodiments. Although the subject matter has been described in language specific to structural features and/or methodological acts, it is to be understood that the subject matter defined in the appended claims is not necessarily limited to the specific features or acts described above. Rather, the specific features and acts described above are disclosed as example forms of implementing the claims. These and other embodiments supported by the disclosure herein are set forth in the claims that follow this detailed description.
summary
abstract
A solid composition comprises: MnO2; and a compound represented by the general formula (I)
043476236
abstract
The system removes heat from a vessel containing the source of heat, utilizing hot water heated by energy released in the vessel as the power supply. The hot water passes through a subcooler and a convergent-divergent nozzle of a flash jet pump where it is accelerated to supersonic velocity. Cold water is drawn into the flash jet pump by the supersonic flow generated and mixes with the water flashed into steam. The mixture is condensed and forced under pressure through connecting pipes into the pressure vessel. A second flash jet pump is utilized to initially fill the vessel with coolant water.
abstract
A packaging for transporting and/or storing radioactive materials, the lateral body of which has a thickness change zone defining a transition surface, and including a portion of reduced thickness extending from the transition surface towards a first axial end of the lateral body, this portion of reduced thickness including an inner surface laterally delimiting a recessed zone of the lateral body, also delimited axially by the transition surface. Moreover, the packaging includes a portion reconstituting the lateral body extending around the longitudinal axis, arranged removably in the recessed zone, and having an inner surface that laterally delimits a portion of the housing cavity intended to receive the mass of radioactive materials.
claims
1. A method, comprising:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy; andsupplying the electrical energy to at least one mechanical pump of the nuclear reactor. 2. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:following initiation of a nuclear reactor shutdown, thermoelectrically converting nuclear reactor generated heat to electrical energy. 3. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:upon a nuclear reactor shutdown event, thermoelectrically converting residual heat generated with a nuclear reactor to electrical energy. 4. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device. 5. The method of claim 4, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric junction. 6. The method of claim 5, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric junction comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one semiconductor-semiconductor junction. 7. The method of claim 6, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one semiconductor-semiconductor junction comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one p-type/n-type junction. 8. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one nanofabricated thermoelectric device. 9. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device, the thermoelectric device having at least a first portion in thermal communication with a first portion of the nuclear reactor and at least a second portion in thermal communication with a second portion of the nuclear reactor. 10. The method of claim 9, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device, the thermoelectric device having at least a first portion in thermal communication with a first portion of the nuclear reactor and at least a second portion in thermal communication with a second portion of the nuclear reactor comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device, the thermoelectric device having at least a first portion in thermal communication with at least one heat source of the nuclear reactor. 11. The method of claim 10, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device, the thermoelectric device having at least a first portion in thermal communication with at least one heat source of the nuclear reactor comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device, the thermoelectric device having at least a first portion in thermal communication with at least a portion of a nuclear reactor core, at least a portion of at least one pressure vessel, at least a portion of at least one containment vessel, at least a portion of at least one coolant loop, at least a portion of at least one coolant pipe, at least a portion of at least one heat exchanger, or at least a portion of a coolant of the nuclear reactor. 12. The method of claim 9, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device, the thermoelectric device having at least a first portion in thermal communication with a first portion of the nuclear reactor and at least a second portion in thermal communication with a second portion of the nuclear reactor comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device, the thermoelectric device having at least a second portion in thermal communication with a second portion of the nuclear reactor system, the second portion of the nuclear reactor system at a lower temperature than the first portion of the nuclear reactor. 13. The method of claim 12, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device, the thermoelectric device having at least a second portion in thermal communication with a second portion of the nuclear reactor system, the second portion of the nuclear reactor system at a lower temperature than the first portion of the nuclear reactor comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device, the thermoelectric device having at least a second portion in thermal communication with at least a portion of at least one coolant loop, at least a portion of at least one coolant pipe, at least a portion of at least one heat exchanger, at least a portion of a coolant of the nuclear reactor, or at least a portion of at least one environmental reservoir. 14. The method of claim 1, wherein the supplying the electrical energy to at least one mechanical pump of the nuclear reactor comprises:supplying the electrical energy to at least one mechanical pump of the nuclear reactor, the at least one mechanical pump circulating coolant through a portion of at least one nuclear reactor core or a portion of at least one heat exchanger. 15. The method of claim 1, wherein the supplying the electrical energy to at least one mechanical pump of the nuclear reactor comprises:supplying the electrical energy to at least one mechanical pump of the nuclear reactor, the at least one mechanical pump circulating at least one liquid coolant. 16. The method of claim 15, wherein the supplying the electrical energy to at least one mechanical pump of the nuclear reactor, the at least one mechanical pump circulating at least one liquid coolant comprises:supplying the electrical energy to at least one mechanical pump of the nuclear reactor, the at least one mechanical pump circulating at least one liquid metal coolant. 17. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a thermal spectrum nuclear reactor to electrical energy. 18. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least two series coupled thermoelectric devices. 19. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least two parallel coupled thermoelectric devices. 20. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric module. 21. The method of claim 1, further comprising:protecting at least one thermoelectric device with regulation circuitry. 22. The method of claim 21, wherein the protecting at least one thermoelectric device with regulation circuitry comprises:protecting at least one thermoelectric device with bypass circuitry. 23. The method of claim 22, wherein the protecting at least one thermoelectric device with bypass circuitry comprises:protecting at least one thermoelectric device with bypass circuitry configured to electrically bypass the at least one thermoelectric device. 24. The method of claim 23, wherein the protecting at least one thermoelectric device with bypass circuitry configured to electrically bypass the at least one thermoelectric device comprises:electrically bypassing the at least one thermoelectric device using at least one electromagnetic relay system, at least one solid state relay system, at least one transistor, at least one microprocessor controlled relay system, at least one microprocessor controlled relay system programmed to respond to at least one external parameter, or at least one microprocessor controlled relay system programmed to respond to at least one internal parameter. 25. The method of claim 1, further comprising:selectively augmenting at least one thermoelectric device using at least one reserve thermoelectric device and reserve actuation circuitry configured to selectively couple the at least one reserve thermoelectric device to the at least one thermoelectric device. 26. The method of claim 25, wherein the selectively augmenting at least one thermoelectric device using at least one reserve thermoelectric device and reserve actuation circuitry configured to selectively couple the at least one reserve thermoelectric device to the at least one thermoelectric device comprises:selectively coupling at least one reserve thermoelectric device to at least one thermoelectric device using at least one relay system, at least one electromagnetic relay system, at least one solid state relay system, at least one transistor, at least one microprocessor controlled relay system, at least one microprocessor controlled relay system programmed to respond to at least one external parameter, or at least one microprocessor controlled relay system to respond to at least one internal parameter to the at least one thermoelectric device. 27. The method of claim 1, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device sized to meet at least one selected operational requirement of the nuclear reactor. 28. The method of claim 27, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device sized to meet at least one selected operational requirement of the nuclear reactor comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device sized to at least partially match the heat rejection of the at least one thermoelectric device with at least a portion of the heat produced by the nuclear reactor. 29. The method of claim 27, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device sized to meet at least one selected operational requirement of the nuclear reactor comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device sized to at least partially match the power requirements of at least one selected operation system. 30. The method of claim 29, wherein the upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device sized to at least partially match the power requirements of at least one selected operation system comprises:upon a nuclear reactor shutdown event, thermoelectrically converting heat generated with a nuclear reactor to electrical energy using at least one thermoelectric device sized to match the power requirements of at least one mechanical pump. 31. The method of claim 1, further comprising:modifying at least one thermoelectric device output using power management circuitry. 32. The method of claim 31, wherein the modifying at least one thermoelectric device output using power management circuitry comprises:modifying at least one thermoelectric device output using voltage regulation circuitry.
abstract
The present invention relates to a method of controlling a nuclear reactor during a transient period. The method includes actuating the steam dump system in response to a temperature error signal and a power mismatch signal.
050826204
description
MODE(S) FOR CARRYING OUT THE INVENTION Illustrated in FIG. 1 is an exemplary boiling water reactor (BWR) 10 having disposed in serial flow communication about a longitudinal centerline axis 12 conventional components including a lower plenum 14, a reactor core 16, an upper plenum 18, a steam separator 20, and a steam dryer 22 disposed inside a generally cylindrical reactor pressure vessel 24 having bottom and top pressure heads. Surrounding the core 16 is a conventional cylindrical core shroud 26 spaced radially inwardly from the vessel 24 to define a conventional annular downcomer 28. The vessel 24 is partially filled with a reactor coolant 30, such as water, to a level above the core 16. The coolant 30 enters the core 16 at a bottom inlet 32 thereof from the lower plenum 14 and is heated by the nuclear fuel in the core 16 which decreases its density and thereby causes it to rise as designated by the rising flow arrows 30r. The rising coolant 30r is also heated to generate steam and forms a conventional water/steam mixture wherein the volume of steam relative to the volume of water is conventionally known as the steam void fraction. The steam void fraction increases as the rising coolant 30r is heated along its upward path in the core 16, and the moisture is removed therefrom in the steam separator 20 and steam dryer 22 for generating relatively dry steam designated 30s. The steam 30s is discharged from the vessel 24 through an outlet nozzle 34 and is conventionally channeled to a conventional steam turbine 36 which rotates a conventional electrical generator 38, for example. The steam 30s is condensed in a conventional condenser 37 and forms feedwater 30f which is pressurized by a conventional feedwater pump 40 and channeled to a conventional feedwater sparger 42 disposed in the vessel 24 and in the downcomer 28. The feedwater 30f is mixed with the liquid 30 separated from the rising coolant 30r at the end of its travel through the steam separator 20 thusly reducing its temperature and increasing its density which therefore falls by gravity downwardly in the downcomer 28 as shown by the falling downcomer reactor coolant 30d. In order to increase the recirculation of the reactor coolant 30 within the vessel 24, a recirculation system in accordance with one embodiment of the present invention is provided and includes an annular pump deck 44 disposed in the downcomer 28 and fixedly joined to the vessel 24 and the core shroud 26 at the bottom of the core 16 adjacent to the core inlet 32. A plurality of circumferentially spaced, conventional impeller-driven reactor internal pumps (RIPs) 46 are disposed in the downcomer 28 and conventionally fixedly secured to the pump deck 44 for pumping a first portion of the reactor coolant 30 in the downcomer downwardly as RIP discharge flow 30a into the lower plenum 14 for flow into the core inlet 32. A plurality of circumferentially spaced, fluid-driven jet pumps (JPs) 48 are also disposed in the downcomer 28 and conventionally fixedly joined to the support deck 44 for pumping a remaining, second portion of the reactor coolant 30 in the downcomer 28 downwardly as JP discharge flow 30b into the lower plenum 14 and in turn into the core inlet 32 in parallel flow with the RIP discharge flow 30a. As shown in FIG. 2, the RIPs 46 are preferably equidistantly spaced between adjacent ones of the JPs 48 for ensuring a uniform flow of the reactor coolant 30 from the downcomer 28, through the pump deck 44 and into the lower plenum 14 for flow to the core inlet 32. As shown in FIGS. 1 and 2, the conventional RIPs 46 each includes an impeller 50 fixedly joined to a drive shaft 52 which extends downwardly through the lower plenum 14 and through the bottom head of the vessel 24 to a conventional motor 54 for selectively and variably driving the impeller 50 for controlling the flowrate of the RIP discharge flow 30a therefrom. As illustrated in FIG. 1, each of the JPs 48 includes a conventional nozzle 56 for ejecting a JP driving fluid 58 as a jet into a conventional inlet 60 which receives the jet 58 and the second portion of the downcomer coolant 30d. A conventional cylindrical mixer 62 extends downwardly from the JP inlet 60 for mixing the jet 58 and the downcomer coolant second portion which flows to a conventional diverging diffuser 64 extending downwardly from the JP mixer 62 which diffuses the mixed jet 58 and downcomer coolant second portion. A cylindrical JP outlet 66 extends downwardly from the JP diffuser 64 for discharging the mixed and diffused jet 58 and downcomer coolant second portion as the JP discharge flow 30b. The operation of the JPs 48 is conventional with the jet 58 creating a suction pressure at the JP inlet 60 for drawing therein the downcomer coolant second portion. The JP diffuser converts the kinetic energy of the jet 58 mixed with the reactor coolant to an increase in static pressure thereof at reduced velocity. One advantage of using both the RIPs 46 and the JPs 48 in a single recirculation system for the reactor 10 is that in the event of an all-pump trip, increased natural recirculation core flow by gravity is obtained since the downcomer coolant 30d may flow through the inoperable JPs 48 with substantially less forward flow resistance than through the inoperable RIPs 46. In this way the advantages of using RIPs 46 may be maintained while additionally providing increased core flow through the JPs 48 in the all-pump trip. Although a conventional external driving pump and related external piping could be used for driving the JPs 48, a considerable reduction in overall system complexity may be obtained by driving the JPs 48 by other means including using the feedwater 30f or the steam 30s as the JP driving fluid 58. In accordance with one embodiment of the present invention, each of the JPs 48 includes means 68 for supplying the JP driving fluid 58 to the JP nozzle 56. In a preferred embodiment of the invention as illustrated in FIG. 1, the JP driving fluid, or feedwater, driving means 68 includes the feedwater driving pump 40 for pressurizing the feedwater 30f, a conventional flow control valve 70 disposed in flow communication with the feedwater pump 40, the feedwater sparger 42 disposed inside the pressure vessel 24 and in flow communication with the flow control valve 70, with the control valve 70 also being disposed in flow communication with the JP nozzles 56 in parallel flow with the feedwater sparger 42. A conventional controller 72 is operatively connected to the feedwater pump 40 and the flow control valve 70 for varying the flow rate of the feedwater 30f and the split of the feedwater 30f between the sparger 42 and the JP nozzles 56. By using a portion of the feedwater 30f as the JP driving fluid 58 for powering the JPs 48, the conventionally required separate driving fluid pump is eliminated, along with all the associated external piping required therefor. The flow control valve 70 may be optionally located inside the vessel 24 and may be provided with the feedwater 30f from the pump 40 by a single conventional conduit and conventional feedwater inlet nozzle through the vessel 24 so that there is no additional external piping loops required for powering the JPs 48. The JP nozzles 56 and the inlets 60 are preferably disposed above the reactor core 16 so that in the event of any breaks in the line feeding the JP nozzle 56, the coolant level within the core shroud 26 is disposed, or remains above the top of the core 16. In this feedwater driven embodiment of the invention, the feedwater flow 30f is automatically increased in response to increased demand of the steam flow 30s, and, therefore, recirculation flow of the coolant 30 inside the vessel 24 is necessarily increased. Accordingly, as more steam 30s is generated by the reactor 10, thus requiring increased recirculation flow, more of the steam 30s is condensed in the turbine 36 for increasing the volume of the feedwater 30f which in turn increases the amount of feedwater 30f channeled to the sparger 42 and the JP nozzle 56. The split of the feedwater 30f between the sparger 42 and the JP nozzles 56 may be determined for each particular design, and the flow thereof to the JP nozzles 56 may be increased with a decrease of the flow to the sparger 42 or vice versa. However, the feedwater driven JPs 48 have difficulty in matching steam flow 30s, feedwater flow 30f, and the recirculation flow of the coolant 30r through the core 16 for off-rated reactor conditions. In part, these difficulties relate to the rather high proportion of feedwater flow which must be directed to the nozzles of the JPs 48, typically 80% of the total feedwater flow for a recirculation system composed solely of feedwater driven jet pumps. Furthermore, the feedwater driven JPs 48 have difficulty alone in accommodating plant upset or transient conditions that require independent control of the coolant water level 30 in the vessel 24 and the flowrate of the coolant into the core inlet 32. For example, in a trip shutdown of the reactor 10 from a power producing condition, which may occur after a trip of the turbine 36, conventional control rods 74, only one of which is illustrated, are inserted into the core 16 by conventional control rod drives 76 to decrease reactivity in the core 16 to shut down the reactor 10. However, during the transient interval immediately following the trip, which may occur for a few seconds, the core flow in a conventional reactor is independently decreased to slow the drop in level of coolant 30 as steam voids collapse and are displaced in the core 16 and for increasing the void fraction of the rising coolant 30r to further control coolant level 30 and decrease reactivity in the core 16. At the same time, the flow of the feedwater 30f is also conventionally increased when possible to maintain or increase the level of the coolant 30 in the reactor 10 to accommodate the level decrease of the coolant 30 and for ensuring a safe shutdown. This independent ability to both quickly decrease the core flow while increasing the feedwater flow in such a transient condition is not readily available from the feedwater driven JPs 48 alone. However, the present invention as described above which includes both the JPs 48 and the RIPs 46 maintains this ability since the JPs 48 and the sparger 42 may be used for maintaining or increasing the level of the coolant 30 within the vessel 24, with the RIPs 46 being used independently to rapidly decrease the core flow through the core inlet 32 by decreasing the speed of the impellers 50. The ability to independently operate both the JPs 48 and the RIPs 46 provides an increase in the ability to match flows of the steam 30s, feedwater 30f, and recirculation 30r through the core 16 at off-rated conditions as well. Accordingly, in a trip of the reactor 10, the JPs 48 are effective for maintaining and increasing water level in the pressure vessel 24 while the RIPs 46 are effective for decreasing total flow of the reactor coolant into the core inlet 32 for increasing steam void fraction in the core 16 for maintaining the level of coolant 30 and decreasing reactivity of the core 16. The JPs 48 provide a portion of the feedwater 30f into the vessel 24 and provide a portion, i.e. JP discharge flow 30b, of the total core inlet flow 30r channeled upwardly through the core 16, with the remainder of the core inlet flow being provided by the RIPs 46, i.e. RIP discharge flow 30a. The ratio of the number of the feedwater driven JPs 48 to the RIPs 46 may be determined for each particular design and depend on the proportion of flow desired to be provided by the feedwater driven JPs 48 at rated and off-rated (e.g. natural circulation flow) conditions, as well as tradeoffs on the feedwater pump head requirement. Typically half of the recirculation pumps are feedwater driven JPs 48 and half are RIPs 46, although any appropriate ratio may be used. The JPs 48 are interspaced between the RIPs 46 as illustrated in FIG. 2 to ensure a more uniform distribution of core flow through the core inlet 32 during operation. In a preferred embodiment of the invention, the RIPs 46 and the JPs 48 have diverse or independent motive power sources to reduce the likelihood of simultaneous loss of function thereof while allowing independent operation thereof. For example, the motors 54 powering the RIPs 46 are preferably provided with a conventional first power supply 78 while the feedwater pump 40 is provided with a separate or diverse conventional second power supply 80, and therefore the JPs 48 are separately powered from the RIPs 46. For example, some feedwater pumps 40 may be steam driven using a steam turbine, whereas RIPs 46 are electrically driven. Furthermore, the likelihood of transition boiling during a transient reactor trip is substantially reduced, if not eliminated, by utilizing both the JPs 48 and the RIPs 46. Each of the RIPs 46 has an RIP flow inertia which is conventionally relatively low. The RIP flow inertia is simply the inertia of the RIP discharge flow 30a which is due in large part to the rotational inertia of the impeller 50. When the RIP 46 trips, the impeller 46 coasts to a stop relatively quickly and the RIP discharge flow 30a also decreases relatively quickly. In an all RIP 46 recirculation system, such relatively low RIP flow inertia increases the likelihood of transition boiling in a reactor trip as is conventionally known and suitable conventional accommodations must be made therefor. For example, some of the RIPs 46 in a conventional reactor, may be separately powered by a conventional motor-generator set for increasing the RIP flow inertia to delay coast down during the trip event. Or, alternatively, mass may be added to the impeller 50 or drive shaft 52 for increasing its rotational inertia to increase the RIP flow inertia. In accordance with one object of the present invention, each of the JPs 48 has a JP flow inertia which is predeterminedly greater than the RIP flow inertia. This may be accomplished, for example, by sizing the feedwater driving pump 40 for effecting the JP flow inertia greater than the RIP flow inertia. The feedwater driving pump 40 is typically a relatively large pump having a relatively large driving motor on the order of about 500 shaft horsepower. Upon tripping of the feedwater driving pump 40, the inertia thereof allows the pump 40 to continue to pump at decreasing rates as it coasts down, which, in turn, continues to pump the JP discharge flow 30b from the JPs 48. Accordingly, in the event of an all RIP 46 trip, the JPs 48 continue to operate and maintain a core flow through the inlet 32, which although being less than the total core flow with the RIPs 46 operational is sufficient for preventing transition boiling in the core 16. Similarly, in the event of an all JP 48 trip, the RIPs 46 continue to operate for providing core flow into the inlet 32 similarly at a reduced level but suitable for preventing transition boiling in the core 16. And, in the event of an all pump trip including both the RIPs 46 and the JPs 48, the larger JP flow inertia itself may be used for preventing transition boiling independently of the RIPs 46. Furthermore, the motor-generator sets which might otherwise be used with the RIPs 46, or the additional rotor mass added thereto, may be eliminated for reducing the complexity and cost of the overall system, relying instead on the JPs 48 for providing the required core flow during the all pump trip condition to prevent transition boiling. Accordingly, an all pump trip of the RIPs 46 and the JPs 48 becomes a relatively mild transient condition which will not result in transition boiling. Furthermore, plant stability margin under natural recirculation in the all pump trip condition is increased due to the higher core flow provided by the JPs 48, and it is not necessary to implement a stability exclusion region under partial pump trip or natural recirculation flow conditions in the power map of the reactor 10. Yet further, conventional motor-generator sets may be eliminated from the RIPs 46 as above described, and the need for automatic insertion of the control rods 74 under low core flow conditions to avoid unstable operating regimes may also be eliminated. Improved recovery from plant upset or transient conditions may be obtained as above described. Illustrated in FIG. 3 is an alternate embodiment of the coolant recirculation system. In this embodiment, the JP driving fluid 58 is steam, which, for example, may be a portion of the steam 30s channeled from the reactor outlet nozzle 34 to the steam turbine 36. The feedwater pump 40 is conventionally joined to solely the feedwater sparger 42, and the JP driving fluid, or steam, supplying means 68 are disposed in flow communication with the outlet nozzle 34 of the reactor 10 for receiving a portion of the steam 30s discharged therefrom for flow to the JP nozzles 56. The steam supplying means 68 include in this embodiment a conventional steam control valve 82 connected in flow communication between the JP nozzles 56 and the outlet nozzle 34, and operatively connected to the controller 72 for varying the steam flowrate therethrough. The steam supplying means 68 preferably include conventional means 84 for reheating the steam 30s received from the outlet nozzle 34 for raising the temperature of the steam 30s to increase its energy for flow to the JP nozzles 56. Alternatively, steam and feedwater can be premixed in a nozzle mixing section located upstream of the jet pump body, as conventionally known, to condense the steam and convert heat energy to kinetic energy in the form of a high velocity jet used as drive flow. By utilizing steam as the JP driving fluid 58, another arrangement for obtaining diverse motive power for the JPs 48 as compared to the RIPs 46 is obtained, including the various benefits therefrom as described above. While there have been described herein what are considered to be preferred embodiments of the present invention, other modifications of the invention shall be apparent to those skilled in the art from the teachings herein, and it is, therefore, desired to be secured in the appended claims all such modifications as fall within the true spirit and scope of the invention. Accordingly, what is desired to be secured by Letters Patent of the United States is the invention as defined and differentiated in the following claims:
claims
1. A radiation image acquiring device comprising:a plurality of detectors to measure radiation;a collimator including a plurality of through-holes having the detectors disposed therein and configured to limit an incident direction of the radiation; anda data processing device to measure a positional displacement between the detectors and the collimator by use of profiles of a radiation source measured by the detectors,wherein:pixels of the detectors are two-dimensionally arranged in an x direction and a y direction, where m detectors in the x direction and n detectors in the y direction (m×n detectors), where m and n are integers, are included in single ones of the through-holes of the collimator,Pa denotes one of the profiles in the x direction measured when the radiation source is disposed above the m×ix-th (ix is an integer) detector pixel position in the x direction, which is designated as a position counted from 0,Pb denotes one of the profiles in the x direction measured when the radiation source is disposed above the m×ix+(m−1)th detector pixel, andPb is inverted about the detector pixel position m×ix+(m−1)/2 to obtain Pb′,wherein the data processing device sets an amount of movement of the collimator in the x direction such that a residual sum of squares Rx of Pa and Pb′ is less than a predetermined threshold,wherein:Pc denotes one of the profiles measured when the radiation source is disposed above the n×iy-th (iy is an integer) detector pixel position in the y direction, which is designated as a position counted from 0,Pd denotes one of the profiles measured when the radiation source is disposed above the n×iy+(n−1)th detector pixel, andPd is inverted about the detector pixel position n×iy+(n−1)/2 to obtain Pd′, andwherein the data processing device sets an amount of movement of the collimator in the y direction such that a residual sum of squares Ry of Pc and Pd′ is less than the predetermined threshold. 2. The radiation image acquiring device according to claim 1,wherein the data processing device sets an amount of movement of the collimator in the x direction so as to minimize Rx, andwherein the data processing device sets an amount of movement of the collimator in the y direction so as to minimize Ry. 3. The radiation image acquiring device according to claim 1,wherein the data processing device estimates a positional displacement amount Dx in the x direction from Rx, an amount of positional displacement of the collimator obtained beforehand, and a graph showing a relationship of the residual sum of squares of Pa and Pb′,wherein the data processing device sets Dx as an amount of movement of the collimator in the x direction so as to minimize Rx,wherein the data processing device estimates a positional displacement amount Dy in the y direction from Ry, an amount of positional displacement of the collimator obtained beforehand, and a graph showing a relationship of the residual sum of squares of Pc and Pd′, andwherein the data processing device sets Dy as an amount of movement of the collimator in the y direction so as to minimize Ry. 4. The radiation image acquiring device according to claim 1,wherein the data processing device obtains pa and pb′ by plotting only the m×jx-th (jx is an integer) values in Pa and Pb′,wherein the data processing device determines a direction of positional displacement in the x direction based on a positional relationship between main peaks and sub-peaks of pa and pb′,wherein the data processing device obtains pc and pd′ by plotting only the n×jy-th (jy is an integer) values in Pc and Pd′, andwherein the data processing device determines a direction of positional displacement in the y direction based on a positional relationship between main peaks and sub-peaks of pc and pd′. 5. The radiation image acquiring device according to claim 1,wherein the data processing device obtains beforehand Pa as Pa(x) which is a positional displacement x obtained by simulation in a range of −Hx/2 to Hx/2, where Hx denotes a hole pitch of the collimator in the x direction,wherein the data processing device obtains a residual sum of squares of Pa(x) obtained by simulation and Pa obtained during positional displacement measurement,wherein the data processing device obtains a direction of positional displacement in the x direction from the value of the positional displacement x of Pa(x) where the residual sum of squares of Pa(x) and Pa is minimized,wherein the data processing device obtains beforehand Pc as Pc(y) which is a positional displacement x obtained by simulation in a range of −Hy/2 to Hy/2, where Hy denotes a hole pitch of the collimator in the y direction,wherein the data processing device obtains a residual sum of squares of Pc(y) obtained by simulation and Pa obtained during positional displacement measurement, andwherein the data processing device obtains a direction of positional displacement in the y direction from the value of the positional displacement y of Pc(y) where the residual sum of squares of Pc(y) and Pc is minimized. 6. The radiation image acquiring device according to claim 1,wherein the data processing device obtains positional displacement information from profiles of the radiation source in the x direction at both ends of the collimator in the y direction,wherein the data processing device sets different movement amounts at both the ends of the collimator in the y direction so as to move the collimator in the x direction,wherein the data processing device obtains positional displacement information from profiles of the radiation source in the y direction at both ends of the collimator in the x direction, andwherein the data processing device sets different movement amounts at both the ends of the collimator in the x direction so as to move the collimator in the y direction. 7. The radiation image acquiring device according to claim 1,wherein the data processing device obtains positional displacement amounts at spots of the collimator in the x direction, and sets an amount of movement of the collimator in the x direction so as to minimize the sum of the positional displacement amounts at the spots of the collimator in the x direction,wherein the data processing device obtains positional displacement amounts at spots of the collimator in the y direction, andwherein the data processing device sets an amount of movement of the collimator in the y direction so as to minimize the sum of the positional displacement amounts at the spots of the collimator in the y direction. 8. The radiation image acquiring device according to claim 1,wherein the data processing device obtains positional displacement amounts at spots of the collimator in the x direction,wherein the data processing device sets an amount of movement of the collimator in the x direction such that the positional displacement amounts at the spots of the collimator in the x direction are smaller than a predetermined threshold,wherein the data processing device obtains positional displacement amounts at spots of the collimator in the y direction, andwherein the data processing device sets an amount of movement of the collimator in the y direction such that the positional displacement amounts at the spots of the collimator in the y direction are smaller than a predetermined threshold. 9. The radiation image acquiring device according to claim 1, whereinthe data processing device data processing device identifies the position of the radiation source relative to the detectors. 10. The radiation image acquiring device according to claim 9,wherein the data processing device obtains the position of the radiation source from a position of a centroid of the profiles,wherein the position identification unit obtains the position of the centroid of the profile of the radiation source expressed by the count in the vertical axis and the position of the detector in the horizontal axis while designating the position of the detector with an integer counted from 0, andwherein the position identification unit sets a value obtained by rounding the position of the centroid as the position of the radiation source. 11. The radiation image acquiring device according to claim 1,wherein the radiation source is a line source,wherein the line source is disposed at an angle with respect to the y direction when the profiles in the x direction are obtained,wherein the line source is disposed at an angle with respect to the x direction when profiles in the y direction are obtained, andwherein the data processing device obtains positional information of the collimator by using Pa and Pb, obtained by:when obtaining the profiles in the x direction, obtaining the profiles in the x direction for each detector pixel position in the y direction, designating the position of the detector with an integer counted from 0, obtains the position of the centroid of the profile of the radiation source expressed by the position of the detector in the horizontal axis and the count in the vertical axis, setting a value obtained by rounding the position of the centroid as a radiation source position in the x direction, and setting average values of the profiles in which the radiation source positions are m×ix-th and m×ix+(m−1)th positions as Pa and Pb, andwherein the data processing device obtains positional information of the collimator by using Pc and the Pd, obtained by:when obtaining the profiles in the y direction, obtaining the profiles in the y direction for each detector pixel position in the x direction, designating the position of the detector with an integer counted from 0, obtains the position of the centroid of the profile of the radiation source expressed by the position of the detector in the horizontal axis and the count in the vertical axis, setting a value obtained by rounding the position of the centroid as a radiation source position in the y direction, and setting average values of the profiles in which the radiation source positions are n×iy-th and n×iy+(n−1)th positions as Pc and Pd. 12. The radiation image acquiring device according to claim 1,wherein collimator has a plurality of ceptors which define the through-holes,wherein the data processing device measures the profiles of the radiation source disposed immediately above the position of one of the ceptors of the collimator or immediately above an intermediate position between the ceptors, andwherein the data processing device obtains an asymmetry degree of the profiles, andwherein the data processing device sets an amount of movement of the collimator so as to minimize the asymmetry degree. 13. An alignment method for a radiation image acquiring device comprising:arranging a plurality of detectors to measure radiation and a collimator including a plurality of through-holes having the detectors disposed therein and configured to limit an incident direction of the radiation, so that pixels of the detectors are two-dimensionally arranged in an x direction and a y direction, where m detectors in the x direction and n detectors in the y direction (m×n detectors), where m and n are integers, are included in single ones of the through-holes of the collimator;setting an amount of movement of the collimator in the x direction such that a residual sum of squares Rx of Pa and Pb′ is less than a predetermined threshold, where:Pa denotes one of the profiles in the x direction measured when the radiation source is disposed above the m×ix-th (ix is an integer) detector pixel position in the x direction, which is designated as a position counted from 0,Pb denotes one of the profiles in the x direction measured when the radiation source is disposed above the m×ix+(m−1)th detector pixel, andPb is inverted about the detector pixel position m×ix+(m−1)/2 to obtain Pb′;moving the collimator by the set amount of movement in the x direction;setting an amount of movement of the collimator in the y direction such that a residual sum of squares Ry of Pc and Pd′ is less than the predetermined threshold, where:Pc denotes one of the profiles measured when the radiation source is disposed above the n×iy-th (iy is an integer) detector pixel position in the y direction, which is designated as a position counted from 0,Pd denotes one of the profiles measured when the radiation source is disposed above the n×iy+(n−1)th detector pixel, andPd is inverted about the detector pixel position n×iy+(n−1)/2 to obtain Pd′; andmoving the collimator by the set amount of movement in the y direction. 14. The alignment method according to claim 13, wherein the amount of movement of the collimator in the x direction is set to minimize Rx, andwherein the amount of movement of the collimator in the y direction is set to minimize Ry. 15. The alignment method according to claim 13, further comprising:estimating a positional displacement amount Dx in the x direction from Rx, an amount of positional displacement of the collimator obtained beforehand, and a graph showing a relationship of the residual sum of squares of Pa and Pb′;setting Dx as an amount of movement of the collimator in the x direction so as to minimize Rx;estimating a positional displacement amount Dy in the y direction from Ry, an amount of positional displacement of the collimator obtained beforehand, and a graph showing a relationship of the residual sum of squares of Pc and Pd′; andsetting Dy as an amount of movement of the collimator in the y direction so as to minimize Ry. 16. The alignment method according to claim 13, further comprising:providing Pa as Pa(x) which is a positional displacement x obtained by simulation in a range of −Hx/2 to Hx/2, where Hx denotes a hole pitch of the collimator in the x direction;obtaining a residual sum of squares of Pa(x) obtained by simulation and Pa obtained during positional displacement measurement;obtaining a direction of positional displacement in the x direction from the value of the positional displacement x of Pa(x) where the residual sum of squares of Pa(x) and Pa is minimized;providing Pc as Pc(y) which is a positional displacement x obtained by simulation in a range of −Hy/2 to Hy/2, where Hy denotes a hole pitch of the collimator in the y direction;obtaining a residual sum of squares of Pc(y) obtained by simulation and Pa obtained during positional displacement measurement; andobtaining a direction of positional displacement in the y direction from the value of the positional displacement y of Pc(y) where the residual sum of squares of Pc(y) and Pc is minimized. 17. The alignment method according to claim 13,wherein the positional displacement information from profiles of the radiation source in the x direction is obtained at both ends of the collimator in the y direction,wherein different movement amounts are set at both the ends of the collimator in the y direction so as to move the collimator in the x direction,wherein the positional displacement information from profiles of the radiation source in the y direction is obtained at both ends of the collimator in the x direction, andwherein different movement amounts are set at both the ends of the collimator in the x direction so as to move the collimator in the y direction. 18. The alignment method according to claim 13,wherein the radiation source is a line source,wherein the line source is disposed at an angle with respect to the y direction when the profiles in the x direction are obtained, andwherein the line source is disposed at an angle with respect to the x direction when profiles in the y direction are obtained.
claims
1. A radiographic image detector comprising:a first electrode layer for transmitting electromagnetic waves carrying a radiographic image;a photoconductive recording layer for producing electric charges by being irradiated with said electromagnetic waves;a charge accumulating portion for storing said electric charge produced in said photoconductive recording layer;a photoconductive read-out layer for producing electric charges by being irradiated with image-reading light;a second electrode layer having a first stripe electrode, in which a plurality of first line electrodes for transmitting said image-reading light are arranged in parallel at predetermined intervals, and a second stripe electrode, in which a plurality of second line electrodes are arranged in parallel between said first line electrodes; andan insulating member mounted on at least one of side surfaces extending in a longitudinal direction of said second line electrode and on a part or whole of a top surface of said second line electrode continuous to said side surfaces,wherein said insulating member is formed from a material that absorbs said image-reading light;said first electrode layer, said photoconductive recording layer, said photoconductive read-out layer, and said second electrode layer being stacked in this order. 2. The radiographic image detector as set forth in claim 1, wherein said image-reading light is blue light and said insulating member is formed from a material in which diaminoanthranilic red is dispersed in acrylic resin. 3. The radiographic image detector as set forth in claim 1, wherein said image-reading light is red light and said insulating member is formed from a material in which copper phthalocyanine is dispersed in acrylic resin. 4. The radiographic image detector as set forth in claim 1, wherein said insulating member is formed from a red insulating material that absorbs blue light. 5. The radiographic image detector as set forth in claim 1, wherein said insulating member is formed from an insulating material having a color complementary to a wavelength of the image reading light. 6. The radiographic image detector of claim 1, wherein the first electrode layer employs a film comprising at least one of SnO2, indium tin oxide (ITO), and IDEMITSU indium X-metal oxide (IDIOX). 7. The radiographic image detector of claim 6, wherein the film has a thickness of about 50 nm to 200 nm. 8. The radiographic image detector of claim 1, wherein the first electrode layer employs a film comprising at least one of Al and Au. 9. The radiographic image detector of claim 8, wherein the film has a thickness of about 100 nm. 10. The radiographic image detector of claim 1, wherein the first line electrodes employ a film comprising at least one of indium tin oxide (ITO), and IDEMITSU indium X-metal oxide (IDIOX). 11. The radiographic image detector of claim 10, wherein the film has a thickness of about 50 nm to 200 nm. 12. The radiographic image detector of claim 1, wherein the first line electrodes employ a film comprising at least one of Al and Cr. 13. The radiographic image detector of claim 12, wherein the film has a thickness of about 10 nm. 14. The radiographic image detector of claim 1, wherein the second line electrodes employ a film comprising at least one of Al and Cr. 15. The radiographic image detector of claim 14, wherein the film has a thickness of about 10 nm. 16. A radiographic image detector comprising:a first electrode layer for transmitting electromagnetic waves carrying a radiographic image;a photoconductive recording layer for producing electric charges by being irradiated with said electromagnetic waves;a charge accumulating portion for storing said electric charge produced in said photoconductive recording layer;a photoconductive read-out layer for producing electric charges by being irradiated with image-reading light;a second electrode layer having a first stripe electrode, in which a plurality of first line electrodes for transmitting said image-reading light are arranged in parallel at predetermined intervals, and a second stripe electrode, in which a plurality of second line electrodes are arranged in parallel between said first line electrodes; andan insulating member mounted on at least one of side surfaces extending in a longitudinal direction of said second line electrode and on a part of a top surface of said second line electrode continuous to said side surfaces, and leaving a central part of said top surface in direct contact with the photoconductive read out layer;said first electrode layer, said photoconductive recording layer, said photoconductive read-out layer, and said second electrode layer being stacked in this order.
042279674
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 illustrates a liquid metal fast breeder reactor of known construction. This reactor includes a core area 8 containing a plurality of fuel elements 10. The power generated by the reactor is regulated by a series of control rods 12 that are raised and lowered with respect to the core by the rod drive mechanisms 14. The core of the reactor is contained within a vessel 16 and the entire nuclear reactor is housed within a primary shield 18. The reactor uses partially enriched uranium (U-235) or plutonium (Pu-239) for fuel and the primary coolant is typically sodium at atmospheric pressure. The reactor of FIG. 1 is cooled by a flow of liquid sodium that enters the reactor vessel through an inlet nozzle 20. The liquid sodium thereafter flows to a plenum located beneath the fuel elements 10. Thereafter, the primary coolant flows upward through the core where the heat generated by the fission reaction is transferred to the primary coolant. Next, the coolant flows out of the vessel through an outlet nozzle 22. The heat in the primary coolant is transferred to either a secondary heat exchanger (not shown) or to a steam generator. In this secondary heat exchanger steam is generated for the production of electrical power. FIG. 2 illustrates a portion of a control rod 12 that is moved into and out of the reactor core 8 to control the power level. The control rod includes a rod drive shaft 28 that is connected to a rod drive mechanism 14, FIG. 1. The rod drive mechanism is an electro-mechanical motor which precisely moves the control rod into and out of the core. The rod drive mechanism also can release the rod drive shaft so that the control rod can be rapidly inserted into the core in order to scram the reactor. The control rod 12, FIG. 2, has an absorber 30 for absorbing neutrons in the reactor. The absorber includes a can 31 fabricated from stainless steel sheet stock that forms a conduit through which the sodium flows. The can also forms a housing for a plurality of elongate circular poison containing rods 32. The poison in these rods is a material that readily absorbs neutrons such as boron carbide (B.sub.4 C). Attached between the rod drive shaft 28 and the absorber 30 is a thermally elongatable member 34 having means for amplifying its thermal elongation. The elongatable member is constructed from a plurality of coaxial cylinders 36 of differing radii. Each cylinder has a principal axis that is oriented parallel to the direction of motion of the rod drive shaft 28 and the absorber 30. The cylinders 36 are submerged in the primary coolant and have a plurality of ports 38 to which permit the primary coolant to flow between the cylinders and cause a variation in length directly corresponding to the variation in primary coolant temperature. The thermally elongatable member 34 amplifies its thermal elongation by incorporating cylinders having differing coefficients of linear thermal expansion and attaching the cylinders together at their ends in an alternating manner. In FIG. 2 the cylinders identified by reference numeral 36 are fabricated from a material having a large coefficient of expansion (.alpha.1), and the remaining cylinders 36' are fabricated from a material having a small coefficient of linear expansion (.alpha.2). Referring to FIG. 2, the innermost cylinder 36 is fabricated from the material having the larger coefficient of expansion (.alpha.1) and is attached at its top end to the rod drive shaft 28. This innermost cylinder, in turn, is attached at its lower end of the next larger cylinder 36' which is fabricated from the material having the smaller coefficient of expansion (.alpha.2). This second cylinder is attached to the next larger cylinder 36 at its upper end near the attachment point of the innermost cylinder to the rod drive shaft 28. The successively larger cylinders alternate in sequence back and forth between the larger and smaller coefficients of thermal expansion and between the top and bottom points of attachment. This variation is illustrated in FIG. 2. In the preferred embodiment the cylinders 36 having the larger coefficient of thermal expansion (.alpha.1) are fabricated from stainless steel and the cylinders 36' having the smaller coefficient of thermal expansion (.alpha.2) are fabricated from Invar. Stainless steel has the coefficient of linear thermal expansion of 10-12.times.10.sup.-6 increase in length per unit of length per degree C. Invar has a coefficient of linear thermal expansion of about 0.9.times.10.sup.-6 increase in length per unit of length per degree C. The amplifying effect of the thermally elongatable member 34 is mathematically described by assuming that the cylinders 36 all have a length L. The series of cylinders 36 have a large coefficient of expansion (.alpha.1) and the cylinders 36' have a smaller coefficient of expansion (.alpha.2). It can be shown that for N cylinders 36 of material .alpha.1 and N-1 cylinders 36' of material .alpha.2 the overall elongation of the member .DELTA.L is given by: EQU .DELTA.L=[N(.alpha..sub.1 -.alpha..sub.2)+.alpha..sub.2 ]L.DELTA.T where .alpha..sub.1 >>.DELTA..sub.2 PA1 and .DELTA.T is the change in temperature. It should be appreciated that the thermal elongation amplifier 34, FIG. 2, is comparable in some respects to systems for compensating pendulums in order to maintain a uniform period. For example, the pendulums used in some late 19th century grandfather clocks incorporate mechanical devices to compensate for changes in ambient temperature. These devices move a counter weight along the principal axis of the supporting arm of the pendulum and compensate for the variation in length of the supporting arm due to changes in the ambient temperature. These pendulum compensating devices typically include a mechanical yoke and two sets of parallel rods each having a different coefficient of thermal expansion. The yoke alternatively engages rods in each set so that a thermal elongation amplifier is formed. In operation, the control rod 12 is installed in a nuclear reactor as illustrated in FIG. 1. The thermal elongation amplifier 34 is exposed to the temperature of the primary coolant leaving the reactor and is positioned in the reactor at a level below the sodium outlet nozzle 22. Depending on the amount of negative reactivity which is required to overcome a temperature excursion, the thermal elongation amplifier 34 and the absorber 30 are installed on one or a plurality of the control rods. It should also be noted that the elongation amplifier and the absorber need not be installed on a control rod at all but can be attached to a structural component of the reactor vessel 16. All that is required is positioning the components so that the absorber can move relative to the core as the temperature of the primary coolant varies. In the operation of a nuclear reactor the control rods 12 including the neutron absorbers attached thereto are positioned with respect to the reactor 8, FIG. 2, in a manner to precisely control the growth of the neutron population within the reactor. Typically during start-up the absorbers 30 are partially withdrawn individually and in groups and the fully inserted position in the reactor core. As the temperature of the primary coolant increases, the reactivity in the reactor decreases as a result of the negative temperature coefficient. To compensate for this increase in negative reactivity, the control rods are withdrawn slightly from the core by the rod drive mechanism 14. As a reactor is brought on the line and commences to provide power, the control rods are withdrawn further from the core. When the reactor is operating at full power, some of the control rods 12 are fully withdrawn from the core and others remain partially inserted. Those control rods having a thermal elongation amplifier 34 connected between the rod drive shaft 28 and the absorber 30 are operated in the same manner as those control rods that do not. A loss of coolant flow can occur, for example, from either a rupture in the primary system piping, the stoppage of a main circulating pump (not shown), or the closure of a valve (not shown) in the primary coolant main circulating loop. The primary coolant then ceases to carry away the heat generated by the reactor. Since the reactor continues to generate heat at substantially the same level of power as before the loss of flow, the temperature of the primary coolant commences a thermal excursion. The increase in temperature of the primary coolant causes the thermal elongation amplifier 34 to increase in length and to move the absorber 30 into closer proximity with the core 8 of the reactor. The absorber moves downward relative to the rod drive shaft 28 which remains stationary unless moved by the rod drive mechanism 14. This motion of the absorber causes it to absorb more of the neutrons in the reactor and to correspondingly reduce the level of power. The motion of the absorber into the core is directly proportional to the increase in temperature. The thermal elongation amplifier 34, FIG. 2, is placed in intimate thermal communication with the primary coolant and is sensitive to the temperature of the primary coolant at the point where the coolant leaves the reactor. The elongation amplifier has a plurality of ports 38 that permit a substantially unrestricted flow of primary coolant around the cylinders 36, 36'. As the primary coolant coasts down after the loss of flow, the primary coolant transfers its heat to the elongation amplifier by convection. When the flow of primary coolant essentially stops, the cylinders 36, 36' in the amplifier are heated either by conduction or natural convection. Typically, in a loss of flow accident the sensing devices and scram mechanisms immediately terminate the temperature transient and the reactor is shut down without a significant increase in overall power or temperature. However, the present invention provides additional security because in the event of the failure of all reactor sensors, actuation mechanisms and power supplies, the reactor will be shut down by the insertion of the absorber 30 into the reactor by the thermal elongation amplifier 34. It should be noted that in some reactors it may not be necessary for the absorber 30 to be inserted completely into the core. In these reactors it is sufficient that the level of power in the reactor be reduced to a low steady-state level of less than 15 percent of full power. At this power level the heat load can be removed by natural convection of the coolant and dissipated by a small, emergency cooling heat sink. The present invention has special application in a liquid metal fast breeder reactor because the reactor normally has either a very small negative temperature coefficient of reactivity or a slightly positive coefficient. The thermal elongation amplifier 34 in combination with the absorber 30, in effect, provides a negative temperature coefficient of reactivity and stablizes the reactor during operation. The operation of the breeder reactor becomes self-correcting because the absorber is moved closer to the core and inserts negative reactivity as the temperature of the primary coolant increases. It should also be noted that this device provides a means for minimizing temperature excursions and for terminating over-power transients without having to shut down the reactor. The transient can be overcome without a scram and the reactor can remain on the line producing power. Referring to FIGS. 4 and 5 the elongate members are a plurality of parallel rods 40, 40' that are connected by a mechanical yoke 42 so that thermal expansion of the members is amplified in the direction of relative motion. Each rod has a principal axis oriented parallel to the direction of relative motion. Although the preferred embodiment has been described in use in a liquid metal fast breeder reactor, this invention contemplates application in other reactors such as pressurized water reactors, gas cooled reactors, and boiling water reactors. In addition, the absorber 30 need not be boron carbide but can be any neutron-absorbing material. The present invention also contemplates locating the thermal elongation amplifier 34, FIG. 2, in other locations in the reactor besides in a position to monitor the exiting primary coolant. For example, the amplifier can be positioned in the reactor to sense the incoming primary coolant and in the bottom of the reactor to sense the temperature of the primary coolant below the core. Thus, although the best modes contemplated for carrying out the present invention have been herein shown and described, it will be apparent that modification and variation may be made without departing from what is regarded as the subject matter of the invention.
claims
1. A porous cooling block for cooling corium, comprising:a base part comprising a top surface, a bottom surface, and a plurality of side surfaces connecting the top surface and the bottom surface to each other, and including a plurality of pores; anda channel part defining an opening on at least one surface among the top surface, the bottom surface and the plurality of side surfaces, and communicating with the plurality of pores,wherein the channel part comprises:a first channel formed to extend in an inward direction from one surface of either the top surface or the bottom surface of the base part; anda second channel formed to pass through two side surfaces facing away from each other among the plurality of side surfaces of the base part, and communicating with the first channel,wherein the first channel and the second channel provide a flow path of cooling-water in the base part. 2. The porous cooling block for cooling corium according to claim 1, whereinthe second channel is provided in plurality in a way to pass through two respective side surfaces in one direction and another direction, andthe plurality of the second channels communicate with one another by crossing in the base part. 3. The porous cooling block for cooling corium according to claim 1, the first channel comprising,a first hole defining an opening on a surface of either the top surface and the bottom surface; anda first flow path connected to the first hole to form a path toward inside of the base part. 4. The porous cooling block for cooling corium according to claim 1, the second channel comprising,a pair of second holes defining an opening to the two side surfaces; anda second flow path connecting the second holes to form a path inside of the base part and communicating with the first channel. 5. The porous cooling block for cooling corium according to claim 1, wherein an average cross-sectional area of the first channel is smaller than that of the second channel and larger than that of the plurality of pores. 6. A corium cooling apparatus, comprising:a plurality of porous cooling blocks arranged to align with one direction and another direction crossing the one direction to form a plane, wherein at least one of the plurality of porous cooling blocks is a porous cooling block of claim 1;a sacrificial part received safely on the plurality of porous cooling block and covering an exposed upper surface of the porous cooling blocks; anda cooling-water supply unit for supplying cooling-water to the porous cooling blocks. 7. The corium cooling apparatus according to claim 6, wherein each of the plurality of porous cooling blocks is arranged to be able to separate from an aligned and arranged region. 8. The corium cooling apparatus according to claim 6, the sacrificial part comprising,a separation member covering the porous cooling block; anda sacrificial member received safely on the separation member, andat least one member of the separation member and the sacrificial member is provided being divided in plurality and aligned and stacked in order on the plane. 9. The corium cooling apparatus according to claim 8, wherein, on a side surface of the porous cooling block, a side surface separation member is arranged which is arranged on the most outside edge of a width formed by the plane and covering at least a partial region of the side surface of the porous cooling block. 10. The corium cooling apparatus according to claim 8, wherein the separation member provides a sealed space for sealing the porous cooling block. 11. The corium cooling apparatus according to claim 6, the cooling-water supply unit comprising,a cooling-water storage storing cooling-water supplied to the porous cooling block; anda cooling-water passing pipe whose one end is connected to the cooling-water storage and another end communicates with the porous cooling block.
abstract
A system and method are provided for a high resolution radiation treatment system which provide for projecting a field of radiation energy at targeted patient tissue. The system uses a multi-leaf collimator, which is positioned such that a significant clearance is provided between the multi-leaf collimator and the isocenter plane where the targeted tissue is located. The leaves of the multi-leaf collimator are designed to provide for high step resolution in the projected radiation energy shape. Additionally, an embodiment of the system and method herein can provide for a high step resolution in the projected radiation energy shape, and for a dose calculation matrix which has matrix units which coincide with the high step resolution in the projected radiation shape.
summary
063320118
claims
1. A method of scanning a shroud weld in a nuclear reactor pressure vessel, the reactor pressure vessel comprising a shroud comprising an upper shroud section and a shroud head flange welded to one end of the upper shroud section, said method comprising the steps of: positioning a phased array ultrasonic probe on an upper surface of the shroud head flange; emitting an ultrasonic beam from the ultrasonic probe; electronically steering the ultrasonic beam to scan a weld joining the shroud head flange and the upper shroud section, the beam moving from an outer surface of the shroud head flange toward an inner surface of the shroud head flange; and acquiring scan data over a length of the scan. incrementally moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange; emitting an ultrasonic beam from the ultrasonic probe; electronically steering the ultrasonic sound beam to scan the weld joining the shroud head flange and the upper shroud section, the beam moving from an outer surface of the shroud head flange toward an inner surface of the shroud head flange; and acquiring scan data over a length of the scan. incrementally moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange; emitting an ultrasonic beam from the ultrasonic probe; electronically steering the ultrasonic beam to scan the weld joining the shroud head flange and the upper shroud section, the beam moving from an outer surface of the shroud head flange toward an inner surface of the shroud head flange; and acquiring scan data over a length of the scan. focusing the ultrasonic beam so that the focal point of the beam aligns with the upper fusion line of the weld and the outer surface of the shroud head flange; and repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange from the outer surface of the shroud head flange to at least 0.5 inch past a weld fillet located at the intersection of the inner surface of the upper shroud section and the lower surface of the shroud head flange in discrete increments. (a) positioning a phased array ultrasonic probe on an upper surface of the shroud head flange; (b) emitting an ultrasonic beam from the ultrasonic probe; (c) electronically steering the ultrasonic beam to scan the weld joining the shroud head flange and the upper shroud section, the beam moving from an outer surface of the shroud head flange toward an inner surface of the shroud head flange; (d) acquiring scan data over a length of the scan; (e) incrementally moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange; (f) emitting an ultrasonic beam from the ultrasonic probe; (g) electronically steering the ultrasonic beam to scan the weld joining the shroud head flange and the upper shroud section, the beam moving from an outer surface of the shroud head flange toward an inner surface of the shroud head flange; (h) acquiring scan data over a length of the scan; and (i) repeating said steps (e), (f), and (g) until the desired portion of the circumferential weld is scanned. focusing the ultrasonic beam so that the focal point of the beam aligns with the upper fusion line of the weld and the outer surface of the shroud head flange; and repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange from the outer surface of the shroud head flange to at least 0.5 inch past a weld fillet located at the intersection of the inner surface of the upper shroud section and the lower surface of the shroud head flange in discrete increments. 2. A method in accordance with claim 1 further comprising the steps of: 3. A method in accordance with claim 2 further comprising the step of repeating, until a desired portion of the circumferential weld is scanned, said steps of: 4. A method in accordance with claim 3 wherein incrementally moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange comprises the step of moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange in increments of about 0.05 inch to about 1.0 inch. 5. A method in accordance with claim 4 wherein incrementally moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange comprises the step of moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange in increments of about 0.05 inch to about 0.5 inch. 6. A method in accordance with claim 5 wherein incrementally moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange comprises the step of moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange in increments of about 0.05 inch to about 0.1 inch. 7. A method in accordance with claim 1 wherein emitting an ultrasonic beam from the ultrasonic probe comprises the step of focusing the ultrasonic beam so that the focal point of the beam aligns with an upper fusion line of the weld and a lower surface of the shroud head flange. 8. A method in accordance with claim 7 wherein electronically steering the ultrasonic beam to scan the weld joining the shroud head flange and the upper shroud section comprises the steps of: 9. A method in accordance with claim 8 wherein repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange comprises the step of repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange in increments of about 0.01 inch to about 0.5 inch. 10. A method in accordance with claim 9 wherein repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange comprises the step of repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange in increments of about 0.02 inch to about 0.2 inch. 11. A method in accordance with claim 10 wherein repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange comprises the step of repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange in increments of about 0.05 inch to about 0.1 inch. 12. A method of scanning a shroud weld in a nuclear reactor pressure vessel, the reactor pressure vessel comprising a shroud comprising an upper shroud section and a shroud head flange connected to the upper shroud section with a weld, said method comprising the steps of: 13. A method in accordance with claim 12 wherein emitting an ultrasonic beam from the ultrasonic probe comprises the step of focusing the ultrasonic beam so that the focal point of the beam aligns with an upper fusion line of the weld and a lower surface of the shroud head flange. 14. A method in accordance with claim 13 wherein electronically steering the ultrasonic beam to scan the weld joining the shroud head flange and the upper shroud section comprises the steps of: 15. A method in accordance with claim 14 wherein repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange comprises the step of repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange in increments of about 0.01 inch to about 0.5 inch. 16. A method in accordance with claim 15 wherein repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange comprises the step of repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange in increments of about 0.02 inch to about 0.2 inch. 17. A method in accordance with claim 16 wherein repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange comprises the step of repeatedly refocusing the beam so that the beam focal point moves along the upper fusion line of the weld and the lower surface of the shroud head flange in increments of about 0.05 inch to about 0.1 inch. 18. A method in accordance with claim 12 wherein incrementally moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange comprises the step of moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange in increments of about 0.05 inch to about 1.0 inch. 19. A method in accordance with claim 18 wherein incrementally moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange comprises the step of moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange in increments of about 0.05 inch to about 0.5 inch. 20. A method in accordance with claim 19 wherein incrementally moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange comprises the step of moving the phased array ultrasonic probe circumferentially along the upper surface of the shroud head flange in increments of about 0.05 inch to about 0.1 inch.
claims
1. A method for packaging of radioactive materials using a pool, a transportation and/or storage device having a safe containment area, a cleaning device having a cavity and a portion movable between an open position and a closed position, the cavity containing an activating agent therein, the method comprising:creating a vacuum in the cavity of a cleaning device to cause the portion of the cleaning device to be maintained in a closed position; mounting the cleaning device inside the safe containment area of the transportation and/or storage device; filling the safe containment area with water; placing the transportation and/or storage device in the pool;loading a radioactive material into the safe containment area;closing the transportation and/or storage device using at least one cover;extracting the transportation and/or storage device from the pool;draining the water inside the safe containment area;creating a pressure differential in the safe containment area to dry the safe containment area, wherein the pressure differential causes the cleaning device to automatically actuate from the closed position to the open position under the force of gravity; andexposing the activating agent to the safe containment area. 2. The method of claim 1, wherein the portion of the cleaning device moves automatically downward from the closed position to the open position when the pressure differential is at a value equal to or greater than a predetermined value. 3. The method of claim 1, wherein the portion of the cleaning device further comprises a first casing, the first casing positioned within a second casing of the cleaning device, the first casing coaxial with the second casing with respect to a longitudinal axis, wherein the first casing and the second casing are positioned to substantially overlap one another vertically when the cleaning device is in the closed position, the first casing at least partially positioned vertically below the second casing along the longitudinal axis when the cleaning device is in the open position. 4. The method of claim 3, wherein a holding device positioned between the first casing and the second casing maintains the first casing below the second casing a predetermined distance when the cleaning device is in the open position. 5. The method of claim 4, wherein the holding device further comprises a pin which slides within a hollow cylinder oriented along the central axis within the cleaning device, the pin having a shoulder which comes into contact with an abutment in the hollow cylinder to maintain the first casing at the predetermined distance below the second casing when the cleaning device is in the open position. 6. The method of claim 3, wherein a spring is coupled to the first and second casings and urge the cleaning device toward the closed position, wherein the spring forces the first casing to automatically move downward along the longitudinal axis from the closed position to the open position when the pressure differential is of a value equal to or greater than a predetermined value. 7. The method of claim 3, wherein the activating agent is positioned to move vertically downward with the first casing along the longitudinal axis. 8. The method of claim 7, wherein the first casing includes a closure plug with a cartridge arranged thereon; the activating agent is arranged in the cartridge and is not exposed to the safe containment area when the cleaning device is in the closed position. 9. The method of claim 7, wherein the activating agent includes a catalyst for recombining oxygen and hydrogen into water and a drying agent. 10. The method of claim 1, wherein the activating agent includes a catalyst for recombining oxygen and hydrogen into water and a drying agent.
054019753
summary
BACKGROUND OF THE INVENTION The present invention relates to a molecular structure of carbon atoms and a cluster of carbon molecules and a method for constructing the same. As conventional molecular structures of carbon atoms, there are known diamond, graphite and soot. Recently there are further known a series of buckminsterfullerene molecules found by H. W. Kroto et al. and described in Nature, Vol. 318, pp. 162-163, 1985, and carbon nano-tubes found by Iijima and described in Nature, Vol. 354, pp. 56-58, 1991. Each of these carbon molecules is constructed in the form of a carbide compound. As proposed by David Vanderbilt and J. Tersoff and described in Phys. Rev. Lett., Vol. 68, pp. 511-513, 1992, there is known the fact that a material constituted by carbon atoms arranged on a periodic minimum plane exists theoretically. In the molecular structures proposed in the prior art, mechanically sufficient strength cannot be obtained and hence there arises a problem that the molecular structures cannot be applied to any dynamic mechanical element. It has been thought of that a structure in which carbon atoms are arranged in the form of a torus is suitable for solution of the problem. There has not been, however, any proposal of a stable structure of a cluster of carbon atoms arranged in the form of a torus. On the other hand, although a cluster of carbon atoms having a cylindrically helical structure has been proposed, there has not been any proposal of a helical structure having mechanically sufficient strength. SUMMARY OF THE INVENTION An object of the present invention is to provide a structure having mechanically sufficient strength and also thermodynamical stability at high temperature obtained by giving novel topological properties to a cluster of carbon atoms to thereby make it possible to be adapted to a dynamic mechanical element, and also provide a method for constructing the structure. In addressing the foregoing object, according to the present invention, a cluster of carbon atoms is formed by arranging carbon atoms stably in the form of a torus so that novel topological properties are given to carbon atoms. According to the present invention, a plurality of sixfold rings each consisting of six carbon atoms are arranged in the form of a torus, whereafter these sixfold rings are partially replaced by fivefold and sevenfold rings to obtain a stable structure. That is, a part of the outer wall surface of a torus constituted by a plurality of sixfold rings and a part of the inner wall surface of the torus are replaced by fivefold rings and sevenfold rings respectively to obtain a stable structure. Because the cluster of carbon atoms according to the present invention is toroidal, mechanically sufficient strength can be obtained so that a dynamic mechanical element can be formed by using the hole of the torus and the inside of the torus.
claims
1. An X-ray source with optical indication of X-ray radiation comprising:an X-ray source housing with an exit window wherein the exit window comprisesan anode assembly having a radiolucent substrate and a metal layer, wherein the metal layer is partially transparent for high energy electrons and the radiolucent substrate is transparent in optical range and fluoresces under the influence of high energy electrons;a cathode assembly that creates electron flow irradiating the anode assembly;a system of electrostatic lenses that focus electrons irradiating the anodes, andgenerating a divergent flux of radiation, wherein said electrons simultaneously create excitation areas in said radiolucent substrate and said metal layer, from which divergent fluxes of optical and X-ray radiation, respectively are generated;a first diaphragm and a protective housing having;an optical mirror located behind the exit window of the X-ray source housing,coaxially arranged means of collimation and focusing of X-ray and optical radiation, wherein the optical mirror is located off-axis from the X-ray beam in the region of divergent radiation flux generated by the anode, andan exit optical window through which a camera provides the optical indication of X-ray radiation. 2. The X-ray source according to claim 1 wherein the radiolucent substrate is made of an optically activated synthetic diamond crystal. 3. The X-ray source according to claim 1 wherein the means of collimation and focusing of X-ray and optical radiation are made of radiolucent plastic material, such as polycarbonate. 4. The X-ray source according to claim 1 wherein it further comprises means of visualization of the anode image reflected by the optical mirror.
claims
1. A reflective optical element for the extreme ultraviolet and soft X-ray wavelength regions, comprising:a multilayer system,a protective layer system; anda contamination layer that changes in thickness over time during use of the reflective optical element by 2 nm or more,wherein the thickness over time of the contamination layer produces fluctuations in reflectivity of the reflective optical element within a tolerance range of no more than 1%. 2. The reflective optical element according to claim 1, wherein the given contamination layer thickness is approximately equal to or less than the thickness of the protective layer system. 3. The reflective optical element according to claim 1, wherein the protective layer system consists of two layers. 4. The reflective optical element according to claim 1, wherein the protective layer system consists of three layers. 5. The reflective optical element according to claim 1, wherein the protective layer system comprises one or more materials selected from the group consisting of Ce, Be, SiO, SiC, SiO2, Si3N4, C, Y, MoSi2, B, Y2O3, MoS2, B4C, BN, RuxSiy, Zr, Nb, MoC, ZrO2, RuxMoy, RhxMoy, and RhxSiy. 6. The reflective optical element according to claim 1, wherein a side of the multilayer system facing the protective layer system terminates in an absorber layer. 7. The reflective optical element according to claim 1, wherein the multilayer system is a system that consists of molybdenum and silicon layers, ending with a molybdenum layer on a side facing the protective layer system. 8. The reflective optical element according to claim 1, wherein the protective layer system terminates toward a vacuum with a layer of a material for which a build-up of carbon is suppressed. 9. The reflective optical element according to claim 1, wherein the protective layer system terminates toward a vacuum with a layer of a material that is inert to energy deposition. 10. The reflective optical element according to claim 1, wherein the thickness d1 of the protective layer system is such that a standing wave formed by reflection at an operating wavelength λB has a minimum at a distance from a surface of the reflective optical element of 0.1 λB or less. 11. The reflective optical element according to claim 10, wherein the minimum lies in a vacuum. 12. An extreme ultraviolet lithography appliance with at least one reflective optical element according to claim 1. 13. A reflective optical element for the extreme ultraviolet and soft X-ray wavelength regions, the reflective optical element comprisinga multilayer system, anda protective layer system, wherein:the protective layer system comprises at least two layers, one of the two layers terminating toward a vacuum; and a thickness of the protective layer system is such that a standing wave formed by reflection at an operating wavelength λB has a minimum at a distance from the surface of the reflective optical element of 0.1 λB or less, wherein the minimum lies in the vacuum. 14. The reflective optical element according to claim 13, wherein the protective layer system terminates at the vacuum side in one layer of a material with a refractive index whose real part at an operating wavelength λB between 12.5 nm and 15 nm is between 0.90 and 1.03, and whose imaginary part at an operating wavelength λB between 12.5 nm and 15 nm is between 0 and 0.025. 15. The reflective optical element according to claim 14, wherein the imaginary part is between 0 and 0.015 and the real part is between 0.95 and 1.02. 16. The reflective optical element according to claim 13, wherein a reflectivity of the reflective optical element as a function of a thickness of the layer terminating the protective system at the vacuum side drops until a first thickness value d1 is reached, the reflectivity remains essentially constant between the first thickness value d1 and a second thickness value d2, where d2>d1, and the reflectivity drops at thicknesses greater than d2. 17. The reflective optical element according to claim 16, wherein the essentially constant reflectivity comprises a reflectivity decrease of 1% or less of a maximum reflectivity at thicknesses between d1 and d2. 18. The reflective optical element according to claim 16, wherein the reflectivity as a function of the thickness of the protective layer system comprises an inflection point at a thickness dw between d1 and d2, the thickness of the protective layer system is less than dw. 19. The reflective optical element according to claim 16, wherein the thickness of the protective layer system is smaller than d2. 20. The reflective optical element according to claim 13, wherein the protective layer system comprises one or more materials selected from the group consisting of Ce, Be, SiO, SiC, SiO2, Si3N4, C, Y, MoSi2, B, Y2O3, MoS2, B4C, BN, RuxSiy, Zr, Nb, MoC, ZrO2, RuxMoy, RhxMoy, and RhxSiy. 21. The reflective optical element according to claim 13, wherein a side of the multilayer system facing the protective layer system terminates in an absorber layer. 22. The reflective optical element according to claim 13, wherein the multilayer system is a system that consists of molybdenum and silicon layers, ending with a molybdenum layer on a side facing the protective layer system. 23. The reflective optical element according to claim 13, wherein the protective layer system terminates toward a vacuum with a layer of a material for which a build-up of carbon is suppressed. 24. The reflective optical element according to claim 13, wherein the protective layer system terminates toward a vacuum with a layer of a material that is inert to energy deposition. 25. An extreme ultraviolet lithography appliance with at least one reflective optical element according to claim 13.
claims
1. A Betavoltaic cell comprising:a SiC substrate;structures formed of semiconductor, wherein the structures comprise p-n junctions, and wherein there are voids proximal to the structures; andelectrical contacts formed on the structures, wherein the contacts are adapted to minimize beta radiation backscatter losses. 2. The Betavoltaic cell of claim 1 and further comprising a beta radiation source. 3. The Betavoltaic cell of claim 2 wherein the beta radiation source is disposed on the surface of the structures. 4. The Betavoltaic cell of claim 2 wherein the beta radiation source comprises Ni-63, or tritium (H-3), or Promethium, or combinations thereof. 5. The Betavoltaic cell of claim 1 wherein the contacts occupy about 1% of an active device area of the p-n junctions. 6. The Betavoltaic cell of claim 2 wherein the radiation source comprises beta radiation producing particles and wherein a semiconductor surface area for accepting the radioactive particles is smaller than an overall device surface area. 7. The Betavoltaic cell of claim 1 wherein the p-n junctions are formed from n doped semiconductor disposed underneath p doped semiconductor or a p doped semiconductor disposed underneath n doped semiconductor. 8. The Betavoltaic cell of claim 1 wherein the structures are formed of high aspect ratio SiC. 9. The Betavoltaic cell of claim 1 wherein the aspect ratio of the structures is at least 10:1. 10. The Betavoltaic cell of claim 1 wherein the aspect ratio of the structures is at least 500:1 or less. 11. The Betavoltaic cell of claim 1 wherein the structures comprise pillars. 12. A Betavoltaic cell comprising:a semiconductor substrate;at least one p-n junction formed of semiconductor; andat least one contact electrically coupled to the at least one p-n junction, wherein the at least one contact is adapted to minimize beta radiation backscatter losses. 13. The Betavoltaic cell of claim 12 and further comprising a beta radiation source. 14. The Betavoltaic cell of claim 13 and further comprising at least one structure formed of semiconductor. 15. The Betavoltaic cell of claim 14 wherein the beta radiation source is disposed on the surface of the at least one structure. 16. The Betavoltaic cell of claim 13 wherein the beta radiation source comprises Ni-63, or tritium (H-3), Promethium, or combinations thereof. 17. The Betavoltaic cell of claim 12 wherein the at least one contact occupies about 1% of an active device area of the p-n junctions. 18. The Betavoltaic cell of claim 12 wherein the at least one p-n junction is formed from n doped semiconductor disposed underneath p doped semiconductor or a p doped semiconductor disposed underneath n doped semiconductor. 19. A Betavoltaic cell comprising:a SiC substrate;high aspect ratio pillars supported by the substrate having voids between the pillars;cathode or anode contacts formed on the pillars, wherein the cathode or anode contacts are adapted to minimize beta radiation backscatter losses;an anode or cathode contact formed on a back side of the substrate; anda beta radiation fuel disposed in the voids. 20. The Betavoltaic cell of claim 19 wherein the beta radiation fuel comprises Ni-63, or tritium (H-3), or Promethium or combinations thereof. 21. The Betavoltaic cell of claim 19 wherein the high aspect ratio pillars are formed from n doped semiconductor disposed underneath p doped semiconductor or a p doped semiconductor disposed underneath n doped semiconductor. 22. A Betavoltaic cell comprising:a semiconductor substrate;structures formed of semiconductor, wherein the structures comprise p-n junctions, and wherein there are voids proximal to the structures;cathode or anode contacts formed on the structures, wherein the cathode or anode contacts are adapted to minimize beta radiation backscatter losses;an anode or cathode contact formed on a back side of the substrate; anda cap formed of semiconductor. 23. The Betavoltaic cell of claim 22 and further comprising a beta radiation source in the voids. 24. The Betavoltaic cell of claim 23 wherein the beta radiation source comprises Ni-63, or tritium (H-3), or Promethium, or combinations thereof. 25. The Betavoltaic cell of claim 22 wherein the p-n junctions are formed from n doped semiconductor disposed underneath p doped semiconductor or a p doped semiconductor disposed underneath n doped semiconductor. 26. The Betavoltaic cell of claim 22 wherein the structures are formed of high aspect ratio SiC. 27. The Betavoltaic cell of claim 22 wherein the aspect ratio of the structures is approximately 10:1 or less. 28. The Betavoltaic cell of claim 22 wherein the aspect ratio of the structures is approximately 500:1 or less. 29. The Betavoltaic cell of claim 22 wherein the structures comprise pillars.
048636746
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The invention relates to a low capacity gas cooled nuclear reactor installation and particularly an installation with a stationarily spherical fuel element pile located in a reactor vessel. The reactor vessel is housed in a cavity. The reactor vessel exhibits an access opening. The building overlapping at least the cavity cover comprises means for manipulating the operating elements. 2. Description of the Related Technology DE-OS 35 18 968, the disclosure of which is expressly incorporated herein, shows a reactor installation with a stationary lifting device. Following completion of a power operation period of several years, the cavity is opened and the core vessel removed together with the operating elements contained therein. A shielding bell large enough to receive the entire core vessel is provided in the building and located over the cavity. The shielding bell must be large and heavy if it is part of a transport container. If the operating elements are reloaded from the shielding bell into a transport container additional effort, questionable from a safety standpoint, is required. SUMMARY OF THE INVENTION An object of the invention is to simplify and enable improved radiation safety during manipulation of operating elements in a reactor installation. This object is attained according to the invention by setting a transport container on a cavity cover where the transport container bottom overlaps the cover access opening. A vertically displaceable pebble conveyor line extends from the pebble pile surface through the bottom of the transport container and into the transport container. A blower is located between the transport container and the reactor vessel. The suction line of the blower is connected to the inside of the transport container and its pressure line leads into the reactor core. The constantly circulating gas flow entrains the spherical operating elements into the transport container. The vertically displeacable pebble conveyor line is arranged to insure that its projecting end always remains in the immediate vicinity of the pebble pile surface. The frontal side of the pebble conveyor line facing the pile has a contour differing from the horizontal and may be rotated around its axle to facilitate seizing and entrainment of the spherical operating elements by gas flow. Advantageously, a favorable pebble transport is achieved by utilizing a corrugated tube with internal longitudinal ribs as the pebble conveyor line. A guide tube resembling a walking stick extends from the bottom of the transport container approximately vertically into the inner space, so that the guide tube extends to the vicinity of the cover of the transport container in order to fully and easily load the transport container directly under its cover. The pebble is displaceably guided in the guide tube. The guide tube serves to guide the pebble conveyor line and the pebbles, in order to load the transport container from the top. The bottom of the transport container is traversed by a bore extending concentrically relative to the guide tube resembling a walking stick and a further bore receiving the blower suction line. The passages may be closed by ball valves and with a shielding stopper located on a closure cover following completion of the charging process. According to a preferred embodiment the transport container exhibits an intermediate bottom in the form of a filter to support the operating elements and the suction line terminates under the intermediate bottom in order to prevent the suction line from suctioning dust particles from the transport container. A displaceable frame with a hydraulic lifting device is preferably arranged in the housing. The frame moves the transport container between its place of application above the access opening and a transport vehicle located outside the housing. This eliminates the need for a stationary lifting tool and a correspondingly more extensive housing configuration. A rotating and advance unit is associated with the conveyor line for the vertical and rotating motion of the pebble conveyor line. The unit is preferably fastened to a closure slide housing assigned to the reactor vessel. Advantageous configurations of the rotating and advance unit are set forth below. The frontal side of the pebble conveyor line, facing the pile, may advantageously be angled and non-horizontal and may be rotated around its axle. The pebble conveyor line may be a corrugated tube with longitudinal inner ribs. A guide tube resembling a walking stick in shape extends from the bottom of the transport container approximately vertically into the inner space of the container. The guide tube extends into the vicinity of the cover of the transport container and the pebble conveyor line is arranged in the guide tube in a vertically displaceable manner. The transport container bottom is traversed by a bore extending concentrically with the guide tube and may exhibit an inline ball valve. A bore may also be provided in the transport container bottom for passage of the suction line, and the bore may be closed off by a ball valve. A closure cover may be mounted under the container bottom. The cover carries shielding stoppers which extend into the bottom bores. The bore ball valves may be accessible by inserts releasably located in the bottom. The transport container may advantageously comprise an intermediate bottom in the form of a filter for supporting the operating elements and the suction line terminates under the intermediate bottom. A movable frame with a hydraulic lifting device may advantageously be provided in the building. The movable frame carries the transport container between its operational position above the access opening and a transport vehicle located outside the building. The rotating and advance unit is advantageously associated between the transport container and the reactor vessel with the pebble conveyor line. The rotating and advance unit may be mounted on the housing of a closure slide associated with the reactor vessel. A covering sleeve may extend between the rotating and advance unit and the transport container bottom. The covering sleeve may concentrically surround the pebble conveyor line while leaving a certain clearance. The rotating and advance unit concentrically surrounds the pebble conveyor and is equipped with a pneumatically controlled stepping piston movable in the axial direction with or without the pebble conveyor line. The rotating and advance unit also includes a holder device and a drive rotatable with the pebble conveyor line. The stepping piston and the holding device may be equipped with a plurality of clamping jaws on their surface areas facing the pebble conveyor line. The clamping jaws may be applied to the pebble conveyor line by pneumatically actuated setting elements. The holding device and the stepping piston are alternatingly frictionally connected to the pebble conveyor line. A process for the replacement of the operating elements in a nuclear reactor installation according to the invention is characterized in that the primary loop is operated without pressure and the cooling gas is stored intermediately. The operating elements are conveyed by a circulating gas flow between the transport container and the reactor vessel into the transport container. The transport container is separated after it is filled with burned operating elements from the circulating gas flow. A container with new fuel elements is connected to the circulating gas flow. The operating elements arrive through the pebble conveyor line in the reactor vessel by their own gravity. A braking action is applied to the falling pebbles by adjustment of the blower output. The pebble conveyor line end facing the pebble pile is constantly maintained at the height of the surface of the pebble pile. The cooling gas is recirculated from its intermediate storage, into the primary loop and gas losses are equalized by a reservoir. The advantages of such a process are to be found primarily in that the replacement of the operating elements may be carried out with the nuclear reactor not under pressure, no gas purification means are required and mobile devices are used which may be applied to multiple installations. A nuclear reactor installation according to the invention and a process for the replacement of operating elements in such a nuclear reactor are described below by way of example and with reference to FIGS. 1 to 6.
abstract
Disclosed is a detector system for x-ray imaging. The detector system includes a detector having a plurality of edge-on detector modules. Each of the edge-on detector modules includes a first edge that is adapted to be oriented towards an x-ray source and a front-side running essentially parallel to the direction of incoming x-rays. The front-side includes at least one charge collecting electrode. At least a subset of the plurality of edge-on detector modules being pairwise arranged, front-side to front-side, whereby a front-side to front-side gap is defined between the front-sides of the pairwise arranged edge-on detector modules. The pairwise arranged edge-on detector modules are associated with an anti-scatter collimator arranged in the x-ray path between the x-ray source and the edge-on detector modules and overlapping the front-side to front-side gap.
description
The present disclosure relates generally to semiconductor manufacturing equipment and, more particularly, to techniques for improving extracted ion beam quality using high-transparency electrodes. Ion implantation is a process of depositing chemical species into a substrate by direct bombardment of the substrate with energized ions. In semiconductor manufacturing, ion implanters are used primarily for doping processes that alter a type and level of conductivity of target materials. A precise doping profile in an integrated circuit (IC) substrate and its thin-film structure is often crucial for proper IC performance. To achieve a desired doping profile, one or more ion species may be implanted in different doses and at different energy levels. FIG. 1 depicts a conventional ion implanter system 100. The ion implanter 100 includes a source power 101, an ion source 102, extraction electrodes 104, a 90° magnet analyzer 106, a first deceleration (D1) stage 108, a 70° magnet analyzer 110, and a second deceleration (D2) stage 112. The D1 and D2 deceleration stages (also known as “deceleration lenses”) each comprising multiple electrodes with a defined aperture to allow an ion beam 10 to pass therethrough. By applying different combinations of voltage potentials to the multiple electrodes, the D1 and D2 deceleration lenses can manipulate ion energies and cause the ion beam 10 to hit a target workpiece 114 at a desired energy. A number of measurement devices 116 (e.g., a dose control Faraday cup, a traveling Faraday cup, or a setup Faraday cup) may be used to monitor and control the ion beam conditions. The ion source 102 and extraction electrodes 104 are critical components of the ion implanter system 100. The ion source 102 and extraction electrodes 104 are required to generate a stable and reliable ion beam 10 for a variety of different ion species and extraction voltages. FIG. 2 depicts a conventional ion source and extraction electrode configuration 200. Referring to FIG. 2, which is a schematic diagram of the conventional ion source and extraction electrode configuration 200, the ion source 102 is provided in a housing 201. The ion source 102 has a faceplate 203, which has an aperture from which the extraction electrodes 104 may extract ions from plasma in the ion source 102. The extraction electrodes 104 include a suppression electrode 205 and a ground electrode 207. As depicted in FIG. 2, the suppression electrode 205 and the ground electrode 207 are often double-slotted with different slot dimensions, large slot for high-energy implant application (e.g., >20 keV), and small slot for low-energy application (e.g., <20 keV). It should be appreciated that arrows are shown in FIG. 2 to represent vacuum pumping directions. Vacuum pumping, as depicted by the arrows, is required to provide pressure level low enough for stable beam-extraction operation between the suppression electrode 205 and the ground electrode 207 for ion beam extraction. FIGS. 3A-3B depict a conventional ground electrode 207. FIG. 3A depicts a three-dimensional view 300A of a conventional ground electrode 207. In this example, the ground electrode 207 is double-slotted, having a first slot 309a and a second slot 309b. FIG. 3B depicts a cross-sectional view 300B of the conventional ground electrode 207. The ground electrode 207 has a overall height H, which includes a base height b and a slot height a. The ground electrode 207 also has a base angle α and a slot angle β. In the conventional ground electrode 207, the base height b is greater than the slot height a and the base-to-slot height ratio may be expressed as b/a>1. A problem that currently exists in conventional ion implantation is that as extraction current from the ion source 102 increases, undesirable beam shape may be observed at the target workpiece 114. This undesirable beam shape may provide “beam wiggles” that ultimately reduce uniformity in the ion beam 10. Although this problem may be associated with plasma instability and/or plasma oscillation inside the ion source 102, the extraction electrodes 104 play a critical role and may add to the problem. For example, mechanical imperfections and high background pressure at the extraction electrodes 104 may greatly amplify the “beam wiggles” and degrade ion beam quality. FIG. 4 depicts an illustrative graph 400 of an extracted ion beam profile. In this example, a wiggle-shaped extracted ion beam profile 410 is depicted. As depicted in dotted lines, an ideal extracted ion beam profile 420 is provided. Although both the wiggle-shaped extracted ion beam profile 410 and the ideal extracted ion beam profile 420 have similar profiles, the ideal ion beam profile 420 has a smooth profile, which may be transported and tuned as a high quality ion beam at the target. As described above, “beam wiggles” generated and/or amplified by the extraction electrodes 104 may lead to degraded beam uniformity and poor quality of the ion beam 10 at the target workpiece 114. In order to improve ion beam quality, the “beam wiggles” in the extracted ion beam profile 410 should be reduced to resemble more closely the ideal extracted ion beam profile 420. However, conventional systems and methods do not provide an adequate solution to reduce “beam wiggles” in an extracted ion beam profile. In view of the foregoing, it may be understood that there are significant problems and shortcomings associated with current ion beam extraction technologies. Techniques for improving extracted ion beam quality using high-transparency electrodes are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for ion implantation. The apparatus may comprise an ion source for generating an ion beam, wherein the ion source comprises a faceplate with an aperture for the ion beam to travel therethrough. The apparatus may also comprise a set of extraction electrodes comprising at least a suppression electrode and a high-transparency ground electrode, wherein the set of extraction electrodes may extract the ion beam from the ion source via the faceplate, and wherein the high-transparency ground electrode may be configured to optimize gas conductance between the suppression electrode and the high-transparency ground electrode for improved extracted ion beam quality. In accordance with other aspects of this particular exemplary embodiment, the high-transparency ground electrode may be configured with an overall height H, one or more slot portions, a base angle θ, and a slot angle δ, wherein the overall height may comprise a base height y and a slot height x such that the base height y may be less than the slot height x and the base-to-slot height ratio y/x may be equal to or less than 1. In accordance with further aspects of this particular exemplary embodiment, the base angle θ may be 20°. In accordance with additional aspects of this particular exemplary embodiment, the base angle θ may be greater than 20°, such as 40°. In accordance with other aspects of this particular exemplary embodiment, the high-transparency ground electrode may be a single-slot high-transparency ground electrode or a double-slot high-transparency ground electrode. In accordance with further aspects of this particular exemplary embodiment, the ion source may be encased in a housing having a tapered configuration. In accordance with additional aspects of this particular exemplary embodiment, the faceplate may be a protruded faceplate. In accordance with other aspects of this particular exemplary embodiment, the suppression electrode may be a protruded suppression electrode. In accordance with further aspects of this particular exemplary embodiment, the high-transparency ground electrode may further comprise one or more anchor portions positioned near one or more extraction slots of the high-transparency ground electrode for defining stable plasma boundaries inside of the high-transparency ground electrode. In another particular exemplary embodiment, the techniques may be realized as a method for improving ion beam quality. The method may comprise providing an ion source comprising a plasma generator for generating an ion beam and a faceplate with an aperture for the ion beam to travel therethrough. The method may also comprise providing a set of extraction electrodes comprising at least a suppression electrode and a high-transparency ground electrode, wherein the set of extraction electrodes may extract the ion beam from the ion source via the faceplate, and wherein the high-transparency ground electrode may be configured to optimize gas conductance between the suppression electrode and the high-transparency ground electrode for improved ion beam quality. The present disclosure will now be described in more detail with reference to exemplary embodiments thereof as shown in the accompanying drawings. While the present disclosure is described below with reference to exemplary embodiments, it should be understood that the present disclosure is not limited thereto. Those of ordinary skill in the art having access to the teachings herein will recognize additional implementations, modifications, and embodiments, as well as other fields of use, which are within the scope of the present disclosure as described herein, and with respect to which the present disclosure may be of significant utility. Embodiments of the present disclosure improve extracted ion beam quality by using high-transparency electrodes. More specifically, various geometric schemes and/or configurations for an ion source and extraction electrodes may provide improved vacuum characteristics for reducing “beam wiggles” in an extracted ion beam profile and improve overall ion beam quality. FIG. 5 depicts an ion source and extraction electrode configuration 500 according to an exemplary embodiment of the present disclosure. Referring to FIG. 5, which depicts a schematic diagram of the ion source and extraction electrode configuration 500, an ion source 502 may be provided in a housing 501. The ion source 502 may have a faceplate 503 that includes an aperture from which extraction electrodes 504 may extract ions from plasma inside the ion source 502. The extraction electrodes 504 may include at least a suppression electrode 505 and a ground electrode 507. In some embodiments, as depicted in FIG. 5, the suppression electrode 505 and the ground electrode 507 may be double-slotted. In this example, it should be appreciated that one slot may be for high-energy ion beam application (e.g., >20 keV) and another slot may be for low-energy ion beam application (e.g., <20 keV). However, unlike the conventional ground electrode 207 described above, the ground electrode 507 of FIG. 5 may be a high-transparency ground electrode 507 having a geometry that optimizes gas conductance in an extraction region (e.g., a region between the suppression electrode 505 and the ground electrode 507). It should be appreciated that large arrows are shown in FIG. 5 to represent vacuum pumping directions. As depicted by the large arrows, using the high-transparency ground electrode 507 may provide improved gas conductance in the extraction region (e.g., due to a large opening area) in a direction toward a turbo pump (not shown) (vertical) and an analyzer magnet (not shown) (horizontal). FIGS. 6A-6B depict views of the high-transparency ground electrode 507 according to an exemplary embodiment of the present disclosure. For example, FIG. 6A depicts a three-dimensional view 600A of the high-transparency ground electrode 507 according to an exemplary embodiment of the present disclosure. The high-transparency ground electrode 507 of FIG. 6A may be a double-slot high-transparency ground electrode 507 having a first slot 609a and a second slot 609b. However, unlike the conventional ground electrode 207 described above, the double-slot high-transparency ground electrode 507 of FIGS. 6A-6B may have dimensions that provide improved gas conductance in the extraction region, especially between the suppression electrode 505 and the double-slot high-transparency ground electrode 507. In particular, the double-slot high-transparency ground electrode 507 may have a substantially reduced base portion. FIG. 6B depicts a cross-sectional view 600B of the double-slot high-transparency ground electrode 507. In this example, the double-slot high-transparency ground electrode 507 may have an overall height L, which includes a base height y and a slot height x. The double-slot high-transparency ground electrode 507 may also have a base angle θ and a slot angle δ. In some embodiments, the base angle θ may be 20°. It should be appreciated that the base height y may be lesser than the slot height x. Therefore, the base-to-slot height ratio may be expressed as y/x<1. It should also be appreciated that in some embodiments, the slot angle δ may be reduced as well. The above-described double-slot high-transparency ground electrode 507 has a geometry that may provide improved gas conductance. More specifically, the overall volume of the ground electrode 507 may be reduced and therefore provide more room for effective vacuum pumping, which may improve gas conductance. Additionally, the double-slot high-transparency ground electrode 507 may be utilized in existing systems without additional alterations and/or modifications. Thus, using the double-slot high-transparency ground electrode 507 may provide a cost-effective way to optimize gas conductance and improve extracted ion beam quality. FIG. 7 depicts an ion source and extraction electrode configuration 700 according to another exemplary embodiment of the present disclosure. Similar to FIG. 5, FIG. 7 depicts a schematic diagram of an ion source and extraction electrode configuration 700. Here, an ion source 702 may be provided in a housing 701. The ion source 702 may also have a faceplate 703 having an aperture from which extraction electrodes 704 may extract ions from plasma in the ion source 702. The extraction electrodes 704 may include a suppression electrode 705 and a high-transparency ground electrode 707. However, unlike FIG. 5, the suppression electrode 705 and the high-transparency ground electrode 707 of FIG. 7 may be single-slotted. For similar reasons stated above, such geometric configurations may optimize gas conductance in the extraction region. It should be appreciated that large arrows are shown in FIG. 7 to represent pumping directions. As depicted by the large arrows, using the single-slotted high-transparency ground electrode 707 may provide improved gas conductance in the extraction region (e.g., between the suppression electrode 705 and the ground electrode 507) in a direction toward a turbo pump (not shown) (vertical) and an analyzer magnet (not shown) (horizontal). Similar to FIG. 5, overall volume of the high-transparency ground electrode 707 may be reduced in a single-slot configuration and therefore provide more room for vacuum pumping and an improve ion beam profile. A variety of additional geometric configurations may also be provided. For example, FIG. 8 depicts an ion source and extraction electrode configuration 800 according to another exemplary embodiment of the present disclosure. Similar to FIG. 7, FIG. 8 depicts a schematic diagram of an ion source and extraction electrode configuration 800. In this example, an ion source 802 may be provided in a housing 801. The ion source 802 may also have a faceplate 803 having an aperture from which extraction electrodes 804 may extract ions from the plasma in the ion source 802. The extraction electrodes 804 may include a suppression electrode 805 and a ground electrode 807, which in turn may be single-slotted. However, unlike FIG. 7, the housing 801, the faceplate 803, the suppression electrode 805, and the ground electrode 807 of FIG. 8 may each have different geometric schemes and/or configurations. For instance, the housing 801 may have a tapered configuration (e.g., a tapered top hat configuration) and each of the faceplate 803, the suppression electrode 805, and the ground electrode 807 may have a protruded configuration. For similar reasons stated above, these various geometric configurations, independently or altogether, may optimize gas conductance and improve an extracted ion beam profile. The tapered housing 801, as opposed to the conventional configuration (e.g., non-tapered configuration), may improve gas conductance between the faceplate 803 and the suppression electrode 805. A tapered shape may provide more room for gas conductance and may therefore minimize gas pressure for improved extracted ion beam quality. The protruded faceplate 803 may also improve gas conductance between the faceplate 803 and the suppression electrode 805. According to an exemplary embodiment of the present disclosure, the protruded ion source faceplate 803 may be provided. In this example, rather than a conventional planar configuration, the protruded faceplate 803 may be sloped such that an extraction aperture of the protruded faceplate 803 may “protrude” towards the extraction electrodes. It should be appreciated that while beam optics of the protruded faceplate 803 remain the same or similar to that of a conventional faceplate, the shape of the protruded faceplate 803 may provide an improved geometric scheme. Ultimately, a protruded shape may provide more space for improved gas conductance and may therefore lower gas pressure for improved extracted ion beam quality. Referring back to FIG. 8, protruded extraction electrodes 804 may also improve gas conductance between the faceplate 803 and the suppression electrode 805. For example, the protruded suppression electrode 805 may extend further toward the faceplate 803 to improve gas conductance at a region between the faceplate 803 and the suppression electrode 805. Additionally, in this configuration, the high-transparency ground electrode 807 may be protruded and widened to improve gas conductance as well. For example, in FIG. 8, the high-transparency ground electrode 807 may also have widened base angle θ′. In some embodiments, the widened base angle θ′ may be twice that of the base angle θ from previous embodiments. For instance, in one embodiment, base angle θ′ may be 40°. Other various embodiments may also be provided. By using a protruded and widened high-transparency ground electrode 807, gas conductance may be improved in the region between the suppression electrode 805 and the ground electrode 807. It should be appreciated that improvements in gas conductance may also be provided in a (horizontal) direction toward an analyzer magnet (not shown). It should be appreciated that anchors may also be provided at the high-transparency ground electrode 807 to alter pressure distribution in an extraction region (e.g., between the suppression electrode 805 and the high-transparency ground electrode 807). For example, FIG. 9 depicts an ion source and extraction electrode configuration 900 with a high-transparency ground electrode 907 using anchors 909 according to another exemplary embodiment of the present disclosure. In some embodiments the high-transparency ground electrode 907 using anchors 909 may better define stable plasma boundaries inside an extraction slot of the ground electrode 907. In other embodiments, the high-transparency ground electrode 907 using anchors 909 may provide a pressure gradient in a downstream region of an extracted ion beam path. This may provide increased pressure between the suppression electrode 905 and the high-transparency ground electrode 907 and reduce pressure within the high-transparency ground electrode 907 and in regions further downstream. Embodiments of the present disclosure may provide improved extracted ion beam quality by optimizing gas conductance at an ion source and extraction electrodes. These techniques may separately or conjunctively reduce “beam wiggles” in an extracted ion beam profile. In doing so, desired correction to a shape of the ion beam may be provided. More specifically, greater ion beam uniformity, reliability, and predictability may be achieved and effected for improved ion implantation process. It should be appreciated that while certain geometries have been described (e.g., protruded shapes, sizes, changes in angles/ratios, etc.), other geometric configurations for improving gas conductance and improving ion beam quality may also be provided. It should be appreciated that while these embodiments of the present disclosure may be depicted and described as having certain shapes, cross-sectional shapes, numbers, angles, and sizes, other various shapes, cross-sectional shapes, numbers, angles, and sizes may also be considered. It should also be appreciated that while embodiments of the present disclosure are directed to a high-transparency electrode configuration having a single slot or a double slot, other various configurations may also be provided. For example, a high-transparency electrode configurations having smaller or larger numbers of slots (e.g., configurations having single, multiple, or segmented electrodes) may also be provided. It should also be appreciated that operation of the geometric configurations in the embodiments described above should not be restricted to ion source and extraction electrode configurations. For example, the various techniques and geometric configurations described above may also be applied to other ion implantation components as well. It should be also appreciated that while embodiments of the present disclosure are directed to improving gas conductance and extracted ion beam quality, other implementations may be provided as well. For example, the disclosed techniques for utilizing various geometric ion source and extraction electrode configurations may also apply to other various ion implantation systems that use electric and/or magnetic deflection or any other beam collimating systems. Other various embodiments may also be provided. The present disclosure is not to be limited in scope by the specific embodiments described herein. Indeed, other various embodiments of and modifications to the present disclosure, in addition to those described herein, will be apparent to those of ordinary skill in the art from the foregoing description and accompanying drawings. Thus, such other embodiments and modifications are intended to fall within the scope of the present disclosure. Further, although the present disclosure has been described herein in the context of a particular implementation in a particular environment for a particular purpose, those of ordinary skill in the art will recognize that its usefulness is not limited thereto and that the present disclosure may be beneficially implemented in any number of environments for any number of purposes. Accordingly, the claims set forth below should be construed in view of the full breadth and spirit of the present disclosure as described herein.
042241073
description
In FIG. 1, the spacer grid under consideration is of a type known per se and generally designated by the reference numeral 1. This grid comprises two series of parallel sheet metal member 2 and 3 located at right angles to each other so as to define compartments A of approximately square cross-section. Said compartments are provided for the passage of canned fuel pins (not shown) of a fuel assembly for a nuclear reactor and especially a light-water reactor. The two series of perpendicular sheet metal members 2 and 3 are interengaged, for example by means of narrow slits formed at intervals along the sheet metal members, said members being also fixed on an outer frame. The two sides of said frame which are shown in the drawing are designated respectively by the references 2a and 3a. In order to ensure that the fuel pins are maintained within the compartments A of the spacer grid, the sheet metal members 2 and 3 are provided with central open portions 4 of substantial width separated by corrugated strips 5 each having at least one projecting portion 6 which is directed towards the interior of the opposite compartment. These projecting portions 6 of the corrugated strips 5 are intended to apply the fuel pins against bosses 7 and 8 respectively, only a few of which are illustrated in order not to complicate the drawing unduly. Said bosses are formed in the sheet metal members 2 and 3 at the top and bottom portions of these latter. The sectional view of FIG. 2 shows in greater detail the profile and relative arrangement of the bosses 7 and 8 and of the corrugated strip 5 in any particular sheet metal member 2 of the spacer grid. The top and bottom edges of the sheet metal members 2a and 3a of the spacer grid frame are advantageously provided with teeth 9 having a slightly rounded shpae and inclined towards the center of the spacer grid, said teeth being intended to facilitate the positioning of said grid and as far as possible to prevent interengagement of two adjacent grids in two adjacent fuel assemblies at the time of operations which entail the need for relative handling of said assemblies. In accordance with the invention and as shown in greater detail in FIG. 3, the flexibility of each corrugated strip 5 is improved by making provision in the sheet metal members 2 and 3 for zones of reduced mechanical strength and especially slits 10 near the points of junction between said members and each corrugated strip. In the example of construction under consideration, said slits 10 extend in a direction which is parallel to the opposite edges of the sheet metal members and which is substantially perpendicular to the direction of the corresponding strip. By means of said strips 10 which are formed in the solid portions of the sheet metal members between the strips 5 and the bosses 7 and 8, the twisting of that portion of the sheet metal member which is located between said slit and the strip itself is thus made to take part in the bending stress applied to each corresponding strip, thus considerably improving the flexibility of the complete assembly. In all other respects, the spacer grids thus provided are similar to the grid shown in FIG. 1, especially in regard to the shape of the compartments and of the outer frame. In another alternative embodiment shown in FIG. 4, the corrugated strips 5 are formed through the sheet metal members 2 and 3 of the spacer grid, thus directly incorporating the bosses 7 and 8. By means of this solution, said bosses on which the fuel pins are applied within each compartment are no longer in stationarily fixed positions. This results in interdependence of the compartments since a force of greater magnitude applied to any one corrugated strip which forms a spring is transmitted to the bosses of the adjacent compartment and so on in sequence within the spacer grid, thus achieving equalization of the forces applied to the fuel pins throughout the entire spacer grid. In another alternative embodiment, the corrugated strips of the grid are still joined to the flat sheet metal members at their extremities so as to form light-weight junction zones in accordance with either of the arrangements contemplated in the foregoing but are in turn provided in this instance with open portions so arranged as to form in said strips bearing-arms which have relative elasticity with respect to the strips. Said strips therefore provide an association of two spring assemblies which produce combined actions on the fuel pencils which pass through the spacer grid, with a resultant deflection of considerably increased value in respect of a given bearing pressure. In FIG. 5, which corresponds to the alternative embodiment mentioned above, the sheet metal members such as 2 or 3 of the spacer grid which are joined together by means of the corrugated strips 5 are provided with lateral slits 12 having a suitable profile in order to improve the deflection of the strip in its zone of junction with the sheet metal members of the grid. In this alternative embodiment, however, each corrugated strip is in turn provided with open portions 13 so as to form two bearing-arms 14 extending on each side of the central portion 6 of each strip and joined elastically to said strip at one end, the profile and length of which are so determined that the bearing-arms 14 cannot penetrate through the slits 13 and then completely withdraw, even in the event of an appreciable force applied on these latter. At the time of positioning of a fuel-pin spacer grid within each compartment A, the fuel pin applies a force first to one and then to the other bearing-arm 14; this force increases and first has the effect of abuttingly applying the extremities 14a against the solid portion of the strip 5. If the force continues to increase, the fuel pin bears on the central portion 6 and then produces the deflection of the strip 5 itself. The embodiment considered therefore utilizes two successive elastic actions which are combined with each other while permitting more effective transfer of forces applied on the fuel pins, especially in regard to vibrations or deformations during use. FIG. 6 illustrates another alternative embodiment which combines the arrangements of the examples shown in FIGS. 4 and 5 with an increase in length of the corrugated strips, the ends of which carry the bearing bosses within an adjacent compartment of the grid and the central portions of which carry the resilient bearing-arms. In the graphic representation of FIG. 7, the set of curves gives the compared values of deflections in respect of a predetermined range of contact pressures of the springs on the fuel-assembly pins corresponding to the practical range of use of said springs. The cross-hatched zone in the drawing gives a diagrammatic indication of this range. The curve A.sub.1 corresponds to a bearing spring of the prior art as recalled at the beginning of this description. The curves B, C and D correspond to variants of FIGS. 3, 4 and 5 respectively. It can thus be seen that the deflection increases substantially in respect of a given bearing pressure when changing over from one of these variants to another. A study of these curves makes it possible to choose the most suitable form of construction while taking other parameters into account. In particular, the curve D relating to the variant in accordance with FIG. 5 has two successive portions D.sub.1 and D.sub.2 corresponding on the one hand to application of the resilient bearing-arms 14 and on the other hand to deflection of the corrugated strip 5. It is thus apparent that, at the cost of a slightly more complex practical construction, the deflection of the springs can vary to a substantial extent without thereby causing the bearing pressure to depart from the intended range of utilization. This carries an advantage in that adjustment of the pressure of the springs is facilitated when the spacer grid is initially employed. Finally, FIGS. 8 and 9 illustrate an advantageous improvement in an assembly grid which makes use of the corrugated strips described in any one of the alternative embodiments given in the foregoing. This improvement provides more favorable conditions for positioning or withdrawal of the different fuel assemblies in the reactor core, especially without entailing any danger of accidental interengagement of adjacent assembly grids. It is in fact known that, in conventional designs, certain difficulties are liable to arise at the time of positioning of a fuel assembly within the reactor core or withdrawal from this latter, especially after a long period of operation within the core. As a result of deformations caused by irradiation, the edges of the sheet metal members constituting the spacer grids of any given fuel assembly are liable to engage accidentally with the grids of adjacent fuel assemblies, thus preventing insertion or withdrawal of said assembly. It should be noted in addition that the withdrawal of a fuel assembly can normally take place only by handling the upper end of said assembly since there is no possibility of handling this latter either from the lower end or along the sides. In order to circumvent the disadvantages noted above, it has already been proposed to provide rounded teeth such as those designated by the reference 9 in FIG. 1, both at the top and bottom of the sheet metal members which constitute the external sides of each spacer grid. Said teeth are inclined towards the center of the spacer grid in order to ensure that the grids can be more readily guided with respect to each other when they form part of two adjacent fuel assemblies. However, this arrangement may not prove sufficient in practice to ensure that the spacer grids are placed in position with an appreciable degree of safety and without any attendant danger of accidental interengagement. In order to overcome this drawback, the teeth 9 provided on the sides of the outer frame of the spacer grid 1, for example on the sides 2a and 3a, are associated with projecting ribs 20 for guiding the spacer grids with respect to each other at the time of positioning of the fuel assemblies. There is thus formed between two adjacent frames in two adjacent fuel assemblies a lateral clearance which is at least equal to the thickness of said ribs 20. In the example of construction shown in FIG. 8, the ribs 20 aforesaid are oriented so as to extend at right angles to the longitudinal direction of the sides 2a or 3a which constitute the outer frame of the spacer grid. The arrangement of the ribs in the sheet metal members is such that said ribs are located at predetermined intervals along the length of said members. In a final alternative embodiment shown in FIG. 9 in which the same reference numerals have again been employed to designate similar components, the ribs which serve to achieve relative spacing of two adjacent grids by projecting from the apparent contour of the outer frame are constructed by suitably shaping the rounded teeth 9 formed on the top edges of the sheet metal members. In particular, said teeth are slightly bent back in the outward direction before being bent inwards and consequently have outwardly projecting bulges 21 which, in the example shown in the figure, extend transversely and parallel to the longitudinal direction of the sides 2a and 3a. Whatever form of construction may be adopted, the grid provided in accordance with the invention for supporting and guiding the pins of a fuel cluster in a fuel assembly offers outstanding advantages. Especially when use is made of corrugated strips forming springs as illustrated in the accompanying drawings, there is accordingly obtained suitable equalization of the bearing pressures as well as an increased bearing distance or length of span, particularly in the case of the alternative embodiments shown in FIGS. 4 and 6 in which the projecting bosses within one compartment form an integral part of a corrugated strip which in turn penetrates into an adjacent compartment. This results in a more uniform weight distribution throughout the spacer grid, in more effective damping of vibrations and in a lower degree of wear of the fuel pins. It is also worthy of note that, in the alternative embodiment shown in FIGS. 5 and 6 in which a substantial deflection can be obtained in spite of a short span between bearing points, a further advantage lies in the possibility of manufacture without excessively close dimensional tolerances. This is not the case with conventional springs formed in one piece in which the permissible deflection of the order of 0.4 mm at the point of passage of a fuel pin entails the need for highly accurate manufacture and strict standards of position control which cannot readily be put into practice in an industrial manufacturing process. When making use of a corrugated strip in accordance with the invention, the spring which is thus formed has two separate and distinct portions which can easily be manufactured by cutting-out and bending of the bearing-arms in the central bearing arm itself. The strip is set at the center of the corrugated strip, with the result that the respective deflections are permitted to build-up at the time of application of a load on the corrugated strip. There takes place an overall deflection of the strips and bearing-arms; these latter accordingly begin to undergo deflection (curve D.sub.1 of FIG. 7) until the fuel pins come into contact with the central boss; this is followed by deflection of the strips along (curve D.sub.2). The constructional dimensions of the essential parts of a fuel assembly grid in accordance with the invention, especially in the alternative embodiment shown in FIG. 4, are given hereunder by way of indication: width of corrugated strips forming springs: 2.5 mm PA1 thickness of strips: 0.4 mm PA1 depth of the end bosses: 1.4 mm PA1 distance of projection of the strips with respect to the plane of the sheet metal members of the grid: 2.5 mm PA1 maximum distance between the ends of the slits in the sheet metal members: 33 mm. PA1 total width of corrugated strips: 5.4 mm PA1 width of the central bearing-arms: 2.4 mm PA1 distance between the sheet metal members: 23 mm PA1 thickness of strips: 0.4 mm PA1 distance of projection of the bearing-arms with respect to the plane of the sheet metal members: 3 mm PA1 maximum deflection of the bearing-arms: 0.5 mm. PA1 width of projecting spacing ribs: 1.6 mm PA1 relative spacing of said ribs: 4.8 mm PA1 length of ribs: 40 mm PA1 width of sheet metal members of grid frame: 10 mm PA1 length of said sheet metal members: 212.8 mm PA1 distance of projection of end teeth: 0.5 mm PA1 angle of bending-back towards the interior: 30.degree.. In the case of the alternative embodiment shown in FIG. 5, a particular example of construction leads to the adoption of the following dimensions: Finally, in the case of a grid in accordance with either FIG. 8 or FIG. 9 in which any one of the embodiments shown in FIGS. 3 to 6 is employed for the corrugated strips, the preferential dimensions adopted for one particular example of construction are: (a) FIG. 8 PA0 (b) FIG. 9 As will be readily apparent, the invention is not limited solely to the examples which have been more especially contemplated in the foregoing but extends on the contrary to all alternative forms. In particular, no special assumption has been made in regard to the material constituting the grid and corrugated strips but preference will be given to the use of the alloy known as "Inconel 718" or of a suitable zirconium alloy.
048511870
abstract
A nuclear reactor fuel assembly includes a fuel assembly box. A head plate is disposed in the fuel assembly box. Fuel rods containing nuclear fuel are disposed in the fuel assembly box and guided in leadthroughs formed in the head plate, at least some of the fuel rods being secured in the head plate. A corner bolt stands on top of the head plate. A cross bar is disposed inside one of the corners at the upper end of the fuel assembly box on the corner bolt. An angle element is adapted to the fuel assembly box. Each of two leaf springs are disposed on an outer surface of the angle element at a respective one of the sides of the fuel assembly box and extend in longitudinal direction of the fuel assembly box. A screw bolt is firmly screwed to the fuel assembly box and the angle element at the corner bolt, the screw bolt has an expansion shaft with a reduced diameter, and a bolt head having two ends and being disposed on top of and supported on the angle element, the bolt head has an outer surface with an annular recess formed therein between the ends thereof defining a coaxial bolt head shaft with a reduced diameter, the bolt head shaft being disposed in a bore formed in the angle element between the ends of the bolt head. A transverse pin is disposed in the bore and has one end protruding into the annular recess.
058928057
abstract
A reactor water clean-up system is provided with a first filter demineralizer having a filter resin containing an alkali substance and a second filter demineralizer with a filter resin not containing an alkali substance. The reactor water is supplied to the first filter demineralizer during the term from the starting operation of the preoperating test of the nuclear reactor and of each fuel cycle to 2000 EFPH. The alkali substance contained in the filter resin is guided into the nuclear reactor to change the pH of the reactor water in the nuclear reactor to the weak alkali side. The Cr quantity which is taken in the oxide film of the fuel cladding tube surface is reduced. After 2000 EFPH from the starting operation, the supply of the reactor water to the first filter demineralizer is stopped, and then, the reactor water is supplied to the second filter demineralizer.
description
The present invention relates to space systems for providing a change of trajectory to small asteroids for either impact avoidance or moving them to a more convenient location for mining. There are several possible methods being explored for providing a deflection or redirection of asteroids. One purpose of diverting asteroids would be to eliminate any potentially hazardous impact with Earth. In that case, given enough lead time (e.g., 1 to 10 years) the required change in momentum can be relatively small (108 to 1010 kg·m·s−1). Another possible purpose is to redirect a small asteroid (less than 100 m diameter) into a new orbit to exploit its mineral resources more conveniently. Many asteroids are rich in valuable elements that are either relatively rare in the Earth's crust or whose terrestrial reserves are becoming increasingly scarce due to overconsumption (e.g., the platinum-group metals, as well as nickel and cobalt). By one estimate, a 100 m diameter M-type asteroid may contain $50 billion worth of platinum. Additionally, many other asteroids comprise raw materials for space-based construction or as a source of extractable water and oxygen useful for sustaining manned deep space operations. Deflection or redirection techniques being explored generally divide into those that provide a large, but short-lived, impulse to the asteroid (e.g. by explosive or kinetic impact) and others that provide a slow, but sustained, push (whether by ablation of asteroid material with focused solar energy or a pulsed laser, ejecting of mined asteroid material at high velocity, by attachment to the asteroid and giving a direct tug or push, or by a gravity tractor flying in close proximity). Because of the greater velocity change needed for redirecting an asteroid to a more convenient location for mining, the methods being explored would be limited at this time to asteroids smaller than about 20 m in diameter and with a mass not more than 107 kg. Improvements in propulsion systems could eventually allow asteroids smaller than 100 m diameter and 109 kg mass to be successfully redirected. The advancing of propulsion technologies would also improve the efficiency of trips and shorten travel time to and from an asteroid, reduce consumables and mass of materials required for the journey, and (if manned) reduce astronaut health risks from both weightlessness and radiation exposure. If one wishes to move even a relatively small asteroid would require that one burn rocket engines longer than usual for most spaceflights to achieve a desired change in velocity, but this consumes significant amounts of fuel and isn't feasible with current rocket technology. Likewise, to provide a constant acceleration from thrust would require that rocket engines burn constantly over the entire flight, leading to even greater fuel usage. Even when using a standard accelerate-coast-decelerate trajectory, an asteroid's heavy mass calls for a significant penalty in fuel if using chemical rocket engines. Current cost estimates for redirecting an asteroid with existing chemical rocket technology begin at several billion dollars. Sustained investments in fundamental research and early-stage innovation in propulsion technologies is required to meet asteroid mining goals. Such research and development activity is expected to proceed in several general stages, beginning with an Earth-reliant stage with research and testing on the ISS of concepts and systems that could enable deep space, long-duration crewed missions, followed by a proving ground stage in cis-lunar space to test and validate complex operations and components before moving on to largely Earth-independent stages. Such a proving ground stage would field one or more in-space propulsion systems capable of performing the desired task of reaching a selected asteroid in “near-Earth” orbit to undergo a series of shakedown tests to demonstrate their capabilities, select a final architecture, and make needed upgrades revealed by the shakedown tests. While systems already in development for the initial Earth-reliant missions largely make use of existing technologies, investment in the development of newer technologies will be needed to meet the longer-term deep space challenges. Several projects have explored the possibility of nuclear spacecraft propulsion. The first of these was Project Orion from 1958-1963 built upon general proposals in the 1940s by Stanislaw Ulam and others, in which external atomic detonations would form the basis for a nuclear pulse drive. Later, between 1973 and 1978, Project Daedalus of the British Interplanetary Society considered a design using inertial confinement fusion triggered by electron beams directed against fuel pellets in a reaction chamber. From 1987 to 1988, Project Longshot by NASA in collaboration with the US Naval Academy developed a fusion engine concept also using inertial confinement fuel pellets but this time ignited using a number of lasers. Naturally, these last two projects depend upon successfully achieving nuclear fusion. Muon-catalyzed fusion was observed by chance in late 1956 by Luis Alvarez and colleagues during evaluation of liquid-hydrogen bubble chamber images as part of accelerator-based particle decay studies. These were rare proton-deuteron fusion events that only occurred because of the natural presence of a tiny amount of deuterium (one part per 6000) in the liquid hydrogen. It was quickly recognized that fusion many orders of magnitude larger would occur with either pure deuterium or a deuterium-tritium mixture. However, John D. Jackson (Lawrence Berkeley Laboratory and Prof. Emeritus of Physics, Univ. of California, Berkeley) correctly noted that for useful power production there would need to be an energetically cheap way of producing muons. The energy expense of generating muons artificially in particle accelerators combined with their short lifetimes has limited its viability as an Earth-based fusion source, since it falls short of break-even potential. Another controlled fusion technique is particle-target fusion which comes from accelerating a particle to sufficient energy so as to overcome the Coulomb barrier and interact with target nuclei. To date, proposals in this area depend upon using some kind of particle accelerator. Although some fusion events can be observed with as little as 10 KeV acceleration, fusion cross-sections are sufficiently low that accelerator-based particle-target fusion are inefficient and fall short of break-even potential. It is known that cosmic rays are abundant in interplanetary space. Cosmic rays are mainly high-energy protons (with some high-energy helium nuclei as well) with kinetic energies in excess of 300 MeV. Most cosmic rays have GeV energy levels, although some extremely energetic ones can exceed 1018 eV. FIG. 6 shows cosmic ray flux distribution at the Earth's surface. In near-Earth space, the alpha magnetic spectrometer (AMS-02) instrument aboard the International Space Station since 2011 has recorded an average of 45 million fast cosmic ray particles daily (approx. 500 per second). The overall flux of galactic cosmic ray protons (above earth's atmosphere) can range from a minimum of 1200 m−2 s−1 sr−1 to as much as twice that amount. (The flux of galactic cosmic rays entering our solar system, while generally steady, has been observed to vary by a factor of about 2 over an 11-year cycle according to the magnetic strength of the heliosphere.) Outside of Earth's protective magnetic field (e.g. in interplanetary space), the cosmic ray flux is expected to be several orders of magnitude greater. As measured by the Martian Radiation Experiment (MARIE) aboard the Mars Odyssey spacecraft, average in-orbit cosmic ray doses were about 400-500 mSv per year, which is an order of magnitude higher than on Earth. Cosmic rays are known to generate abundant muons from the decay of cosmic rays passing through Earth's atmosphere. Cosmic rays lose energy upon collisions with atmospheric dust, and to a lesser extent atoms or molecules, generating elementary particles, including pions and then muons, usually within a penetration distance of a few cm. Typically, hundreds of muons are generated per cosmic ray particle from successive collisions. Near sea level on Earth, the flux of muons generated by the cosmic rays' interaction by the atmosphere averages about 70 m−2 s−1 sr−1. The muon flux is even higher in the upper atmosphere. These relatively low flux levels on Earth reflect the fact that both Earth's atmosphere and geomagnetic field substantially shields our planet from cosmic ray radiation. Mars is a different story, having very little atmosphere (only 0.6% of Earth's pressure) and no magnetic field, so that muon generation at Mars' surface is expected to be very much higher than on Earth's surface. Planetary moons, such as Phobos and Deimos around Mars, would experience similar high levels of cosmic ray flux. The present invention is a method and system of propulsion that takes advantage of the abundance of cosmic rays available for free in interplanetary space and the abundance of muons generated on Mars or other planets (or their moons) with a thin (or no) atmosphere and weak (or no) magnetic field to catalyze sufficient fusion events to produce useful thrust. Here the thrust is available for accelerating asteroids along a specified trajectory, as well as allowing spacecraft to move more quickly and efficiently to reach an asteroid in deep space. One would install a group of micro-fusion rocket engines on an asteroid and coordinate their operation to control the asteroid's change in trajectory. For sufficiently small asteroids, micro-fusion electric generators could be used to power ion engines. Note that the cosmic rays and muons are available here for free and do not need to be generated artificially in an accelerator. Since the amount of energy needed for thrust is generally much less than the multi-kiloton yields of atomic weapons, “micro-fusion” is the term used here to refer to fusion energy outputs of not more than 10 gigajoules per second (2.5 tons of TNT equivalent per second), to thereby exclude macro-fusion type explosions. One way to achieve this thrust is to project successive packages of micro-fusion fuel targets (pellets, chips or powder) in a specified direction outward from the asteroid or spacecraft using one or more “guns”. The micro-fusion target material will then interact with the ambient flux of cosmic rays and muons producing a combination of particle-target micro-fusion and/or muon-catalyzed micro-fusion, generating kinetic-energy-containing fusion products that produce an accelerating or decelerating thrust against vehicle. An external pusher configuration similar to that proposed for any of Projects Orion, Daedalus or Longshot could be used to receive the thrust, except that here it is billions of controlled micro-fusion events, not atomic explosions, that are the source of that thrust. The deuterium “fuel” for the particle-target and/or muon-catalyzed micro-fusion may be supplied in the form of solid Li6D as chips, pellets or powder, or even heavy water (D2O) or liquid deuterium (D2). To assist muon formation, the fuel packages may contain up to 20% by weight of added particles of fine sand or dust. Muon-created muonic deuterium can come much closer to the nucleus of a similar neighboring atom with a probability of fusing deuterium nuclei, releasing energy. Once a muonic molecule is formed, fusion proceeds extremely rapidly (˜10−10 sec). One cosmic ray particle can generate hundreds of muons, and each muon can typically catalyze about 100 micro-fusion reactions before it decays (the exact number depending on the muon “sticking” cross-section to any helium fusion products). Other types of micro-fusion reactions besides D-D are also possible depending upon the target material. For example, another reaction is Li6+D→2He4+22.4 MeV, where much of the useful excess energy is carried as kinetic energy of the two helium nuclei (alpha particles). Additionally, any remaining cosmic rays can themselves directly stimulate micro-fusion events by particle-target fusion, wherein the high energy cosmic ray particles (mostly protons, but also helium nuclei) bombard relatively stationary target material. When bombarded directly with cosmic rays, the lithium-6 may be transmuted into tritium which could form the basis for some D-T micro-fusion reactions. Although D-D micro-fusion reactions occur at a rate only 1% of D-T micro-fusion, and produce only 20% of the energy by comparison, the freely available flux of cosmic rays and their generated muons should be sufficient to yield sufficient micro-fusion energy output for practical use. The present invention achieves nuclear micro-fusion using deuterium-containing target material, and the ambient flux of cosmic rays and generated muons that are already naturally present. The optimum concentration of the target material for the particle-target and muon-catalyzed fusion may be determined experimentally based on the particular abundance of cosmic rays with a view to maintaining billions of micro-fusion reactions for producing adequate thrust for the specified application, while avoiding any possibility of a runaway macro-fusion event. At a minimum, since both particle-target micro-fusion and muon-catalyzed micro-fusion, while recognized, are still experimentally immature technologies (since measurements have only been conducted to date on Earth using artificially accelerated particles and generated muons from particle accelerators), various embodiments of the present invention can have research utility to demonstrate feasibility in environments beyond Earth's protective atmosphere and/or geomagnetic field, initially above Earth's atmosphere (e.g. on satellite platforms) for trial purposes, and then on the Moon or in lunar orbit before further testing at a near-Earth orbit (NEO) asteroid, to determine optimum parameters for various utilities in those environments. For example, the actual number of micro-fusion reactions for various types of fusion fuel sources and target configurations, and the amount of thrust that can be derived from such reactions, are still unknown and need to be fully quantified in order to improve the technology. The fusion-enhanced propulsion system requires strong cosmic ray flux to create sufficient nuclear micro-fusion for thrust purposes, and therefore is best suited to operation in deep space environments, such as in proximity to asteroids or for interplanetary travel. Alternatively, a micro-fusion-based electrical generation apparatus can be provided to power ion thrusters. The generator employs a muon-catalyzed controlled nuclear micro-fusion method to create a “wind” of large numbers of high-energy helium nuclei to drive a set of turbines. These “helium-wind” turbines are mechanically connected to a corresponding number of induction generators to produce electricity. A cloud of fusion material is suspended within a reaction chamber and is bombarded with incoming cosmic rays and muons arriving through the top of the chamber. Turbines arranged around the reaction chamber can be driven by energetic products, such as alpha particles, in order to create electricity. The electricity is then used to power ion thrusters for propelling an asteroid. Cosmic-ray and muon-catalyzed micro-fusion can be employed in the invention to redirect an asteroid to a location closer to Earth, such as in lunar orbit. Cosmic ray flux naturally present in interstellar space is used to power nuclear micro-fusion events (via particle-target micro-fusion and muon-catalyzed micro-fusion) that will propel the asteroid. With reference to FIG. 1, one propulsion technique to propel an asteroid upon a desired trajectory, is to project the micro-fusion target material in a specified direction outward from the asteroid surface 11, i.e. toward the rear of its intended trajectory from a set of gun-like engines 13 attached at various points onto the asteroid 11. The engines 13 have a supply vault 14 for the projectiles 15 and a gun 16 for firing them outward. For example, one may shoot “fuel” packages (chips, pellets, powder) loaded in a series of projectiles 15, at a specified rate (e.g. once per second), which can then disperse the micro-fusion material as a localized cloud 17, much like artillery from an antiaircraft gun or the shooting of fireworks. The space propulsion system works in the presence of an ambient flux 19 of cosmic rays and/or muons which interact with the cloud 17 and trigger the nuclear micro-fusion of the particle target material, either by particle-target micro-fusion or muon-catalyzed micro-fusion or both. The micro-fusion fuel releases as a cloud 17 from the projectiles 15 can be solid Li6D in powder form, D-D or D-T inertial-confinement-fusion-type pellets, D2O ice crystals, or droplets of (initially liquid) D2. Fusion products 21 having significant kinetic energy (e.g. alpha particles) are generated and are received upon the asteroid surface 11 to produce thrust against the asteroid. The thrust results in acceleration (or deceleration) of the asteroid along a specified trajectory. Stored fuel packages 15 associated with the attached “engine” 13 will be shielded, at least within the casing of the projectiles themselves, to reduce or eliminate premature fusion events until delivered and dispersed as a cloud adjacent to the asteroid. Some small amount of metal for the engine 13 could be used for shielding, if needed. (For example, the Juno spacecraft to Jupiter contains radiation vaults of 1 cm thick titanium to shield its electronics from external radiation. A similar type of vault 14 might be used in this case for the shielding of the stored fuel.) One need not eliminate cosmic rays or their secondary particles (pions, muons, etc.) to zero, but merely reduce their numbers and energies sufficiently to keep them from catalyzing sufficiently large numbers of fusion events in the stored target particle material. After being shot from the gun 16, the casing of the projectiles 15 themselves will continue to provide some shielding until dispersal of the target particle material as a cloud 17. A variety of known pyrotechnic or artillery shell structures might be employed, the difference being in the content of the material to be dispersed. As seen in FIG. 2, one possible structure comprises a shell 15 having a shell wall 23 containing the micro-fusion fuel material 31 and attached at the back to a cartridge case 25 with solid-chemical-fuel propellant 27 for launching the shell to a targeted location. Within the shell wall 23, for example at or near its tip is a fuse 33 for triggering the release and dispersal of the material 31, e.g. by explosive means including a central ignition tube 34 leading to a shell-bursting charge 35. The fuse 33 can be based upon timing, barometric pressure, a determined position, or other known mechanisms to ensure that dispersal of the fuel material 31 occurs at an optimal altitude over the targeted location. Soon after the projectile has reached a desired distance from the asteroid the fuel package releases its particle target material. For example, a chemical explosion can be used to locally disperse the micro-fusion material. The shells or other form of package should disperse the micro-fusion fuel elements at a desired “altitude” (i.e. distance from the asteroid surface) for optimal dispersal of the fuel material relative to the asteroid. Various mechanisms for triggering a chemical explosion of the package could be employed. Triggering technologies can include any one or more of (1) a timer, (2) a location detector, or (3) laser or microwave beam(s) directed at the package from one or more surface bases or nearby spacecraft. Optimal distance for dispersing the material may depend upon asteroid size and composition. The dispersed cloud of target material will be exposed to both cosmic rays and to their generated muons. To assist in the formation of muons for muon-catalyzed fusion, especially when D2O or D2 is used, the target package may contain up to 20% by weight of added particles of fine sand or dust. As cosmic rays collide with both micro-fusion target material and dust, they form muons that are captured by the deuterium and that catalyze micro-fusion. Likewise, the cosmic ray collisions themselves can directly trigger particle-target micro-fusion. Besides D-D micro-fusion reactions, other types of micro-fusion reactions may also occur (e.g. D-T, using tritium generated by cosmic rays impacting the lithium-6; as well as Li6-D reactions from direct cosmic ray collisions). For this latter reaction, it should be noted that naturally occurring lithium can have an isotopic composition ranging anywhere from as little as 1.899% to about 7.794% Li6, with most samples falling around 7.4% to 7.6% Li6. Although LiD that has been made from natural lithium sources can be used in lower thrust applications or to inhibit a runaway macro-fusion event, fuel material that has been enriched with greater proportions of Li6 is preferable for achieving greater thrust and efficiency. The micro-fusion reactions in the dispersed cloud creates a kind of “external” combustion engine that will provide thrust against one side of the asteroid. The asteroid effectively acts as the equivalent of a piston in an external combustion engine and the volume of the continuous slow micro-fusion creates high velocity fusion products (alpha particles, etc.) that bombard the asteroid surface. Even the photon radiation generated by micro-fusion events supplies pressure to help accelerate the asteroid. The required rate of firing will depend on the amount of acceleration required, the amount of fusion obtained from the ambient cosmic ray and/or muon flux, the dispersal rate of the fuel cloud from in front of the asteroid, and the efficiency of the transfer of the fusion products into thrust, but could be expected to be as much as one shell per second for the duration of the thrusting period. The amount of energy generated depends upon the quantity of fuel released and the quantity of available cosmic rays and muons. Assuming most of the energy can be captured and made available for thrust, an estimated 1015 individual micro-fusion reactions (less than 1 μg of fuel consumed) per second would be required for 1 kW output. But as each cosmic ray can create hundreds of muons and each muon can catalyze 100 micro-fusion reactions, the available cosmic ray flux in interplanetary space is believed to be sufficient for this asteroid thrusting purpose following research, development, and engineering efforts. The number of micro-fusion thrust “engines” needed will depend upon the size (i.e. mass) of the asteroid to be redirected and upon the acceleration required. Additionally, if the asteroid has any amount of rotation relative to its trajectory, the operation of the various engines will need to be coordinated so that only those engines located (at any given point in time) where they can provide the desired thrust direction are active. When the asteroid rotates, some engines will shut off and others turned on, as needed, to maintain the target thrusting in the correct direction. In another embodiment shown in FIG. 3, each engine may have one or more micro-fusion-based turbine electric generators 41, where the turbines are driven by the fast helium nuclei micro-fusion products generated from dispersed lithium-6 deuteride or other deuterium-containing micro-fusion target material exposed to the cosmic rays. Micro-fusion fuel packages would be delivered to the vicinity of each of the turbines, where the micro-fusion reaction products can turn the turbines to generate electricity for powering an ion thruster and/or obtaining ore samples. For example, a cloud of deuterium-containing micro-fusion target fuel 43, e.g. particles of Li6D, can be dispersed from a flue 44 into a volume 45 between two or more helium-wind turbines 46. Specifically, a generator assembly 41 includes a source 40 of deuterium-containing micro-fusion particle fuel material 42. This material could be blown 43 through a flue 44 (e.g. by means of a fan with a gas source at the source 40 or by other means depending on the form that the fuel material takes), and then dispersed from the flue 44 into a reaction volume 45. The micro-fusion target fuel material 43 is dispersed in proximity to turbines 46 arranged around the reaction volume 45, and then exposed to ambient cosmic rays 49 and muons μ that enters the volume 45 and interacts with the dispersed fuel material 43 to cause nuclear micro-fusion events. High-energy cosmic rays 49 entering the volume 45 interact with the micro-fusion target fuel material 43 to cause nuclear fusion events. Fusion products, mainly high energy helium nuclei (alpha particles), direct kinetic energy to the turbine blades 46 to turn the turbines and generate electricity. A “wind” of micro-fusion products made up of energetic helium (alpha products) impinge upon and direct kinetic energy to the turbine blades 46 to turn the turbines and drive the associated generators 47 to produce electricity which can then be supplied via electric cables 48 to ion propulsion equipment and/or ore-sample mining equipment. If necessary, a set of one or more fans 50 in the reaction volume 45 may help keep the fuel material in suspension (against the acceleration) and near the turbines 46. The electricity may be used to power one or more ion drives to produce thrust. Ion thrusters are currently in use by NASA for a variety of geosynchronous satellites, as well as for the Dawn spacecraft (launched in 2007) for exploring the asteroid belt (including Ceres and Vesta). In an ion thruster, propellant (e.g. xenon) is ionized by electron bombardment to create a plasma and the positive ions in the plasma are then accelerated from the thruster chamber to produce thrust. Whether powered, as describe here, by fusion-driven turbine generators, or by any other means, a sufficient reserve of propellant will be needed for the duration of the desired thrusting. Depending upon the asteroid composition, material from the asteroid itself might be used as a propellant source for the ion thrusters. As seen in FIG. 4, in one possible generator configuration, the turbines 46 may be arranged around the circumference of the reaction volume 45, which can be cylindrical or any other equivalent columnar shape. While typically four in number, there can anywhere from as few as two up to 20 or more such turbines 46 (eight are seen here), depending on the space available, the size of the fusion reaction cloud, and the size and arrangement of the turbines themselves about the chamber 45. Alternatively, or in addition, as seen in FIG. 5, the turbines 46 may be arranged in multiple stacks along the length of the cylindrical reaction volume 45. Turbines are connected, e.g. through gearboxes, to corresponding induction generators 47. The generators 47 may be equal in number to the corresponding turbines 46 (1:1 correspondence), or multiple turbines may drive any given generator (n:1 correspondence). As seen in FIG. 3, the chamber may be arranged with its cylindrical or columnar axis pointing in a vertical direction, since cosmic rays and generated muons will be arriving from above, and the asteroid itself will shield in-coming cosmic rays from below, such that the chamber should be located and pointed in a direction that maximizes receipt of cosmic rays onto the cloud of fusion target material within the chamber. As with the micro-fusion thrusters, the deuterium “fuel” for a generator may be supplied in the form of clouds of solid lithium-6 deuteride powder, pellets or chips, or even frozen heavy water (D2O) or liquid droplets of D2, to a reaction chamber 45, where it is exposed to incoming cosmic rays 49 and muons μ, as seen in FIG. 3. One technique for creating the cloud of fusion target material is to shoot “fuel” packages as a series of projectiles into the reaction chamber, which can then disperse the fusion material as a localized cloud, much like fireworks or artillery. For this purpose, one or more gun tubes may be located below the chamber and loaded with the packages for introduction into the chamber. Alternatively, packages may be “dropped” into the chamber from near the top via a slide dispenser. The fuel within the projectile packages can be solid Li6D in powder form, D-D or D-T inertial-confinement-fusion-type pellets, or D2O ice crystals. To assist muon formation, especially when D2O is used, the target package may contain up to 20% by weight of added particles of fine sand or dust. Packages will be shielded, at least within the casing of the projectiles themselves, to reduce or eliminate premature fusion events until delivered and dispersed as a cloud in the reaction chamber. Soon after the projectile has reached the desired dispersal location within the chamber, the package releases its target material. For example, a chemical explosion can be used to locally disperse the fusion material. For a typical cloud of Li6D in powder form it may be desired to disperse the material near the top of the chamber to allow maximum usage of the material while it settles toward the bottom of the chamber. In the case of very large asteroids, it might be advantageous to provide one or more fans 50 at the bottom of the chamber 45 (seen in FIG. 3) to keep the cloud of target material suspended in the chamber as long as possible, but most asteroids will have sufficiently low, even negligible, gravity so that fuel settling would not be a concern. The present invention achieves nuclear micro-fusion using deuterium-containing target material, and the ambient flux of cosmic rays and generated muons that are already naturally present. The dispersed cloud of target material will be exposed to both cosmic rays and to their generated muons. As cosmic rays collide with fusion targets and dust, they form muons that are captured by the deuterium and that catalyze fusion. Likewise, the cosmic ray collisions themselves can directly trigger particle-target fusion. Muonic deuterium, tritium or lithium-6 can come much closer to the nucleus of a similar neighboring atom with a probability of fusing deuterium nuclei, releasing energy. Once a muonic molecule is formed, fusion proceeds extremely rapidly (on the order of 10−10 sec). One cosmic ray particle can generate hundreds of muons, and each muon can typically catalyze about 100 fusion reactions before it decays (the exact number depending on the muon “sticking” cross-section to any helium fusion products). For example, a particularly desired reaction is Li6+D→2He4+22.4 MeV, where much of the useful excess energy is carried as kinetic energy of the two helium nuclei (alpha particles). The alpha particles then provide a motive force to turbine blades for the generation of electricity. Other fusion reactions also create energetic fusion products that can drive the turbines. Additionally, any remaining cosmic rays can themselves directly stimulate a fusion event by particle-target fusion, wherein the high energy cosmic ray particles (mostly protons, but also helium nuclei) bombard the cloud of target material. When bombarded directly with cosmic rays, the lithium may be transmuted into tritium which could form the basis for some D-T fusion reactions. Although D-D fusion reactions occur at a rate only 1% of D-T fusion, and produce only 20% of the energy by comparison, the freely available flux of cosmic rays and their generated muons should be sufficient to yield sufficient fusion energy output for practical use. The optimum concentration of the cloud of target material for the particle-target and muon-catalyzed fusion may be determined experimentally based on the particular abundance of cosmic rays with a view to maintaining a chain reaction of fusion events for producing adequate thrust against the turbine blades, while avoiding any possibility of runaway fusion. The present invention achieves muon-catalyzed nuclear fusion using deuterium-containing target material, and muons that are naturally created from ambient cosmic rays. Most cosmic rays are energetic enough to create multiple muons (often several hundred) by successive collisions with dust or with the atoms in a target. In fact, most cosmic rays have GeV energies, although some extremely energetic ones can exceed 1018 eV and therefore potentially generate millions of muons. The optimum concentration of the target material for the muon-catalyzed fusion may be determined experimentally based on the particular abundance of cosmic rays with a view to maintaining a chain reaction of fusion events for driving the electrical generating turbines. Because both particle-target fusion and muon-catalyzed fusion, while recognized scientifically, are still experimentally immature technologies (since measurements have only been conducted to date on Earth using artificially accelerated particles and generated muons from particle accelerators), various embodiments of the present invention can have research utility to demonstrate feasibility in environments beyond Earth's protective atmosphere and/or geomagnetic field. First, a satellite platform in Earth orbit (for example, on the International Space Station) and then later a lander on the surface of the Moon are both conveniently close to Earth to place experimental modules in order to determine optimum parameters (e.g. dimensions of the chamber, and cloud density for different fuel types) in order to adequately drive the turbines.
description
The present invention relates to a method for determining at least one threshold value of at least one operating parameter of a nuclear reactor. The nuclear reactor comprises a core in which fuel assemblies are loaded, the fuel assemblies comprising fuel rods each including nuclear fuel pellets and a cladding surrounding the pellets. The present invention also relates to an associated electronic determining system, and a computer program including software instructions which, when executed by a computer, implement such a method. The invention for example applies to light water nuclear reactors, whether using pressurized water or boiling water. A large number of these reactors are currently used around the world. It may be useful, in particular in countries such as France, where more than 50% of electricity is produced using nuclear reactors, for the overall power supplied by these reactors to vary in order to adapt to the needs of the electrical grid that they supply. In particular, it is desirable to be able to operate the nuclear reactors at an intermediate power during a prolonged period during which the demand of the grid is low, typically from several days to at least 2 months, before returning to the rated power. The operating power is considered to be an intermediate power when it is below 92% of the rated power. The article “AREVA NP's PCI methodologies for PWR enhanced plant maneuverability” by L. Daniel et al, published in late June 2016, describes a method for determining threshold values of at least one operating parameter of a nuclear reactor, these threshold values being associated with protection thresholds. This method comprises determining a first protection threshold corresponding to a first threshold value of the respective operating parameter, for operation at a first power of the reactor, such as the rated power. With a view to a sustained operation at intermediate power (SOIP) of the reactor, the method also comprises determining a second protection threshold corresponding to a second threshold value of the respective operating parameter, for operation at a second power of the reactor, such as the intermediate power associated with the SOIP, that is to say, a power lower than the rated power, generally expressed in the form of a percentage of the rated power, typically between 10% and 92% of the rated power. Each protection threshold, or limit threshold associated with the respective operating parameter, and in particular the second threshold value of said operating parameter, associated in this example with the SOIP, is determined through the calculation of a PCI (Pellet-Cladding Interaction) margin, such that the PCI margin remains positive despite this change to the power of the reactor. In some cases, it may be necessary to operate a reactor over extra long periods at low power (extra-long SOIP), for example following a downtime of equipment or a leak on the secondary circuit of the nuclear reactor, and in general following an event leading to discharging heat less well and therefore having to lower the power of the nuclear reactor. The aim is to use the reactor to the best of its capacities while continuing to operate it at a highest possible power. For all that, such an operation of a nuclear reactor must not cause a safety problem, in particular in case of accidental operational transients that may occur for example during the SOIP, or shortly after a return to power following a sustained operation and intermediate power. One aim of the present disclosure is to address this problem by providing a method for determining at least one threshold value of at least one operating parameter of a nuclear reactor, making it possible to better use the capacities of the reactor, while retaining a safe operation. To that end, a method is provided for determining at least one threshold value of at least one operating parameter of a nuclear reactor comprising a core in which fuel assemblies are loaded, the fuel assemblies comprising fuel rods each including nuclear fuel pellets and a cladding surrounding the pellets, the method being implemented by an electronic determination system and comprising the following steps: determining a first threshold value of a respective operating parameter for an operation of the nuclear reactor at a first power; determining a second threshold value of a respective operating parameter for an operation of said nuclear reactor at a second power; the operation at the lower power of the first and second powers being an operation continued for a duration of at least 8 hours over a 24-hour sliding window; wherein the method further comprises the following step: determining a third threshold value of said respective operating parameter for an operation of said nuclear reactor at a third power, the third power corresponding to a power level and being between the first power and the second power. The determination method according to the present disclosure then makes it possible to determine the threshold value of the operating parameter for at least one power level between the first power and the second power. Each determined threshold value of the operating parameter is associated with a protection threshold, each threshold value in fact corresponding to a threshold not to be exceeded in order to ensure the protection of the nuclear reactor, that is to say, its operating safety. Computing at least one such power level with determination of the associated threshold value of the operating parameter and the associated protection threshold, then makes it possible to better exploit the capacities of the reactor. Indeed, such a power level makes it possible to improve the management of the variation of the power with a greater safety margin and/or a greater possible operating duration in SOIP, whether during a decrease in the power, in the rated power to the lowest power associated with the SOIP, that is to say, in the thermomechanical deconditioning mode of fuel rods, or during a rising of the power, from the lowest power associated with the SOIP to the rated power, that is to say, in thermomechanical reconditioning mode of the fuel rods. Each limit value of the respective operating parameter, associated with a protection threshold, is for example determined via the calculation of the PCI margin, such that the PCI margin remains positive despite a change in the power of the reactor. According to other advantageous aspects, the determination method comprises one or more of the following features, considered alone or according to all technically possible combinations: the nuclear reactor is in a thermomechanical deconditioning mode of the fuel rods, and the first power is greater than the second power, the first power preferably being substantially equal to the rated power of the nuclear reactor, and the second power preferably being substantially equal to a target power for a sustained operation at intermediate power of the nuclear reactor; the nuclear reactor is in a thermomechanical reconditioning mode of the fuel rods, and the first power is less than the second power, the first power preferably being substantially equal to a target power for a sustained operation at intermediate power of the nuclear reactor, and the second power preferably being substantially equal to the rated power of the nuclear reactor; the operating parameter is selected from the following group: a temperature deviation in the core, a linear power in the fuel rods and a neutron flux variation in the core; each threshold value of the respective operating parameter is determined via a calculation of a PCI margin; the calculation of the PCI margin comprises the following sub-steps: ii) simulating at least one operating transition of the nuclear reactor, iii) calculating the value reached by at least one physical property during the operating transition in at least part of a cladding of a fuel rod, and iv) determining, as PCI margin, the deviation between the maximum value reached by said value calculated in sub-step ii) during the transition and a technological limit of the fuel rod; the transition simulated in sub-step ii) is a transition chosen from among the group consisting of: an excessive load increase, an uncontrolled withdrawal of at least one group of control clusters, a fall of one of the control clusters, and an uncontrolled dilution of boric acid; the physical property is selected from the group consisting of: a constraint or a constraint function in the cladding; and a deformation energy density in the cladding; the method further comprises a step consisting of operating the nuclear reactor while verifying that the value of the operating parameter is below the respective threshold value of the operating parameter among the first, second and third threshold values for the operation of the nuclear reactor at the corresponding power among the first, second and third powers; during the operation of the nuclear reactor, after a thermomechanical deconditioning followed by a thermomechanical reconditioning of the fuel rods leading to a local combustion rate of the fuel rods, a minimum operating duration of the nuclear reactor at its rated power before a new thermomechanical deconditioning of the fuel rods is the duration corresponding to reaching a target PCI margin, the target PCI margin corresponding, starting from the PCI margin existing before the thermomechanical deconditioning of the fuel rods, to the PCI margin that would have resulted from an operation at rated power of the reactor until obtaining the same local combustion rate; and each threshold value of the respective operating parameter is a threshold value associated with a protection threshold leading to the triggering of an emergency stop of the reactor and/or an alarm. A computer program is also provided including software instructions which, when executed by a computer, implement a determination method as defined above. An electronic system is also provided for determining at least one threshold value of at least one operating parameter of a nuclear reactor comprising a core in which fuel assemblies are loaded, the fuel assemblies comprising fuel rods each including nuclear fuel pellets and a cladding surrounding the pellets, the system comprising: a first determination module configured to determine a first threshold value of a respective operating parameter for an operation of the nuclear reactor at a first power; a second determination module configured to determine a second threshold value of a respective operating parameter for an operation of said nuclear reactor at a second power; the operation at the lower power of the first and second powers being an operation continued for a duration of at least 8 hours over a 24-hour sliding window; and a third determination module configured to determine a third threshold value of said respective operating parameter for an operation of said nuclear reactor at a third power, the third power corresponding to a power level and being between the first power and the second power. In the remainder of the description, the expression “substantially equal to” defines a relationship of equality to within plus or minus 10%, preferably to within plus or minus 5%. In the remainder of the description, the term “duration” generally defines a period of time, or time period, between two instants, the value of which then corresponds to the difference between these two instants. Thus, a duration corresponding to an instant (final) Tf counted down from an initial instant Ti, will correspond to the difference between these two instants Tf, that is to say Tf−Ti, and will also be denoted in this manner. In FIG. 1, a nuclear reactor 1, such as a pressurized water nuclear reactor, comprises, as is known in itself, a core 2, a steam generator 3, a turbine 4 coupled to an electrical energy generator 5, and a condenser 6. The nuclear reactor 1 comprises a primary circuit 8 equipped with a pump 9 and in which pressurized water circulates, along a path embodied by the arrows in FIG. 1. This water in particular rises through the core 2 to be heated therein while providing the refrigeration of the core 2. The primary circuit 8 further comprises a pressurizer 10 making it possible to pressurize the water circulating in the primary circuit 8. The water of the primary circuit 8 also supplies the steam generator 3, where it is cooled while providing the vaporization of water circulating in a secondary circuit 12. The steam produced by the steam generator 3 is channeled by the secondary circuit 12 toward the turbine 4, then toward the condenser 6, where this steam is condensed by indirect heat exchange with the cooling water circulating in the condenser 6. The secondary circuit 12 comprises, downstream from the condenser 6, a pump 13 and a heater 14. Traditionally, the core 2 comprises fuel assemblies 16 that are loaded in a vessel 18 according to a loading pattern. A single fuel assembly 16 is shown in FIG. 1, but the core 2 for example comprises 157 fuel assemblies 16. The nuclear reactor 1 comprises control clusters 20 that are positioned in the vessel 18 above certain fuel assemblies 16. A single control cluster 20 is shown in FIG. 1, but the core 2 for example comprises around sixty control clusters 20. The control clusters 20 are movable by mechanisms 22 to be inserted into the fuel assemblies 16 that they overhang. Traditionally, each control cluster 20 comprises rods, at least some of which include a material absorbing the neutrons. Thus, the vertical movement of each control cluster 20 makes it possible to adjust the nuclear reactivity of the reactor 1 and allows variations of the overall power P supplied by the core 2 from the nil power to the rated power PN, as a function of the pushing of the control clusters 20 into the fuel assemblies 16. Some of said control clusters 20 are intended to regulate the operation of the core 2, for example in terms of power or temperature, and are called regulating clusters. Others are intended to stop the nuclear reactor 1 and are called stop clusters. The control clusters 20 are joined into groups based on their nature and intended use. For example, for reactors of type 900 Mwe CPY, these groups are called G1, G2, N1, N2, R, SA, SB, SC, SD. Groups G1, G2, N1 and N2, called power groups, are used overlapping for power regulation, and group R is used for temperature regulation. Groups SA, SB, SC and SD are used for the emergency stopping of the nuclear reactor 1. As illustrated by FIG. 2, each fuel assembly 16 traditionally comprises an array of nuclear fuel rods 24 and a support skeleton 26 for the fuel rods 24. The skeleton 26 conventionally comprises a lower end-piece 28, an upper end-piece 30, an array of guide tubes 31 connecting the two end-pieces 28, 30 and designed to receive the rods of the control clusters 20 and to position spacer-forming grids 32 to position the arrays of fuel rods 24 and guide tubes 31. As illustrated by FIG. 3, each fuel rod 24 traditionally comprises a cladding 33 in the form of a tube closed at its lower end by a lower stopper 34 and at its upper end by an upper stopper 35. The fuel rod 24 comprises a series of pellets 36 stacked in the cladding 33 and bearing against the lower stopper 34. A maintaining spring 38 is positioned in the upper segment of the cladding 33 to bear on the upper stopper 35 and on the upper pellet 36. Traditionally, the pellets 36 have a base of fissile material, for example uranium oxide, and the cladding 33 is made from zirconium alloy. In FIG. 3, which corresponds to a fuel rod 24 as derived from manufacturing, that is to say, before irradiation, radial play J exists between the pellets 36 and the cladding 33. This is illustrated more particularly by the circled enlarged part of FIG. 3. When the nuclear reactor 1 is going to operate, for example at its rated power PN, the fuel rod 24 will be, according to the term used in the art, conditioned. Conditioning is essentially characterized by the closing of the play J between the pellets 36 and the cladding 33, due to the creep of the cladding 33 and the swelling of the pellets 36. More specifically, the following steps are for example distinguished for each fuel rod 24 during irradiation: 1) Under the effect of the pressure difference between the outside (water from the primary circuit 8) and the inside of the fuel rod 24, the cladding 33 gradually deforms by creeping radially toward the inside of the fuel rod 24. All other things being equal, the creep speed of the cladding 33 is one characteristic of its component material. Furthermore, the fission products, the majority of which are retained in the pellet 36, cause swelling of the pallet 36. During this phase, the stress exerted on the cladding 33 in terms of constraints results solely from the pressure differential existing between the outside and the inside of the fuel rod 24. The stresses in the cladding 33 are compression stresses (conventionally negative). 2) The contact between the pellet 36 and the cladding 33 begins after a length of time that essentially depends on local irradiation conditions (power, neutron flux, temperature, etc.) and the material of the cladding 33. In reality, the contact is established gradually over a period that begins with gentle contact followed by the establishment of firm contact. The increased contact pressure of the pellet 36 on the inner face of the cladding 33 leads to an inversion of the stresses in the cladding 33, which become positive and tend to exert tensile stress on the cladding 33. 3) The swelling of the pellet 36 continues, and the pellet 36 then imposes its deformation on the cladding 33 toward the outside of the fuel rod 24. In the established steady state, this expansion is slow enough for the relaxation of the material of the cladding 33 to allow an equilibrium of the forces in the cladding 33. An analysis shows that under these conditions, the level of the tensile stresses is moderate (several tens of MPa) and does not present any risk with respect to the integrity of the cladding 33. While there is no risk of breaking of the cladding 33 in a steady state due to the thermomechanical equilibrium in the cladding 33 at fairly low stress levels, a risk appears once the power supplied by the fuel rod 24 varies greatly. Indeed, a power increase generates a temperature increase of the pellets 36 contained in the fuel rod 24 and, by heat conductance, an increase in the temperature of the cladding 33 of the fuel rod 24. Given the difference in mechanical characteristics (thermal expansion coefficient, Young's modulus) and the temperature difference between the pellet 36 of fissile material and the cladding 33 made from zirconium alloy, the pellet 36 will expand more than the cladding 33 and impose its deformation on the latter. Furthermore, an operation at intermediate power lasting several days results in deconditioning the fuel rods 24. For the portions of the fuel rods 24 where the contact between the cladding 33 and the pellets 36 is not established, the radial play J becomes greater. Regarding the portions of the fuel rods 24 where the play J was closed, the play J can open again. In case of open play J, the compression creep of the cladding 33 by pressure effect resumes. This results in increased stresses levels in the cladding 33 when an accidental local power increase transient occurs. Furthermore, the presence of corrosive fission products, such as iodine, in the space between the cladding 33 and the pellet 36 creates conditions favoring corrosion under stress. Thus, the deformation imposed by the pellet 36 on the cladding 33 during an accidental local power increase transient can cause a rupture of the cladding 33 by corrosion under stress by the iodine in pellet-cladding interaction (PCI) situation. Yet such a rupture of the cladding 33 is not acceptable for safety reasons, since it may result in the release of fission products into the primary circuit 8. Power transitions may occur during normal operation of the nuclear reactor 1, i.e., in so-called category 1 situations. Indeed, power variations may be necessary in particular to adapt to the electrical energy needs of the power grid that the generator 5 supplies. Power transients may also occur in so-called category 2 accidental situations, such as excessive charge increase, uncontrolled withdrawal of power control cluster group(s) 20, boric acid dilution or undetected fall of control clusters 20. Starting from the state of the balance of the margins obtained in normal operation, the acceptable operating duration and intermediate power is determined so as to guarantee the non-rupture by pellet-cladding interaction of the claddings 33 present in the core 2 in case of category 2 power transition, also called class 2 power transition. In order to guarantee the integrity of the fuel rods 24 with respect to the pellet-cladding interaction, the present disclosure determines a first threshold value of a respective operating parameter for an operation at a first power P1 of the reactor, a second threshold value of said respective operating parameter for an operation at a second power P2 of the reactor, and a third threshold value of said respective operating parameter for an operation at a third power P3 of the reactor, between the first power P1 and the second power P2. Each threshold value of the respective operating parameter is preferably determined by calculating a margin with respect to the rupture risk of a cladding 33 by pellet-cladding interaction (PCI), this margin being called PCI margin. Each PCI margin is a deviation relative to a characteristic property of the nuclear reactor 1, i.e., a delta of said characteristic property of the nuclear reactor 1, this deviation coming from taking account of the rupture risk of the claddings 33 by the pellet-cladding interaction. Each PCI margin is for example chosen from among the group consisting of: a power margin and a margin in a thermomechanical property associated with the cladding 33. The characteristic property of the nuclear reactor 1, a deviation, or delta, of which is determined to calculate the PCI margin, is then the local power or the thermomechanical property associated with the cladding 33. As an optional addition, the PCI margin is next converted into another property, for example into an operating duration of the nuclear reactor 1 at an intermediate power. One skilled in the art will understand that for a negative PCI margin, the lower the absolute value of the PCI margin is, the lower the likelihood of rupture of a cladding 33 is, and that when the PCI margin becomes nil or positive, the likelihood of rupture of a cladding 33 is nil. To determine the first, second and third threshold values of the respective operating parameter, an electronic system 40 is for example used, for example a computer system, for determining at least one threshold value of at least one operating parameter of the nuclear reactor 1, like that shown in FIG. 4. The determination system 40 comprises a first determination module 42 configured to determine the first threshold value of a respective operating parameter for an operation of the reactor at the first power P1. The determination system 40 comprises a second determination module 44 configured to determine the second threshold value of the respective operating parameter for an operation of the reactor at the second power P2. The operation at the lower power of the first power P1 and second power P2 is an operation continued for a duration of at least 8 hours, for example, over a 24-hour sliding window, also called SOIP for sustained operation at intermediate power. The duration of the sustained operation at intermediate power can reach several days, or even several weeks or months. The determination system 40 comprises a third determination module 46 configured to determine the third threshold value of the respective operating parameter for an operation of the reactor at the third power P3, the third power P3 being between the first power P1 and the second power P2. In the example of FIG. 4, the determination system 40 comprises an information processing unit 50, for example made up of a memory 52 and a processor 54 associated with the memory 52. In this example, it further comprises input/output means 56 and optionally a display screen 58. In the example of FIG. 4, the first determination module 42, the second determination module 44 and the third determination module 46 are each made in the form of software executable by the processor 54. The memory 52 of the information processing unit 50 is then able to store first determination software configured to determine the first threshold value of the respective operating parameter for an operation of the nuclear reactor 1 at the first power P1, second determination software configured to determine the second threshold value of said operating parameter for an operation of the nuclear reactor 1 at the second power P2, third determination software configured to determine the third threshold value of said operating parameter for an operation of the nuclear reactor 1 at the third power P3. The processor 54 of the information processing unit 50 is then able to execute the first determination software, the second determination software and the third determination software. In a variant that is not shown, the first determination module 42, the second determination module 44 and the third determination module 46 are each made in the form of a programmable logic component, such as an FPGA (Field Programmable Gate Array), or in the form of a dedicated integrated circuit, such as an ASIC (Application-Specific Integrated Circuit). Each determination module 42, 44, 46 is configured to determine the respective threshold value of the operating parameter through the calculation of a PCI margin, in particular such that the PCI margin always remains positive, particularly after a modification of the power of the reactor. Each determination module 42, 44, 46 is for example configured to determine each respective threshold value of the operating parameter, associated with a protection threshold, by calculating, for the corresponding power of the reactor, the value of said parameter corresponding to a predefined value of the PCI margin. This predefined value of the PCI margin is positive, while being relatively close to zero, or even nil, to allow a sustained operation at said corresponding power of the reactor. This predefined value of the PCI margin is for example substantially equal to 0.05 MPa when the PCI margin is expressed in the form of a deformation energy density and obtained, if applicable, from a methodology called RPM, corresponding to a first methodology for calculating the PCI margin, described hereinafter. In a variant, this predefined value of the PCI margin is substantially equal to 5 W/cm when the PCI margin is expressed in the form of a power at break and obtained, if applicable, from a methodology called power at break, corresponding to a second methodology for calculating the PCI margin, described hereinafter. One skilled in the art will further understand that each of the predefined values of the PCI margin can be converted into a margin in authorized SOIP duration, for example substantially equal to 5 days in the case of the above examples. The operating parameter is for example selected from the following group: a temperature deviation ΔT in the core 2, a linear power Plin, in the fuel rods 24 and a neutron flux variation over time dΦ/dt in the core 2. The operating parameter taken into account for example depends on the type of protection system of the reactor (for example analog/digital) and the accidental transient in question. For example, a cooling accident will correspond to the parameter ΔT, a fall of one of the control clusters to the parameter dΦ/dt, etc. Each determination module 42, 44, 46 is then configured to calculate said PCI margin, for example according to a first methodology, such as the RPM (Renovated PCI Methodology), for example described in document EP 1,556,870 B1. Each determination module 42, 44, 46 is, according to this example, configured to simulate at least one operating transition of the nuclear reactor 1, calculate the value reached by a physical property G during the operating transition in at least one portion of a cladding 33 of the fuel rod 24, and determine, as PCI margin, the deviation between the maximum value reached by said calculated value during the transition and a technological limit of the fuel rod 24. In this methodology, the neutron (simulation of the power transition) and thermomechanical (calculation of a physical property Gin the cladding 33) calculations are coupled. The physical property G is for example the circumferential stress σθ or the radial stress σr in the cladding 33. Alternatively, the physical property G is a function of stress(es), for example of the difference for instance between the circumferential stress σθ and the radial stress σr. Also alternatively, the physical property G is the deformation energy density DED in the cladding 33. The transient simulated by the determination module 42, 44, 46 is preferably a transient selected from the group consisting of: an excessive load increase, an uncontrolled withdrawal of at least one group of control clusters 20, a fall of one of the control clusters 20, and an uncontrolled boric acid dilution. The excessive load increase corresponds to a rapid increase in the steam flow rate in the steam generator 3. Such an increase causes an imbalance between the thermal power of the core 2 and the load of the steam generator 3. This imbalance leads to cooling of the primary circuit 8. Due to the moderating and/or regulating effect of the mean temperature in the core 2 by the control clusters 20, the reactivity, and therefore the neutron flux, increase in the core 2. Thus, the overall power P supplied by the core 2 increases quickly. The uncontrolled withdrawal of groups of control clusters 20 while the reactor is operating causes an uncontrolled increase in the reactivity. This results in a rapid increase in the overall nuclear power P and the heat flux in the core 2. Until a discharge valve or pressure release valve of the secondary circuit 12 is opened, the extraction of heat in the steam generator 3 increases less quickly than the power given off in the primary circuit 8. This results in an increase of the temperature and the pressure of the water in the primary circuit 8. To simulate this transient, a withdrawal of the power groups is assumed at the maximum speed, for example of 72 pitches/min on certain types of pressurized water reactors, until complete removal of the control clusters 20 in question. If one or several control clusters 20 fall into the core 2, there is an immediate reduction in reactivity and overall power P in the core 2. Without protective action, the imbalance thus caused in the primary circuit 8 and the secondary circuit 12 causes a drop in the entry temperature of the water into the core 2, as well as an increase in the nuclear power by the counter-reactions, for example by Doppler effect, and the temperature regulation, until reaching a new breakeven point between the primary circuit 8 and the secondary circuit 12. The presence in the core 2 of the nuclear reactor 1 of the control cluster(s) 20 having fallen causes a deformation of the radial power distribution, while the removal of the regulating group leads to an axial modification of the power. The uncontrolled boric acid dilution leads to a decrease of the boron concentration of the water in the primary circuit 8 of the nuclear reactor 1 due to a failure of a system of the nuclear reactor 1. It causes an insertion of reactivity, which leads to a local increase of the linear power in the core 2. The technological limit of a fuel rod 24 is established from values reached by the physical property G in claddings during experimental power ramps, done in test reactors, on fuel rod segments representative of fuel rods 24 and previously irradiated in a nuclear power reactor and having different combustion rates. The technological limit of the physical property G corresponds to the minimum value of the physical property G from among the values reached during experimental tests. Below this limit, no fuel rod 24 rupture by pellet-cladding interaction is considered. Above it, the likelihood of a cladding 33 rupture by pellet-cladding interaction is not nil. In a variant, each determination module 42, 44, 46 is configured to calculate said PCI margin using a second methodology different from the first methodology, such as the methodology called power at break methodology, also denoted methodology Prupt. According to this variant, each determination module 42, 44, 46 is for each fuel assembly 16, configured to simulate an evolution of the operation of the nuclear reactor 1 by applying, to each fuel rod 24, a nuclear power ramp from the nil power, in order to calculate the values reached by a physical property G locally in each cladding 33 of each fuel rod 24 present in the core 2 and to determine, if applicable, a local power at break equal to the power associated with the local power of the physical property G when this value reaches the technological limit. If the technological limit is not reached, the local power at break at the considered point is infinite. In this second methodology, the simulated power ramp is a theoretical ramp, independent of the neutronic studies, and the thermomechanical calculations are then uncoupled from the neutronic calculations. According to this variant, in the example of FIG. 6, after a level A at substantially constant power, a power ramp B from the nil power is applied [to] each axial mesh of each fuel rod 24. In the example of FIG. 6, the power ramp B is a linear power ramp, and the physical property G is the deformation energy density DED in the cladding 33, the power at break Plin_rupt then corresponding to the maximum deformation energy density DEDMAX, i.e., to the value of the deformation energy density reached when the cladding 33 ruptures. The estimated maximum power is for example a power envelope at any point of the core 2 and taking account of all of the limiting transitions. This estimated maximum power in particular takes into account power transitions that may occur in so-called category 2 accidental situations. One skilled in the art will then understand that, in the example described above, each determination module 42, 44, 46 is configured to calculate a PCI margin indifferently according to the first methodology, called renovated PCI methodology, or according to the second methodology, called power at break methodology. One skilled in the art will further understand that for the determination of the first, second and third successive threshold values for a respective operating parameter, the first, second and third determination modules 42, 44, 46 are preferably configured to calculate a corresponding PCI margin according to a same methodology among the first methodology and the second methodology. One skilled in the art will further understand that the first, second and third determination modules 42, 44, 46 are preferably made in the form of a single determination module configured to calculate each of the first, second and third successive threshold values for the respective operating parameter. According to this preferred exemplary embodiment, this unique determination module is made in the form of software executable by the processor 54, or in the form of a programmable logic component, such as an FPGA, or in the form of a dedicated integrated circuit, such as an ASIC. Additionally, the determination system 40 is configured to take account of a target PCI margin M1 after a thermomechanical deconditioning followed by a thermomechanical reconditioning of the fuel rods 24 below the PCI margin M0 taken into account before the thermomechanical deconditioning of the fuel rods 24, as shown in FIGS. 8 and 9, where M1<M0. This makes it possible to account for the fact that, all other things being equal, the PCI margin decreases slightly during an irradiation cycle, with the combustion rate. The target PCI margin M1 taken into account after a thermomechanical deconditioning followed by a thermomechanical reconditioning of the fuel rods 24 leading to a local combustion rate of the fuel rods corresponds, starting from the PCI margin M0 existing before the thermomechanical deconditioning of the fuel rods (24), to the PCI margin that would have resulted from an operation of the reactor 1 at its rated power PN until obtaining the same local combustion rate. The operation of the determination system 40 will now be explained in more detail in light of FIG. 5, showing a flowchart of the determination method 90, as well as FIGS. 7 to 9, each showing a set of evolution curves of the power over time, of the PCI margin and of the protection threshold for different examples of deconditioning and/or reconditioning of the fuel rods 24. During a first step 100, the determination system 40 determines, via its first determination module 42, the first threshold value of the respective operating parameter, associated with a first protection threshold, for the operation of the nuclear reactor 1 at the first power P1. In the deconditioning example of FIG. 7, the first power P1 is the rated power PN of the nuclear reactor 1, the power P initially being substantially equal to 100% PN. The first determined protection threshold is then the threshold S100 corresponding to this operation at 100% PN. FIGS. 8 and 9 essentially illustrate examples of reconditioning, the considered first power P1 is an intermediate power of the nuclear reactor 1, such as the intermediate power equal to 50% PN. The determined first protection threshold is then the threshold S50 corresponding to this operation at 50% PN. The determination system 40 next determines, during the next step 110 and via its second determination module 44, the second threshold value of the respective operation parameter, associated with a second protection threshold, for the operation of the nuclear reactor 1 at the second power P2. In the deconditioning example of FIG. 7, the second power P2 is an intermediate power of the nuclear reactor 1, such as the intermediate power equal to 30% PN. The determined second protection threshold is then the threshold S30 corresponding to this operation at 30% PN. In the reconditioning example of FIGS. 8 and 9, the second power P2 is the rated power PN of the nuclear reactor 1, as shown by the final power P equal to 100% PN. The determined second protection threshold is then the threshold S100 corresponding to this operation at 100% PN. Lastly, the determination system 40 next determines, during the following step 120 and via its third determination module 46, the third threshold value of the respective operating parameter, associated with a third protection threshold, for the operation of the nuclear reactor 1 at the third power P3 of the reactor, the third power P3 being between the first power P1 and the second power P2. In other words, the third threshold value of the operating parameter associated with a third protection threshold corresponds to a power level between the first power P1 and the second power P2. In addition, the method further comprises a step, not shown in FIG. 5, consisting of operating the nuclear reactor 1 by verifying that the value of the operating parameter ΔT, Plin, Φ is less than the respective threshold value of the operating parameter among the first, second and third threshold values, determined during the preceding steps 100, 110, 120, for the operation of the nuclear reactor 1 at the corresponding power among the first, second and third powers P1, P2, P3. In practice, in case of reduction of the power of the nuclear reactor 1, the power of the nuclear reactor 1 will be modified first, before adapting the protection threshold secondly to this power change, from the respective threshold value of the operating parameter calculated by the determination system 40. Conversely, in case of reduction of the power of the nuclear reactor 1, the protection threshold is adapted in advance relative to this power change of the nuclear reactor 1. To simplify the drawings, these small time shifts are not shown in FIGS. 7 to 9. In the deconditioning examples of FIG. 7, the power level between the first power P1 and the second power P2 is the intermediate power equal to 50% PN. The determined third protection threshold is then the threshold S50 corresponding to this operation at the power level equal to 50% PN. In the reconditioning example of FIG. 8, the power level between the first power P1 and the second power P2 is the intermediate power equal to 85% PN. The determined third protection threshold is then the threshold S85 corresponding to this operation at the power level equal to 85% PN. The reconditioning example of FIG. 9 corresponds to a case with two successive intermediate power levels, a first power level between the first power P1 and the second power P2 being the intermediate power equal to 85% PN and a second power level between the first power P1 and the second power P2 being the intermediate power equal to 90%. Two third protection thresholds, associated with the two third threshold values of the operating parameter, are then determined, a third protection threshold being the threshold S85 corresponding to this operation at the first intermediate power level equal to 85% PN, and the other third protection threshold being the threshold S90 corresponding to this operation at the second intermediate power level equal to 90% PN. It should further be noted in FIGS. 8 and 9 that the target PCI margin M1 taken into account by the determination system 40 after a thermomechanical deconditioning followed by a thermomechanical reconditioning of the fuel rods 24 is slightly smaller than the PCI margin M0 existing before the thermomechanical deconditioning of the fuel rods 24, in light of the decrease, all other things being equal, of the PCI margin with the combustion rate. The operation of the nuclear reactor 1 in each of the examples of FIGS. 7 to 9 will now be described in more detail. In FIG. 7, at instant T0 of an irradiation cycle, the power of the nuclear reactor 1 is reduced from its rated power PN to the intermediate threshold of equal power, in the illustrated example, to 50% PN. The PCI margin existing at this instant T0 of the cycle, denoted M0, is first consumed according to a slope trs100. For convenience, the slopes tr and tr′ are shown linearly in FIGS. 7, 8 and 9. In reality, the slopes tr and tr′ have a more complex analytical formulation and for example follow a curve of the inverse exponential type: e−t for tr and 1-e−t for tr′, where t represents time. In a variant, they are approximated by a series of linear segments. The maximum operating duration under these conditions, corresponding to the instant DA0 counted down from T0, that is to say DA0−T0, is that making it possible to retain a positive residual PCI margin Mres100 at all instants. When it is necessary for the SOIP duration to be greater than the duration DA0−T0, the calculation of the successive protection thresholds S50, S30 makes it possible to restore a PCI margin. Indeed, the protection thresholds of the nuclear reactor 1 are increasing monotone functions of the power P of the nuclear reactor 1. The new margin M′, visible in FIG. 7 during the change of protection thresholds from S100 to S50, is in turn consumed according to a new slope trs50. At this intermediate power level at 50% PN, the maximum operating duration, corresponding to the intermediate instant DI, is again that making it possible to keep a positive residual PCI margin Mres50 at all times, and the use of the following protection threshold S30 makes it possible to restore a PCI margin, with a new margin M″. This new margin M″, visible in FIG. 7 during the change of protection thresholds from S50 to S30, is in turn consumed according to a new slope trs50 until another intermediate instant DI′, corresponding to the end of the SOIP in this example and for which the residual PCI margin Mres30 remains greater than zero. One skilled in the art will then observe that determining the third threshold value of said respective operating parameter, here corresponding to the protection threshold S50 for the intermediate power level equal to 50% PN, ultimately makes it possible to have a greater residual PCI margin, while further having a portion of the SOIP done at the third power P3, here equal to 50% PN, which is greater than the final intermediate power, here the second power P2 equal to 30% PN. FIG. 8 first illustrates a deconditioning similar to that of FIG. 7, but without the presence of an intermediate power level during this deconditioning, the SOIP only being done at 50% PN. This deconditioning is, at the end of the SOIP, followed by a reconditioning, the end of the SOIP corresponding to the intermediate instant DI. This FIG. 8 then illustrates the implementation during the thermomechanical reconditioning of fuel rods 24 from this intermediate instant DI. The use, during this reconditioning, of the intermediate level at the third power P3 equal to 85% PN, between the first power P1, here equal to 50% PN, and the second power P2, here equal to 100% PN, makes it possible to perform this reconditioning under much better safety conditions. Indeed, the direct return to the 100% PN level after the duration of the SOIP corresponding to the instant DI, that is to say, DI−T0, would create a PCI margin that would temporarily become negative in a hypothetical class 2 situation until reaching the point C100, corresponding to the lower end of the curve trs100 in FIG. 8, the PCI margin under these conditions becoming positive at the earliest at the instant R1, which defines the first reconditioning level before the possible return to the rated power PN. The maximum power grade of the intermediate power level, such as 85% PN in the example of FIG. 8, is determined so as to have a positive PCI margin, corresponding to the residual PCI margin Mres85, at the beginning of the reconditioning (intermediate instant DI). From this minimum grade Mres85, the PCI margin is next regained according to a slope tr′s85. The protection threshold of the reactor is raised to the grade associated with the intermediate power level, such as S85 in the example of FIG. 8. At the instant R1, the nuclear reactor 1 is used again at its rated power PN, the power P corresponding to 100% PN in FIG. 8, with the corresponding protection threshold S100. In order to further optimize the rise toward the rated power PN during the thermomechanical reconditioning of the fuel rods 24 from the intermediate instant DI, one skilled in the art will observe that the determination system 40 also makes it possible to determine several intermediate power levels, that is to say, several third powers P3 with separate and increasing successive values, between the first power P1 and the second power P2, as illustrated in FIG. 9, with a first intermediate level at 85% PN and an associated protection threshold S85, followed by a second intermediate level at 90% PN and an associated protection threshold S90 from the instant R1. In FIG. 9, at the instant R2, the nuclear reactor 1 is used again at its rated power PN, with the corresponding protection threshold S100. Similarly, one skilled in the art will understand that in order to further optimize the decrease toward the minimum intermediate power during the thermomechanical deconditioning of the fuel rods 24 from the instant T0, the determination system 40 also makes it possible to determine several intermediate power levels, that is to say, several third powers P3 with separate and decreasing successive values, between the first power P1 corresponding to the rated power PN and the second power P2 corresponding to the minimum intermediate power at the end of SOIP. In addition, when the nuclear reactor 1 is once again at its rated power PN, at the end of the thermomechanical reconditioning of the fuel rods 24, if a new SOIP must be done during the same irradiation cycle, a maintenance period at 100% PN is preferably required in order to guarantee a PCI margin equivalent to the PCI margin that would have resulted from an operation without SOIP. The duration of this maintenance period at 100% PN is for example, conservatively, greater than or equal to the duration of the SOIP, or optimally equal to the duration corresponding to the instant DM at which the margin M1 is reached, from the instant of the return to the rated power PN, that is to say, DM-R1 in the example of FIG. 8, or DM-R2 in the example of FIG. 9. Thus, the determination method 90 and the determination system 40 make it possible, in addition to the determination of the first and second threshold values of the operating parameter, to determine a third threshold value of this respective operating parameter for the operation of the nuclear reactor 1 at the third power P3 between the first power P1 and the second power P2, that is to say, an intermediate protection threshold, in particular in order to gain operating safety, the residual PCI margin corresponding to this intermediate power level and to the associated protection threshold then being greater than if the nuclear reactor 1 had operated directly at the second power P2. As previously illustrated in light of FIGS. 7 to 9, this increased operating safety is verified both during a deconditioning of the fuel rods 24 where the second power P2 corresponds to the minimum intermediate power, and during a reconditioning of the fuel rods 24 where the second power P2 corresponds to the rated power PN. The determination method 90 and the determination system 40 thus allow a better match between fuel management and the maneuverability of the nuclear reactor 1 for the operator: increased PCI margins, possibility of extending SOIP durations. One can thus see that the determination method 90 and the determination system 40 make it possible to better use the capacities of the nuclear reactor 1, while retaining a safe operation. Upon reading the above description, one skilled in the art will understand that a power level refers to an operation of the nuclear reactor at said power, in particular at the third power, during a non-negligible duration relative to the operating duration of the reactor at least at one of the first and the second power, as shown by FIGS. 7 to 9. In other words, a power level corresponds to a minimum operating duration of the reactor at said power, such as a duration greater than one hour. One skilled in the art will in particular understand the power level therefore differs from a transient operation, that is to say, of short duration, at said power. One skilled in the art will likewise understand that a power level differs from a periodic operation at said power during a power variation between a first power and a second power.
042253896
summary
BACKGROUND OF THE INVENTION This invention relates generally to nuclear reactor constructions but is primarily directed to thermal insulation for use in liquid metal coolant of fast breeder nuclear reactor constructions. In one known construction of liquid metal cooled nuclear reactor a fast breeder fuel assembly is submerged in a pool of liquid metal coolant in a primary vessel which is housed in a concrete vault. The fuel assembly is carried by a strongback and is surrounded by a barrier defining an inner or hot region of the pool and an outer or cool region of the pool. There are coolant pumps in the outer region which circulate coolant upwardly through the fuel assembly by way of the strongback thence to heat exchangers in the hot region which discharge to the cool region. During operation of the reactor the temperature of the hot region is approximately 540.degree. C. and that of the cool region approximately 370.degree. C. The barrier comprises an impermeable wall or inner tank and in order to reduce the complex stresses in the wall due to the temperature differential across it and to reduce temperature degradation of the hot region coolant the inner surface of the wall is clad with thermal insulation. Several forms of thermal insulation have been used or proposed but for various reasons have proved unsatisfactory. One form of insulation comprises spaced sheets of stainless steel defining a radial series of compartments in which liquid coolant will stagnate each sheet comprising a pair of membranes welded together face-to-face in quilted pattern manner but there is difficulty in the pre-operation testing of this material and in use superficial thermal expansion sets up complex stresses in the material. Another form of insulation comprises a layer of stainless steel blocks attached to the wall surface and covered with a stainless steel membrane but this kind of insulation is expensive. An object of the invention is to provide a nuclear reactor construction in which a wall surface is clad with thermal insulation which is capable of being prefabricated in standardised units and then installed in the construction without substantial need for further fabrication. SUMMARY OF THE INVENTION According to the invention in a nuclear reactor construction having a wall surface clad with thermal insulation, the insulation comprises a plurality of spaced layers of sheet material, each layer lying substantially parallel to the wall surface and comprising rectilinear panels secured to the wall surface in spaced array in vertical and horizontal rows, and closure members for the spaces therebetween, the closure members being of cruciform shape and the arms thereof being arranged to overlap opposed faces of adjacent panels. Thermal insulation of this kind forms a substantial barrier to flowing coolant and has adequate clearances distributed over the area of each layer to allow superficial thermal expansion to take place without causing distortion of the layer or setting up complex stresses. The panels and closure members may be prefabricated then assembled in the reactor construction without need for further fabrication. In the event of failure of the attachment of a panel to the wall surface the released panel is retained in position by the adjoining panels and closure members thus providing for reliability in service. The invention will reside in a liquid metal cooled fast breeder nuclear reactor of the kind comprising a fast breeder fuel assembly submerged in a pool of liquid metal coolant within a primary vessel, a barrier surrounding the fuel assembly thereby defining inner and outer regions of the pool and a coolant pump in the outer region for circulating coolant through the fuel assembly and through a heat exchanger disposed in the inner region, and wherein the inner wall surface of the barrier is clad with thermal insulation comprising a plurality of spaced layers of sheet material, each layer lying substantially parallel to the wall surface and comprising rectilinear panels secured to the wall surface in spaced array in vertical and horizontal rows, and closure members for the spaces therebetween, the closure members being of cruciform shape and the arms thereof being arranged to overlap opposed faces of adjacent panels.
description
The present invention is a divisional of U.S. patent application Ser. No. 12/495,851, entitled “Method of Making Nanostructured Glass-Ceramic Waste Forms, filed Jul. 1, 2009, which application was related to U.S. patent application Ser. No. 12/127,111, entitled “Nanocomposite Materials as Getter and Waste Form for Radionuclides and Other Hazardous Materials”, filed May 27, 2008, and both applications are incorporated by reference herein. The Government has rights to this invention pursuant to Contract No. DE-AC04-94AL85000 awarded by the U.S. Department of Energy. The present invention relates to waste forms and methods of disposal and isolation of hazardous wastes, particularly radionuclides. One of the great concerns in nuclear energy development throughout the world is the safe disposal and isolation of spent fuels from reactors or waste streams from reprocessing plants. In particular, entrapment of highly volatile radionuclides such as iodine (129I) produced from a fission process and subsequent immobilization of these radionuclides in an appropriate waste form is a great technical challenge because of the high mobility of these radionuclides and the difficulty of incorporating them into any existing waste forms such as glass, ceramics, and grout. Furthermore, a majority (>99%) of 129I will enter into the dissolver off-gas stream during fuel reprocessing. It is thus desirable to develop a material that can effectively entrap gaseous iodine during the off-gas treatment, which then can be directly converted into a durable waste form. The present invention provides a new concept of applying nanomaterial and nanotechnology to radioactive waste treatment, especially, a method for converting the radioactive nuclide-loaded mesoporous material into a glass-ceramic waste form that can be used either for interim storage or long-term disposal. The invention first fixes iodine inside the nanopores of a getter material by converting molecular iodine into less volatile ionic species. It then vitrifies the nuclides-loaded getter material with additional glass-forming components or commercially available glass frits (e.g., those from Ferro Co.). Preferred compositions and vitrification temperatures (850 to 950° C.) have been established in terms of the durability of the resulting waste form. This has been accomplished by studying various material combinations, the routes for iodine fixation, and different vitrification temperatures. FTIR, EDXRF, high temperature XRD (HTXRD), TEM, XPS, and TGA/DTA have been employed to characterize nuclide behaviors and material structures. It has been found that the formation of nanometer crystalline phases is responsible for iodine immobilization and retention during vitrification and waste form leaching. This is consistent with the determined optimal vitrification temperatures, which are lower than conventional glass-forming temperatures. The present invention is of a method of rendering hazardous materials less dangerous, comprising: trapping the hazardous material in nanopores of a nanoporous composite material; reacting the trapped hazardous material to render it less volatile/soluble; sealing the trapped hazardous material; and vitrifying the nanoporous material containing the less volatile/soluble hazardous material. In the preferred embodiment, the nanoporous composite material comprises mesoporous alumina with pore sizes up to maximum of about 50 nm and/or derivatives of mesoporous alumina with pore sizes up to maximum of about 50 nm. The derivatives preferably comprise one or more oxides of transition metals selected from the group consisting of silver (Ag), copper (Cu), iron (Fe), nickel (Ni), zinc (Zn), cobalt (Co), zirconium (Zr), and bismuth (Bi). The nanoporous composite material preferably comprises one or more glass forming oxides, more preferably selected from the group consisting of SiO2, Na2O, K2O, CaO, MgO, B2O3, Li2O, and P2O5, and most preferably wherein the one or more glass forming oxides are in percentages by weight about 0-2% Al2O3, 12-15% B2O3, 7-9% Li2O, 7-9% Na2O, and 68-72% SiO2. The hazardous material preferably comprises a radionuclide, more preferably one or multiple hazardous species in the form of gaseous or soluble ions, and most preferably one or both of 129I and 99Tc. The vitrifying step occurs at a temperature lower than 1100 degrees C., preferably between about 750 and 950 degrees C. (most preferably between about 800 and 900 degrees C.) or between about 850 and 950 degrees C. Leaching tests are conducted to choose optimal compositions of matter for durability of the vitrified materials. Compositions of matter that result in stable crystals are introduced, such as lithium oxide. Reacting comprises reacting the hazardous material with an alkaline reagent (most preferably alkaline metal hydroxide) and/or with sodium or potassium silicate. The invention is also of compositions of matter manufactured according to the above method. The invention is also of a method of rendering hazardous materials less dangerous, comprising: trapping the hazardous material in nanopores of a nanoporous composite material; reacting the trapped hazardous material to render it less volatile/soluble; and sealing the trapped hazardous material; thereby creating a precursor for a subsequent vitrification process. In the preferred embodiment, the hazardous material comprises a radionuclide, more preferably one or multiple hazardous species in the form of gaseous or soluble ions, and most preferably one or both of 129I and 99Tc. Reacting comprises reacting the hazardous material with sodium or potassium silicate or with sodium or potassium hydroxide. This causes a phase change of the hazardous material, confines the hazardous material to nanopores, and reduces solubility of the hazardous material (by changing oxidative state of the hazardous material). Reacting causes one or more of: causing a phase change of the hazardous material, confining the hazardous material to nanopores, and reducing solubility of the hazardous material. Pore sealing reacts the hazardous material with sodium or potassium silicate. Further scope of applicability of the present invention will be set forth in part in the detailed description to follow, taken in conjunction with the accompanying drawings, and in part will become apparent to those skilled in the art upon examination of the following, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and attained by means of the instrumentalities and combinations particularly pointed out in the appended claims. The present invention is of a method of making nanostructured glass-ceramic waste forms that can be used for disposition of various radionuclides, especially iodine, and of the resulting waste form. The method is based on the previous invention of using mesoporous alumina and its derivatives as getter materials for entrapping gaseous iodine (U.S. patent application Ser. No. 12/127,111). In the present invention, iodine sorbed on mesoporous material is first fixed with sodium silicate to convert molecular iodine into less volatile ionic species. The resulting material is then vitrified with additional glass-forming components so that iodine forms nanometer precipitates that are encapsulated in either a glass or a crystalline matrix. The loss of iodine, for example, during vitrification is minimal (˜0%). The preferred vitrification temperature is about 850-950° C. This temperature is lower than that generally used for glass formation (higher than about 1100° C.). The method of the invention does not require using silver for immobilizing iodine, thus reducing the cost of waste form development as well as the potential environmental hazards related to waste form production disposal. Fixation of nuclide-loaded getter materials, e.g., iodine-loaded mesoporous alumina, form the precursors of the glass-ceramic waste form of the invention. During the fixation process, one reduces the mobility of radioactive nuclides. For examples, one thereby induces: a) phase change—gas phase iodine which adsorbs onto mesoporous alumina is transferred to ionic phase (iodide, or iodate); b) part of the iodine being confined in the nanopores due to the encapsulation in nanopores, which is shown by the dramatic reduction of pore size and volume after the fixation (see FIGS. 9-12); c) reduction of solubility of the nuclide via changing the oxidative state, e.g., Rhenium (Re-VII) to Rhenium dioxide (Re-IV). Re is used as the surrogate of technetium in regular laboratory study (see resulting waste forms of FIGS. 7 and 8). The fixation can be accomplished via metal complexation, reaction with alkaline metal hydroxide/salts, either in the form of solids or solutions, for example, sodium, potassium hydroxide and their silicates. The invention is also of a method of making cost-effective nanostructured glass-ceramic as the waste form for deposition. The principle is to make the waste form both durable and low cost. To reach this goal, HTXRD (high temperature X-ray diffraction) is employed in parallel with leaching tests to optimize the vitrification condition for the most durable waste form. The combination result of HTXRD (FIG. 4(a)) and leaching tests (Table 1) show the optimum vitrification temperature to be between about 800 to 900 degrees C. TABLE 1Short summary of leaching testsSample IDpH-endIodine loss, %vitrification T, ° C.[SiO2], ppmoriginal compositionphaseFirst leaching test (LA)LA-19.2914.51100not analyzedm-Al—I + Na4SiO4 + “510”not analyzedLA-29.5027.41100not analyzedm-Al—Ag—I + Na4SiO4 + “510”not analyzedLA-38.1838.51100not analyzedm-Al—I + Na4SiO4 + “XF140-2”not analyzedLA-48.2233.31100not analyzedm-Al—Ag—I + Na4SiO4 + “XF140-2”not analyzedThe following origin is m-Al—I/silver compositeLB-18.4037.41100443225 + NC52-2(vit),amorphous to cristobaliteLB-28.3919.6110044CS749 + NC52-2(vit)amorphous to cristobaliteLB-310.3140.61100717m-Al—I + Na4SiO4amorphousLB-410.6629.61100664m-Al—I + Na4SiO4amorphous to cristobaliteLB-58.0240.61100m-Al—I + Na4SiO4 + SiO2 + B2O3QuartzLB-68.2815.9120027m-Al—I + Na4SiO4 + SiO2Quartz & cristobaliteThe following original m-Al—I samples do not contain silverLC-110.305.8750750 C. m-Al—I + Na4SiO4 + “510” fritQuartz & cristobalite, Li2Si2O5LC210.121666750 C. m-Al—I + Na4SiO4 + “510” fritLC-39.867.88001034800 C. m-Al—I + Na4SiO4 + “510” fritQuartz & cristobaliteLC-49.891013800 C. m-Al—I + Na4SiO4 + “510” fritLC-59.4920.9850278850 C. m-Al—I + Na4SiO4 + “510” fritQuartz & cristobaliteLC-69.52419850 C. m-Al—I + Na4SiO4 + “510” fritLC-79.1729.4900250900 C. m-Al—I + Na4SiO4 + “510” fritCristobaliteLC-89.18213900 C. m-Al—I + Na4SiO4 + “510” fritThe following original m-Al—I samples are fixed with potassium silicateLD-19.960.07501444750 C. m-Al—I + Na4SiO4 + “510” fritQuartz & cristobalite, Li2Si2O5LD-210.020.02145750 C. m-Al—I + Na4SiO4 + “510” fritLD-39.740.0800987800 C. m-Al—I + Na4SiO4 + “510” fritQuartz & cristobaliteLD-49.520.0497800 C. m-Al—I + Na4SiO4 + “510” fritLD-59.200.0850206850 C. m-Al—I + Na4SiO4 + “510” fritQuartz & cristobaliteLD-69.150.0174850 C. m-Al—I + Na4SiO4 + “510” fritLD-78.6813.5900279900 C. m-Al—I + Na4SiO4 + “510” fritCristobaliteLD-88.947.6279900 C. m-Al—I + Na4SiO4 + “510” frit The preferred method to make a nanostructured glass-ceramic waste form according to the invention is described as follows: Loading iodine on mesoporous alumina/composite. Mesoporous alumina is weighed into a Teflon jar, along with a vial which contains iodine weighed at the ratio of, preferably, about 1:10 (I/mesoporous alumina). The Teflon jar is screw-capped and set in the oven at about 90° C. for 6 hours. Then, the jar is opened in a hood and let cool to the room temperature. Fixation of iodine loaded on alumina/composite. At about room temperature, about 3 g of iodine-loaded mesoporous alumina is ground-mixed with about 27 g of sodium silicate solution. The mixture is gradually dried at an increasing temperature from the room temperature to about 120° C. This dried mixture is referred to herein as the ‘glass precursor’. The glass precursor is then ground and mixed with frits (e.g., Ferro Co. according to Table 1) or other glass forming components (e.g., silica (SiO2)). Vitrification: The mixture is heated in air to a selected temperature between about 750 and 1100° C. depending on the composition and test conditions. Leaching test: The resulting glass-ceramic waste form is ground and sieved to about 250 μm (>90%). About 0.8 to 1 gram of the ground material is set in about a 50-mL buffer solution in a screw-capped plastic container, which is kept in a Teflon jar with about 20 mL of DI water. The screw-capped Teflon jar is set in the oven for about 7 days at about 90° C. At the conclusion of each leaching test, the liquid suspension is filtered with filter paper. The filtrate is saved for further analyses. The residual leached glass is dried overnight and then subjected to EDXRF analysis for iodine concentrations. These concentrations are then compared with the glass prior to the leaching test to determine the percentage of iodine loss from waste form during leaching. The filtrate is analyzed for its pH and silica concentration. Iodine loading on mesoporous alumina composites. Iodine loadings of the waste forms are given in Table 2. The adsorption capacity of the getter materials is shown in FIG. 1 and Table 3. Data in FIG. 1 were obtained from exhaustion experiments, in which the iodine-loaded material was heated overnight at about 90° C. and cooled to about room temperature in an open jar. The data tabulated in Table 3 are obtained from the sorption experiments without subsequent overnight desorption at about 90° C. Note in Table 3 that monolithic mesoporous alumina (NC71) with no silver included exhibits higher iodine sorption capability than the material with silver, indicating that silver may not be necessary for iodine sorption. TABLE 2Iodine loadings on glass-ceramic materials[I], normalized[I] in theto per g ofvitrificationIodine lossceramic-mesoporoustemperature,% duringGlass sampleglass, ppmalumina, ppm° C.vitrification*NC48-1 +4297064110032“510”NC48-2 +9151506711000“510”NC48-1 +6981149411000“XF140-2”NC48-2 +10691760311000“XF140-2”NC52-2 +617987211005“3225”NC52-2 +5709120110012“CS749”NC67-77481196812000NC67-62433880110063iso-750855136807500ios-800649103848000iso-850659105448500iso-900706112969000*Non-zero numbers are due to the heterogeneity of samples. TABLE 3Iodine adsorption on mesoporous alumina/mesoporous aluminum-silvercompositesI/(m-Al)[I] on mesoporous alumina,Sample IDratioSample wt, gppmNC72 (w/silver)0.1140.203635674NC71 (monolith)0.1070.203566245BET data of these two materialsSurfaceAveragearea,Pore vol.pore size,Micropore vol.Sample IDm2/gcm3/gnmcm3/gNC72 (w/silver)2150.70612.70.006644NC71 (monolith)3541.7519.150.014549 Fixation of Iodine with Sodium Silicate. During the fixation the form of the iodine in the mesoporous alumina is changed from gas to ionic species, as indicated by FTIR spectra (FIG. 2). In FIG. 2, NaOH-12 stands for iodine which has reacted with sodium hydroxide solution. NC52-2 is the product of mesoporous aluminum-silver composite fixed with sodium silicate (the glass precursor). NC52-3 is an iodine loaded mesoporous aluminum-silver composite reacted with sodium hydroxide solution, with no silica involved. The spectrum labeled as Ag—Al—I stands for iodine-loaded mesoporous aluminum-silver composite (before fixation by sodium silicate). Finally, the spectrum labeled as Na4SiO4 is sodium silicate. All the compounds or materials analyzed are dried solids. The iodine in sample NaOH—I2 is expected to consist of iodide [I(−1)) and iodate (I(+5)) forms due to the following reaction:3I2+3H2O→5I−+IO3−+6H+ Although no detailed peak analysis has been performed, it is obvious that the spectra of NaOH—I2 and NC52-3 have overlaps around wavenumbers of 1440 and 790 cm−1, whereas, the spectrum of sample Ag—Al—I does not show any specific peak at these positions. Therefore, the iodine form in initial mesoporous material is different from that in the fixed materials. Because of this change of iodine into less volatile forms, the iodine loss during vitrification is minimal (˜0%). Leaching test. The final leachate solutions were subjected to silica concentration analysis (HACH silica method DR/2400 (8185) for high concentration of 1.0 to 100.0 mg/L). The dissolved silica concentrations, pH, and the loss of iodine during leaching are shown in Table 1. Among the glass frits tested and other formulations tried, the Frit 510 mixture resulted in the least iodine loss during leaching tests. Frit 510 comprises 0-2% Al2O3, 12-15% B2O3, 7-9% Li2O, 7-9% Na2O, and 68-72% SiO2. Therefore, a preferred frit composition for encapsulation of iodine is recommended to be similar to that of Frit 510. The vitrification temperature can also affect waste form performance. The study shows that lower iodine loss due to leaching is observed for the waste form vitrified at lower temperatures in the range of about 750 to 900° C. Microstructure analyses of glass-ceramic waste forms. A vitrification study using Ferro frit “510” and iodine-loaded mesoporous alumina was conducted. The vitrification was carried out at temperatures of about 750, 800, 850, and 900° C. for minutes, respectively. The resulting materials were characterized with XRD (including HTXRD) and TEM. FIGS. 3(a)-3(d) show the morphology of the ceramic/glass mixtures. The increasing surface exposure in terms of the void space due to bubbling during vitrification matches the durability decreasing with increase in temperature. The XRD patterns are shown in FIG. 4(a), which indicates the formation of Li2Si2O5 mineral phase along with quartz, and cristobalite phase at 750° C. Further heating results in loss of Li2Si2O5 and slow disappearance of quartz and cristobalite phases. Highly efficient mesoporous alumina and its composites are preferred as the getter materials (adsorbents) to sequestrate highly mobile radionuclides including 129I. Again, the present invention is of a method to convert these getter materials into durable waste forms at relatively lower vitrification temperatures (and of the resulting waste forms). The resulting waste forms are glass-ceramic nanocomposite that can immobilize a wide range of radionuclides with high loading capacity. Ferro frit “510” with iodine-loaded mesoporous alumina is preferred for the formation of glass-ceramic waste forms based on the batch leaching tests, which indicated that this embodiment results in the least iodine loss during leaching tests. Note that just for screening purpose (to accelerate the experiments) the leaching method used here involves rather aggressive physical and chemical conditions. Unlike the conventional method for glass durability test (using slab or cubic glass), the waste form was ground to the size of 250 μm (high exposed surface area) and a weak alkaline buffer solution (pH 8.5) was employed. For comparison, approximately the same temperature, duration, chemistry of initial solution, and size of the target materials were used for all leaching tests. The leaching rate of the waste form depends on the stability of both radionuclide-bearing nanocrytallites and their surround matrix. As shown in FIGS. 5(a)-5(c), iodine-bearing nanocrystals are embedded in an amorphous matrix. This is consistent with XRD analyses (FIGS. 4(a) and 6), which indicate that at a relatively low sintering temperature, e.g., between 750-800° C., several crystalline phases appear. The leaching test result indicates that glass-ceramic waste forms vitrified at 750° C. seem to have the lowest iodine loss during leaching. This may be due to the high content of crystalline quartz (possibly as the embedding matrix) as well as the presence of crystalline lithium silicate. Actually, in the case that the glass ceramic sample containing Ag, nanocrystals of Agl are observed to be embedded in crystalline quartz. At a higher vitrification temperature, iodine anions are expected to distribute more uniformly in the resulting waste form, probably “dissolved” in glass matrix, High silica leaching rate for the lower temperature waste forms is directly related to the resulting solution pH. In these waste forms, Na is not completely incorporated into Al—Si—O frameworks. The preferential release of Na gives rise to the high solution pH. For waste species other than iodine, such as technetium, it is anticipated that during the fixation process of the invention an oxyanionic species such as TcO4− may also change its oxidative status to a less soluble reduced form, e.g., from Tc(VII)O4− to Tc(IV)O2. Nanopore structures in an adsorbent play important role in radionuclide sequestration and encapsulation. As shown in Table 4, the presence of nanopores in the initial adsorbent material reduces iodine losses in subsequent fixation and vitrification processes. TABLE 4Enhancement of iodine retention by nanopore structures% of lost% of lost duringMaterialI sorption (ppm)during fixationvitrificationRegular alumina98Not testedNot testedActivated alumina87004565Nanoporous25000~0~0alumina To conclude, the present invention is of a method for the formation of nanostructured glass-ceramic waste forms that can be used for disposition of various radionuclides, especially iodine. This method is based on use of, preferably, mesoporous alumina and its derivatives as getter materials for entrapping gaseous iodine. In this method, iodine sorbed on mesoporous material is first reacted with sodium silicate to convert molecular iodine into less volatile ionic species. The resulting material is then vitrified with additional glass-forming components so that iodine forms nanometer precipitates that are encapsulated in either a glass or a crystalline matrix. The loss of iodine during vitrification is minimal (˜0%). The preferred vitrification temperature range (850-950° C.) has been determined for the least iodine loss during a waste form leaching test. This temperature is lower than that generally used for glass formation. Specifically, the method reported here does not require using silver for immobilizing iodine, thus reducing the cost of waste form development. Note that in the specification and claims, “about” or “approximately” means within ten percent (10%) of the numerical amount cited. Although the invention has been described in detail with particular reference to these preferred embodiments, other embodiments can achieve the same results. Variations and modifications of the present invention will be obvious to those skilled in the art and it is intended to cover in the appended claims all such modifications and equivalents. The entire disclosures of all references, applications, patents, and publications cited above are hereby incorporated by reference.
043494650
abstract
In a process for the wet combustion of solid, combustible, radioactive wastes by reaction with concentrated sulfuric and nitric acids or NO.sub.x at elevated temperatures, the improvement wherein the waste, prior to the reaction, is subjected to a preliminary comminution to a particle size less than or equal to 20 mm, and then to a primary comminution, at a temperature less than about 123.degree. K, to a particle size less than or equal to 1 mm.
abstract
Method for packing nuclear fuel in a cooling pond in a canister (12) located inside a container (10), comprising the steps of the immersion of the canister (12) and the container (10), filling the canister (12), fitting a sealing device (D) comprising caps and means of attaching a cap onto said canister (12) and of checking this attachment.
description
This United States patent application is related to, and claims priority from, two earlier filed U.S. Provisional Patent Applications entitled “Inertial Confinement Fusion Power Plant which Decouples Life-Limited Components from Plant Availability,” filed Nov. 8, 2010, as Ser. No. 61/411,390 and from a provisional application having the same title and filed Feb. 1, 2011, as Ser. No. 61/425,198. Each of these provisional applications is hereby incorporated by reference in their entirety. The United States Government has rights in this invention pursuant to Contract No. DE-AC52-07NA27344 between the United States Department of Energy and Lawrence Livermore National Security, LLC. This invention relates to targets for fusion reactions, and in particular to targets for fusion-based power plants. The National Ignition Facility (NIF) is laser-based inertial confinement fusion research machine at the Lawrence Livermore National Laboratory in Livermore, Calif. NIF uses lasers to heat and compress a capsule of deuterium and tritium fuel contained within a hohlraum to the temperatures and pressures to cause a nuclear fusion reaction. The laser beams do not strike the capsule directly; rather, they are focused onto the interior surface of the hohlraum, a technique known as indirect drive. A goal of NIF is to reach “ignition,” a condition that produces more energy than used to start the reaction. The NIF hohlraum is a generally cylindrical cavity whose walls emit radiant energy towards the interior. In the indirect drive approach to inertial confinement fusion, the fusion fuel capsule is held inside a cylindrical hohlraum and the laser beams enter through laser entrance holes at the ends of the cylinder, striking the interior surface of the hohlraum. The hohlraum absorbs and re-radiates the energy as x-rays onto the capsule. The goal of this approach is to have the energy re-radiated in a more symmetric manner than would be possible in the direct drive approach in which the laser beams strike the fuel capsule directly, i.e., without an intervening hohlraum. A typical prior art hohlraum and capsule, as used at NIF, is shown in FIG. 1. For inertial confinement fusion to become the reliable clean source of electrical energy that is needed throughout the world, it is estimated that a megawatt size power plant will require on the order of 10 to 15 targets per second. The targets are injected into a fusion engine chamber and fired upon by a bank of lasers. The NIF system presently uses 192 lasers to focus energy on the interior surfaces of the hohlraum within its target chamber. For development of cost effective fusion power, inertial confinement fusion target designers must consider many engineering requirements in addition to the physics requirements for a successful target implosion. These considerations include low target cost, high manufacturing throughput, the ability of the target to survive the injection into the fusion chamber and arrive in a condition and physical position consistent with proper laser-target interaction, and the ease of post-implosion debris removal. In addition, these requirements include the ability to handle the acceleration forces of injection into the fusion chamber, the ability to maintain a cold DT near its triple-point temperature during flight through the hot fusion chamber, yet provide a highly efficient uniform illumination of the capsule containing the fusion fuel. In a preferred embodiment we provide a hohlraum for an indirect drive inertial confinement fusion power plant in which the hohlraum surrounds a capsule at a central location containing fusion fuel. The hohlraum has an exterior surface with two end regions and a mid-region between the two end regions. The mid region has a generally symmetrical cylindrical configuration of first diameter about a central axis, while each of the end regions taper from the first diameter to a second smaller diameter at the ends of the hohlraum. Each of the end regions of the hohlraum has a laser beam entrance hole and a covering to enclose a gas within the hohlraum. The interior of the hohlraum is gas filled and defined by an interior wall having an oval shape with respect to the center axis. We have designed a target to satisfy these demands for a laser based inertial confinement fusion power plant, often referred to here as the Laser Inertial Fusion-based Energy (LIFE) power plant, currently under development. This planned power plant uses multiple laser-beam drivers for ignition. Parameters of the system relevant to the LIFE target design are listed in Table 1 below: TABLE 1Planned Target RequirementsParameterValuePer-target cost<30centsRepetition rate10-20Hz.Injection acceleration rate~6000m/s2Injection exit velocity~250m/sChamber gas temperature6000-8000KChamber gas pressure~23TorrChamber wall temperature~900KChamber radius~6mMaximum DT temperature change~100mK FIG. 2 is an illustration of a preferred embodiment of the target of this invention that satisfies the criteria of Table 1. The capsule 40 containing the fusion fuel is about a 4 mm diameter hollow spherical capsule 40 made of high density carbon. In a preferred embodiment, the capsule has a chemical vapor deposition deposited diamond ablator wall, with a thickness less than about 100 μm. A nanoporous foam, e.g. CH1.2, lines the interior wall of the capsule. The inner deuterium-tritium (DT) fuel layer is about 150 μm thick. When in use the capsule and hohlraum are cooled to a low temperature on the order of less than 20K. The hohlraum 100 is made generally of lead, about 1 cm in diameter by about 2 cm long, with an insulating wall 30. An approximately 20 μm thick layer of high-Z material 20 (i.e., a lining material), e.g., plated lead, on the inside hohlraum wall provides for more efficient x-ray production. The hohlraum has a rugby ball-shaped interior 80 for better coupling of the expected approximately 2.2 megajoule (MJ) laser energy to the capsule 40. The shape of the interior surface is a circular arc with origin vertically offset to satisfy the prescribed dimensions of the hohlraum, e.g., maximum and minimum inner radii, and length. Infrared reflectors 50, typically a low-Z membrane material such as carbon or polyimide coated with a thin reflective metal layer such as 30 nm thick aluminum, help protect the capsule from radiant heat in the fusion chamber. “P2” shields 60 and 70, typically manufactured from the same material as the hohlraum, and deposited onto the polyimide membrane, provide symmetry and enhancement of the x-ray bath around the capsule 40. An additional low-Z membrane is used to support the capsule 40 within the hohlraum 100. The hohlraum is filled with helium gas which tamps the degree of the hohlraum wall expansion to provide greater symmetry control. The gas is sealed in by the windows 90 over the laser entrance holes at opposite ends of the hohlraum. The exterior surface of the hohlraum 100 has cylindrical sides to enable guidance by a target injector used to introduces the targets into the fusion chamber. Thermal Considerations A low pressure (about 23 Torr) xenon atmosphere is used in the fusion chamber to protect the first wall of the fusion chamber from the assault of ions and much of the prompt x-ray radiation produced during thermonuclear detonation. While this permits the use of more conventional materials for the first wall, it increases the difficulty of maintaining the DT layer temperature at about 19° K. during flight of the target though this atmosphere, which remains at temperatures of about 8000° K. The hohlraum substrate 30 insulates the capsule 40 from the hot xenon gas during the approximately 24 ms flight time to the chamber center. The approximately 500 nm thick graphene or graphene-composite windows 90 over the laser entrance holes (LEH) 310 heat rapidly in the 8000° K Xe atmosphere to about 2200° K, but remain below the temperature limit of graphene (about 3000° K). The helium atmosphere fill (about 1 mg/cc) in the hohlraum transports heats to the hohlraum which acts as the thermal heat sink. This is illustrated by FIG. 3 which provides a calculated temperature distribution for the target as it passes through the fusion chamber. As shown in FIG. 3 the infrared radiation from the 900° K chamber walls reflects off the IR shields 60, 70 inside the hohlraum. These IR shields comprise a thin polyimide membrane about 400 nm in thickness coated with about 30 nm of metalized aluminum. The infrared shields heat the helium near the capsule 40. The DT temperature is expected to rise about 80 mK which is below our goal of a 100 mK maximum change in temperature. FIG. 4 is a graph illustrating the expected fuel temperature rise as a function of flight time in the chamber. Structural Considerations The hohlraum is made sufficiently robust to the ˜600 g acceleration forces of injection by the choice of materials and wall thickness. The more fragile components of the target are the DT layer within the capsule and the ˜110 nm thick carbon-based capsule support membrane. Hydrogen isotopes have high affinity to other materials. If the DT layer adheres to the ablator as expected, then the ablator provides structural support for the DT layer. Our analysis indicates that a chemical vapor deposition deposited diamond ablator layer coupled with a layer of deuterium will result in deformation of the capsule of <1 μm out-of-round. This is within our expected tolerances. Analysis to date of the capsule support membrane using membrane data taken for NIF targets and applied to membrane deflection equations suggest that the membrane will remain intact up to acceleration rates of 600 g provided that the membrane is molded to conform to the shape of the capsule with a minimum amount of initial pre-stress such that the acceleration forces are the dominant forces affecting membrane deflection. Another approach to reduce membrane forces and deflections is to orient the capsule support membranes in the direction of the acceleration force. This is illustrated in FIG. 5 for a cylindrical hohlraum, but the approach can be used for other hohlraum configurations, e.g., as depicted in FIG. 2. The membrane is anchored to the hohlraum structure partition line which is also oriented axially. In this case it is expected that the tolerable acceleration rate will exceed 1000 g. We expect that measurement of the material properties for candidate materials at cryogenic conditions, coupled with finite element analyses of the thin membranes, will confirm that the support membrane will survive both the static and dynamic forces of injection acceleration. Material Considerations Removal of the debris from the chamber following the implosion and subsequent recycling and/or disposal also imposes design constraints for target material selection. This is especially true for the hohlraum material which constitutes the majority of the mass of the target. As described above, the hohlraum consists of an inner high-Z layer and a thermally insulating-structural support material. In some implementations it will also include a conductive outer layer if an induction injector or an electromagnetic trajectory steering section is used for injection. It is desirable for the hohlraum materials to have a melting temperature lower that the wall temperature of 900K so that solid deposits will not accumulate on the fusion chamber wall. Such deposits could alter the thermal or neutronic characteristics of the wall, or they might create a cloud of solid debris near the wall which could reduce the laser propagation through the chamber, or even effect target flight into the chamber. Materials with a high vapor pressure can be continuously pumped out of the chamber for easy removal. With regard to laser propagation through the residual vapor, absorption lines near the laser frequency which result in Stokes-shifted Raman scattering are being analyzed to determine if this effect will significantly affect the amount of laser light reaching subsequent targets. Lead is an attractive high-Z hohlraum material because it is inexpensive, has low-level activation products and is easily manufactured through swaging or plating. Less expensive materials with low-radiation activation levels such as lead could be discarded as low-level waste or recycled if the recycling costs are low. Materials that may present a waste-disposal challenge because of activation are avoided. Cost, vapor-pressure based debris removal and waste disposal selection criteria for the high-Z layer of the hohlraum are summarized in FIG. 6. Manufacturing Considerations Manufacturing cost is a consideration for both the choice of target material and the specific target geometry. Ideally, the selected material preferably is a small fraction of the overall target cost. The bulk of the target costs results from mechanical and chemical processing operations. Lower production costs result from conventional high-throughput manufacturing techniques such as die-casting or stamping for the mechanical processes, and from increasing the batch size of chemical processes, e.g., chemical vapor deposition and electroplating. Our preliminary cost analysis suggests that the per-target cost can be less than 30 cents. Preliminary cost analysis is illustrated in FIG. 7 which also illustrates the impact of target costs, repetition rate and relative cost of electricity. We estimate that material costs for lead hohlraums and a CVD diamond capsule ablator costs are a relatively small part of the target costs (˜17%) while the equipment costs are a relatively large fraction (˜42%) of the overall target cost. This is a result of the large number of plasma CVD coating machines required to produce the ˜1.3 million capsules per day even with the large batch size (˜45,000 per batch) that is postulated. Injection-Tracking Considerations Another design consideration of the target is to incorporate features necessary for tracking the target trajectory through the chamber such that it will connect with the laser-driven beams to within about 100 μm of the designed engagement point. The distance between the muzzle end of the injector and the chamber center is set by the maximum neutron flux that can be tolerated by the DT layer. The temperature of the DT layer can be unacceptably increased by interaction with the neutrons or by any component parts of the injector system which may experience unacceptable neutron damage. A shuttered neutron shield system located between the injector and the chamber reduces the neutron and gamma radiation to the target. For a description of the particular injector mechanism and target tracking system, see the commonly assigned, co-pending PCT Patent Application entitled “Fusion Target Injection and Tracking” International Application Number PCT/US2011/059791, filed on Nov. 8, 2011. The contents of that application are incorporated herein by reference. The shorter the distance between the injector muzzle and the chamber center, the more accurately the target can be placed in fusion chamber center. Injection accuracy depends on the dimensional quality of the injector and the mitigation of vibration. Our objective is to place the targets within a ±500 μm lateral dimension perpendicular to the target trajectory at chamber center which is equal to the expected range of motion of the laser pointing system for final optics placed 20 meters from the fusion chamber center. The tracking system measures the position and velocity of the target as it traverses the chamber. The system consists of a set of crossing laser beams triggered by the external shape of the target. It is expected that the target can be tracked to within about ±50 μm with reference to the chamber center. When the target is within about 25 μs of the chamber center (˜6 mm for a 250 m/s target velocity), the engagement sensors, which use the same optics as the laser, measure the position of the target relative to the pointing direction of the laser to within an expected accuracy of ±10 μm and correct the laser pointing direction to impact the target to within the ±100 μm. Changes in velocity or expected position of the target as determined by the tracking system are corrected by the engagement sensors. The engagement system relies on the front and aft faces of the target reflecting laser light in a defined pattern, e.g., a ring pattern or other fiducial. This allows the engagement sensors to accurately predict the position of the target. Physics of the Target The physics design of the target is discussed in detail in the attached Appendix A, “Life Pure Fusion Target Designs: Status And Prospects,” by Amendt, Dunne, Ho and Lindl, incorporated by reference herein. FIG. 8 is a schematic diagram illustrating a manufacturing process for manufacture of the capsule and hohlraum as described above. The capsule fabrication begins with fabrication of a diamond ablator shell for containment of the DT fuel. This process is carried out by chemical vapor deposition on a spherical shell. A fill hole is informed in the capsule to enable the introduction of a nanofoam consisting of CH1.2 a carbon-based polymeric material. The DT fuel is then introduced into the capsule and absorbed into the foam. The nature of the process is carried out at about 300K, resulting in the foam and DT fill being self-leveling upon cooling to about 15-20K in a later part of the process, i.e., forming a uniformly thick layer around the inside of the capsule wall. Once the DT-vapor-phase fill process is complete the hole in the capsule wall is plugged, e.g., by polymers and high density carbon. The formation of the foam layer consists of introducing an aliquot of foam into the shell, forming a layer of solgel on the inside surface of the capsule through spin-coating or dielectrophoresis or other method during the polymerization process, then extraction of the solvent matrix. The bottom portion of FIG. 8 illustrates the hohlraum manufacturing process. Using injection molding and plating operations the bulk hohlraum parts are prepared. (Note that the process is illustrated schematically for a cylindrical hohlraum, as opposed to the hohlraum depicted in FIG. 2, which would be manufactured using the same process.) The hohlraum could be die-cast, molded or swaged using lead or other high-Z materials. At the second step in the process the infrared shields and laser entrance hole windows are affixed to the hohlraum components, with the support membrane having a preformed depression to support the capsule. Next as shown in the third manufacturing step of the process, the hohlraum parts are assembled together. The capsule is then provided and placed into the preformed capsule support membrane. Then, as shown in the last step of the process, the other half of the hohlraum, manufactured using the same process steps in the same order as provided, and the 2 halves are joined together. The preceding is a description of a preferred embodiment of indirect drive targets for use in a fusion power plant. It is important to note that numerous modifications can be made to the design of the target without departing from the scope of the invention as claimed below. For example, other materials may be substituted for the windows covering the laser entrance holes, the material of the hohlraum itself, its shape, and its components.
summary
summary
summary
047568763
claims
1. In a nuclear reactor having a liquid coolant at a high pressure of the order of 155.10.sup.5 Pa and at a high temperature of the order of 320.degree. C., a modular ultrafiltration device comprising (a) a containment the walls of which are made of a material absorbing radiation, said contaiment incorporating a removable cover; (b) an ultrafilter (15) which is arranged inside the containment (1) and has a pressure-resistant casing (8) open at one of its ends (8a) provided with a connecting flange (9), and which contains a removable ultrafiltration assembly (10) which can be introduced or removed via an orifice in the casing (8), and an inlet connection piece (12) for liquid to be purified, a filtrate-outlet connection piece (16) and a concentrate-outlet connection piece (14) passing through the pressure-resistant casing (8); (c) a concentrate circulation pump (25) fastened to the removable cover (7) of the containment (1) and incorporating a connecting flange (26) matching the connecting flange (9) of the pressure-resistant casing (8), to form together with this casing (8), when the removable cover (7) and the pump (25) are in the operating position, a closed and leak-proof volume in which the concentrate is made to circulate by means of the pump (25); (d) a heat exchanger (32) placed in the containment (1) and connected to the concentrate-oulet connection piece (14) penetrating into the heat exchanger (32) cooling the concentrate, as well as to a cooled-concentrate discharge connection piece (35) and to an inlet connection piece (37) and an outlet connection piece (39) for cooling fluid; (e) connection means (13, 17, 36, 38, 40) fastening respectively to the inlet connection piece (12) for liquid to be purified, to the filtrate-outlet connection piece (16), to the concentrate discharge connection piece (35) and to the inlet and outlet connection pieces (37, 39) for cooling fluid, outside the containment (1), these connection pieces passing through the walls (2) of the containment in a leak-proof manner; and (f) a heat-insulating material (41) in divided form which fills the free volume of the containment (1). beams (50) radially arranged between the casing (8) of the ultrafilter (15) and the inner wall of the containment (1), to absorb forces which can arise under accidental conditions. 2. Modular device according to claim 1, comprising 3. Modular device according to claim 1, wherein the casing (8) of the ultrafilter (15) is a substantially cylindrical shape with a vertical axis ZZ' and is open in its upper part, and the assembly consisting of the removal cover (7), the pump (25) and its flange (26) comes to rest on the connecting flange (9) of the casing (8). 4. Modular device according to claim 3, wherein the pump (25) has a lifting ring (32) for selectively lifting and putting down the assembly resting on the flange (9) of the casing (8) of the ultrafilter (15). 5. Modular device according to claim 3, wherein the removable cover (7) of the containment (1) has holes (29) in a vertical line with means of fastening the flanges (26 and 9) to one another. 6. Modular device according to claim 1, wherein the containment (1) incorporates lifting rings (31) for transporting it and installing it in the reactor as a single unit. 7. Modular device according to claim 1, wherein the ultrafilter (15) incorporates partitionings (21, 22) for guiding the concentrate and the filtrate inside the casing (8) and inside the ultrafiltration assembly (10) respectively.
054815762
claims
1. A method of maintaining ice in an ice basket of a pressurized water reactor, comprising vibrating the ice basket to free the ice in the ice basket. 2. The method of claim 1, further comprising pulling the ice basket from above and pushing the ice basket from below, while the ice basket is being vibrated, to exert a combination of tension and compression on the ice basket. 3. A method of maintaining ice in an ice basket of a pressurized water reactor, comprising the steps of: (a) providing at least one vibrator; (b) attaching the at least one vibrator to the ice basket; and (c) activating the at least one vibrator to free the ice in the ice basket. wherein said step (b), comprises attaching the at least one vibrator to the rim of the ice basket using the bracket. a horizontally extending first armature portion having first and second ends and a middle intermediate the first and second ends; first and second clamps extending downwardly from the first armature portion at the first and second ends thereof, respectively, the first and second clamps each having a bottom surface and including an arcuate groove extending vertically upwardly from the bottom surface; a horizontally extending second armature portion having first and second ends and a middle intermediate the first and second ends, the second armature portion being connected to the first armature portions at the middles thereof; and third and fourth clamps extending downwardly from and pivotably connected to the second armature portion at the first and second ends thereof, respectively, the third and fourth clamps each having a bottom surface and including an arcuate groove extending vertically upwardly from the bottom surface; and wherein in said step (b), the third and fourth clamps are pivoted to align their grooves with the rim of the ice basket, and the bracket is attached to the rim of the ice basket using the first, second, third, and fourth clamps. wherein in said step (b), the third and fourth clamps are laterally adjusted to align their grooves with the rim of the ice basket. wherein in said step (b), the second armature portion is pivoted relative to the first armature portion to align the grooves of the third and fourth clamps with the rim of the ice basket, and the bracket is attached to the rim of the ice basket using the first, second, third, and fourth clamps. (d) simultaneously with said step (c), pulling the ice basket from above and pushing the ice basket from below to exert a combination of tension and compression on the ice basket. (d) simultaneously with said step (c), pulling the ice basket from above and pushing the ice basket from below to exert a combination of tension and compression on the ice basket. 4. The method of claim 3, wherein said step (a) also includes providing a bracket for attaching the at least one vibrator to the rim of the ice basket; and 5. The method of claim 4, wherein in said step (a), the bracket comprises: 6. The method of claim 5, wherein in said step (a), the third and fourth clamps are also laterally adjustable with respect to the first and second ends of the second armature portion towards and away from the middle of the second armature portion; and 7. The method of claim 5, wherein in said step (a), the second armature portion is pivotably connected to the first armature portion; and 8. The method of claim 3, further comprising the step of: 9. The method of claim 4, further comprising the step of: 10. The method of claim 9, wherein in said step (d), the ice basket is pulled from above by exerting an upward force on the bracket.
claims
1. A detection apparatus usable with a fuel rod from among a plurality of fuel rods of a fuel assembly, the fuel rod having a cladding that has an interior region, the fuel rod being situated within a nuclear reactor, the detection apparatus being cooperable with an electronic processing apparatus situated outside of the reactor, the detection apparatus comprising:a transmitter that is structured to be positioned outside the cladding and inside the nuclear reactor in the vicinity of the fuel rod and structured to generate an excitation pulse and to transmit the excitation pulse through the cladding and into the interior region;an electrical circuit apparatus having a resonant electrical circuit that is structured to be supported within the interior region and to generate a response pulse in response to the excitation pulse and to transmit the response pulse in the form of a magnetic field signal that is structured to travel from the interior region and through the cladding, a characteristic of the response pulse being indicative of a condition of the fuel rod; anda receiver structured to be supported within the nuclear reactor outside the cladding and in the vicinity of the fuel rod, the receiver being structured to receive the response pulse and to communicate to the electronic processing apparatus an output responsive to the response pulse. 2. The detection apparatus of claim 1 wherein the resonant electrical circuit is supported within a plenum of the nuclear fuel rod. 3. The detection apparatus of claim 2 wherein the characteristic of the response pulse is indicative of a center-line fuel pellet temperature. 4. The detection apparatus of claim 2 wherein the characteristic of the response pulse is indicative of a fuel pellet elongation. 5. The detection apparatus of claim 2 wherein the characteristic of the response pulse is indicative of a pressure in the interior region. 6. The detection apparatus of claim 2 wherein the characteristic of the response pulse is indicative of a plurality of conditions of the fuel rod. 7. The detection apparatus of claim 1 wherein the resonant electrical circuit comprises a plurality of circuit components whose values are selected to cause the response pulse to have a unique frequency that is usable to identify the fuel rod from which the response pulse emanated. 8. The detection apparatus of claim 1 wherein the electrical circuit apparatus further comprises a calibration circuit that is configured to be supported within the interior region and that is structured to generate a calibration signal in response to the excitation pulse and to transmit the calibration signal in the form of another magnetic field signal that is structured to travel from the interior region and through the cladding, the another magnetic field signal being receivable by the receiver and usable to correct the response pulse received by the receiver for any signal change associated with component degradation or temperature drift. 9. The detection apparatus of claim 1 wherein the resonant electrical circuit comprises a plurality of circuit components that comprise a capacitor, the capacitor having a capacitance which is structured to vary in response to the condition of the fuel rod and which, responsive to a change in the condition, is structured to cause the response pulse to have a frequency that varies with the condition. 10. The detection apparatus of claim 9 wherein the capacitor comprises a movable plate and a stationary plate, the movable plate being structured to move with respect to the stationary plate responsive to a change in an ambient pressure within the interior region to alter the capacitance and to resultantly cause the response pulse to have a frequency that varies with the ambient pressure. 11. The detection apparatus of claim 1 wherein the resonant electrical circuit comprises a plurality of circuit components that comprise an inductor having a coil, the inductor having a inductance which is structured to vary in response to the condition of the fuel rod and which, responsive to a change in the condition, is structured to cause the response pulse to have a frequency that varies with the condition. 12. The detection apparatus of claim 11 wherein the fuel rod has a number of fuel pellets situated within the interior region, wherein the condition is a center-line fuel pellet temperature of the fuel rod, and wherein the electrical circuit apparatus further comprises a temperature transmission apparatus comprising an element that is elongated and that is formed at least in part of a ferromagnetic material, at least a portion of the element being receivable in the coil, the at least portion of the element that is receivable in the coil varying with the condition to cause the inductance to vary in response to the change in the condition. 13. The detection apparatus of claim 12 wherein the temperature transmission apparatus further comprises an amount of metal, the element being engaged with the amount of metal, the amount of metal being structured to undergo thermal expansion and contraction as a function of an increase and a decrease, respectively, in the center-line fuel pellet temperature, the element being structured to be moved relative to the coil by the amount of metal undergoing thermal expansion and contraction to thereby cause the inductance to vary in response to the change in the condition. 14. The detection apparatus of claim 13 wherein the amount of metal is in a liquid state during operation of the nuclear reactor, wherein the element is floated on the amount of metal, and wherein the element is structured to be buoyantly moved relative to the coil by the amount of metal undergoing thermal expansion and contraction. 15. The detection apparatus of claim 11 wherein the condition is an ambient pressure within the interior region, and wherein the electrical circuit apparatus further comprises a pressure indication apparatus comprising an element that is elongated and that is formed at least in part of a ferromagnetic material, at least a portion of the element being receivable in the coil, the at least portion of the element that is receivable in the coil varying with the condition to cause the inductance to vary in response to the change in the condition. 16. The detection apparatus of claim 15 wherein the pressure indication apparatus further comprises a vessel having a hollow cavity that is sealed to resist fluid communication with the interior region, the vessel being structured to be supported within the interior region and being structured to undergo contraction and expansion in response to an increase and a decrease, respectively, in the ambient pressure within the interior region, the element being situated on the vessel being structured to be moved relative to the coil by the vessel undergoing contraction and expansion to thereby cause the inductance to vary in response to the change in the condition. 17. The detection apparatus of claim 16 wherein the vessel is a bellows having a number of corrugations formed therein. 18. A method of detecting a condition of a fuel rod from among a plurality of fuel rods of a fuel assembly, the fuel rod having a cladding that has an interior region, the fuel rod being situated within a nuclear reactor, comprising:employing a detection apparatus to detect the condition, the detection apparatus being cooperable with an electronic processing apparatus situated outside of the nuclear reactor, the detection apparatus having a transmitter that is positioned outside the cladding and inside the nuclear reactor in the vicinity of the fuel rod, an electrical circuit apparatus having a resonant electrical circuit that is supported within the interior region, and a receiver that is supported within the nuclear reactor outside the cladding and in the vicinity of the fuel rod, the employing comprising:generating with the transmitter an excitation pulse and transmitting the excitation pulse through the cladding and into the interior region;generating with the electrical circuit apparatus a response pulse in response to the excitation pulse and transmitting the response pulse in the form of a magnetic field signal from the interior region and through the cladding;generating the response pulse to have a characteristic that is indicative of the condition of the fuel rod; andreceiving the response pulse on the receiver and communicating to the electronic processing apparatus an output responsive to the response pulse. 19. The method of claim 18, wherein the electrical circuit apparatus further has a calibration circuit that is supported within the interior region, and further comprising:generating with the calibration circuit a calibration signal in response to the excitation pulse and transmitting the calibration signal in the form of another magnetic field signal from the interior region and through the cladding;receiving the another magnetic field signal on the receiver; andemploying the another magnetic field signal received on the receiver to correct the response pulse received by the receiver for any signal change associated with component degradation or temperature drift. 20. The method of claim 19, wherein the employing of the another magnetic field signal received on the receiver comprises:employing the characteristic of the response pulse and another characteristic of the calibration signal to determine a ratio; andemploying the ratio to retrieve from a storage a corresponding value for the condition.
description
This application is a continuation of U.S. patent application Ser. No. 13/208,915, filed Aug. 12, 2011, now allowed, which in turn claims the benefit of U.S. Provisional Patent Application Ser. No. 61/373,138, filed Aug. 12, 2010, the entireties of which are incorporated herein by reference. The present invention relates generally to systems for storing high level radioactive waste, and specifically to ventilated systems for storing high level radioactive waste that utilize natural convective cooling. The storage, handling, and transfer of high level waste, (hereinafter, “HLW”) such as spent nuclear fuel (hereinafter, “SNF”), requires special care and procedural safeguards. For example, in the operation of nuclear reactors, it is customary to remove fuel assemblies after their energy has been depleted down to a predetermined level. Upon removal, this spent nuclear fuel is still highly radioactive and produces considerable heat, requiring that great care be taken in its packaging, transporting, and storing. In order to protect the environment from radiation exposure, spent nuclear fuel is first placed in a canister. The loaded canister is then transported and stored in large cylindrical containers called casks. A transfer cask is used to transport spent nuclear fuel from location to location while a storage cask is used to store spent nuclear fuel for a determined period of time. In a typical nuclear power plant, an open empty canister is first placed in an open transfer cask. The transfer cask and empty canister are then submerged in a pool of water. Spent nuclear fuel is loaded into the canister while the canister and transfer cask remain submerged in the pool of water. Once fully loaded with spent nuclear fuel, a lid is typically placed atop the canister while in the pool. The transfer cask and canister are then removed from the pool of water, the lid of the canister is welded thereon and a lid is installed on the transfer cask. The canister is then properly dewatered and filled with inert gas. The transfer cask (which is holding the loaded canister) is then transported to a location where a storage cask is located. The loaded canister is then transferred from the transfer cask to the storage cask for long term storage. During transfer from the transfer cask to the storage cask, it is imperative that the loaded canister is not exposed to the environment. One type of storage cask is a ventilated vertical overpack (“VVO”). A VVO is a massive structure made principally from steel and concrete and is used to store a canister loaded with spent nuclear fuel (or other HLW). VVOs stand above ground and are typically cylindrical in shape and extremely heavy, weighing over 150 tons and often having a height greater than 16 feet. VVOs typically have a flat bottom, a cylindrical body having a cavity to receive a canister of spent nuclear fuel, and a removable top lid. In using a VVO to store spent nuclear fuel, a canister loaded with spent nuclear fuel is placed in the cavity of the cylindrical body of the VVO. Because the spent nuclear fuel is still producing a considerable amount of heat when it is placed in the VVO for storage, it is necessary that this heat energy have a means to escape from the VVO cavity. This heat energy is removed from the outside surface of the canister by ventilating the VVO cavity. In ventilating the VVO cavity, cool air enters the VVO chamber through bottom ventilation ducts, flows upward past the loaded canister, and exits the VVO at an elevated temperature through top ventilation ducts. The bottom and top ventilation ducts of existing VVOs are located near the bottom and top of the VVO's cylindrical body respectively. While it is necessary that the VVO cavity be vented so that heat can escape from the canister, it is also imperative that the VVO provide adequate radiation shielding and that the spent nuclear fuel not be directly exposed to the external environment. The inlet duct located near the bottom of the overpack is a particularly vulnerable source of radiation exposure to security and surveillance personnel who, in order to monitor the loaded overpacks, must place themselves in close vicinity of the ducts for short durations. Thus, a need exists for a VVO system for the storage of high level radioactive waste that has an inlet duct that reduces the likelihood of radiation exposure while providing extreme radiation blockage of both gamma and neutron radiation emanating from the high level radioactive waste. These, and other drawbacks, are remedied by the present invention. In one embodiment, the invention can be a system for storing high level radioactive waste comprising: an overpack body extending along a vertical axis and having a cavity for storing high level radioactive waste, the cavity having an open top end and a floor; an overpack lid positioned atop the overpack body to enclose the open top end of the cavity; an air inlet vent for introducing cool air into the cavity, the air inlet vent comprising an annular air inlet plenum and an annular air inlet passageway, the annular air inlet plenum extending radially inward from an outer surface of the overpack body to the annular air inlet passageway, the annular air inlet passageway extending upward from the annular air inlet plenum to an opening in the floor; and an air outlet vent in the overpack lid for removing warmed air from the cavity. In another embodiment, the invention can be a system for storing high level radioactive waste comprising: an overpack body extending along a vertical axis and having a cavity for storing high level radioactive waste, the cavity having an open top end and a floor, the overpack body comprising an air inlet vent for introducing cool air into a bottom portion of the cavity; an overpack lid positioned atop the overpack body to enclose the open top end of the cavity, the overpack lid comprising an air outlet vent for removing warmed air from the cavity; and the air inlet vent configured so that aerodynamic performance of the air inlet vent is substantially independent of an angular direction of a horizontal component of an air-stream applied to the outer surface of the overpack body. In still another embodiment, the invention can be a system for storing high level radioactive waste comprising: an overpack body extending along a vertical axis and having a cavity for storing high level radioactive waste, the cavity having an open top end and a floor, the overpack body comprising an air inlet vent for introducing cool air into a bottom portion of the cavity; an overpack lid positioned atop the overpack body to enclose the open top end of the cavity, the overpack lid comprising an air outlet vent for removing warmed air from a top portion of the cavity; and the air inlet vent comprising a first section extending from an outer surface of the overpack body to a first radial distance from the vertical axis and a second section extending from the first radial distance to an opening in the floor at a second radial distance from the vertical axis, the second radial distance being greater than the first radial distance. In an even further embodiment, the invention can be a system for storing high level radioactive waste comprising: an overpack body extending along a vertical axis and having a cavity for storing high level radioactive waste, the cavity having an open top end and a floor, the overpack body comprising an air inlet vent for introducing cool air into a bottom portion of the cavity, the air inlet vent being substantially axisymmetric; and an overpack lid positioned atop the overpack body to enclose the open top end of the cavity, the overpack lid comprising an air outlet vent for removing warmed air from the cavity, the air outlet vent being substantially axisymmetric. Further areas of applicability of the present invention will become apparent from the detailed description provided hereinafter. It should be understood that the detailed description and specific examples, while indicating the preferred embodiment of the invention, are intended for purposes of illustration only and are not intended to limit the scope of the invention. The following description of the preferred embodiment(s) is merely exemplary in nature and is in no way intended to limit the invention, its application, or uses. Referring to FIGS. 1-4 concurrently, a system for storing high level radioactive waste will be described in accordance with an embodiment of the present invention. The system can be considered a VVO 100. The VVO 100 is a vertical, ventilated dry spent fuel storage system that is fully compatible with 100 ton and 125 ton transfer casks for spent fuel canister operations. Of course, the VVO 100 can be modified/designed to be compatible with any size or style transfer cask. The VVO 100 is designed to accept spent fuel canisters for storage. All spent fuel canister types engineered for storage in free-standing and anchored overpack models can be stored in VVO 100. As used herein the term “canister” broadly includes any spent fuel containment apparatus, including, without limitation, multi-purpose canisters and thermally conductive casks. For example, in some areas of the world, spent fuel is transferred and stored in metal casks having a honeycomb grid-work/basket built directly into the metal cask. Such casks and similar containment apparatus qualify as canisters, as that term is used herein, and can be used in conjunction with VVO 100 as discussed below. In certain embodiments, the VVO 100 is a substantially cylindrical containment unit having a vertical axis A-A and a horizontal cross-sectional profile that is substantially circular in shape. Of course, it should be understood that the invention is not limited to cylinders having circular horizontal cross sectional profiles but may also include containers having cross-sectional profiles that are, for example, rectangular, ovoid or other polygon forms. While the VVO 100 is particularly useful for use in conjunction with storing and/or transporting SNF assemblies, the invention is in no way limited by the type of waste to be stored. The VVO cask 100 can be used to transport and/or store almost any type of HLW. However, the VVO 100 is particularly suited for the transport, storage and/or cooling of radioactive materials that have a high residual heat load and that produce neutron and gamma radiation, such as SNF. This is because the VVO 100 is designed to both provide extreme radiation blockage of gamma and neutron radiation and facilitate a convective/no force cooling of any canister contained therein. The VVO 100 of the present invention generally comprises an overpack body 110 for storing high level radioactive waste and a removable overpack lid 120 that is positioned atop the overpack body 110. The overpack body 110 extends along the vertical axis A-A. The overpack lid 120 generally comprises a primary lid 121 and a secondary lid 122. The primary lid 121 is secured to the overpack body 110 by bolts 123 that restrain separation of the primary lid 121 of the overpack lid 120 from the overpack body 110 in case of a tip over situation. Moreover, the secondary lid 122 is secured to the primary lid 121 by bolts 124. The overpack lid 120 is a steel/concrete structure that is equipped with an axisymmetric air outlet vent or passageway 145 for the ventilation/removal of air as will be discussed in more detail below. An annular opening 157 is formed in an outer sidewall surface 178 of the overpack lid 120 that forms a passageway from the air outlet vent 145 to the external environment. More specifically, the annular opening 157 is a 360° opening in the outer sidewall surface 178 of the overpack lid 120. The overpack lid 120 has a quick connect/disconnect joint to minimize human activity for its installation or removal. In certain embodiments, the overpack lid 120 may weigh in excess of 15 tons. The VVO 100 further comprises shock absorber or crush tubes 102 in its top region. The shock absorber tubes 102 are arranged at suitable angular spacings to serve as a sacrificial crush material if, for any reason, the VVO 100 were to tip over. The shock absorber tubes 102 also facilitate guiding and positioning of a canister within a cavity 111 of the VVO 100 in a substantially concentric disposition with respect to the VVO 100. Referring to FIGS. 1, 4 and 6 concurrently, the overpack body 110 comprises a cylindrical wall 112, a bottom enclosure plate 130 and the overpack lid 120 described above. The cylindrical wall 112 has an inner shell 113, an intermediate shell 114 and an outer shell 115. In the exemplified embodiment, each of the inner, intermediate and outer shells 113, 114, 115 are formed of one-inch thick steel. Of course, the invention is not to be so limited and in other embodiments the inner, intermediate and outer shells 113, 114, 115 can be formed of metals other than steel and can be greater or less than one-inch in thickness. The inner shell 113 has an inner surface 116 that defines an internal cavity 111 for containing a hermetically sealed canister that contains high level radioactive waste (FIG. 5). The inner surface 116 of the inner shell 113 also forms the inner wall surface of the overpack body 110. Furthermore, the outer shell 115 has an outer surface 117. The outer surface 117 of the outer shell 115 also forms the outer sidewall surface of the overpack body 110. In the exemplified embodiment, the inner, intermediate and outer shells 113, 114, 115 are concentric shells that are rendered into a monolithic weldment by a plurality of connector plates 105a, 105b. The inner shell 113 is spaced from the intermediate shell 114 by connector plates 105a and the intermediate shell 114 is spaced from the outer shell 115 by connector plates 105b. Of course, in certain other embodiments the connector plates 105a, 105b can be altogether omitted. The space between the inner shell 113 and the intermediate shell 114 is intended for placement of a neutron shielding material. For example, in certain embodiments the neutron radiation shielding material is a hydrogen-rich material, such as, for example, Holtite, water or any other material that is rich in hydrogen and a Boron-10 isotope. In certain embodiments, there is approximately seven inches of Holtite filling the space between the inner and intermediate shells 113, 114. Thus, the space between the inner and intermediate shells 113, 114 serves to prevent neutron radiation from passing through the VVO 100 and into the external environment. An axially intermediate portion of the space between the intermediate shell 114 and the outer shell 115 is filled with a heavy shielding concrete to capture and prevent the escape of both gamma and neutron radiation. The density of the concrete is preferably maximized to increase the radiation absorption characteristics of the VVO 100. In certain embodiments, there is approximately twenty-eight inches of concrete filling the intermediate portion of the space between the intermediate and outer shells 114, 115. In some embodiments, steel plates are placed within the concrete to serve as a supplemental radiation curtain. There are no lateral penetrations in the multi-shell weldment that may provide a streaming path for the radiation issuing from the high level radioactive waste. The top and bottom portions of the space between the intermediate and outer shells 114, 115 (both above and below the concrete) are top and bottom forgings 128, 129 in the form of thick annular rings made of a metal material, such as steel. The top forging 128 comprises machine threaded holes 126 that are sized and configured to receive the bolts 123 of the primary lid 121 therein during attachment of the overpack lid 120 to the overpack body 110. As noted above, the inner surface 116 of the inner shell 113 defines the cavity 111. In the exemplified embodiment, the cavity 111 is cylindrical in shape. However, the cavity 111 is not particularly limited to any specific size, shape, and/or depth, and the cavity 111 can be designed to receive and store almost any shape of canister. In certain embodiments, the cavity 111 is sized and shaped so that it can accommodate a canister of spent nuclear fuel or other HLW. More specifically, the cavity 111 has a horizontal cross-section that can accommodate no more than one canister. Even more specifically, it is desirable that the size and shape of the cavity 111 be designed so that when a spent fuel canister is positioned in the cavity 111 for storage, a small clearance exists between outer side walls of the canister and the inner surface 116 of the inner shell 113, as will be discussed in more detail below with reference to FIG. 5. Referring to FIGS. 4 and 5 concurrently, the present invention will be further described. The cavity 111 comprises a floor 152 and an open top end 151 that is enclosed by the overpack lid 120 as has been described herein above. A plurality of support blocks 153 are disposed on the floor 152 of the cavity 111 to support a canister 200 contained within the cavity 111 above the floor 152. In the exemplified embodiment, four support blocks 153 are illustrated (see FIG. 6). However, more or less than four support blocks 153 can be used in alternate embodiments. Each of the support blocks 153 is a low profile lug that is welded to the inner surface 116 of the inner shell 113 and/or to the floor 152. In the exemplified embodiment, the canister 200 is a hermetically sealed canister for containing the high level radioactive waste. When the canister 200 is positioned within the cavity 111, it rests atop the support blocks 153 so that a space 154 exists between a bottom 202 of the canister 200 and the floor 152. The space 154 is a bottom plenum that serves as the recipient of ventilation air flowing up from an inlet vent as will be described below. Furthermore, when the canister 200 is positioned within the cavity 111, an annular gap 155 exists between the inner surface 116 of the inner shell 113 (i.e., the inner wall surface of the overpack body 110) and an outer surface 201 of the canister 200. The annular gap 155 is an uninterrupted and continuous gap that circumferentially surrounds the canister 200. In other words, the canister 200 is concentrically spaced apart from the inner shell 113, thereby creating the annular gap 155. As described in more detail below, the annular gap 155 forms an annular air flow passageway between an annular air inlet passageway 142 and the air outlet vent 145. The VVO 100 is configured to achieve a cyclical thermosiphon flow of gas (i.e., air) within the cavity 111 when spent nuclear fuel emanating heat (i.e., the canister 200) is contained therein. In other words, the VVO 100 achieves a ventilated flow by virtue of a chimney effect. Such cyclical thermosiphon flow of the gas further enhances the transmission of heat to the environment external to the VVO 100. The thermosiphon flow of gas is achieved as a result of an air inlet vent 140 that introduces cool air into the bottom of the cavity 111 of the overpack body 110 from the external environment and an air outlet vent 145 for removing warmed air from the cavity 111. Thus, as a result of thermosiphon flow, cool external air can enter into the space 154 of the cavity 111 between the bottom 202 of the canister 200 and the floor 152 via the air inlet vent 140, flow upward through the cavity 111 within the annular gap 155 between the canister 200 and the inner surface 116 of the inner shell 113, and flow back out into the external environment as warmed air via the air outlet vent 145. The newly entered air will warm due to proximity to the extremely hot canister 200, which will cause the natural thermosiphon flow process to take place whereby the heated air will continually flow upwardly as fresh cool air continues to enter into the cavity 111 via the air inlet vent 140. Thus, the air inlet vent 140 provides a passageway that facilitates cool air entering the cavity 111 from the external environment and the air outlet vent 145 provides a passageway that facilitates warm air exiting the cavity back to the external environment. In the exemplified embodiment, the air outlet vent 145 is formed into the overpack lid 120. The air outlet vent 145 provides an annular passageway from a top portion of the cavity 111 to the external environment when the overpack lid 120 is positioned atop the overpack body 110 thereby enclosing the top end 151 of the cavity 111. Specifically, the air outlet vent 145 has a vertical section 174 that extends from the cavity 111 upwardly into the overpack lid 120 in the vertical direction (i.e., the direction of the vertical axis A-A) and a horizontal section 175 that extends from the vertical section 174 to the annular opening 157 in the horizontal direction (i.e., the direction transverse to the vertical axis A-A). More specifically, the vertical section 174 of the air outlet vent 145 extends from an annular opening 176 in a bottom surface 177 of the overpack lid 120 and the horizontal section 175 extends from the vertical section 174 to the annular opening 157 in the outer sidewall surface 178 of the overpack lid 120. As described above, the annular opening 157 is a circumferential opening that extends around the entirety of the overpack lid 120 in a continuous and uninterrupted manner and circumferentially surrounds the vertical axis A-A. The overpack body 110 additionally comprises a bottom block 160 disposed within the cylindrical wall 112, and more specifically within the inner shell 113 of the cylindrical wall 112, and a base structure at a bottom end 179 of the cylindrical wall 112. The base structure comprises a base plate 161 and an annular plate 162. The air inlet vent 140 is formed directly into the bottom block 160, which is a thick sandwich of steel and concrete. The bottom block 160 is positioned below the floor 152 of the cavity 111. More specifically, the bottom block 160 extends between the floor 152 of the cavity 111 and the base plate 161, which forms the bottom end of the VVO 100. The bottom block 160 has a columnar portion 163 and a horizontal portion 164. The annular plate 162 is a donut-shaped plate having a central hole 181. The annular plate 162 is axially spaced from the base plate 161, thereby creating a space or gap in between the annular plate 162 and the base plate 161. Moreover, the annular plate 162 extends from the outer surface 117 of the overpack body 110 inwardly towards the vertical axis A-A a radial distance that is less than the radius of the overpack body 110. More specifically, the annular plate 162 extends from the outer surface 117 of the overpack body 110 to the columnar portion 163 of the bottom block 160. Thought of another way, the columnar portion 163 of the bottom block 160 extends through the central hole 181 of the annular plate 162 and rests atop the base plate 161. Referring to FIGS. 1, 4, 6 and 8 concurrently, the air inlet vent 140 will be described in more detail. In the exemplified embodiment, the air inlet vent 140 is formed into the bottom closure plate 130 and extends into the bottom block 160 and comprises an annular air inlet plenum 141 and an annular air inlet passageway 142. The annular air inlet plenum 141 is formed in the space/gap between the annular plate 162 and the base plate 161. Thus, the annular air inlet plenum 141 is substantially horizontal and extends radially inward from the outer surface 117 of the overpack body 110. More specifically, the annular air inlet plenum 141 extends horizontally from the outer surface 117 of the overpack body 110 at an axial height below the floor 152 of the cavity 111. An opening 143 is formed in the outer surface 117 of the overpack body 110 that forms a passageway from the external environment to the annular air inlet plenum 141 to enable cool air to enter into the annular air inlet plenum 141 from the external environment as has been described above. The opening 143 circumferentially surrounds the vertical axis A-A around the entirety of the outer surface 117 of the overpack body 110 in an uninterrupted and continuous manner. In other words, the opening 143 is a substantially 360° opening in the outer surface 117 of the overpack body 110. The annular air inlet passageway 142 extends upward from a top surface 144 of the annular air inlet plenum 141 to the floor 152 of the cavity 111. More specifically, the annular air inlet passageway 142 extends upwardly from an opening 147 in the top surface 144 of the annular air inlet plenum 141 to an opening 146 in the floor 152. The annular air inlet passageway 142 is wholly formed within the bottom block 160. The opening 147 in the top surface 144 of the annular air inlet plenum 141 is proximate an end of the annular air inlet plenum opposite the opening 143 in the outer surface 117 of the overpack body 110. The opening 146 in the floor 152 is an annular opening that extends 360° around the floor 152. The annular air inlet plenum 141 circumferentially surrounds the vertical axis A-A. In the exemplified embodiment, the annular air inlet passageway 142 also circumferentially surrounds the vertical axis A-A and has an inverted truncated cone shape. Thus, the annular air inlet passageway 142 extends upward from the air inlet plenum 141 to the opening 146 in the floor 152 of the cavity 111 at an oblique angle relative to the vertical axis A-A. Thought of another way, the annular inlet passageway 142 extends from the air inlet plenum 141 at a first end 183 to the floor 152 at a second end 184. The first end 183 is located a first radial distance R1 from the vertical axis A-A and the second end 184 is located a second radial distance R2 from the vertical axis A-A. The second radial distance R2 is greater than the first radial distance R1. Of course, the invention is not to be so limited and in certain other embodiments the annular air inlet passageway 142 can take on other shapes as desired. Referring to FIGS. 1, 4, 7 and 8 concurrently, the annular air inlet plenum 141 will be further described. The annular air inlet plenum 141 comprises a plurality of plates 148 therein. Each of the plates 148 extends from a first end 149 to a second end 159. The first ends 149 of the plates 148 are proximate the outer surface 117 of the overpack body 110 and the second ends 159 of the plates 148 are proximate the columnar portion 163 of the bottom block 160. A line connecting the first ends 149 of the plates 148 forms a first reference circle 171 having a diameter D1 and a line connecting the second ends 159 of the plates 148 forms a second reference circle 172 having a diameter D2, wherein the first diameter D1 is greater than the second diameter D2. Each of the plates 148 in the annular air inlet plenum 141 extend along a reference line 169 that is tangent to a third reference circle 170. Although the reference line 169 is only illustrated with regard to two of the plates 148, it should be understood that each of the plates has a reference line that is tangent to the third reference circle 170. The circumference of the third reference circle 170 is formed by an outer surface 165 of the columnar portion 163 of the bottom block 160. The third reference circle 170 has a center point that is coincident with the vertical axis A-A. In the exemplified embodiment, the plates 148 are thin steel plates that facilitate transferring the weight of the VVO 100 to the base plate 161 and also provide a means to scatter and absorb any errant gamma radiation that may attempt to exit the air inlet plenum. Furthermore, in the exemplified embodiment sixty plates 148 are illustrated. However, the invention is not to be so limited and in certain other embodiments more or less than sixty plates 148 may be disposed within the annular air inlet plenum 141. Due to the axisymmetric configuration of the air inlet plenum 141, the annular air inlet vent 140 is configured so that aerodynamic performance of the air inlet vent 140 is independent of an angular direction of a horizontal component of an air-stream applied to the outer surface 117 of the overpack body 101. Similarly, due to the axisymmetric configuration of the air outlet vent 145, the air outlet vent 145 is configured so that the aerodynamic performance of the air outlet vent 145 is independent of an angular direction of a horizontal component of an air-stream applied to the outer surface 117 of the overpack body 110. As used throughout, ranges are used as shorthand for describing each and every value that is within the range. Any value within the range can be selected as the terminus of the range. In addition, all references cited herein are hereby incorporated by referenced in their entireties. In the event of a conflict in a definition in the present disclosure and that of a cited reference, the present disclosure controls. While the invention has been described with respect to specific examples including presently preferred modes of carrying out the invention, those skilled in the art will appreciate that there are numerous variations and permutations of the above described systems and techniques. It is to be understood that other embodiments may be utilized and structural and functional modifications may be made without departing from the scope of the present invention. Thus, the spirit and scope of the invention should be construed broadly as set forth in the appended claims.
description
The present invention relates to an operation monitoring apparatus of a nuclear power plant that can operate a safety system and a custom system (a non-safety system). To operate a nuclear power plant stably, an easily operable instrumentation control system having high reliability is required. To realize such an instrumentation control system, application of a soft-operation type central control panel and digitization of a control system and a safety system facility have been promoted. In the instrumentation control system in a nuclear power plant, a central control panel that monitors operations of the plant by an operator is provided, and a plurality of operators who monitor operations of the central control panel are positioned with respect to the central control panel and a shift supervisor is positioned behind these operators. Each of the operators performs reliable monitoring and operations under various supervisions and commands from the shift supervisor, thereby ensuring safe operations of the nuclear power plant. The following Patent Literature 1 describes an example of an operation monitoring apparatus of a nuclear power plant. Patent Literature 1: Japanese Laid-open Patent Publication No. 07-087579 Conventionally, in principle, communications between a shift supervisor and each operator are made by verbal commands as well as pointing and calling performed by operators. When a plant is operated by using an existing central control panel constituted by hardware instruments, the shift supervisor can generally ascertain operation details of the operators from his own seat by watching standing positions and hand movements of them from behind. In an instrumentation control system of a nuclear power plant, when digitization of a control system and a protection system facility is implemented, a shift supervisor and operators mainly perform monitoring and operations of the plant by a touch operation by using a central control panel as they are seated. In this case, communications between the shift supervisor and the operators are made only by verbal commands as well as pointing and calling performed by operators, and positions of the operators watched from behind by the shift supervisor in his own seat do not change. Accordingly, the shift supervisor can only see the operators touching a monitoring operation screen regardless of what operations they are performing, and thus it is difficult for the shift supervisor to visually ascertain what operations the operators are performing. The present invention has been achieved to solve the above problems, and an object of the present invention is to provide an operation monitoring apparatus of a nuclear power plant that can ensure highly safe monitoring of operations by improving communications between a shift supervisor and operators in operation of the nuclear power plant. According to an aspect of the invention, an operation monitoring apparatus of a nuclear power plant includes: an operation console that can be operated by an operator and includes an operation unit and a display unit; an operation command console that can be operated by a shift supervisor and includes an operation unit and a display unit; a transfer device that can display an image displayed on the display unit of the operation console on the display unit of the operation command console; and a switching device that switches an image on the display unit of the operation command console to an image on the display unit of the operation console transferred by the transfer device. Therefore, by displaying an image displayed on the display unit of the operation console on the display unit of the operation command console as required, the shift supervisor can ascertain operations performed by the operator, and thus communications between the supervisor and the operator in operation of the nuclear power plant can be improved, thereby ensuring high safety in monitoring of operations. Advantageously, in the operation monitoring apparatus of a nuclear power plant, the operation command console includes an operation switch that operates the switching device. Therefore, by operating the switching device by the operation switch, the shift supervisor can easily display an image on the display unit of the operation console on the display unit of the operation command console. Advantageously, in the operation monitoring apparatus of a nuclear power plant, the operation console includes a plurality of display units, and the switching device displays an image selected from the display units on the display unit of the operation command console. Therefore, by operating the switching device by the operation switch, the shift supervisor can easily display a necessary image on the display unit of the operation console on the display unit of the operation command console. Advantageously, in the operation monitoring apparatus of a nuclear power plant, the operation command console includes an auxiliary display unit that can display a name of each of the display units in the operation console displayed on the display units. Therefore, by displaying a currently displayed name of each of the display units in the operation console on the auxiliary display unit in the operation command console, visibility of the operation monitoring apparatus can be improved. Advantageously, in the operation monitoring apparatus of a nuclear power plant, each of the display units of the operation console includes a display lamp that lights up when an image is displayed on the display unit of the operation command console. Therefore, when an image on the display unit of the operation console is displayed on the display unit of the operation command console, the display lamp of the display unit on which the image is displayed lights up, and thus visibility of the operation monitoring apparatus can be improved. According to the operation monitoring apparatus of a nuclear power plant of the present invention, an image displayed on a display unit of an operation console operated by an operator can be displayed on a display unit of an operation command console operated by a shift supervisor. Therefore, the supervisor can confirm operations performed by the operator by the display unit of the operation console as required, and thus it is possible to improve communications between the supervisor and the operator in operation of the nuclear power plant, thereby ensuring highly safe monitoring of operations. Exemplary embodiments of an operation monitoring apparatus of a nuclear power plant according to the present invention will be explained below in detail with reference to the accompanying drawings. The present invention is not limited to the embodiments. Embodiment FIG. 1 is a schematic diagram of a control block in an operation monitoring apparatus of a nuclear power plant according to an embodiment of the present invention, FIG. 2 is a schematic diagram of a central control room in the operation monitoring apparatus of a nuclear power plant according to the embodiment, and FIG. 3 is a schematic diagram of a function integrating VDU and a safety system VDU in the operation monitoring apparatus of a nuclear power plant according to the embodiment. In the operation monitoring apparatus of a nuclear power plant according to the present embodiment, as shown in FIGS. 2 and 3, a central control room 11 is a substantially hermetically-closed room, and a first operation console 12, a second operation console 13, an operation command console 14, and a large display panel 15 are arranged therein. In this case, the large display panel 15 is arranged at the front, and the first operation console 12 is arranged at the back of the large display panel 15 to face the front surface of the large display panel 15. The second operation console 13 is arranged adjacent to the first operation console 12 on the wall of the central control room 11. The operation command console 14 is arranged to face the front surface of the large display panel 15 at the back of the first operation console 12. That is, in the central control room 11, the first operation console 12 and the operation command console 14 are arranged at the center of the central control room 11, and the second operation console 13 is arranged adjacent to the first operation console 12 near the wall surface of the central control room 11. Specifically, the operation command console 14 is arranged substantially at the center of a circle drawn at the center of the central control room 11, and the first operation console 12 is arranged to form a circular arc on a side of the large display panel 15 in the circle. The second operation console 13 is arranged outside of the circle, in close contact with the wall surface of the central control room 11. A function integrating VDU (a function integrating operation device) 21 is mounted on the first operation console 12, and a safety system VDU 22 (a safety system operation device) is mounted on the second operation console 13. The function integrating VDU 21 has a custom-system-facility monitoring operation function and a safety-system-facility monitoring operation function. Meanwhile, the safety system VDU 22 is a backup VDU of the safety-system-facility monitoring operation function in the function integrating VDU 21, and has a safety-system-facility monitoring operation function to shut down a plant safely when this plant is in an abnormal state. The “VDU” stands for Visual Display Unit. The first operation console 12 (the function integrating VDU 21) and the second operation console 13 (the safety system VDU 22) are operated by an operator. Meanwhile, the operation command console 14 is operated by a shift supervisor who issues commands to operators, and is mounted with an administrating VDU 23. In the present embodiment, the operation command console 14 is constituted by a supervising command console 14a (a supervisor's VDU 23a) and a technical command console 14b (an engineer's VDU 23b). In the central control room 11 in the operation monitoring apparatus of a nuclear power plant according to the present embodiment constituted as described above, as shown in FIG. 1, the first operation console 12 is arranged to face the large display panel 15, and the operation command console 14 is arranged at the back of the first operation console 12. In this case, the first operation console 12 includes the function integrating VDU 21. The function integrating VDU 21 can perform monitoring and operations by a touch operation, and includes a display unit and an operating unit integrated therein. Furthermore, the operation command console 14 includes the administrating VDU 23, and the administrating VDU 23 can perform monitoring by a touch operation. The function integrating VDU 21 includes a plurality (in the present embodiment, seven) of displays 31 to 37, and can perform monitoring and operations by a touch operation of an operator. The administrating VDU 23 includes a plurality (in the present embodiment, four) of displays 41 to 44, and can perform monitoring by a touch operation of a shift supervisor. The function integrating VDU 21 (the displays 31 to 37) and the administrating VDU 23 (the displays 41 to 44) are connected by a transfer device 51 and cables 52 and 53, and an image displayed on the displays 31 to 37 of the function integrating VDU 21 can be displayed on the displays 41 to 44 of the administrating VDU 23. Furthermore, the transfer device 51 includes a switching device 54, and an image on the displays 41 to 44 of the administrating VDU 23 can be switched to an image on the displays 31 to 37 of the function integrating VDU 21. While corresponding to the displays 41 to 44 of the administrating VDU 23, operation switches 45 to 48 that operate the switching device 54 are provided. The operation switches 45 to 48 can switch a screen for operating the administrating VDU 23 and screens of the displays 31 to 37 of the function integrating VDU 21, and by inputting a number (a name) corresponding to each of the displays 31 to 37 of the function integrating VDU 21, the operation switches 45 to 48 can display the screen of the displays 31 to 37 corresponding to the input number on the displays 41 to 44. While corresponding to the displays 41 to 44 of the administrating VDU 23, there are provided sub-displays (auxiliary display units) 41a to 44a that can display numbers (names) of the displays 31 to 37 in the function integrating VDU 21, which are displayed on the displays 41 to 44. Besides, while corresponding to the displays 31 to 37 of the function integrating VDU 21, there are provided display lamps 31a to 37a that light up when the screen of each of the displays 31 to 37 is displayed on the displays 41 to 44 of the administrating VDU 23. In the operation monitoring apparatus of a nuclear power plant according to the present embodiment constituted as described above, each of operators performs reliable monitoring and operations under various supervisions and commands from a shift supervisor, thereby ensuring safe operations of the nuclear power plant. In this case, communications between the shift supervisor and each of the operators are made by verbal commands as well as pointing and calling performed by the operators. In monitoring of operations of such a nuclear power plant, for example, when the shift supervisor wishes to confirm an operation status of the display 31 in the function integrating VDU 21 by using the display 41 in the administrating VDU 23, the shift supervisor switches a screen for operating the administrating VDU 23 to screens of the displays 31 to 37 of the function integrating VDU 21 by the operation switch 45 on the display 41. Thereafter, when a number corresponding to the display 31 is input, the screen of the display 31 is displayed on the display 41. That is, the switching device 54 receives a request signal from the operation switch 45 of the display 41 in the administrating VDU 23, and, by using the transfer device 51, transfers an image on the display 31 in the function integrating VDU 21 to the display 41 in the administrating VDU 23 to display the image on the display 41. Therefore, the shift supervisor can visually confirm monitoring of operations on the display 31 performed by operators. At this time, the shift supervisor can recognize that the number of the display 31 in the function integrating VDU 21, which is displayed on the display 41 of the administrating VDU 23, is displayed on the sub-display 41a. Meanwhile, as the display lamp 31a lights up, operators can recognize that the shift supervisor is visually confirming the display 31 of the function integrating VDU 21. As described above, in the operation monitoring apparatus of a nuclear power plant according to the present embodiment, there are provided the first operation console 12 that can be operated by operators and includes the displays 31 to 37, the operation command console 14 that can be operated by a shift supervisor and includes the displays 41 to 44, the transfer device 51 that can display an image displayed on the displays 31 to 37 of the first operation console 12 on the displays 41 to 44 of the operation command console 14, and the switching device 54 that switches an image on the displays 41 to 44 of the operation command console 14 to the image on the displays 31 to 37 of the first operation console 12 transferred by the transfer device 51. Therefore, by displaying an image displayed on the displays 31 to 37 of the first operation console 12 on the displays 41 to 44 of the operation command console 14 as required, the shift supervisor can confirm operations performed by operators. Accordingly, it is possible to improve communications between the supervisor and the operators in operation of a nuclear power plant, thereby enabling to ensure high safety in monitoring of operations. In the operation monitoring apparatus of a nuclear power plant according to the present embodiment, the operation switches 45 to 48 that operate the switching device 54 are provided on the operation command console 14. Therefore, by operating the switching device 54 by the operation switches 45 to 48, the shift supervisor can easily display an image on the displays 31 to 37 of the first operation console 12 on the displays 41 to 44 of the operation command console 14. In the operation monitoring apparatus of a nuclear power plant according to the present embodiment, a plurality of displays 31 to 37 are provided on the first operation console 12, and an image selected from the respective displays 31 to 37 is displayed on the displays 41 to 44 of the operation command console 14 by the switching device 54. Therefore, by operating the switching device 54 by the operation switches 45 to 48, the shift supervisor can easily display a necessary image on the displays 31 to 37 in the first operation console 12 on the displays 41 to 44 of the operation command console 14. In the operation monitoring apparatus of a nuclear power plant according to the present embodiment, the sub-displays 41a to 44a that can display numbers of the displays 31 to 37 of the first operation console 12 displayed on the displays 41 to 44 are provided on the operation command console 14. Therefore, by displaying currently displayed numbers of the displays 31 to 37 of the first operation console 12 on the sub-displays 41a to 44a of the operation command console 14, visibility of the operation monitoring apparatus can be improved. In the operation monitoring apparatus of a nuclear power plant according to the present embodiment, the display lamps 31a to 37a that light up when an image is displayed on the displays 41 to 44 of the operation command console 14 are provided on the displays 31 to 37 of the first operation console 12. Therefore, when an image on the displays 31 to 37 of the first operation console 12 is displayed on the displays 41 to 44 of the operation command console 14, the display lamps 31a to 37a of the displays 31 to 37 on which the image is displayed light up, and thus visibility of the operation monitoring apparatus can be improved. The operation monitoring apparatus of a nuclear power plant according to the present invention is not limited to the configuration in the embodiment described above, and the configuration, arrangement, and number of the first operation console 12, the operation command console 14, and the like can be changed as appropriate. Industrial Applicability As described above, in the operation monitoring apparatus of a nuclear power plant according to the present invention, by configuring such that an image on a display unit of an operation console operated by an operator can be displayed on a display unit of an operation command console operated by a shift supervisor, it is possible to improve communications between the supervisor and the operator in operation of a nuclear power plant, thereby enabling to ensure high safety in monitoring of operations, and the operation monitoring apparatus can be applied to any type of nuclear power plants. 11 central control room 12 first operation console 13 second operation console 14 operation command console 15 large display panel 21 function integrating VDU (function integrating operation device) 22 safety system VDU (safety system operation device) 31 to 37 display 31a to 37a display lamp 41 to 44 display 41a to 44a sub-display (auxiliary display unit) 45 to 48 operation switch 51 transfer device 54 switching device
abstract
A method for concentrating particles, including: placing the particles close to and/or on at least one waveguide of a support, and injecting light radiation into the waveguide causing grouping of particles into one or plural clusters on the waveguides.
051868903
claims
1. A fuel assembly for a fast breeder reactor comprising a plurality of nuclear fuel rods, and fuel rod containment means for containing the plurality of nuclear fuels rods therein, the fuel rod containment means having an hourglass shape. 2. A fuel assembly according to claim 1, wherein the fuel rod containment means is an elongated tubular member having inner and outer diameters at a middle portion thereof which are smaller than inner and outer diameters at axial end portions thereof. 3. A fuel assembly according to claim 2, wherein a wall thickness of the fuel rod containment means at a portion adjacent a gas plenum portion of the fuel rod is thinner than a wall thickness at a middle portion of the axial length of the fuel containment means having the smaller inner and outer diameters. 4. A fuel assembly according to claim 2, wherein the fuel containment means has a shape such that a wall thickness at a portion adjacent axial end portions of the fuel containment means is thinner than a wall thickness at a portion adjacent to a middle portion of the axial length of the fuel rod containment means. 5. A fuel assembly according to claim 2, wherein the fuel rod containment means has a shape such that a diameter is larger at a portion adjacent axial ends of the fuel containment means than a diameter at a portion adjacent a middle portion of the axial length of the fuel rod containment means. 6. A fuel assembly for a fast breeder reactor comprising a plurality of nuclear fuel rods, and fuel rod containment means for containing the plurality of fuel rods therein, the fuel rod containment means having a shape so that a portion thereof subjected to a larger neutron irradiation dose than other portions thereof and causing a larger amount of swelling of the fuel rod containment means thereat than at the other portions of the fuel rod containment means has smaller inner and outer diameters at the portion subjected to the larger neutron irradiation dose than inner and outer diameters at the other portions thereof. 7. A fuel assembly according to claim 6, wherein the fuel rod containment means is a tubular member and the portion thereof having the smaller inner and outer diameters is disposed adjacent a driver fuel pellet portion of the fuel rods. 8. A fuel assembly for a first breeder reactor comprising a plurality of nuclear fuel rods, and fuel rod containment means for containing the plurality of nuclear fuel rods therein, the fuel rod containment means having a shape so that inner and outer diameters at a portion thereof adjacent to a portion of the fuel rods containing driver fuel pellets thereat is smaller than inner and outer diameters of other portions of the fuel rod containment means which are adjacent portions of the fuel rods not containing driver fuel pellets thereat. 9. A reactor core of a fast breeder reactor comprising a plurality of fuel assemblies disposed adjacent one another and extending vertically in a reactor vessel containing coolant, at least one of the fuel assemblies being a fuel assembly according to one of claims 1, 2, 6 and 8. 10. A reactor core according to claim 9, wherein the at least one of the fuel assemblies is disposed in a region of the reactor core generating relatively high thermal output power. 11. Apparatus for containing a plurality of nuclear fuel rods for a fuel assembly of a fast breeder reactor comprising an elongated tubular member having an hourglass shape, the tubular member having inner and outer diameters at a middle portion of an axial length thereof smaller than inner and outer diameters at axial end portions thereof. 12. A method of regulating distribution of coolant in a reactor core of a fast breeder reactor comprising the steps of: composing the reactor core of the fast breeder reactor with a plurality of fuel assemblies adjacent one another and extending vertically in a reactor vessel which contains coolant; distributing coolant flow among each of the fuel assemblies in the reactor core in dependence upon a difference of thermal output power of respective regions in the reactor core; optimizing the difference of thermal output power by regulating the distribution of coolant flow by providing at least one of the fuel assemblies with a fuel rod containment means for containing fuel rods therein and having a shape so that the inner and outer diameters at a middle portion of an axial length of the fuel rod containment means is smaller than inner and outer diameters at axial end portions thereof whereby a width of a coolant flow path at least at the middle portion of the fuel containment means is relatively reduced with respect to a width of a coolant flow path at axial end portions of the fuel rod containment means; and regulating the distribution of coolant flow by changing a rate of enlargement of the width of the coolant flow path of the fuel containment means of respective fuel assemblies.
claims
1. A radioabsorbent shield comprising:a flexible sheet having at least one bottom edge and including at least one layer of radioabsorbent material;a plurality of rigid vertical stays attached to the sheet causing the sheet to conform to the shape of the stays;wherein said stays are shaped such that, when said sheet is hung from a point above the stays, the sheet has a curved shape causing the at least one bottom edge of the sheet to be horizontally offset from the point above the stays. 2. The radioabsorbent shield of claim 1 wherein said flexible sheet comprises a an outer layer and an inner layer and said at least one layer of radioabsorbent material is sandwiched between said outer layer and said inner layer. 3. The radioabsorbent shield of claim 1 wherein said flexible sheet further comprises pockets into which said stays are removably contained. 4. The radioabsorbent shield of claim 1 wherein said stays are elongate. 5. The radioabsorbent shield of claim 1 wherein said stays are spaced apart from each other. 6. The radioabsorbent shield of claim 1 wherein said stays are parallel to each other. 7. A method of protecting health care workers from radiation exposure below an x-ray table having a moveable x-ray tube located under the table comprising:providing a flexible radioabsorbent sheet having at least one bottom edge;shaping the sheet in a curve vertically such that when the sheet is freely hung from a location on the sheet above the at least one bottom edge, the at least one bottom edge is horizontally offset from the location;hanging the radioabsorbent sheet from a point near the patient, such that said location on the sheet is near said point and said bottom edge is located under the table due to the offset created by the curve. 8. The method of claim 7 further comprising allowing the sheet freedom to swing outwardly when moved by the x-ray tube. 9. The method of claim 7 wherein providing the flexible radioabsorbent sheet having at least one bottom edge comprises providing a flexible radioabsorbent sheet having two bottom edges spaced apart such that when said sheet is draped over an x-ray table, each of the two bottom edges are located near a floor on which the table is located on opposite sides of the table. 10. The method of claim 7 wherein shaping the sheet vertically comprises connecting at least one rigid stay to the sheet. 11. The method of claim 10 wherein connecting the at least one rigid stay to the sheet comprises placing a plurality of shaped elongate stays in vertical pockets formed in the sheet. 12. The method of claim 10 wherein hanging the radioabsorbent sheet from the point near the patient comprises draping the radioabsorbent sheet over a surface on which the patient lies. 13. A radioabsorbent sheet comprising:a first section, a second section, and a third section;wherein the first section has a width sized to span an x-ray table;wherein the second section is adjacent the first section such that when said sheet is draped over an x-ray table, said second section hangs off a side of the x-ray table;wherein the third section is adjacent the first section and opposite the first section such that when said sheet is draped over an x-ray table, said third section hangs off a side of the x-ray table opposite the first section;wherein said second and third sections include:radioabsorbent material;shaped stays causing the second and third sections to curve under the x-ray table toward each other when said sheet is draped over the x-ray table. 14. The radioabsorbent sheet of claim 13 further comprising:a fourth section adjacent the first section and between said second and third sections such that when said sheet is draped over an x-ray table, the fourth sections hangs off a head of the x-ray table;wherein said fourth section includes:radioabsorbent material;stays giving the fourth section vertical rigidity. 15. The radioabsorbent sheet of claim 14 wherein the fourth section stays are straight. 16. The radioabsorbent sheet of claim 13 wherein said second and third section stays are curved. 17. The radioabsorbent sheet of claim 13 wherein said sheet comprises flexible vinyl wrapped around at least one layer of radioabsorbent material to form a laminate.
summary
abstract
An apparatus on a wafer, comprising; a first metal layer of a wall, a second metal layer of the wall, a third metal layer of the wall comprising; one or more base frames, a fourth metal layer of the wall comprising; one or more vertical frame pairs each on top of the one or more base frames and having a pass-thru therein, a fifth metal layer of the wall comprising; one or more top frames each over the pass-thru; and a metal lid.
description
This application claims the benefit of Korean Patent Application No. 10-2009-0101310, filed Oct. 23, 2009, the disclosure of which is hereby incorporated herein by reference in its entirety. 1. Field of the Invention The present invention relates to a method for fabricating fine conductive patterns using a surface modified mask template, and more particularly to a method for fabricating fine conductive patterns using a surface modified mask template, in which the fine conductive patterns can be fabricated without a short-circuit due to an overlap error between patterns in the case of using direct printing such as inkjet printing. 2. Description of the Related Art In the electronic and display fields, efforts have been continued to fabricate an element through a printing process so as to reduce process and material costs and to facilitate mass production, and researches have also been pursued on such a field of direct printing in the academic world. For example, there have been actively carried out researches on employing roll or inkjet printing for fabricating an electronic element such as an organic thin film transistor, a humidity sensor, and a radio frequency (RF) filter based on low temperature co-fired ceramic technology. However, the inkjet printing using liquid ink is inadequate to fabricate fine patterns having a size from several to several tens of micrometers since wetting of a printer nozzle causes change in droplet ejection conditions, ejecting directions, etc. Particularly, in the case of a thin film transistor that requires fine overlap between patterns, a problem may arise in that a short-circuit or the like takes place due to an overlap error so that an element cannot operate. To solve this problem, the present applicant has proposed a method for to fabricating fine conductive patterns using a mask template in Korean Patent Application No. 10-2009-0055437. Referring to FIG. 1, in a conventional application, conductive ink 50 is not sufficiently deposited on a high molecular substance 20 and a substrate 10 because the high molecular substance 20 for fabricating a mask template 40 has no hydrophobicity. Further, the conductive ink 50 deposited on the substrate 10 infiltrates into a gap between particles of the high molecular substance 20 when annealed and transformed into conductive particles, thereby causing lack of the conductive particles in a recess 42. As the amount of conductive ink 50 to form a conductive pattern 61 in the recess 42 decreases, the conductive pattern 61 may have high resistance and non-uniform thickness. Accordingly, an aspect of the present invention is to provide a method for fabricating fine conductive patterns using a surface modified mask template, in which a surface of particles constituting a high molecular substance is modified by applying a hydrophobic material on to the high molecular substance that will have insulating properties finally in the mask template, so that conductive ink can be sufficiently deposited in a recess and it can be controlled that the conductive ink infiltrates into a gap between the particles of the high molecular substance, thereby maintaining a constant amount of the conductive ink that will form the conductive pattern uniform in the recess. Additional aspects and advantages of the present invention will be set forth in part in the description which follows and, in part, will be obvious from the description, or may be learned by practice of the invention based on means from and combination of claims. The foregoing and/or other aspects of the present invention may be achieved by providing a method for fabricating fine conductive patterns using a surface modified mask template, the method including: depositing a high molecular substance on a substrate; applying a hydrophobic material onto the high molecular substance so that the hydrophobic material can infiltrate into the high molecular substance; forming a mask template by removing a part of the high molecular substance to form a recess where a region of the substrate is exposed to an outside; depositing conductive ink on the mask template; and performing annealing to abstract metal particles from a metallic compound dissolved in the conductive ink so that an insulating pattern can be formed in a region on which the high molecular substance is deposited, but a conductive pattern can be formed as the metal particles are abstracted from the conductive ink in the recess and cohere with each other. The conductive ink deposited on the high molecular substance may be infiltrated into gaps of the high molecular substance, and the metal particles abstracted from the conductive ink by the annealing may be spaced apart and generally and electrically insulated from each other in the high molecular substance to form the insulating pattern. The depositing the conductive ink may include using inkjet printing to deposit the conductive ink. The forming the mask template may include irradiating a laser beam to the high molecular substance to remove the part of the high molecular substance. The forming the mask template may include using an imprinting method. The performing the annealing may include heating the conductive ink at a temperature ranging from 150° C. to 350° C. The high molecular substance may include polyaniline. The conductive ink may include an organic metal compound in the form of a solution. The conductive ink may further include metal particles. According to an exemplary embodiment of the present invention, there is provided a method for fabricating fine conductive patterns using a surface modified mask template, in which a hydrophobic material is applied onto a high molecular substance that will form a mask template, so that conductive ink to be formed on a substrate and become the conductive pattern can be sufficiently formed in a recess and it can be controlled that the conductive ink infiltrates into the high molecular substance, thereby maintaining a constant amount of the conductive ink that will form the conductive pattern uniform, decreasing the resistance of the conductive pattern, and keeping the thickness of the pattern uniform. Further, according to an exemplary embodiment of the present invention, there is provided a method for fabricating fine conductive patterns using a surface modified mask template, in which conductive ink is applied to a mask template, so that the conductive ink formed on a high molecular substance can react with the high molecular substance and form an insulating pattern, but the conductive ink formed in a recess can form the conductive pattern, thereby guaranteeing insulation between the conductive patterns even though the conductive ink is improperly applied, and reducing defective proportion on processes. Below, a method of fabricating fine conductive patterns using a surface modified mask template according to an exemplary embodiment of the present invention will be described with reference to accompanying drawings. FIG. 2 sequentially shows a method of fabricating fine conductive patterns using a mask template formed by a high molecular substance, the surface of which is modified by a hydrophobic material, FIG. 3 is a chemical formula showing that emeraldine base polyaniline is oxidized into pernigraniline base polyaniline, FIG. 4 shows a conductive pattern and an insulating pattern formed depending on whether there exists the high molecular substance when metal particles (nano-cluster) are abstracted from an organic metallic compound dissolved in the conductive ink of FIG. 2, FIG. 5 shows that the metal particles (nano-cluster) abstracted from the conductive ink after annealing of FIG. 2 are arranged within the high molecular substance as being spaced apart from each other, and FIG. 6 is a graph showing sheet resistance (a) of the conductive pattern and sheet resistance (b) of the insulating pattern measured after the annealing of FIG. 2. Referring to FIGS. 2 to 6, a method of fabricating fine conductive patterns using a surface modified mask template according to an exemplary embodiment of the present invention includes a step S10 of depositing a high molecular to substance, a step S20 of applying a hydrophobic material, a step S30 of forming a mask template, a step S40 of depositing ink, and a step S50 of annealing. In the step S10 of depositing the high molecular substance, the high molecular substance 20 is deposited on a substrate 10. Here, the high molecular substance 20 may be deposited by a coating method such as slit-coating or spin-coating using centrifugal force for applying the material, or may be coated by a printing method such as a roll coater, screen printing, etc. In this embodiment, a spin-coater is set to have a rotation number of 500 rpm, and the high molecular substance 20 is applied onto the substrate 10 for 20 seconds. Alternatively, the high molecular substance 20 may be deposited by a spray coating method. In this embodiment, the high molecular substance 20 to be deposited on the substrate 10 includes polyaniline that is easily produced and excellent in thermal properties as compared with other high molecular substances. Here, polyaniline may be deposited on the substrate 10 in the form of leuco-emeraldine base, emeraldine base, or pernigraniline base. The emeraldine base polyaniline is produced by partially oxidizing leuco-emeraldine base polyaniline, and is conductive and greenish. Thus, if the emeraldine base polyaniline is deposited on the substrate 10, the substrate 10 becomes greenish. After depositing polyaniline on the substrate 10, it is heated at a temperature of 170° C. for five minutes, thereby removing remaining organic solvents from polyaniline and at the same time increasing resistance of polyaniline to the organic solvents. In the step S20 of applying the hydrophobic material, the hydrophobic material 30 is applied onto the high molecular substance 20. Like the deposition of the high molecular substance 20, the hydrophobic material may be applied by the coating method such as the slit-coating or the spin-coating using centrifugal force for applying the material, or by the printing method such as the roll coater, the screen printing, etc. In this embodiment, the spin-coater is set to have a rotation number of 500 rpm, and the hydrophobic material is applied onto the high molecular substance 20 for 20 seconds. Alternatively, the hydrophobic material 30 may be deposited by the spray coating method. In this embodiment, a hydrocarbon group containing fluorine may be widely used as the hydrophobic material 30 to be applied onto the high molecular substance 20. For example, 3M SRC-220, Cytonix FluoroPel or the like compound such as may be used as the hydrophobic material 30. After applying the hydrophobic material 30 on to the high molecular substance 20, the hydrophobic material 30 infiltrates into the high molecular substance 20. In this embodiment, the hydrophobic material 30 is not coated as one layer on the high molecular substance 20, but infiltrated into everywhere of the high molecular substance 20, thereby transforming the high molecular substance 20 to have hydrophobicity throughout. Here, the high molecular substance transformed to have hydrophobicity is given a reference numeral of “21.” After polyaniline is deposited on the substrate and the hydrophobic material 30 is applied thereto, in the step S30 of forming a mask template, a laser beam having a wavelength of 532 nm is irradiated on deposited polyaniline, thereby partially removing polyaniline. When polyaniline is removed, the mask template 40 is formed. The mask template 40 includes a recess 42 which exposes a partial region of the substrate 10 to the outside, and the hydrophobic high molecular substance 21 which covers the other regions of the substrate 10. Here, the laser beam is not limited to a certain wavelength as long as it can easily remove the high molecular substance 21 without damaging the substrate 10. In the step S40 of depositing ink, the conductive ink 50 is applied on to the mask template 40. In this embodiment, inkjet printing is employed for depositing the conductive ink 50 on the mask template 40. Ideally, a nozzle (not shown) for ejecting the conductive ink 50 has to be aligned with a path of the recess 42 and to apply the conductive ink 50 to only a region of the substrate 10 on the bottom of the recess 42. However, the conductive ink 50 is generally applied not only onto the region of the substrate 10 on the bottom of the recess 42 but also onto the high molecular substance 21 due to an error in a driving unit for driving the nozzle, change in droplet ejection conditions, or the like factors. The deposited conductive ink 50 in this embodiment may include an organometallic silver compound in the form of a solution, which is produced by dissolving a compound containing a high-conductive metal such as silver in an organic solvent. Here, the organic solvent used in dissolving the silver ink may include a polar solvent such as alcohol, or a non-polar solvent such as toluene or xylene according to composition of a metallic compound. In this embodiment, the conductive ink 50 is not limited to the component of the compound and the composition of the ink as long as it is a metallic compound. Further, as a hybrid type, there may be used the conductive ink 50 produced by adding silver, copper or the like metal particles to a solution in which the metallic compound is dissolved. In the step S50 of annealing, organic silver ink is heated at a temperature ranging from 150° C. to 350° C. for a time optimized corresponding to the temperature, thereby abstracting silver particles of a metal state from the organic silver compound. If the stage (e) of FIG. 2 undergoes the annealing S50, the regions of the mask template 40 corresponding to the recess 42 and the high molecular substance 21 are transformed differently from each other. In the region corresponding to the recess 42, the metal particles are abstracted from the organic silver ink applied onto the substrate 10 and filled in the recess 42, and then the abstracted metal particles cohere with each other (nano-cluster) and form a conductive network, thereby having electric conductivity. Thus, the metal particles are arranged in the region corresponding to the recess 42 and abstracted from the conductive ink 50, thereby forming a conductive pattern 61. In the region corresponding to the high molecular substance 21, polyaniline and the organic silver ink, deposited on the high molecular substance 21, are transformed. Referring to FIG. 3, after the step S50 of the annealing, emeraldine base polyaniline is completely oxidized and transformed into pernigraniline base polyaniline. Such oxidation may be promoted by a functional group of the organic metallic compound. Since pernigraniline base polyaniline has so high specific resistance that it does not have electric conductivity and changes in color from green to dark blue or black. The organic silver ink applied onto polyaniline infiltrates into pernigraniline base polyaniline, and the metal particles abstracted from the organic silver ink by the annealing are arranged between spaces of polyaniline and spaced apart from each other, so that the conductive network cannot be formed. Thus, an insulating pattern 62 is formed showing general electric insulation instead of electric conductivity that appears only when the metal particles cohere with each other. Referring to FIGS. 4 and 5, the conductive ink 50 deposited on the high molecular substance 20 infiltrates into the gaps of the high molecular substances 21 the surface of which is modified by the hydrophobic material 30, and is then annealed so that the metal particles 63 can be abstracted therefrom. Thus, the metal particles 63 are spaced apart from each other by the high molecular substance 21 without forming the conductive network. On the other hand, the metal particles 63 deposited on the substrate 10 cohere with each other and form the conductive network. The foregoing phenomenon can be ascertained by measuring each sheet resistance of the conductive pattern and the insulating pattern. Referring to FIG. 6, it was measured after the annealing at a temperature of 210° C. for 20 minutes that the conductive pattern had a sheet resistance of 2.57±0.06Ω/□ and the insulating pattern has a sheet resistance more than 6.01±1.46MΩ/□. As apparent from the foregoing descriptions, there is provided a method for fabricating fine conductive patterns using a surface modified mask template, in which a hydrophobic material is applied onto a high molecular substance that will form a mask template, so that conductive ink to be formed on a substrate and become the conductive pattern can be sufficiently formed in a recess and it can be controlled that the conductive ink infiltrates into the high molecular substance, thereby maintaining a constant amount of the conductive ink that will form the conductive pattern uniform, decreasing the resistance of the conductive pattern, and keeping the thickness of the pattern uniform. Further, according to an exemplary embodiment of the present invention, there is provided a method for fabricating fine conductive patterns using a surface modified mask template, in which conductive ink is applied to a mask template, so that the conductive ink deposited on a high molecular substance can react with the high molecular substance and form an insulating pattern, but the conductive ink deposited in a recess can form the conductive pattern, thereby having an effect on preventing a short-circuit even though the conductive ink is improperly applied between the adjacent conductive patterns. In the foregoing embodiments, a laser is employed for partially removing the high molecular substance so as to form the mask template, but not limited thereto. Alternatively, an imprinting method that applies pressure and heat after depositing the high molecular substance onto the substrate may be used for forming the mask template. In the above described embodiments, the organic silver ink is used as the conductive ink, but not limited thereto. Alternatively, various metallic compounds containing gold, zinc, platinum, nickel, copper or etc. may be used as the conductive ink according to the kinds of fine patterns. Furthermore, various applications to the conductive ink are allowed as long as they are matched with the present invention. Although a few embodiments of the present invention have been shown and described, it will be appreciated by those skilled in the art that changes may be made in these embodiments without departing from the principles and spirit of the invention, the scope of which is defined in the appended claims and their equivalents.
claims
1. A gray rod for a rod control assembly of a pressurized water nuclear reactor, said gray rod comprising:an elongated tubular member having a first end, a second end, an outer diameter, and a length; anda neutron-absorber having an outer diameter and a length extending from a first end to a second end, disposed within said elongated tubular member over at least a portion of said length of said elongated tubular member, generally toward the first end thereof, said neutron-absorber comprising only one absorber material, said only one absorber material having a 2200 m/s neutron absorption microscopic capture cross-section of from 10 to 30 barns,wherein neutron absorption is substantially uniform over said length of said neutron-absorber. 2. The gray rod of claim 1 wherein said absorber material is selected from the group consisting of substantially-pure tungsten at near or full theoretical density; reduced density or porous tungsten metal; tungsten-based alloys such as tungsten-rhenium and tungsten-nickel-iron; tungsten-based compounds such as tungsten carbide and tungsten oxides; substantially pure scandium, ytterbium and manganese; scandium-, ytterbium- and manganese-based alloys, and scandium-, ytterbium- and manganese-based compounds. 3. The gray rod of claim 1 wherein said absorber material is arranged in a cylindrical geometry. 4. The gray rod of claim 1 wherein the neutron-absorber has a material density of from 16.5 to 19.4 g/cm3. 5. The gray rod of claim 1 wherein the neutron-absorber is substantially pure tungsten. 6. The gray rod of claim 1 wherein the outer diameter of the neutron-absorber is from 0.15 to 0.40 inch and the outer diameter of the elongated tubular member is from 0.37 to 0.45 inch. 7. The gray rod of claim 1 further comprising a support tube structured to surround said neutron-absorber within said elongated tubular member. 8. The gray rod of claim 7 wherein the support tube comprises a material selected from the group consisting of zirconium and zirconium-based alloys, aluminum metal, nickel-based alloys and stainless steel. 9. The gray rod of claim 7 wherein the support tube material is selected to enhance neutron absorption of the gray rod. 10. The gray rod of claim 7 wherein the support tube material has a lower 2200 m/s neutron absorption microscopic capture cross section than the neutron-absorber. 11. The gray rod of claim 9 wherein the support tube material has a 2200 m/s neutron absorption microscopic capture cross section of from 2 to 6 barns. 12. The gray rod of claim 9 wherein the support tube material has a density of from 7 to 9 gm/cm3. 13. The gray rod of claim 9 wherein the support tube material is selected from the group consisting of nickel-based metal alloys and stainless steel. 14. The gray rod of claim 7 wherein the outer diameter of the neutron-absorber is from 0.10 to 0.38 inch, the outer diameter of the elongated tubular member is from 0.37 to 0.45 inch and the support tube has a wall thickness of from 0.01 to 0.10 inch. 15. The gray rod of claim 7 wherein said neutron-absorber is substantially concentrically disposed within said elongated tubular member; and wherein said support tube has a wall thickness which is substantially defined by the space between the second outer diameter and the inner diameter of said elongated tubular member. 16. An advanced gray rod control assembly for a pressurized water nuclear reactor, said advanced gray rod control assembly comprising:a plurality of gray rods wherein each of said gray rods comprises:an elongated tubular member having a first end, a second end, and an outer diameter; anda neutron-absorber having a length extending from a first end to a second end, disposed within said elongated tubular member toward the first end thereof, said neutron-absorber comprising only one absorber material, said only one absorber material having a 2200 m/s neutron absorption microscopic capture cross-section of from 10 to 30 barns,wherein neutron absorption is substantially uniform over said length of said neutron-absorber. 17. The advanced gray rod control assembly of claim 16 wherein said neutron-absorber is distributed among all of said gray rods of said plurality of gray rods. 18. The advanced gray rod control assembly of claim 17 wherein said plurality of gray rods comprises 24 gray rods; and wherein said neutron-absorber is distributed generally equally among all 24 gray rods. 19. The advanced gray rod control assembly of claim 16 wherein said absorber material is selected from the group consisting of substantially-pure tungsten at near or full theoretical density; reduced density or porous tungsten metal; tungsten-based alloys such as tungsten-rhenium and tungsten-nickel-iron; tungsten-based compounds such as tungsten carbide and tungsten oxides; substantially pure scandium, ytterbium and manganese; scandium-, ytterbium- and manganese-based alloys, and scandium-, ytterbium- and manganese-based compounds. 20. The advanced gray rod control assembly of claim 16 wherein the neutron-absorber is substantially pure tungsten. 21. The advanced gray rod control assembly of claim 16 wherein the neutron-absorber has a material density of from 16.5 to 19.4 g/cm3. 22. The advanced gray rod assembly of claim 16 further comprising a support tube structured to surround said neutron-absorber within said elongated tubular member. 23. The advanced gray rod control assembly of claim 22 wherein the support tube comprises a material selected from the group consisting of zirconium and zirconium-based alloys, aluminum metal, nickel-based alloys and stainless steel. 24. The advanced gray rod control assembly of claim 22 wherein the support tube material has a 2200 m/s neutron absorption microscopic capture cross section of from 2 to 6 barns. 25. The advanced gray rod control assembly of claim 22 wherein the support tube material has a density of from 7 to 9 gm/cm3. 26. The advanced gray rod control assembly of claim 23 wherein the support tube material is selected from the group consisting of nickel-based metal alloys and stainless steel. 27. A pressurized water nuclear reactor having a gray rod control assembly, said gray rod control assembly comprising:a plurality of gray rod assemblies;a plurality of gray rods, wherein each of said gray rods comprises:an elongated tubular member having a first end, a second end, and an outer diameter; anda neutron-absorber having a length extending from a first end to a second end, disposed within said elongated tubular member generally toward the first end thereof, said neutron-absorber comprising only one absorber material, said only one absorber material having a 2200 m/s neutron absorption microscopic capture cross section of from 10 to 30 barns,wherein neutron absorption is substantially uniform over said length of said neutron-absorber. 28. The nuclear reactor of claim 27 wherein said neutron-absorber is distributed among all of said gray rod assemblies of said gray rod control assembly. 29. The nuclear reactor of claim 28 wherein said plurality of gray rod assemblies comprises 24 gray rod assemblies; and wherein said neutron-absorber is distributed generally equally among all 24 gray rod assemblies of said gray rod control assembly. 30. The nuclear reactor of claim 27 further comprising a support tube structured to surround said neutron-absorber within said elongated tubular member. 31. A gray rod for a rod control assembly of a pressurized water nuclear reactor, said gray rod comprising:an elongated tubular member having a first end, a second end, and a length; andonly one neutron-absorber material disposed within said elongated tubular member over at least a portion of said length of said elongated tubular member, generally toward the first end thereof, said only one neutron-absorber material selected from the group consisting of a substantially pure material, reduced density or porous material metal, material-based alloy and material-based compound, said only one neutron-absorber material having a 2200 m/s neutron absorption microscopic capture cross-section of from 10 to 30 barns.
060470372
abstract
A multi-lift tool and method for moving control rod assemblies in a nuclear reactor. The multi-lift tool comprises a frame having an upper end and a lower end. A control rod grapple is supported by the frame for engaging a lifting handle of a control rod. The control rod grapple is movable along a length of the frame for raising and lowering the control rod relative to the frame. A fuel support piece grapple is secured to the lower end of the frame for engaging and lifting a fuel support piece together with the control rod. A blade guide grapple is secured to the upper end of the frame for engaging and lifting a blade guide together with the fuel support piece and the control rod. An unlatching grapple is supported near the lower end of the frame for engaging and lifting an unlatching handle of the control rod. The unlatching grapple is movable along a length of a lower portion of the frame for raising the unlatching handle of the control rod relative to the frame. The fuel support piece grapple has a pair of tapered members with movable latches for inserting into respective first and second holes of the fuel support piece. The multi-lift tool according to the present invention combines several operations into one and thereby reduces the number of steps required to shuffle or exchange control rods in a boiling water nuclear reactor.
claims
1. An administration device comprisinga hermetic container closed by a cap, containing a radiopharmaceutical medicament, and provided with a protective shield made of a radiopaque material, anda support provided with attachment means, the container being removably attached to the support in a position allowing access to said cap,wherein the support comprises a baseplate provided with an opening allowing access to said cap, a cover and rods connecting the baseplate and the cover, the container extending between the cover and the baseplate. 2. The device as claimed in claim 1, wherein the protective shield comprises lead and/or tungsten. 3. The device as claimed in claim 1, wherein the attachment means comprise a ring. 4. The device as claimed in 1, wherein the cover is provided with holes through which the rods pass, the rods being attached on the baseplate. 5. The device as claimed in claim 1, wherein the rods comprise stops which the cover bears by gravity. 6. The device as claimed in claim 1, wherein at least one, rod of the rods has a free end and has, at said free end, a threaded portion which protrudes above the cover and onto which a nut is screwed so as to bear against the cover. 7. The device as claimed in claim 1, comprising a cylinder resting on the support and in which the container is housed. 8. The device as claimed in claim 7, wherein the container has a bottom, and the height of the cylinder is determined such that the bottom of the container bears against the cover. 9. The device as claimed in claim 7, wherein the cylinder is transparent, so that the container can be seen. 10. A perfusion apparatus comprising a perfusion stand and an administration device as claimed in claim 1, suspended from said stand. 11. An assembly comprisingan administration device as claimed in claim 1, andseveral cylinders having different heights and/or different inside diameters and which are adapted to be able to be sandwiched between the baseplate and the cover of the support.
summary
043137970
abstract
A nuclear fuel assembly including a guide tube sleeve having tabs projecting outwardly from the sleeve to modify coolant flow.
abstract
A system and method for collimation in diagnostic imaging systems is provided. One collimation system includes a collimator for a radiation imaging detector having a plurality of adjustable segments and a plurality of collimator holes within each of the plurality of adjustable segments. The plurality of adjustable segments are configured to move independently of a detector to adjust a field of view of the collimator holes.
claims
1. A containment vessel for containing a reactor pressure vessel, a reactor core, and a steam generator of a pressurized water reactor, the containment vessel comprising:a main body equipped with a polar crane;a diaphragm set above the polar crane,wherein the diaphragm partitions the main body into an upper vessel and a lower vessel,wherein the diaphragm is configured to provide the partition before and after a loss-of-coolant accident (LOCA),the upper vessel being located higher than the lower vessel,the upper vessel including a dome part thereof, wherein an open space is in the dome part,the lower vessel being configured to contain all of an equipment and piping constituting a reactor pressure boundary,the equipment and piping including the reactor pressure vessel and the steam generator of the pressurized water reactor,wherein the diaphragm isolates the upper vessel from the lower vessel;a pressure suppression chamber having a suppression pool and a gas phase,the suppression pool being configured to store water,the gas phase of the pressure suppression chamber is in fluid communication with the open space in the dome part;a LOCA vent pipe fluidly connecting the suppression pool to the lower vessel; anda vacuum breaker configured to equalize a pressure of the upper vessel and a pressure of the lower vessel,the vacuum breaker is configured to open and allow gas flow from the upper vessel to the lower vessel when a pressure difference between the upper vessel and the lower vessel exceeds a preset value. 2. The containment vessel of claim 1, wherein the pressure suppression chamber is provided in the upper vessel. 3. The containment vessel of claim 1, wherein the pressure suppression chamber is provided in the lower vessel, and further comprising a gas phase vent pipe connecting the gas phase to the upper vessel. 4. The containment vessel of claim 1, wherein the pressure suppression chamber is provided outside the main body, and further comprising a gas phase vent pipe connecting the gas phase to the upper vessel. 5. The containment vessel of claim 1, wherein atmosphere in the pressure suppression chamber is inerted with nitrogen to make an oxygen concentration low. 6. The containment vessel of claim 1, wherein atmosphere in the upper vessel is inerted with nitrogen to make an oxygen concentration low. 7. The containment vessel of claim 1, wherein the suppression pool stores borated water. 8. The containment vessel of claim 1, further comprising:a passive containment cooling system (PCCS) pool configured to store water;a PCCS heat exchanger located in the PCCS pool;a suction pipe extending from the lower vessel to the PCCS heat exchanger;a PCCS vent pipe extending from the PCCS heat exchanger to the suppression pool, the PCCS vent pipe being configured to discharge noncondensable gas therethrough, the noncondensable gas comprising a part of gas being introduced through the suction pipe; anda return pipe being configured to supply water condensed by the PCCS heat exchanger back to the lower vessel. 9. The containment vessel of claim 8, wherein the PCCS pool is located outside the main body. 10. The containment vessel of claim 8, wherein the PCCS pool is located in the main body. 11. The containment vessel of claim 1, wherein the main body is made of one of prestressed concrete and reinforced concrete. 12. The containment vessel of claim 1, wherein the main body is made of steel. 13. A nuclear power plant having a pressurized water reactor, the nuclear power plant comprising: a containment vessel including:a main body equipped with a polar crane;a diaphragm set above the polar crane,wherein the diaphragm partitions the main body into an upper vessel and a lower vessel,wherein the diaphragm is configured to provide the partition before and after a loss-of-coolant accident (LOCA),the upper vessel being located higher than the lower vessel,the upper vessel including a dome part thereof, wherein an open space is in the dome part,the lower vessel being configured to contain all of an equipment and piping constituting a reactor pressure boundary,the equipment and piping including the reactor pressure vessel and the steam generator of the pressurized water reactor,wherein the diaphragm isolates the upper vessel from the lower vessel;a pressure suppression chamber having a suppression pool and a gas phase,the suppression pool being configured to store water,the gas phase of the pressure suppression chamber is in fluid communication with the open space in the dome part;a LOCA vent pipe fluidly connecting the suppression pool to the lower vessel; anda vacuum breaker configured to equalize a pressure of the upper vessel and a pressure of the lower vessel,the vacuum breaker is configured to open and allow gas flow from the upper vessel to the lower vessel when a pressure difference between the upper vessel and the lower vessel exceeds a preset value. 14. The nuclear power plant of claim 13, wherein atmosphere in the upper vessel is inerted with nitrogen to make an oxygen concentration low.
description
1. Field of the Invention The present invention relates to a patterning device holding apparatus, and more particularly, to a patterning device holding apparatus for a charged particle beam imaging. 2. Background of the Related Art Improvements in the semiconductor manufacturing technology have allowed for designing and manufacturing of higher density Very Large Scale Integrated (VLSI) circuits and packing more transistors on a given surface area to form a semiconductor device or chip. Increasing transistor density on a given chip has led to the need for method to provide electrically related, higher resolution wafer inspection and defect review. In semiconductor device manufacturing processes, defects may be unintentionally generated during the various stages of semiconductor processing. Thus, it is important to find defects accurately and efficiently as early as possible. Generally, a process for manufacturing semiconductor devices comprises the operations of forming layers of a variety of materials on or in the substrate of each semiconductor device; lithography, masking and printing circuit patterns on the semiconductor device; and removing or etching portions of the layers to form the semiconductor device. Such semiconductor devices are manufactured by repeating these and other operations on each device of a semiconductor wafer. Better manufacturing techniques have allowed for micro fabrication, resulting in features that are less than 0.1 micron. Examinations of the wafer are made for obtaining defect free devices. Conventional wafer inspection and/or defect reviewing methods consist of a high magnification and high resolution imaging system, where the wafer or photomask is scanned pixel by pixel by a laser diode. Other wafer examination methods call for the use of optical microscopes. Scanning Electron Microscopes (SEM), as a more advanced microscopic approach, have been used in some defect detection and/or reviewing methods such as critical dimension measurement. With the increasing numbers of transistors packed on a chip, more efficient and more accurate wafer inspection and/or defect reviewing method is desired. SEM may be used for the inspection and/or defect review of a workpiece having non-circle shapes, for example a parallelogram. Therefore, it is desirable to provide a method and system capable of handling such a workpiece for SEM examination. The present invention is directed to a patterning device holding apparatus for the charged particle beam imaging. In embodiments of the present invention, the patterning device is easy to be fixed and released by the design of a gripper unit. The patterning device holding apparatus for the charged particle beam imaging includes a gripper unit for positioning of a patterning device by rotating about a pivot substantially parallel with the center axis of a rolling member set at the base portion of the gripper unit. Accordingly, a patterning device holding apparatus is provided for use in charged particle beam imaging. The patterning device holding apparatus comprises a support platform unit and a gripper unit. The support platform unit is configured for supporting a patterning device thereon, and has a plurality of first positioning projections. The gripper unit is configured for fixing the patterning device in place through its rotation about a pivot substantially parallel with the center axis of a rolling member set at a base portion thereof. The gripper unit further comprises a head portion and a plurality of second positioning projections disposed on the head portion. When the patterning device is fixed in place, the plurality of first positioning projections abut against two edges of the patterning device and the plurality of second positioning projections abut against the other two edges of the patterning device. These and other aspects, features and advantages of the present invention can be further understood from the accompanying drawings and description of preferred embodiments. FIG. 1 is a schematic diagram illustrating a patterning device holding apparatus in accordance with one embodiment of the present invention. An exemplary patterning device holding apparatus 81 applied to charged particle beam imaging is configured for holding the patterning device 90 which has a patterning area 901 at the center and a margin area 902 surrounding the patterning area 901. The support platform unit 80 of the patterning device holding apparatus 81 is configured for supporting the patterning device 90 thereon and comprises a plurality of first positioning projections 801 for abutting at least one of edges of the patterning device 90. It is understood that the support platform unit 80 further comprises at least one seat 802 under the patterning device 90 for supporting the patterning device 90 by contacting the margin area 902 of the patterning device 90. Furthermore, the support platform unit 80 may comprise a set of lifters 803 for receiving the patterning device 90 from a previous stage of the charged particle beam imaging process, for example, the lifters 803 rise to receive the patterning device 90, and then go down. FIG. 2A is a schematic diagram illustrating a patterning device holding apparatus 81 from side view in accordance with one embodiment of the present invention. The gripper unit 82 of the patterning device holding apparatus 81 is configured for fixing the patterning device 90 in place. In one example, the gripper unit 82 comprises a base portion 822 and a head portion 823, where the base portion 822 further comprises a rolling member 821, and the head portion 823 further comprises a plurality of second positioning projections 824 disposed thereon. The position alteration of the patterning device, for example but not limited to, being held tight or to be released, is achieved by the rotation of the gripper unit 82 about a pivot substantially parallel with the center axis of the rolling member 821 set at the base portion 822. Accordingly, referring to FIG. 1 and FIG. 2A, in one example, the patterning device 90 in a first position, such as fixed in place, is achieved by abutting the first positioning projections 801 against two edges of the patterning device 90 and the second positioning projections 824 against the other two edges of the patterning device 90. That is, the second positioning projections 824 may, through the rotation of the gripper unit 82, press on the edges of the patterning device 90 pushing the other edges towards or to abut tighter against the first positioning projections 801 so as to keep the patterning device 90 in right position. In another example, the patterning device 90 in a second position such as a loosened-up position ready for removal from the patterning device holding apparatus 81, is achieved by releasing the second positioning projections 824 away from the edges of the patterning device 90, shown as FIG. 2B. As shown in FIG. 2B, the patterning device holding apparatus 81 further comprises a rotation motion provider assembly (841, 842, 843) configured for causing the gripper unit 82 to rotate about the pivot. The rotation motion provider assembly (841, 842, 843) comprises a reciprocating member 841 with one fixed end and one movable end pivoting (refer to U.S. Pat. Nos. 5,417,589, 5,357,814) the fixed end which is attached to a reciprocating motion generator 843, and a resilient member 842. In the example, the rolling member 821 may be in contact with the reciprocating member 841 and roll freely on the surface of the reciprocating member 841. The resilient member 842 is with one end connected to the head portion 823 of the gripper unit 82 and the other end fixed on an arbitrary object 844 as illustrated in FIG. 2A and FIG. 2B, for providing a pulling force to the gripper unit 82 constantly towards a pulling direction illustrated by an arrow 845. The reciprocating motion generator 843 may be, for example but not limited to, air cylinder, liquid cylinder, vacuum producer, motor or spring, and is coupled with the reciprocating member 841 at its movable end. Furthermore, the reciprocating motion generator 843 tilts the reciprocating member 841 by pushing up and pulling down the movable end of the reciprocating member 841 pivoting the fixed end of the reciprocating member 841, which results in the rolling member 821 rolling on the reciprocating member 841. When the rolling member 821 moves substantially along the pulling direction 845, the head portion 823 of the gripper unit 82 moves toward the opposite direction so that the second positioning projections 824 are led away from the edges of the patterning device 90. When the rolling member 821 moves in a direction substantially opposite to the pulling direction 845, the head portion 823 of the gripper unit 82 moves toward the pulling direction 845. The second positioning projections 824 are therefore led towards the edges of the patterning device 90 and in the end to abut against the patterning device 90. When already in contact with the edges of the patterning device 90, the second positioning projections 824 push tighter against the pattering device 90 to hold it fixed in position. FIG. 3A is a schematic diagram illustrating a patterning device holding apparatus with a position sensor in accordance with one embodiment of the present invention. FIG. 3B is a schematic diagram illustrating a patterning device holding apparatus with a position sensor from a top view in accordance with one embodiment of the present invention. The patterning device holding apparatus comprises a position sensor unit 85 for determining if the patterning device 90 is correctly in position for imaging. In the example, the position sensor unit 85 comprises a plurality of position detection signal transmitters 851 and receivers 852. In the example, the position detection signal transmitters 851 and receivers 852 are located adjacent to the patterning device 90, for example but not limited to, over, under or on the side of the patterning device 90. As shown in FIGS. 3A and 3B, in one example where the position detection signal transmitters 851 and receivers 852 are located on the side of the patterning device 90, the detecting beam is designed to hit on the side wall facing the position detection signal transmitters 851. The position detection signal receiver 852 is located at a predetermined location to detect correctness of reflection of the position detection signal, so as to determine the translational and/or rotational displacement of the patterning device 90 on a plane perpendicular to that of the patterning device 90. Referring to FIGS. 3C, 3D, 3E and 3F, in other examples of the present invention where the position sensor unit 85 is located under or above the patterning device 90, the hit point is designed to be right outside the margin area 902. Moreover, as illustrated in FIG. 3C to 3F, at least a pair of detecting beams are configured to function simultaneously so that any translational and/or rotational displacement of the patterning device 90 on the plane of the patterning device 90 will inevitably result in the detecting beam hitting on the transparent patterning area of the patterning device 90, causing incorrect reflection of the detecting beam, whereby detection of translational or rotational displacement of the patterning device 90 on the plane of the patterning device 90 is ensured. In summary, the position detection signal transmitter 851 emits a detecting beam, for example but not limited to, UV, IR, laser, supersonic wave, visible or invisible signals, LED light, onto the side walls or the margin area 902 of the patterning device 90 and a reflected detecting beam is detected by the corresponding receiver 852. As shown in FIG. 3C, the detection signal transmitter 853 may be integrated with a beam splitter for guiding the detecting beam as according to another example of the present invention. In the example, the beam splitter of the detection signal transmitter 853 splits the incident beam from the detection signal source located under the beam splitter and guide the split beam to the margin area 902 of the patterning device 90. The beam splitter may then guide the reflected beam to the corresponding receiver 852. Alternatively, referring to FIG. 3D, in another preferred example the position sensor unit 85 comprises an integrated transmitter/receiver for both emitting and detecting the detecting beam which directly hits on the margin area 902 of the patterning device 90. Referring to FIG. 3E and FIG. 3F, in another embodiment the detection signal transmitter 853 and the receiver 852 are positioned above the patterning device 90. Configurations and functioning of the transmitter 853 and receiver 852 are similar to that described earlier in conjunction of FIG. 3A to 3D and will not be repeated here. In these illustrated embodiments, regardless of the sensor configuration, when the position sensor unit 85 detects strong reflection of the detecting beam from the detected surface of the patterning device 90, it is determined the patterning device 90 is positioned correctly. Then, the position sensor unit 85 outputs a sensor signal that indicates successful positioning of the patterning device 90 to the control unit. Otherwise, the position sensor unit 85 outputs a sensor signal that indicates failed positioning of the patterning device 90. The sensor signal is not limited to be outputted by the position sensor unit 85 but can be outputted by other members of the disclosed patterning device holding apparatus. FIG. 4 is a schematic diagram illustrating a scanning electron microscope in accordance with one embodiment of the present invention. An exemplary scanning electron microscope (SEM) 300 includes a charged particle beam generator 301 for generating a primary electron beam 310, a condenser lens module 302 for condensing the primary electron beam 310, a probe forming objective lens module 303 for focusing the primary electron beam 310 into an electron beam probe, an electron beam deflection module 307 for scanning the electron beam probe across the surface of sample (patterning device) 90, a secondary charged particle detector module 308 for detecting secondary electrons along with backscattered electrons from the sample 90 upon being bombarded by the electron beam probe and forming an image of the sample 90 accordingly, and a sample stage 312 for holding the sample 90 thereon during the imaging process. In the example, the support platform unit 80 of the disclosed patterning device holding apparatus (not shown in the figure) may be integrated in the sample stage 312 and is configured for supporting the patterning device 90 thereon for imaging. Accordingly, the exemplary patterning device holding apparatus of the present invention may apply to the scanning electron microscope to program. Although the present invention has been explained in relation to its preferred embodiment, it is to be understood that other modifications and variation can be made without departing the spirit and scope of the invention as hereafter claimed.
abstract
A digital rod control system that employs separate power modules to energize the respective coils of a magnetic jack control rod drive rod drive system so that two, independently powered grippers can simultaneously support the control rod drive rod when it is not in motion to avoid dropped rods. The basic building block of the system is two or more selecting cabinets which receive multiplex power from at least one moving cabinet and are under the control of a single logic cabinet. Each of the cabinets include monitoring features to confirm the reliability of the system.
043671934
claims
1. A toroidal reactor for generating thermal energy from fusion reactions in ionized plasma of fusible fuel comprising: (a) fusion core unit comprising a plasma containment means having a major radius on the order of 50 cm for containing said fusible fuel, said plasma containment means forming a plasma containment region, (b) said plasma containment means including a plurality of toroidal field coils adjacent to and surrounding said plasma containment region for generating a toroidal magnetic field, (c) means for transporting a cooling fluid to said toroidal field coils, (d) said toroidal field coils having fluid conducting portions for transporting said cooling fluid within regions of said toroidal field coils, (e) power supply means connected to said toroidal field coils for generating a high toroidal magnetic field within the ionized plasma within said plasma containment region, said toroidal magnetic field being on the order of greater than 100 KG, (f) said plurality of toroidal field coils comprising high-strength; non-superconducting conductors for sustaining said high field and thermal energies, (g) ohmic heating means for inducing an ohmic heating plasma current in said plasma fuel within said plasma containment means, said ohmic heating current raising the temperature of said plasma, said ohmic heating means including transformer means and said ohmic heating current generating a poloidal magnetic field within said plasma, (h) blanket means positioned completely outside of and substantially surrounding said toroidal field coils, (i) means extending into said plasma containment means for delivering said fusible fuel therein, (j) said fusion core unit being readily separable from said blanket means for permitting facile access to said fusion core unit to allow for replacement of said fusion core unit as required, (k) blanket cooling fluid transport means connected to said blanket means for transporting a cooling fluid to said blanket means, and (l) means connected to at least one of said blanket cooling fluid transport means and said toroidal field cooling transport means for extracting thermal energy therefrom. (a) a toroidal region for containing said plasma, and (b) means, including said plurality toroidal field coils, for magnetically confining said plasma within said toroidal region. 2. The toroidal reactor of claim 1, wherein said plasma containment means further includes a toroidal housing having a major radius on the order of 50 cm and a minor radius on the order of 20 cm and wherein, said toroidal field coils being adjacent to and surround said toroidal housing and means for transporting said cooling fluid around the surfaces of said toroidal housing adjacent said toroidal field coils. 3. The toroidal reactor of claim 2, wherein said blanket means further includes tritium breeding means for generating tritium from neutrons emitted in said fusion reactions. 4. A toroidal reactor as recited in claim 1 wherein said plasma containment means comprises: 5. A toroidal reactor as recited in claim 4 wherein said means for magnetically confining said plasma further comprises means, in addition to said ohmic heating means, for generating said poloidal magnetic field. 6. A toroidal reactor as recited in claim 1 or 5 wherein said toroidal magnetic field strength is on the order of 100-150 kilogauss. 7. A toroidal reactor as recited in claim 1 wherein said fusible fuel is a mixture of deuterium and tritium. 8. A toroidal reactor as recited in claim 7 wherein said means for extracting thermal energy is connected to both said plasma containment means and said blanket means and comprises fluid transport means. 9. A toroidal reactor as recited in claim 8 wherein said thermal energy extraction means comprises one fluid transport means for extracting thermal energy from said plasma containment means and another fluid transport means for extracting thermal energy from said blanket means. 10. A toroidal reactor as recited in claim 8 wherein said thermal energy extraction means comprises a single fluid transport means for both said plasma containment means and said blanket means. 11. A toroidal reactor as recited in claim 6 wherein said fusible fuel is a mixture of deuterium and tritium. 12. A toroidal reactor as recited in claim 1 wherein said means for extracting thermal energy is connected to both said plasma containment means and said blanket means and comprises fluid transport means. 13. A toroidal reactor as recited in claim 12 wherein said thermal energy extraction means comprises one fluid transport means for extracting thermal energy from said plasma containment means and another fluid transport means for extracting thermal energy from said blanket means. 14. A toroidal reactor as recited in claim 12 wherein said thermal energy extraction means comprises a single fluid transport means for both said plasma containment and said blanket means. 15. A toroidal reactor as recited in claim 1 wherein said fusible fuel is a mixture of deuterium and tritium, said plasma containment means comprising a toroidal region and said toroidal region having a major radius on the order of 50 cm. 16. A toroidal reactor as recited in claim 15 wherein said toroidal region has a minor radius on the order of 20 cm. 17. A toroidal reactor as recited in claim 1 wherein said plasma containment means includes a toroidal region having as aspect ratio of approximately 2.5. 18. A toroidal reactor as recited in claim 17 wherein said toroidal field coils include copper-coils. 19. A toroidal reactor as recited in claim 1 further comprising means for reprocessing said radiation-damaged containment means. 20. A toroidal reactor device as recited in claim 1 further comprising auxiliary heating means for heating said plasma.
summary
description
The present invention relates to a collimator, and in particular a method for making a collimator for use with a small, high resolution single-photon emission computed tomographic (SPECT) imaging tool for small animal research. More particularly, the collimator is sized, both functionally and structurally, particularly smaller than known collimators and appropriately scaled to achieve a highly sensitive collimator which facilitates desired reconstruction resolutions for small animals, as well as compliments other functional imaging modalities such as positron emission tomography (PET), functional magnetic resonance imaging (fMRI), electroencephalography (EEG), and event-related potential (ERP), magneto-encephalography (MEG), and near-infrared optical imaging. In the last quarter century, the use of brain imaging for the treatment and understanding of diseases and genetic flaws has grown dramatically following the introduction of Computerized Tomographic X-Ray (CT) in 1972 followed in 1982 by magnetic resonance imaging (MRI). The reason for this growth and importance in brain imaging is that neurologists, psychiatrists and neuroscientists utilize and attach substantial importance to high resolution, three-dimensional, anatomical images of the brain. The development of functional brain imaging which seeks to map the distribution of brain activity has closely followed the development of structural imaging which maps some physical property of the brain such as tissue density. While SPECT is playing an important role in functional brain imaging, it has been limited in many applications by its low spatial resolution. The tiny structures of the brain where thinking and other neuronal activity takes place are much smaller than the resolution of the best SPECT scanners and, therefore, are not seen. This situation is even further complicated where brain imaging is performed on a small animal such as a rat or mouse. The brain structures of such animals are much smaller than a human brain structure and, therefore, the resolution of conventional SPECT scanners is grossly inadequate. In general, the typical clinical resolution of the best SPECT rotating gamma-cameras as well as PET and functional MRI, is about 9, 7 and 3 mm, respectively. One area of improvement used to bring rotating gamma-cameras to their state-of-the-art is modifying the original parallel hole collimator design to the higher performance mildly converging tapered hole designs while increasing camera size in order to maintain a sufficiently large field-of-view. In recent years, small animal imaging has been the subject of intense research and development. This is largely due to the advances in molecular and cell biology, the use of transgenic mice models and the availability of new imaging tracers. The genetic similarity of mice to humans has enabled a wide range of human diseases to be studied in animal models. The completion of the sequence of the human genome will improve our understanding of human biology at the molecular level and create new and improved models of human diseases. Transgenic mice have been widely used in the study of cancer mechanisms and from modeling human diseases. Recently, the study of transgenic mice has opened new prospects in evaluating human gene therapy by non-invasive, repetitive and quantitative imaging of gene expression. It is of interest in drug discovery and development to map the concentration of experimental, bioactive molecules in the body of a mouse or other laboratory animal. This is typically done by tagging the molecules with a gamma-ray emitting atom (radioisotope) and then externally scanning the animal with directionally sensitive gamma ray detectors. This modality, as discussed above, is called “SPECT” (Single Photon Emission Computed Tomography). For example, animals injected with molecules tagged with radioisotopes, for example, a neurotransmitter such as dopomine, may be tagged with, among others, low energy photon emitting I-125 which may be desirable because it has a half-life of almost 60 days, is readily available and also has sufficient energy of the low energy photon to escape from the tissue of the animal. The emitted gamma rays are generally collected by devices known as collimators. Collimators are traditionally blocks of lead with holes drilled or cast in them. The holes permit gamma rays, which are traveling in a specific direction, to pass through the holes in the blocks or, in other words, the holes in the collimator specify the flight direction of gamma rays received from a single photon emission radio-nuclide. Any gamma rays not passing through the hole(s) are blocked, i.e., absorbed, by the lead structure of the collimator. The longer or narrower the holes, the more precise or specific the direction, i.e., the flight path of the gamma ray can be determined. This is good for geometrical, spatial resolution, i.e., better for determining where the emitted gamma ray came from, but bad for sensitivity. It is bad for sensitivity because the longer and narrower the hole(s) the fewer gamma rays will pass through the hole to impact on the scintillation crystal. The basic structure of the collimator is thus a hole with a finite length and aperture size. The photons, which pass through an individual hole, are not only photons entering a hole parallel to the hole axis, but also photons entering the hole at a small angle to the hole axis. As a result, each hole accepts all of the photons in a cone at a small vertex angle. This is the reason why the spatial resolution of the collimator is a function of the distance between a source emitting the photons and the collimator surface. It is known in the field to fabricate a focusing collimator as a thick block or sheet of gamma-ray absorbing material (such as lead) that has a plurality of holes through it. All holes point to the same place in space, the focal point, in front of the collimator. Thus, in the thick sheet the holes must be angled relative to a central axis of the collimator, in order to be aimed at the focal point. In the Applicant's full size human brain SPECT scanner, the collimator is made of lead that is 4 inches thick. The focal point lies 6 Inches in front of the collimator. In other words, if you place your eye at the focal point and look towards the collimator, you will see through all holes at once. A gamma-ray sensitive crystal, also known as a scintillator, is placed behind the collimator and will register far more gamma rays, i.e., obtain more counts, when the source is located at the focal point than if it is located anywhere else. Focusing collimators having tapered holes are vastly superior than straight, parallel holes as typically used in gamma cameras in that they provide both better geometrical resolution and sensitivity at the same time. However, manufacturing a collimator small enough for adequate precision in both geometrical resolution and sensitivity for small animals is very difficult, inefficient and expensive using machined or cast lead blocks. The collimator, whether in a reduced size for small animals or sized for use with a human, is merely one part of the entire scanning machine. In either machine, the collimators are arranged in a substantially circular fashion about a longitudinal axis of a source, either human or animal, to obtain a 3-D convolution of the brain. The brain scanner mechanically moves the focusing collimators, i.e., the focal points of the focusing collimators, in such a way that they uniformly sample a single transaxial plane of the human or animal, i.e., a transaxial slice normal to a longitudinal head to toe axis of the human, at one time. In order to do this, the collimators are moved by a supporting gantry in such a way that they simultaneously translate across the slice in one direction. When a line is completed, the collimators increment out (or in) and then translate across the slice in the opposite direction. The process is repeated until a raster scan of the slice is completed by all collimators. The brain scanner scans a transaxial slice 200 mm in diameter (field of view) and produces images with a resolution of about 2.5 mm. This is known as scanning focal point technology and it is unique in nuclear medicine. The total volume of the brain is sampled by incrementally stepping the bed carrying the human patient or animal axially at the completion of each slice. A more detailed description of the collimator travel and scan movement is discussed and known from Stoddart, U.S. Pat. No. 4,209,700 and, therefore, a further detailed discussion of the same is hereby incorporated by reference. The object of the present invention is to provide a collimator small enough for use on a SPECT machine accommodating small animals while maximizing spatial resolution and sensitivity to provide for adequate data collection. It is another object of the invention to appropriately scale the reduced size collimator putting at least the same number of holes used in a full size human collimator in the smaller animal collimator. It is a still further object of the present invention to manufacture the reduced size collimator to a size of about ¼ the size of the human collimator and appropriately scale the holes and the septa formed in the collimator to achieve a field of view of about 50 mm relative to the approximately 200 mm field of view of the full size collimator. Another object of the present invention is to provide a collimator which can be easily manufactured to a small size by the use of chemical etching techniques to fabricate a plurality of plates having respective gamma ray passage holes formed therein. Another object of the invention is to provide an appropriately scaled gamma ray that will be absorbed by the correspondingly thinner septa of the reduced size small animal collimator. To obtain an adequate resolution and sensitivity, if the entire small animal scanner were reduced to about ¼ the size of the existing full size human brain scanner, the field of view would be 50 mm and (since the size of the focal point also scales) the point-source resolution would be about 625 microns. Reducing the dimensions of everything (mechanics, photomultiplier tubes, scintillating crystals, etc.) by four does not present any significant problem. The exception is the collimators, which would have to be reduced from 4 inches to 1 inch thickness. More importantly, the septa (material thickness between holes that define the holes) would be decreased from 0.4 mm to 0.1 mm. The gamma rays would then cross the septa and the collimator would no longer define a focus. In other words, if the gamma ray energy is not scaled, such a collimator would become mostly “transparent”. In addition, the scaled hole sizes would become so small that they could no longer be made by casting as is the case with the brain scanner collimators. To overcome the manufacturing and scaling issues presented by the reduced size collimator, the present invention utilizes a collimator made from a plurality of chemically etched stacked plates. Each plate of the collimator has the same array of holes. The holes may be, but do not have to be the exact same size, for example due to the inclination of passages farther out from the center the holes defining these outer passages may be larger, than those closer in. The holes from plate to plate vary slightly in both size and radial location to the center of the plate. When stacked, the holes of adjacently stacked plates align such that there are a plurality of adjacent tapering passages extending through the collimator from a top plate defined by the plate having the largest holes formed therein on the top of the collimator to a bottom plate defined by the plate having the smallest holes on the bottom of the collimator. By stacking the chemically etched plates, the precision benefits of using many collimator holes are gained without the increased cost of manufacturing a collimator small enough to obtain sufficient resolution in small animals using the previously known methods. It is to be appreciated that holes may be made by other methods other than by chemically etching. In order to simplify and reduce the cost, the number of detectors in the small animal scanning machine have been reduced from twelve to eight, each subtending an angle of about 45 degrees in the transaxial slice and about 67 degrees in the axial direction. The product of the angle subtended in the transaxial plane by each collimator times the number of collimators should be approximately 360 degrees in order to fully sample all angles. Observing FIG. 1, the present invention involves a scanning device akin to a scanning microscope. It uses eight identical scanning elements 1, each consisting of a three-dimensional (3D) point focused collimators 3 mated to one or more scintillating crystals 5 each associated with a photomultiplier tube 7. An acquisition or “scan ” of a source SOis nothing more than the 3D convolution described above of the source distribution of injected radionuclide with each detector's point-spread function (PSE). Although the present invention employs an iterative reconstruction to deal with absorption, scattering and other complications, a simple multi-detector, windowed, 3D deconvolution works quite well. As with any scanning system, intrinsic resolution and sensitivity are completely characterized by the point-spread functions of the detectors. Turning to FIG. 2, to derive the PSF, first consider a detector whose collimator 3 consists of a single tapered hole 9 of bore length b and focal length f. Its PSF at any point in space is proportional to the apparent solid angle subtended by the hole 9 from the vantage of that point. Clearly, for all points lying outside lines 4, which are the most extreme rays to pass through, the hole's apparent solid angle is zero; only within the lines 4 are the PSF non-zero. Moving horizontally (keeping distance from the face of the collimator fixed), solid angle plotted against position will describe a triangle between the lines 4 with a flat top in the region between lines 6. This PSF 11 is accordingly illustrated on the left side of FIG. 2 for five source-collimator distances. The actual collimator 3 consists of many such holes around 10,000 in the disclosed embodiment, much smaller than the one shown in FIG. 2, oriented so that all their focal points intersect at a common point P on the focal plane. To facilitate understanding of the scanner, we will temporarily assume, at least within the volume being scanned, that each hole PSF 11 has constant profile rather than fanning out with distance (a reasonable choice for this is the focal plane profile). Furthermore, assume all hole PSFs have identical shape and size, but vary in orientation according to the orientation of their corresponding holes. By superposition, the full collimator PSF is the sum over all individual hole PSFs. This is represented in FIG. 3 at left as a bundle of lines (projections) where each line represents the PSF of one hole 9. In practice, each detector only scans half the field-of-view. An opposing detector having the same PSF, as diagrammatically shown in FIG. 3, completes the scan. To understand what information is provided by such a scan, it is instructive to consider the spatial frequency response of this two-detector system. Noting that the Fourier Transform of a sum of PSFs is the same as the sum of each PSF's Fourier Transform, we start by looking at the central hole's PSF marked on FIG. 3. Its Fourier Transform (MTF) is depicted by the horizontal line in Fourier space drawn in FIG. 4. It has infinitesimal extent along ky and finite extend along kx. The measure of that extent is, by the nature of Fourier Transform pairs, the reciprocal of the PSF's width. Keeping in mind that the MTFs of the other holes are the same as this one, each with its own orientation, a sum over all of the holes produces the complete collimator-pair MTF shown here as a bundle of lines of varying orientation, all passing through the origin. The bundle fills opposing wedges subtending 30 degrees, the same as the angular span of the complete collimator-pair PSF, shown in FIG. 3. When all four pairs of collimators are included, generally shown in FIG. 1, the remaining wedges are filled and all spatial frequencies are known out to the radius set by the reciprocal of the single-hole PSF width. In three dimensions, each hole's MTF becomes a plane of finite extent perpendicular to the hole's axis. As in two dimensions, the complete MTF is the sum over all such planes. This is difficult to picture, but note that in the limit where the bundle of PSFs cover a full 4π of solid angle, such as would be the case of a single spherical collimator surrounding the source, the complete PSF has a 1/r2 dependence and its corresponding MTF has a 1/k dependence. The fundamental difference to note is that while the rotating gamma camera treats its field-of-view as a stack of slices to be sampled independently using a two-dimensional PSF with a 1/r dependence, the small animal camera treats its field-of-view as a volume to be sampled by a three-dimensional PSF with an approximate 1/r2 dependence. Resolution and Sensitivity As in FIG. 2, the profile of apparent solid angle Ω has the shape of a flat-topped triangle. By simple geometrical consideration, its full width at half maximum Δ a distance s from the face of the collimator 3 is found to be Δ ⁡ ( s ) = { ( 1 + 2 b ) ⁢ w s ≤ f ( s f + 2 b ) ⁢ w s ≥ f ( 1 ) Where w is the width of the hole 9 on the face of the collimator 3, b is its bore length and f is its focal length. Its peak value is Ω 0 ⁡ ( s ) ≈ { ( 1 + b / f ) 2 ( 1 + b / s ) 2 ⁢ a s 2 s ≤ f a s 2 s ≥ f ( 2 ) where a is the cross-sectional area of the hole 9. For square holes 9, a=w2 and Ω is a flat-topped pyramid whose full width at half maximum along both axes is given by (1). For circular holes 9, a=(π/4)w2 and Ω is a flat-topped cone whose full diameter at half maximum is also given by (1). The standard measure of collimator sensitivity is the fraction of photons emitted by a small isotropic source positioned in front of the collimator that are accepted by the collimator. This does not work for the focused collimator because that fraction depends radically on source size and location. Instead, define hole sensitivity Sh as the count rate one would obtain if a sheet of activity emitting one gamma-ray per unit time per unit area were placed in front of and normal to the hole 9. Then, by definition S h = 1 4 ⁢ π ⁢ ∫ ∫ sheet ⁢ Ω ⁡ ( x , y ) ⁢ ⅆ x ⁢ ⅆ y which, for square holes 9, is just the product S h = Ω 0 4 ⁢ π ⁢ Δ 2 According to (1) and (2), hole sensitivity is S h = a 2 4 ⁢ π ⁢ ( 1 b + 1 f ) 2 ( 3 ) and, as demanded by conservation of flux, is independent of s. Finally, total collimator sensitivity is obtained by summing over all holes 9. Pinhole Camera Comparison As seen in FIG. 5, a pinhole camera 13 consists of a small hole of diameter dp located a distance/from a gamma camera. Magnification M, defined as the ratio of image size to object size, is given by M ⁡ ( s ) = l s ( 4 ) an inverse function of the distance s from the pinhole plane to the object plane. As shown by lines l on FIG. 5, a point source P located anywhere on the object plane will illuminate a disk on the image plane of diameter di=((s+l)/s)dp. Since two point sources on the object plane a distance d0 apart are just fully resolved when Md0=di, the resolution of the camera at s is characterized by a disk of diameter d 0 ⁡ ( s ) = ( 1 + s l ) ⁢ d p = ( 1 + 1 M ⁡ ( s ) ) ⁢ d p ( 5 ) Ignoring the reduction in sensitivity which occurs near the edges of the camera, apparent solid angle has the 1/s2 dependence Ω ⁡ ( s ) ≈ π 4 ⁢ ( d p s ) 2 ( 6 ) The total count rate due to a sheet of activity on the object plane emitting one gamma-ray per unit time per unit area is just the product of the field-of-view (FOV) on the object plane with Ω/4π. Denoting the area of the camera by APC, we have FOV=APC/M2, hence a full sensitivity of S PC = A PC 16 ⁢ ( d p l ) 2 ( 7 ) which, as expected again, is independent of s. By comparison, full sensitivity SNF for the present invention is NSh where N is the total number of holes 9 and Sh is the previously sensitivity per hole. Since one can pack into an area A of collimator A/(w+t)2 square holes 10 of size w with septal thickness t, we find using (3) S NF = A NF 4 ⁢ π ⁢ w 2 ( w + t ) 2 ⁢ ( 1 b + 1 f ) 2 ⁢ w 2 ( 8 ) where ANF is the combined front surface area of all eight collimators 3. To compare the performance of the two systems, let us suppose the design goal is to image a cylindrical source of diameter D at a resolution of D/50. An appropriate system with a minimum clearance between collimator 3 and cylinder 15 of D/4 would have the following dimensions: focal length:f = (3/4)Dbore length:b = (3/4)Dcollimator area:ANF = 3D2 (12 collimators, each subtending30° × 45° at f)septal thickness:t = 0.25 mm (for I123 and lower energy emitters)hole size:w = D/100 (according to (1), this obtainsdesired resolution at s = f)To obtain identical performance from a pinhole based system capable of imaging the same cylinder 15 at the same resolution and sensitivity requires SPC=SNF and d0(D)=D/50. First consider sensitivity. A pinhole camera 13 of θ=60° angular FOV will just accommodate a cylinder 15 of diameter D when the distance from pinhole to center of cylinder 15 is D. This is illustrated in FIG. 5. Furthermore, the area of the camera APC is set by θ and l which, for θ=60°, is given by (4/3)/2. Using these relationships, (7) becomes, S PC = N 12 ⁢ d p 2 ( 9 ) where the factor N is included to allow for the possibility of an N-headed system. This implies sensitivity is determined solely by the total area of all N pinholes. Now consider resolution. A remarkable feature of the focused scanning system is that there is no loss in resolution due to an inability to precisely locate where on the detector a gamma ray hits. That information is irrelevant. One only has to know where in the scan pattern the camera is when the hit occurs. The pinhole camera 13 must be able to determine where each gamma ray enters and be able to do so over a ±30° range in angle of incidence. To do this precisely requires the use of pixilated crystals and a detector array. Assuming such an arrangement can determine, without error, which crystal pixel a gamma-ray enters, and each pixel is ε×ε in size, the effective resolution diameter on the object plane is increased by about ε/M. Taking this into account, (5) becomes d p ⁡ ( s ) = d p + 1 M ⁡ ( s ) ⁢ ( d p + ɛ ) ( 10 ) For a given dp and ε, this determines the magnification needed to achieve a given resolution at s. To proceed further, we must choose a specific D. For D=50 mm, we obtain SNF=0.189 mm2. According to (9), setting SPC=0.189 mm2 and N=2 gives a pinhole diameter of 1.07 mm. However, no value of M in (10) will obtain a resolution of 1 mm for dp=1.07 mm. More heads are required. In fact, total camera area is minimized by going to six heads. In this configuration, we find dp=0.615 mm gives the required sensitivity and, for ε=1.2 mm, the magnification needed to achieve 1 mm resolution at the center of the cylinder 15 is 4.71. To get an idea of the relative size of the two equivalent systems, we have drawn them to scale in FIG. 7. Each detector of the pinhole system requires a 740 cm2 pixilated crystal and an array of precision position sensitive detectors. The detector of the present embodiment requires only a single 25 cm2 crystal and one non-position sensitive detector. Furthermore, the invention works just as well on energetic gamma-ray sources such as I-123 and Tc-99m as it does on I-125 due to the fact that crystal thickness is irrelevant to resolution. The necessarily small size of pixilated crystals precludes their effective use with any commonly used isotope other than I125 because, at a 30 degree angle of incidence, the average path length through a crystal element is only the width of the element itself. If we ignore collimator septal thickness and pinhole camera 13 intrinsic resolution, the expressions we have derived for sensitivity and resolution scale linearly with system size. That is, FIGS. 6 and 7 accurately depict the relative size of equivalent systems at most any scale. Current efforts in pinhole camera 13 design concentrate on the imaging of mice which fit into a 25 mm diameter cylinder 15. Existing systems obtain 1-2 mm resolution with sensitivities of a few counts/sec/mCi5. By comparison, resolution of the invention with a 25 mm diameter FOV is, by design, D/50=0.5 mm, corresponding to a hole 9 size of D/100=0.25 mm. To compare sensitivity, we can't just put a 1 mCi source at the focus of a collimator—it must be distributed over a sheet whose width is that of the cylinder's diameter D and whose height is that spanned by the angular FOV of the pinhole camera 13 measured along the axis of the cylinder 15, also D. Thus, sheet density is 1.60 μCi/mm2 and the product of this with SNF as defined by (8) yields 1.570 counts/sec/mCi. The fact that at more than double the resolution, sensitivity is still three orders of magnitude greater than the pinhole camera 13 is not particularly surprising if you consider that, for the pinhole camera 13, all rays must pass through a real pinhole located well outside the source whereas, for the scanner, all rays pass through a virtual pinhole located within the source itself. Turning to the physical construction of the collimator itself, one of the issues associated with manufacturing the collimator is not merely the formation of the holes or passages in the block, but also in the accurate formation of the septa (material thickness between holes that define the holes) defining the passageways. The septa thickness and accuracy is important because of the necessity to absorb the gamma rays which impact thereon. The thinning of the septa to make the small animal collimator created an issue beyond that of merely accurately forming the septa. To reduce the dimensions of the known brain scanner (mechanics, photomultiplier tubes, scintillating crystals, etc.) by four presents a significant problem in the collimators. The collimators would have to be reduced from about 4 inches in the human version, to 1 inch thickness for the small animal machine. The septa would be scaled down from 0.4 mm to 0.1 mm. At this thickness, the gamma rays would then cross through the septa and the collimator would no longer define a focus. In other words, because the gamma ray energy was not scaled, such a collimator would become mostly “transparent”. In addition, the scaled hole sizes would become so small that they could no longer be made by casting as is the case with the brain scanner collimators. While the human scanner has 800 holes in each of its twelve 30 degrees high-resolution cast lead collimators, the animal scanner with eight 45 degree collimators requires a much larger number of quarter-diameter holes in each. These collimators cannot be made by casting lead. Instead, they must be micro-machined from a suitable metal like copper although other materials with similar or greater density and atomic number may be used as well. To construct a smaller scale collimator made from a different material like copper, it is thus also necessary to “scale” the gamma ray energy as well as the mechanical parts. As the energy of gamma ray photons decreases, they are more easily absorbed. In order for 0.1 mm septa to be as absorbent as the 0.4 mm septa of the human brain scanner the gamma ray energy must be decreased to a maximum of about 50 keV for copper. The radioisotopes used in nuclear medicine have been chosen to emit gamma rays of around 150 keV. This is a compromise between high enough energy to survive getting out of the body, and low enough energy to be stopped (detected) by scintillation crystals. Several radioisotopes are in use, but the one preferred for research is I-123. Iodine atoms can be used to tag a variety of biomolecules because they bond chemically like hydrogen. However, I-123 emits a 159 keV gamma ray that is too high for the reduced size animal collimators made from copper. I-125 emits a 27.5 keV x-ray and is already in wide use for tagging biomolecules for autoradiography applications. Because its half-life is 60 days, it is more available than 1-123 which decays quickly (13 hours) after being produced. The 27.3 keV x-ray is still sufficiently energetic to get out of the animal without too much loss and our animal scanner collimator can be manufactured from a material other than lead, for example, photo-etched copper sheets as discussed in further detail below. The long half-life of I-125 can be a disadvantage in some applications. Interestingly, I-123 also emits a 27.3 keV x-ray along with its 159 keV gamma ray and, therefore, it may be possible to image it as well by using its x-ray. The problem would be the interfering effects of the large flux of the penetrating, high-energy, 159 keV gamma ray photons. It may also be possible to use several iodine radioisotopes with half-lives of several days. With a 13-day half-life, 60% of I-126 decays lead to Te x-rays; and with a 4.1 day half-life, 50% of 1-124 decays lead to Te x-rays. Because of the small size and many holes required for the collimators, the source radiation is limited to low energies where these collimators can be effective. Initially the animal scanner is expected to image I-125 tagged markers. I-125 decays to Te-125 by electron capture resulting in the emission of tellurium x-rays centered at about 27.5 keV. However, a series of experiments using a “mock” copper collimator 1 inch thick as described below and in reference to FIGS. 8 and 9 indicate very encouraging results for the use of I-123. It is possible to image I-123 using the emission of tellurium x-rays. The decay of 13.27 hour I-123 is also by electron capture to several energy levels in Te-123. The major one (97%) is a 0.2 ns metastable level at 159 keV. The nucleus gets rid of this excess electromagnetic energy by emitting a 159 keV gamma ray (87%) or transferring it directly to an orbital electron by internal conversion (13%). Electron capture and internal conversion both leave vacancies in the tellurium K-shell and copious x-rays are emitted as it refills. The decay of 13.27 hour I-123 is by electron capture to several energy levels in Te-123. The major one (97%) is a 0.2 ns metastable level at 159 keV. The nucleus gets rid of this excess electromagnetic energy by emitting a 159 keV gamma ray (87%) or transferring it directly to an orbital electron by internal conversion (13%). The ground state Te-123 is also radioactive decaying to Sb-123 with a half-life of 1012 years. Electron capture and internal conversion both leave vacancies in the tellurium K-shell and copious x-rays are emitted as it refills. The ones with the greatest abundance are listed below along with those from I-125. The higher fraction of internal conversion electrons is what gives I-125 higher x-ray yields. keVI-123 %I-125 %Te Kα227.20225.0040.60Te Kα127.47246.6075.70Te Kβ330.9444.216.83Te Kβ130.9958.13.20Te Kβ231.7042.353.81 Observing FIG. 8, is a model for observing the x-rays in the presence of the high-energy gamma ray. The copper plate 31 is one inch thick and simulates the collimator. A 5 mm hole 33 simulates the sum of the holes in the focusing collimator. The lead shield 35 has an opening 37 to simulate the front aperture of the collimator. An aluminum block 39 under a source 41 is used to simulate backscatter from the other parts of the device. A removable lead plate 43 between the source 41 and the aluminum block 39 is used to explore the backscatter contribution. FIG. 9 illustrates a curve showing the spectrum of the gamma rays emerging from the copper (a solid block or plate, i.e., no 5 mm hole) as seen by the 1 mm NaI detector. The primary energy at 159 keV is seen as well as multiple-scattered gamma rays. A small backscatter peak from the aluminum plate under the source is also seen. (In this case the lead plate between the source and the aluminum has been removed.) The same experiment was also tried with 13 mm of copper and got the same spectrum shifted up about a decade. The pertinent observation from these experiments is that there is a minimum at the 27.3 keV average energy of the tellurium x-rays. FIG. 8 shows a 5 mm hole drilled through the copper, and the measured energy illustrated in the graph of FIG. 10, to approximately simulate the effect of the sum of the hole array area in the collimator. Significant activity is indicated by this curve around the 27.3 keV range without serious interference from the 159 keV gamma ray. In a related matter, the above noted data was taken using a NaI(TI) crystal scintillator. This scintillator is used in our brain scanner and widely used in SPECT “gamma cameras” because its high density and high atomic number maximize its sensitivity to high-energy gamma rays, this is not what is the most desirable for a small animal scanner. For the animal scanner application, a scintillator is needed that would let high-energy gamma ray photons pass through without interacting, but stop x-ray photons (and produce scintillations). A low-density scintillator, such as plastics (e.g., doped p-terphenyl), organic crystals (e.g., anthracene) and inorganic crystals (e.g. CaF2) are more preferable. Of these, CaF2 activated with europium had several advantages and was chosen. The graph of FIG. 11 compares the absorption of NaI(TI) and CaF2(Eu). The NaI is 1 mm thick and the CaF2 is 3.8 mm (adjusted to equal NaI at low energies. The important point is that the CaF2 is much less sensitive at high gamma ray energies. Typical x-ray spectra using CaF2 (Eu) crystals are shown in FIG. 12. Another possibility is doped p-terphenyl which has comparable light output. This data of FIG. 12 was taken on samples of CaF2 from various vendors. The numbers in the boxes are the crystals thickness. The crystals were various sizes and the counts have been normalized to their areas. The thicker crystals stopped more of the x-rays and gave more counts. The x-ray source is Cs-137. About 6% of the decays yield a 30 keV x-ray that is what we see in these curves. It also emits 662 keV gamma ray photons with about 14 times the x-ray flux. A background has been subtracted from this data, the amount was actually quite small and did not interfere with the recording of the x-rays. Turning now to the structural aspects of the small animal collimator itself, and to the aspect of physically manufacturing a collimator capable of providing sufficient sensitivity and resolution on a small scale can be difficult and expensive. Instead of cutting, casting or otherwise forming the collimator from a single block of material, as known in the art, the collimator of the present invention is constructed by stacking a plurality of individual plates having holes formed therein to define gamma ray passages. With reference to FIG. 13, a sheet of metal 8 is formed, i.e., stamped, cut, chemically etched, such that the metal sheet 8 reveals the series of plates 17, having a generally square or rectangular perimeter although varying dimensions and other perimeter shapes for the plates 17 are conceivable. The plates 17 formed in the metal sheet will eventually be separated into the individual plates 17, shown in FIG. 14, aligned and stacked into a collimator 3 structure as seen in FIGS. 15a and 15b, and as explained in further detail below. Observing FIG. 14, the plates 17 can be formed by the process of chemical etching as shown in the present embodiment, beginning with the top plate 21 of the collimator 3, as an example having hexagon-shaped holes 10 ranging, for example, from about 1.17 mm at a widest point to 1.01 mm at its narrowest point are etched or cut into the plate 21. For purposes of simplicity, we will define such hexagon-shape holes 10 essentially as circles, having a relative diameter estimated as one-half the narrowest dimension plus one-half the widest dimension, in the present example, that being a diameter of about 1.09 mm. The holes 10 are equidistantly radially dispersed about a center point defined by a center hole 18 of the plate 21 with the septa 25 being approximately 0.341 mm, i.e., away from the edge of the nearest hole 10. For a collimator 3 of this size, It is necessary to provide the appropriate resolution and sensitivity for small animal imaging, the present embodiment provides a series of approximately 251 plates 17, which will be stacked to form a collimator 3 about 1 inch (25.5 mm) thick. Each plate 17 has an array of 251 holes 19 comprising 17 offset and alternating rows, with each row having either 15 or 14 holes 10 per row to form the array of 251 holes 10. The sheet of metal 8, and hence each plate 17, is approximately 0.1016 mm (0.004 in.) thick, having an outer perimeter 19 defined by approximately 26 mm sides and each plate 17 has an alignment hole 27 near each of its four corners, the alignment hole 27 having a diameter of approximately of 1.5 mm. Observing FIGS. 15A, 15B, the alignment holes 27 allow for a securing means such as metal pins 29 inserted in the four holes 27 to retain the stack of plates 17 as a block to create the collimator 3. The holes 27, which extend perpendicularly through the stacked plates 17 relative to the planar surfaces of the plates 17, are important for the fact that concise stacking and precise alignment of the plates 17 is critical in producing the appropriate alignment of the stacked plates 17 and the arrays of the 251 holes 10 of each plate 17 to form the gamma ray passages 14 through the collimator 3 as discussed in further detail below. The plates 17 are etched or cut in such a manner that although the size, i.e., the diameter of the holes 10 on each individual plate 17 are similar, the relative size of the holes 10 from plate to plate is different. For example the holes 10 formed in the top or first top plate 21 are generally the largest and, in the next adjacent or second plate, the holes 10 are formed to be slightly smaller with each subsequent hole 10 of the subsequent adjacent plates 17. It is important, however, that each adjacently aligning hole changes with constant step in the previously mentioned range to avoid curved pathways. By way of example, intermediate plate 17′ has smaller holes than plate 21 although they are aligned to form the passageway 14. Thus, when the plates 17 are stacked to form the collimator 3, the cumulative effect of each of the relatively aligned, decreasing diameter holes 10 is to form a tapering passageway from the first or top plate 21, narrowing through the intermediate stacked plates 17 to the smallest aperture sized hole 10 in the last or bottom plate for permitting the passage of gamma rays through the collimator 3. It is also important that the radial distance of each relatively aligned hole 10 in each adjacent plate 17 varies from a central axis of the collimator 3. By way of example, in the first or top plate 21 which has the largest holes 10, each of the holes 10 is spaced radially farther from a central axis A of the collimator 3 than the holes 10 of the second or next adjacent plate. Conversely, on the bottom plate 23 having the smallest holes 10, these holes 10 are radially closest to the central axis A of the collimator 3. Thus, each of the passages 14 formed by the relative aligned holes 10 not only tapers, but also slants inward at an angle relative to the central axis of the collimator 3 as shown in the partial cross-section of FIG. 15B. Thus, as shown in the simplified cross-section of FIG. 16 showing only a portion of the intermediate plates 17, going from the bottom or last plate 23 having the smallest diameter holes d1, the subsequent plates 17 have an incrementally increasing hole 10 size and a correspondingly increasing radial distance from the central axis of the plate Dp. The increase in hole 10 size or diameter d1 to d2 and septum distance (not shown) between the holes 10 and corresponding radial distance of the holes Dp between adjacent plates 17 is incremental such that a common step size ST is used between any two adjacent plates 17. In other words, each adjacent hole 10 defining the gamma ray passage 14 is either bigger or smaller and slightly offset from the preceding or subsequent holes 10. More specifically, as the radial distances Dp for holes 10 of adjacent plates 17 that define a gamma ray passage 14 from the central axis A increases, the diameter of the holes increases, i.e., the diameter of the hole of the top plate d2 is larger than the hole of the bottom plate d1. It is to be appreciated that the central axis A of the collimator 3 may or may not be defined by a central gamma ray passage 14 in each of the stacked plates 17 as seen in FIG. 15B. Any central gamma ray passage 14, although tapering from a largest hole 10 in the top or first plate 21 to a smallest aperture defining hole 10 in the last or bottom plate 23 will not be angled or slant relative to the central axis A, but is essentially formed perpendicularly straight through the center of the collimator 3, i.e., it defines the perpendicular central axis of the collimator 3. It is also to be appreciated that the change in hole sizes and center offsets from plate to plate must be a fixed amount for each hole location. For the center hole the size will increase linearly from bottom to top and its offset will be zero from plate to plate. The corner holes which have largest increment of hole size as well as the largest offset of centers between plates. Fixed percentage changes will lead to curved holed and tapers. Accordingly, with the central holes 10 in the plates 17 defining a central gamma ray passage 14 and, in turn, a central axis A of the collimator 3, the remainder of the passages are all angled with respect to the central passage, with the passages closest to the central passage angled at a relatively smaller angle α to the central passage than those passages spaced radially farther away from the central passage. This provides a focal point of all the passages occurring at a desired distance from the collimator. It is not always necessary that there be central gamma ray passage in a collimator. In general, the axis of a collimator is a line drawn through the geometric center of the back array of holes and the geometric center of the front array. An “on axis” collimator is one in which the focal point lines on this line. An “off axis” collimator can be made for special purposes in which the focal point does not lie on this line or where there are multiple focal points. With multiple focal points tapered holes within the collimator may intersect. It is to be appreciated that a central tapered hole is not necessary, for instance the collimator axis can lie on the centroid of a square formed by four adjacent square holes closest to the collimator axis. In this case, there would be no central gamma ray passage. Turning to a second embodiment of a collimator as shown in FIGS. 17-20, showing as an example a first or top plate 21 in the series of stacked plates may define an array of approximately 0.565 mm diameter holes 10 therethrough, although other sizes of arrayed holes 10 may also be contemplated. A last or bottom plate 23 in the series will also be provided with a similarly numbered array of holes 10, however the holes 10 in this bottom plate 23 have a substantially smaller diameter in the range of about 0.320 mm in diameter. The holes 10 may be of any shape, for instance, square or circular, but can be hexagon-shaped to facilitate a compact alignment and consistent septum 25 distance, i.e., a substantially uniform distance between the side of any one hole 10 and any of the adjacent holes 10 in any one plate 17. As shown in FIGS. 17-20, an embodiment utilizing a stacked series of rectangular plates 17 with circular holes 10 is used. The plates 17, 21, 23 are created using a chemical etching, casting or machining process as previously described. The plates shown in FIG. 17 represent the top plate 21 and the bottom plate 23 plate of the collimator 3. The plates differ in width and when stacked, as shown in FIG. 18, the resulting structure has a trapezoidal cross-section along an axis B through its center. The circular holes 10 of this second embodiment, shown close-up in FIG. 14, are arranged in a similar pattern such that the adjacent plates 17 have an substantially identical row-column structure of the holes 10. Similar to the first embodiment, however, the holes 10 of adjacent plates 17 differ in radial distance to an axis of the collimator 3. This second embodiment can have holes ranging in size from about 0.565 mm diameter on the top plate 21 to about 0.343 mm on the bottom plate 23. It is to be appreciated that the size of the holes, as well as the length, i.e., the stacked height of the collimator, is application specific for example, for use with a small animal such as a mouse, the collimator itself is extended so that the bottom plate 23 includes about 0.206 mm holes. Although it is conceivable that other sized arrays could be used, in the present embodiment, each plate 37 has an array of 10,042 holes 30 comprising offset and alternating rows with each row having 67 or 66 holes per row, in columns of 76 or 75 holes respectively to form an array of 10,042 holes, Once the plates are completely etched, they are stacked and secured in an order from top to bottom in decreasing incremental size. The plates 17, 21, 23 are aligned such that the holes 10 on each plate partially align, i.e., they are partially offset, with the holes 10 on the next adjacent plate to create a plurality of tapering passageways extending from each of the holes 10 on the top plate 21 through the corresponding holes 10 on each intermediate plate 17 to the corresponding holes 10 on the bottom plate 23. Due to the above described structure and method of assembly of the collimator 3 and its passageways by stacking a plurality of plates 17, the inner walls of the passageway have a step-like feature or function which differs from the smoothly machined or cast walls of known collimators 3. This step-like features of the inner walls of the gamma ray passage 14 have little to no effect on the resolution or sensitivity of the collimator 3. It is possible to alleviate the step-like features of the inner walls of the gamma ray passages 14 by making each hole, in each individual plate having a substantially angled sidewall with respect to the top and bottom surfaces of each plate. In other words, using conventional masking techniques to form the holes in each plate will normally form a hole, or each hole, having a straight side wall, i.e., a sidewall formed perpendicular to the top and bottom surfaces and hence the hole formed about an axis which is aligned perpendicular relative to the top and bottom surfaces of the plate. Thus, as described above with each straight sidewall hole in each adjacent plate formed slightly offset from both the previous and next adjacent hole, the stepped sidewalls of the passage are obtained. It is possible to mask each side of the plate with masks defining differently sized mask holes, and even relatively offset mask holes. Then by chemically etching each side of the plate in accordance with the applied mask provides a hole with sloping sides or defined about a non-perpendicular axis relative to the top and bottom surfaces of the plate. At the very least by etching differently sized holes on either side of the plate, an intermediate step is formed in the defined hole such that each correspondingly adjacent step between each plate is accordingly smaller. In the second embodiment of the collimator generally shown in FIGS. 17-20 a complete detector structure is now described in conjunction with FIGS. 21-26. For the collimator 33 of the size necessary to provide appropriate resolution and sensitivity for small animal imaging, the present embodiment shown diagrammatically in FIG. 20 provides a series of approximately 251 plates 37 which are stacked to form a collimator about 1 inch (25.5 mm) thick. Although it is conceivable that other sized arrays could be used, in the present embodiment, each plate 37 has an array of 10,042 holes 30 comprising offset and alternating rows with each row having 67 or 66 holes per row, in columns of 76 or 75 holes respectively to form an array of 10,042 holes, only a portion of which are shown in FIG. 20. Each plate is also marked by the manufacturing process with a number, for instance, 0-251, corresponding to its position in the stack from the plate of smallest hole size to the plate of largest hole size. The plates 37 may vary in thickness to accommodate a particular etched hole size as described above and the plates 37 have an outer perimeter that varies with plate number from approximately 99.01×36.93 mm for the smallest hole plate, plate number 0, to approximately 99.01×58.052 mm for the largest hole plate, plate number 251. Each plate 37 has an identical set of alignment and bolt holes, 35,36 such that bolt holes 36 are near the corners of each plate and the alignment holes 35 are spaced therebetween to provide sufficient alignment capability of the plates. The bolt and alignment hole pattern is replicated on all plates in the stack in order to provide 10 holes, 6 alignment and 4 bolt, which when the plates 37 are stacked provide through holes that are perpendicular to the planar surfaces of the plates for the purposes of facilitating plate alignment and plate stack retention. Each alignment and bolt hole is approximately 3.04 mm in diameter. The alignment holes 35 allow for planar alignment of the plates by means of metal pins 38 of accurate size inserted into the six alignment holes 35 and extending substantially perpendicularly through all plates 37 in the stack. The four corner bolt holes 36 are used to bind together the stack of plates 37 as a block to create the collimator 33. The alignment pins and holes 35,36 which extend perpendicularly through the stacked plates relative to the planar surfaces of the plates are important to achieve concise stacking and precise alignment of the array of 10,042 holes of each plate which form the gamma ray passages 39 through the collimator as previously discussed. The plates 37 are etched in such a manner that although the size, i.e. the diameter of the holes 34 on each individual plate 37 is the same, the relative size of the holes 34 from plate to plate is different. For example the holes 34 formed in the top plate, plate number 251, are generally the largest and in the next adjacent plate, plate number 250, the holes 34 are formed to be slightly smaller than those in the top plate. The pattern continues through to plate number 0, in which the holes formed are generally the smallest. Thus, when the plates are stacked to form a collimator 33, the cumulative effect of each of the relatively aligned decreasing diameter holes is to form a tapering passageway 39 from the top plate, number 251, narrowing through the intermediate stacked plates to the smallest aperture sized hole in the bottom plate, number 0, for permitting the passage of gamma rays through the collimator 33. Observing FIG. 21, the collimator 33 is held together by pins 38 and/or bolts 41 which pass through each individual plate 37 as previously described. The bolts are then secured to a mounting block 43 which, in conjunction with the bolts 41 and alignment pins 38 and a front clamp plate 45 on the collimator, sandwiches the stacked plates 37 in their appropriate alignment against the mounting block 43. Once the bolts 41, pins 38 and plates 37 are in place with the mounting block 43, the collimator plates do not move or shift and the holes are in proper alignment to form the gamma ray passages 34 leading to scintillating crystals 47 and an optical integrator 49 described below. The optical integrator 49 including the scintillating crystals 47 and a photomultiplier tube holder 51 are connected to the collimator 33 and the mounting block 43. The purpose of the optical integrator 49 is to secure the scintillating crystals 47 adjacent, or substantially adjacent, to the top plate of the collimator 33 having the largest holes and thus facilitate capturing all the gamma rays emerging from the collimator 33 by the crystals 47. In addition, the integrator 49 itself is designed to capture photons emitted by the crystals 47 regardless of proximity to the collimator 33. From a structural standpoint, the optical integrator 49 also stabilizes the stacked plates 37 of the collimator 33 by supporting the top of the collimator 33 across the face of the top most plate. The mounting block 43 and the front clamp plate 45 clamp the stacked collimator plates 37, but without the support of the integrator 49 against the top plate the collimator plates 37 would tend to bow in the middle and it would, therefore, not be aimed properly. A Teflon® pad 53 is provided as a reflecting gasket to cover the scintillating crystals 47 between the face of the crystals and the top plate of the collimator 33. The low density Teflon® pad 53 is mostly air so there is no impeding the gamma rays exiting the collimator 33 and striking the crystals 47, and the Teflon® pad 53 provides some cushioning between the top plate of the collimator 33 and the faces of the crystals 47. The Teflon® pad 53 provides a diffuse reflecting, low absorption medium for photons emitted by the crystals 47. Considering the cavity coating described below and the Teflon® pad 53 together the crystals 47 are substantially surrounded with optically diffuse reflector material. The Teflon® pad 53 gives photons scattered from the crystals 47 headed away from the photomultiplier tube 55 a chance to be reflected back toward the photomultiplier tube 55 so that any such wayward photons can be collected and counted. The entire optical integrator 49 can then also be wrapped in aluminum tape or similar material to help make the device as light tight or optically isolated as possible. Turning to FIGS. 22A, 22B the optical integrator 49 have an eggcrate type appearance and provides the connection between the collimator and the photomultiplier tubes 55. The optical integrator 49, shown in FIG. 22A, consists of six cavities 59, each cavity of which is designed to hold a crystal and an associated photomultiplier tube. In the present embodiment, six (6) crystals and six (6) corresponding photomultiplier tubes are intended to be used with the optical integrator 49 to connect to the collimator 33, although it is to be appreciated that other numbers and combinations of crystals and photomultiplier tubes are possible. Each of the six cavities 59 of the optical integrator 49 are identical, so only one will be discussed in detail. The scintillating crystal 47 is supported in a seat on a collimator side 61 of the cavity of the optical integrator 49, and the photomultiplier tube 55 is supported on an opposing side 63 of the optical integrator 49. In between the crystal 47 and the photomultiplier tube 55, each individual cavity of the optical integrator 49 define an intermediate substantially hemispherical surface 65. This substantially hemispherical surface 65 is designed to facilitate the passage and reflection of photons emitted from the crystal 47 to the photomultiplier tube 55 in a minimum area and with a minimum number of collisions. The hemispherical surface 65 is coated with a diffuse highly reflecting material such as barium sulphate or aluminum trihydroxide which tend to randomize the direction of photon reflections and prevent their loss. The diffuse reflective coating 67 is important because the crystal produces a fairly wide spread of photon directions. The high reflectivity and randomness of the of the diffuse reflection gives as many photons as possible a chance to be collected by the photomultiplier tube 55. Other diffuse reflective materials and coatings may be used as a surface coating as well depending on the source radiation. Ideally, the diffuse reflective coating material 67 should have a low atomic number so that its energy is low and thus fluorescence is low as well. These high energy gamma rays, for example, from a source of I-123 which have a higher energy of 150 KeV, are not focused by the collimator 33 and generate signal pulses in the photomultiplier that did not originate from the focus of the collimator 33. These unfocused, higher energy gamma rays can cause the coating to fluoresce and generate 32 KeV x-rays in a barium sulphate diffuse reflective coating which, in turn, create unwanted optical energy photons in the crystals. Observing FIG. 21, the opposite side of each cavity 59 of the optical integrator 49 from the crystal 47, the corresponding photomultiplier tubes 55 extends into and is supported by each cavity in a cylindrical hole. Each photomultiplier tube 55 may be provided with a hemispherical lens 71 at an end within the particular cavity 59 of the optical integrator 49 in addition to its conventional lens in order to increase the surface area capable of receiving photons as they pass through the optical integrator 49. It is important, however, that the index of the hemispherical lens 71 is identical to that of the conventional lens in the photomultiplier tube 55 to ensure that no photons are lost as they pass from the lens to the tube. A cylindrical tube holder 73 is placed over each of the photomultiplier tubes 55 to secure the tubes in conjunction with the optical integrator 49 and also to further insulate the optical integrator 49 and photomultiplier tubes 55 from unwanted outside light. Lastly, each of the photomultiplier tubes 55 is electronically connected to a sensor 75 which reads and interprets the collected data by the photomultiplier tubes 55. In a novel aspect of the present invention which arises from the unique construction using the stacked plates, it is also preferable in some cases, depending for example upon the source radiation, to fabricate the stacked plates of the collimator from different materials. In other words layering plates of different materials to form the collimator and obtain certain desired results. For example by inserting certain plates made of tungsten or silver in with the stacked beryllium copper plates certain undesirable background noise can be eliminated. By way of example, a capillary tube was filled to 20 mm of its length with I-123. In 83% of I-123 disintegrations, a 159 keV gamma ray is emitted. In addition, 72% of the decays produce Kα x-rays with an average energy of 27.4 keV and 15% produce Kβ x-rays with an average energy of 31 keV. Both are derived from the tellurium daughter's K-shell. Initial tests involved using this source to find the focus of the collimator. The resolution was estimated to be about 1 mm by manually scanning across the diameter of the capillary tube. Then the capillary tube was left positioned at the focus. Spectra were taken using the standard BaSO4 coating on the inside of the optical integrator i.e. in the semi-spherical “egg crate” cavities and separately, using the new Al(OH)3 reflecting paint. The results are shown in FIGS. 23 and 24. In both cases, the top curve is taken from data collected with no absorber between the capillary tube source and the collimator face. The second curve was obtained with a silver sheet between the capillary tube and the collimator face. This filter has sufficient thickness to stop K-shell x-rays from both Te and Ba but let higher energies pass through. The peaks at 30+keV in the data taken using the BaSO4 paint does not originate from the I-123 source, but comes from Ba K x-rays excited by the I-123 high-energy gamma ray that penetrates the collimator. The lower curve is the algebraic difference between the filtered and unfiltered data and, therefore, shows only the tellurium x-rays coming from the part of the capillary tube source that is located at the collimator focus. The spectra were obtained after applying a number of corrections: All data was adjusted to a total capillary tube source activity of 100 μCi assuming a half-life of 13.27 hours. The radiation energies were adjusted to take into account the falloff in photon yield at lower absorbed energies by CaF2(Eu). The curves with the silver sheet x-ray filter were adjusted upwards by 1.12 to take into account of some absorption of the 159 keV gamma ray by the filter. While the fluorescence of the Ba in the BaSO4 reflective paint excited by the high-energy I-123 gamma ray adds an undesirable background in the tellurium K-shell region, it can be eliminated through the use of Al(OH)3 reflecting paint. A more significant problem are the Compton electrons in this same energy region generated by interactions of the 159 keV gamma ray within the CaF2(EU) crystal. While this background can be estimated (and subtracted) by separately measuring the counting rate in the flat spectral energy region at 50 keV, it will also lower the statistical significance of the tellurium x-ray signals. The solution to this problem is to increase the density and atomic number of the material used in the collimators to reduce the penetration of the collimator by the 159 keV gamma ray and provide additional shielding around the crystals. Also, making the CaF2(Eu) crystal thinner will help reduce the Compton interactions in the crystal while still maintaining a high efficiency for x-rays. Crystals made from other materials may help. Of course, none of this is a problem for I-125. Since the data is normalized to 100 μCi of I-123 contained in 20 mm of the capillary tube, the activity per millimeter is 5 μCi. The disintegration rate per millimeter is therefore 185×103 dps/mm (5×3.7×104). The x-ray photons per millimeter of the source are then 161×103 (87% of disintegrations) and the total 159 keV photons from the source are 3.07×106 (83% of disintegrations). The distance between the capillary tube and crystal is about 6.6 cm so the fractional area subtended by the crystal is 2.72 divided by 4π(6.62) or 1.332%. Taking the ratio of collimator hole area to total area at 56.3%, the x-ray flux to the crystal from 1 mm of the source at the collimator focus is expected to be 1,385 photons per second. The actual number from the curves shown in FIGS. 23, 24 is about 78. This puts the collimator efficiency at about 5.6%. This result could be too small to the extent that the focal point of the collimator is not exactly centered on the capillary tube and/or the geometric size of the focus is less than a millimeter. Since the fractional area of the crystal is 1.332%, the totalflux from 159 keV photons in the crystal is expected to be about 40.9×103 per second. The area under the Al(OH)3 curve is 1,365 per second. Assuming a natural background of ˜100 per second and correcting for the beryllium copper transmission 18.7% and 16.2% efficiency for stopping 159 keV photons by the CaF2 crystal, this comes to 41.8×103 per second. FIG. 25 shows the stopping power of the 4 mm CaF2 crystal as a function of energy. This undesirable background can be removed by replacing some of the BeCu plates with other material. For example, if we replace every fifth BeCu plate with an identical one made of tungsten, there will be about 0.5 cm of tungsten altogether in the collimator. This is enough to reduce the background from the 159 keV I-123 gamma ray to less than 1%. To avoid the tungsten K-shell x-rays at 60 keV from getting to the CaF2 crystals the tungsten plates can be concentrated in the center of the collimator stack. A “sandwich” with 1 cm of BeCu plates at the top and bottom with 0.5 cm in the middle would provide enough copper between the tungsten and the detector to absorb fluorescence from the tungsten. FIG. 26 shows the transmission of several plate materials at 159 and 60 keV. In summary, substituting Al(OH)3-based paint for the standard BaSO4 paint gets rid of the interfering fluorescence from Ba. These first results with I-123 show a substantial penetration of the collimator made with stacked beryllium-copper plates. This can be remedied by substituting a small number of plates fabricated from tungsten or similar high-density, high atomic number material distributed in some optimal pattern. Since certain changes may be made in the above described invention, without departing from the spirit and scope of the invention herein involved, it is intended that all of the subject matter of the above description or shown in the accompanying drawings shall be interpreted merely as examples illustrating the inventive concept herein and shall not be construed as limiting the invention.
claims
1. An X-ray Talbot capturing apparatus comprising:a plurality of gratings in which slits are formed;a radiation source which irradiates radiation to pass through the plurality of gratings;a radiation detector which captures a moire image; anda holder which holds the gratings,wherein, the holder includes a receiving unit including a receiving surface with a curve and a pressing unit including a pressing surface with a curve;each grating is held between the receiving surface of the receiving unit and the pressing surface of the pressing unit of the holder and bent in an arc shape with a point of the radiation source as a center;an elastic member is positioned between a first surface of the grating and the pressing surface of the pressing unit or a second surface of the grating opposite of the first surface and the receiving surface of the receiving unit; andan opening is provided in each of the receiving unit and the pressing unit of the holder and the elastic member so as not to block radiation irradiated on the grating. 2. The X-ray Talbot capturing apparatus according to claim 1, wherein, the receiving surface of the receiving unit and the pressing surface of the pressing unit of the holder are bent in an arc shape with the point of the radiation source as the center. 3. The X-ray Talbot capturing apparatus according to claim 1, wherein, at least one of the receiving surface of the receiving unit or the pressing surface of the pressing unit of the holder is bent in an arc shape with the point of the radiation source as the center; andthe grating is pressed entirely against the receiving surface or the pressing surface bent in the arc shape by the elastic member. 4. The X-ray Talbot capturing apparatus according to claim 1, wherein, an edge of the elastic member is made so as not to be outside an edge of the receiving surface of the receiving unit of the holder and an edge of the pressing surface of the pressing unit of the holder in contact with the grating. 5. The X-ray Talbot capturing apparatus according to claim 1, wherein, the receiving unit of the holder is connected to the pressing unit with screws in an entire circumference of a periphery with the grating and the elastic member placed in between. 6. The X-ray Talbot capturing apparatus according to claim 1, wherein, the receiving surface of the receiving unit of the holder or the pressing surface of the pressing unit in contact with the grating are processed so as to slide easily. 7. The X-ray Talbot capturing apparatus according to claim 1, wherein, an absolute value of a difference of a thermal expansion coefficient of the receiving unit and the pressing unit of the holder with respect to the thermal expansion coefficient of the grating is equal to or less than 4×10−6. 8. The X-ray Talbot capturing apparatus according to claim 1, wherein, hardness of the elastic member is a durometer hardness equal to or less than A15. 9. The X-ray Talbot capturing apparatus according to claim 1, wherein, the elastic member has a concave unit or a hole formed in a surface in contact with the receiving unit or the pressing unit of the holder. 10. The X-ray Talbot capturing apparatus according to claim 1, wherein, the receiving unit of the holder is formed with material including a thermal expansion coefficient larger than a thermal expansion coefficient of the pressing unit.
description
The present invention relates to a dielectric raw material, an antenna device, a portable phone and an electromagnetic wave shielding body, particularly to a dielectric material having a high permittivity, and an antenna device, a portable phone and an electromagnetic wave shielding body which utilizes the dielectric raw material. Conventionally, portable phones have been demanded to be small in weight, thickness and size, and there has been a request for a technology for reducing the weight, thickness and size of portable phones. On the other hand, there has been a request for an enhanced antenna radiation efficiency. In order to meet these requests, for example, Patent Document 1 discloses a technology relating to a portable phone enhanced in antenna radiation efficiency without spoiling lightness in weight or compactness. In addition, Patent Documents 2 to 4, for example, disclose technologies for enhancing the antenna radiation efficiency of portable phones. Patent Document 1: Japanese Patent Laid-open No. 2004-153807 Patent Document 2: Japanese Patent Laid-open No. Hei 11-274843 Patent Document 3: Japanese Patent Laid-open No. Hei 7-212835 Patent Document 4: Japanese Patent Laid-open No. 2000-269715 Recently, however, a more higher antenna radiation efficiency has been requested for further reducing the thickness of portable phones. In order to meet this request, it is necessary to develop a dielectric raw material with a further enhanced permittivity. However, an electromagnetic wave shielding body utilizing a dielectric raw material usually tends to be increased in weight when it is tried to enhance its magnetic permeability. Furthermore, recently, there has been an increasing demand for a portable phone with a built-in antenna body, along with the tendency toward a smaller size. In the case of a portable phone equipped with a built-in antenna device, a feeding point and an antenna body are located close to each other. A conventional sheet of magnetic material (electromagnetic wave controlling body), however, would lower the antenna radiation efficiency when disposed in the vicinity of the antenna body; therefore, it has been difficult to apply such a sheet to a built-in antenna device for a portable phone, for example. Besides, in recent years, an increasing number of ferroconcrete apartment houses and the like have come to be fitted with electric cookers utilizing electromagnetic waves, in place of gas cooking stoves. Attendant on this trend, it is requested to develop an electromagnetic wave shielding body for shielding the human body from the electromagnetic waves generated by such an electric cooker at the time of cooking. Problems to be Solved by the Invention The present invention has been made in consideration of the above-mentioned circumstances, and an object of the present invention is to provide a dielectric raw material having a high permittivity. In addition, it is another object of the present invention to provide an antenna device which can be enhanced in antenna radiation efficiency, even when an electromagnetic wave shielding body is disposed in the vicinity of the antenna body, and which is useful as, especially, a built-in antenna device of a portable phone, by using the dielectric raw material is used as the electromagnetic wave shielding body. Besides, it is a further object of the present invention to provide a portable phone capable of being reduced in weight, thickness and size, further with an antenna radiation efficiency enhanced, by using an electromagnetic wave shielding body including the dielectric raw material. Furthermore, it is yet another object of the present invention to provide an electromagnetic wave shielding body which includes the dielectric raw material and which can effectively shield the electromagnetic waves generated, for example, from an electric cooker. Means for Solving the Problems The present inventors have made intensive and extensive investigations in order to attain the above objects. As a result of the investigations, it has been found out that, in the case of a dielectric raw material using a silicone rubber as a main constituent of a base material and containing carbons as a conductive material, when the carbons are contained in the silicone rubber base material in such a condition as to enhance the ratio of mutual contact of the carbons, conduction paths (carbon network) will be easily formed, the electrostatic capacity between the carbons is raised, whereby a dielectric raw material with an enhanced permittivity can be obtained, and it is possible to obtain a higher permittivity, as compared, for example, with the case where the same amounts of the carbons are evenly dispersed in the silicone rubber base material. As a result of further investigations made intensively and extensively, it has been found out that such a dielectric raw material permits the antenna radiation efficiency to be enhanced, even when it is disposed in the vicinity of the antenna body, for example. Based on the findings, the present invention has been completed. According to the present invention, there is provided (1) a dielectric raw material having carbons dispersed in a silicone rubber base material containing a silicone rubber as a main material, wherein, in any one of 1) to 3) described below. The carbons are unevenly distributed in the silicone rubber base material or the carbons are contained with at least part of them contacting each other. 1) a dielectric raw material containing 150 to 300 parts by weight of the carbons per 100 parts by weight of the silicone rubber. 2) a dielectric raw material formed by crosslinking and molding a mixture of the silicone rubber in its non-crosslinked state, a non-crosslinked organic polymer and the carbons. 3) a dielectric raw material formed by combining and blending at least two kinds of the carbons having different shapes and selected from spherical carbon, flat carbon, carbon fiber with an aspect ratio of not more than 11, carbon nanotube and conductive carbon. Here, preferably, the dielectric raw material as described in (1) above, has conduction paths generated in at least part of the surface of the dielectric raw material. In addition, according to the present invention, there is provided (2) an antenna device including the dielectric raw material as described in (1) above. Here, preferably, the antenna device as described in (2) above is a built-in antenna device of a portable phone. Furthermore, according to the present invention, there are provided (3) a portable phone equipped with an electromagnetic wave shielding body using the dielectric raw material as described in (1) above, and (4) an electromagnetic wave shielding body using the dielectric raw material as described in (1) above. Besides, preferably, the electromagnetic wave shielding body as described in (4) above is an electric cooker electromagnetic wave shielding body for shielding electromagnetic waves generated by an electric cooker. Effects of the Invention According to the dielectric raw material of the present invention, it is possible to obtain a dielectric raw material having a high permittivity, capable of being reduced in weight and capable of being provided with flame resistance. In addition, according to the antenna device of the present invention, it is possible to obtain an antenna device which can be enhanced in antenna radiation efficiency, even when an electromagnetic wave controlling body is disposed in the vicinity of an antenna body, and which is useful as, especially, a built-in antenna device of a portable phone. Further, according to the portable phone of the present invention, it is possible to obtain a portable phone which can be reduced in weight, thickness and size, and which, by enhancing the antenna radiation efficiency, can be prolonged in cell life and can be reduced in SAR value. Furthermore, according to the electromagnetic wave shielding body of the present invention, it is possible to obtain an electromagnetic wave shielding body which can effectively shield electromagnetic waves, and which, by effectively shielding the electromagnetic waves generated, for example, from an electric cooker, can protect the human body from the influence of the electromagnetic waves. Now, the present invention will be described more in detail below. The dielectric raw material according to the present invention is composed of any of the compositions described in 1) to 3) below, wherein the carbons are unevenly distributed in the silicone rubber base material containing a silicone rubber as a main material or the carbons are contained with at least part of them contacting each other, whereby, it is desirable that conduction paths are generated. Incidentally, the proportion of the carbons unevenly distributed or contacting each other is not particularly limited. In consideration of the effects of the present invention arising from the carbons unevenly distributed or contacting each other, however, the amount of the carbons unevenly distributed or contacting each other is desirably not less than about ¼ times, preferably not less than about ⅓ times, more preferably not less than about ½ times, the total amount (weight ratio) of the carbons contained. For example, when the dielectric raw material of the present invention is observed under a transmission electron microscope, the area where the carbons are contacting each other or the carbons are unevenly distributed in the electron micrograph is suitably not less than about ¼ times, preferably not less than about ⅓ times, more preferably not less than about ½ times, the whole area of the electron micrograph. 1) A dielectric raw material containing 150 to 300 parts by weight of the carbons per 100 parts by weight of the silicone rubber. 2) A dielectric raw material formed by crosslinking and molding a mixture of the silicone rubber in its non-crosslinked state, a non-crosslinked organic polymer and the carbons. 3) A dielectric raw material formed by combining and blending at least two kinds of the carbons having different shapes and selected from spherical carbon, flat carbon, carbon fiber with an aspect ratio of not more than 11, carbon nanotube and conductive carbon. Here, in the case of the dielectric raw material according to the present invention, the dielectric raw material has carbons dispersed in a silicone rubber base material and is any of 1) to 3) above, wherein the carbons in the base material are contacting each other or unevenly distributed. Further, as above-mentioned, it is desirable that conduction paths (carbon network) are generated in at least part of the surface of the dielectric raw material. The condition where the conduction paths are generated in the surface of the dielectric raw material will be described more in detail, referring to the drawings. FIG. 1 schematically shows the image upon observation under an electron microscope of the surface of a dielectric raw material, as an example of the dielectric raw material of 3) above, which is obtained by combining a true-spherical carbon with an average particle diameter of about 10 μm as a spherical carbon and Ketchen black with a primary particle diameter of about 35 nm as a conductive carbon. In FIG. 1, symbol A denotes the dielectric raw material, 1 denotes a base material, 2 denotes the spherical carbon, and 3 denotes a conductive carbon aggregate formed by aggregation of the conductive carbon; in the case of FIG. 2, symbol A′ denotes a dielectric raw material, 1 and 3 are the same as in FIG. 1, and 4 denotes a carbon nanotube. In the case of the dielectric raw material A shown in FIG. 1, the surface of the dielectric raw material A is in the condition where the spherical carbon 2 as large carbon is unevenly distributed in the base material 1, with part thereof contacting each other or separate from each other. In the area where the spherical carbon 2 is separate from each other, conductive carbon aggregates 3 formed by aggregation of the conductive carbon as small carbon in linear shape are connecting the separate parts of the spherical carbon 2 to each other, so that conduction paths due to contact between the carbons are generated at least in the surface of the dielectric raw material A. Besides, the surface of the dielectric raw material A′ shown in FIG. 2 is in the condition where the carbon nanotube 4 as fibrous carbon material is unevenly distributed in a base material 1, with part thereof contacting each other or separate from each other. In the area where the carbon nanotube 4 is separate from each other, conductive carbon aggregates 3 formed by aggregation of the conductive carbon in linear shape are connecting the separate parts of the carbon nanotube 4. In the case of the dielectric raw material A′, also, conduction paths due to contact between the carbons are generated at least in the surface thereof. Incidentally, the conduction paths may naturally be generated not only in the surface but also in the inside of the dielectric raw material. In addition, the proportion of the area where the conduction paths are generated in the surface of the dielectric raw material is not particularly limited. In consideration of the effects of the generation of the conduction paths, however, it is desirable that the conduction paths are generated in an area of not less than about ¼ times, preferably not less than about ⅓ times, more preferably not less than about ½ times, the surface area of the dielectric raw material. Here, the silicone rubber used as a main material of the silicone rubber base material in the present invention is not particularly limited in kind. Examples of the silicone rubbers which can be suitably used include commercially available ones of methylvinylsilicone rubber, methylphenylvinylsilicone rubber, fluorosilicone rubber, etc., which may be used either singly or in appropriate combination of two or more of them. Besides, the silicone rubber base material in the present invention may not only contain the silicone rubber and, in the case of the dielectric raw material of 2) above, the organic polymer (described later) and the carbons but also contain, added thereto, for example, a foaming agent and a substance which will be extracted with a solvent after molding. The addition of a foaming agent or the addition of a substance to be extracted with a solvent after molding makes it possible to obtain a lighter dielectric raw material. Examples of the foaming agent which can be used include volatile-type foaming agents such as carbon dioxide gas, ammonia gas, etc., decomposition-type foaming agents such as azodicarbondiamide, dinitrosopentamethylenetetramine, etc., organic balloons, inorganic balloons, etc. In addition, usable examples of the substance to be extracted with a solvent after molding include sodium chloride, pentaerythritol, etc. in the case where water is used as the solvent. In consideration of uniformity and stability in the base material, however, expanded organic balloons or inorganic balloons can be used preferably. In the case of adding the expanded organic balloons or inorganic balloons, the addition amount is not particularly limited, and may be appropriately selected according, for example, to the desired specific gravity of the dielectric raw material. Taking into account the processability, the strength of the molded body and the like, however, the addition amount of the organic balloon is preferably not more than five parts by weight, more preferably one to five parts by weight, per 100 parts by weight of the silicone rubber. In the case of the inorganic balloon, the addition amount is preferably not more than 25 parts by weight, more preferably 5 to 25 parts by weight. Naturally, both the organic and inorganic balloons may be used in combination. Incidentally, for vulcanization of the silicone rubber, not only an organic peroxide but also vulcanizing methods utilizing radiations or an addition reaction may be used. Particularly, the method utilizing an addition reaction may be conducted by adding hydrogenpolysiloxane as a crosslinking agent, a platinum complex as a catalyst, and methylvinylcyclotetrasiloxane, acetylene alcohol or the like as a reaction inhibitor in small amounts, whereby a good molded body can be obtained and the molded body can be obtained even at a low temperature. Besides, silicone polymers generally are commercialized in the state of being loaded with silica, and such a silicone compound may also be used suitably. As the carbons in the dielectric raw materials of 1) and 2) above in the present invention, it is desirable to use a graphite powder, graphite fibers or the like having a specific gravity of not less than two. These materials have been graphitized considerably and have been reduced in the number of reactive groups present in the carbon surfaces, so that they are highly compatible with silicone rubber. Besides, graphite has a high tendency toward aggregation, so that it is liable to be unevenly distributed in the silicone rubber. Further, since graphite is higher than general carbon in specific gravity, the loading amount thereof can be increased, and a dielectric raw material with a higher permittivity can be obtained. On the other hand, the carbon material which has been graphitized ultimately is the carbon nanotube, and it is high in electroconductivity, so that it will naturally display its effect even when used in a small amount. Furthermore, conductive carbon having been developed in structure is also preferable. Specifically, the carbons mentioned in the dielectric raw material of 3) described later are used more preferably. The dielectric raw material of 1) above in the present invention has the carbons blended in an amount of 150 to 300 parts by weight per 100 parts by weight of the silicone rubber. When the polymer base material is loaded with a large amount of the carbons, the possibility of mutual contact of the carbons is increased, resulting in that the conduction paths are formed more easily. Besides, since the affinity of the silicone rubber for the carbons is weak even in the polymer compound used as a material of the dielectric raw material, an addition of an excess of carbons to the uncrosslinked silicone rubber ensures that the carbons are not entirely dispersed in the silicone rubber, and part of the carbons is agglomerated, to be present in an unevenly distributed state. By crosslinking and molding this material, therefore, it is ensured that part of the carbons contact each other or are unevenly distributed in the silicone rubber base material, whereby permittivity can be enhanced. Simultaneously, the insulating part of the silicone rubber can also be made to behave like a semiconductor, and a dielectric raw material with a high permittivity can be obtained. Therefore, in the case of the dielectric raw material of 1) above, it can have a very high permittivity, though it is increased in specific gravity; accordingly, it is possible to obtain a dielectric raw material which has a high permittivity even when reduced in thickness, for example. In addition, as compared for example with a dielectric raw material loaded with a small amount of carbons, the dielectric raw material of 1) above which has been highly loaded with the carbons shows a permittivity remarkably enhanced in view of the proportion in which the amount of the carbons is increased to attain a high loading amount thereof. In the case of the dielectric raw material of 1) above, the blending amount of the carbons per 100 parts by weight of the silicone rubber is 150 to 300 parts by weight, preferably 200 to 300 parts by weight. If the loading proportion of the carbons is too high, it is difficult to process the mixture, whereas if the loading proportion is too low, the desired permittivity in the present invention cannot be obtained. The dielectric raw material of 2) above according to the present invention is formed by crosslinking and molding a mixture containing the silicone rubber in the uncrosslinked state, an uncrosslinked organic polymer and the carbons. In the case of the mixture containing the uncrosslinked silicone rubber, the uncrosslinked organic polymer and the carbons, the affinity between the carbons and the organic polymer is higher than the affinity between the carbons and the silicone rubber, so that the carbons are in the state of being present preferentially in the organic polymer. By crosslinking and molding the mixture, there is obtained a dielectric raw material being high in the concentration of the carbons and having the carbons contacting each other or unevenly distributed. In addition, conduction paths are generated, whereby a higher permittivity can be obtained with the same addition amount of the carbons. In the case of 2) above, usable examples of the organic polymer mixed in the silicone rubber include rubbers other than the silicone rubber, and thermoplastic elastomers, thermoset reins, etc., preferably rubbers, more preferably liquid rubbers such as liquid NBR, liquid EP, polybutene, etc. When the just-mentioned liquid rubber is used as the organic polymer to be mixed, the liquid rubber functions also as a plasticizer, whereby the loading amount of the carbons can be increased. In the case of mixing an organic polymer in the silicone rubber, the blending proportion of the organic polymer is not particularly limited, and can be appropriately selected according to the kind of the organic polymer or the like factors. The amount of the organic polymer is preferably 1 to 15 parts by weight, more preferably 3 to 10 parts by weight, per 100 parts by weight of the silicone rubber. If the blending proportion of the organic polymer is too high, the permittivity may be lowered, whereas if the blending proportion is too low, it may be difficult to obtain the effect of the blending of the organic polymer. In the case of 2) above, the amount of the carbons blended in the silicone rubber base material is not particularly limited. The blending amount is preferably 3 to 300 parts by weight, more preferably 5 to 250 parts by weight, further preferably 10 to 200 parts by weight, per 100 parts by weight of the silicone rubber. If the addition amount of the carbons is large, the mixture may be difficult to process, whereas if the addition amount is too small, the desired permittivity may be difficult to obtain. In the dielectric raw material of 3) above according to the present invention, the carbons are obtained by combining at least two carbons having different shapes and selected from spherical carbon, flat carbon, carbon fiber with an aspect ratio of not more than 11, carbon nanotube, and conductive carbon. In the case of adding the at least two kinds of specified carbons differing in shape and thereby using, for example, large graphite carbon (e.g., spherical carbon, flat carbon or the like with an average particle diameter of the order of a few micrometers to several tens of micrometers), fibrous carbon material (carbon fiber with an aspect ratio of not more than 11, carbon nanotube or the like) and small conductive carbon in combination, the small conductive carbon is present as links between the large particles of the graphite carbons or the fibrous carbon material, whereby there is obtained a dielectric raw material having carbons present in the silicone rubber base material, with part of the carbons contacting each other. With the conductive carbon thus present as links, conduction paths are formed, and it is possible to obtain a synergistic enhancing effect on permittivity, which cannot be obtained when only one kind of carbon is used. Here, examples of the spherical carbon include the spherical graphite which is obtained by subjecting coal pitch carbon called mesophase globules to a heat treatment and which is called mesocarbon microbeads. Usable examples of the spherical carbon other than mesocarbon microbeads include various spherical carbons commercialized in the names of spherical carbon, spherical graphite, true-spherical carbon, true-spherical graphite, etc. Examples of the flat carbon include scaly graphite, laminar natural graphite, etc. which are described in, for example, Patent Document 5. Examples of the carbon fiber with an aspect ratio of not more than 11 include pitch-derived carbon fiber, PAN carbon fiber, etc. Incidentally, the preferable range of the aspect ratio is 3 to 11. If the aspect ratio is too high, dispersion may be easily generated in the unevenly distributed state or in the proportion of contact. Incidentally, if the aspect ratio is too low, the carbon cannot be called carbon fiber in practice. The carbon nanotube may be any carbon that has a structure obtained or as if obtained by rounding a graphene sheet (independent hexagonal network plane of carbon), and examples thereof include single wall nanotube (SWNT), multiwall nanotube (MWNT), and cup stack nanotube. Patent Document 5: Japanese Patent Laid-open No. 2003-105108 The conductive carbon is carbons capable of providing conductivity in a polymer matrix with a smaller addition amount, as compared with general carbons, and is controlled in physical properties such as structure, porosity, primary particle diameter, etc. Examples of values indicating the development degree of structure include DBP oil absorption and BET specific surface area; when conductive carbon high in these values is used, conductivity can be imparted with a smaller addition amount. Specifically, the conductive carbon in the present invention has a DBP oil absorption of preferably not less then 100 cm3/100 g, more preferably not less than 160 cm3/100 g, and further preferably not less than 360 cm3/100 g. If the DBP oil absorption is too low, the development degree of structure may be insufficient, and the conductivity is so low that the desired high permittivity in the present invention may be difficult to obtain. Although the upper limit of the preferable DBP oil absorption is not particularly limited, it is preferable for the DBP oil absorption to be not more than 700 cm3/100 g, in consideration of the possibility that the structure might be broken upon dispersion of the conductive carbon into the polymer material. Incidentally, the DBP oil absorption can be measured according to ASTM D 2414-79. In addition, the BET specific surface area of the conductive carbon is preferably not less than 30 m2/g, more preferably not less than 65 m2/g, and further preferably not less than 800 m2/g. The BET specific surface area is a factor determining the conductivity, together with the structure. If the BET specific surface area is too small, the conductivity of the conductive carbon particles alone cannot be enhanced, and the high permittivity desired in the present invention may be difficult to obtain. The upper limit of the preferable specific surface area is not particularly limited, but it is preferable for the specific surface area to be not more than 3000 m2/g, taking surface stability into account. Specific examples of such conductive carbon include those commercially available under the names of Ketchen black, acetylene black, etc. In the case where at least two kinds of carbons with different shapes are jointly used in the dielectric raw material of 3) above, it is preferable to use a combination of the above-mentioned graphite carbon, especially spherical carbon with a specific gravity of not less than two, flat carbon, carbon fiber with an aspect ratio of not more than 11, or carbon nanotube, as a main ingredient, with other carbons. Among others, a combination of the graphite carbon with the conductive carbon is more preferable. The graphite carbon such as spherical carbon, flat carbon, carbon fiber with an aspect ratio of not less than 11, carbon nanotube, etc. has been graphitized to a high extent, so that it has a reduced number of reactive groups in the carbon surface, as above-mentioned. Therefore, the graphite carbon has a low affinity for the silicone rubber, and graphite has a strong tendency toward aggregation, so that it is liable to be unevenly distributed. In addition, the loading amount of graphite can be increased, since its specific gravity is higher than those of general carbons. As has been above-mentioned, when the graphite carbon and the conductive carbon are used jointly, the conductive carbon is dispersed between the particles of the graphite carbon unevenly distributed, resulting in the condition where the carbons are linked to each other. This makes it possible to obtain a higher permittivity, as compared with the case where one of these carbons is used singly and uniformly dispersed in the base material. Further, in the case of jointly using at least two kinds of carbons having different shapes, mixing thereof becomes easier and a higher loading can be achieved as, for example, the shape of the larger-particle carbon approaches the spherical shape. In view of this, the spherical carbon is preferred among the graphite carbons (spherical carbon, flat carbon, and carbon fiber with an aspect ratio of not more than 11), and the carbons called true-spherical graphite and true-spherical carbon are particularly preferred among the spherical carbons. Besides, among the carbon fiber and the carbon nanotube which are fibrous carbon materials, the carbon nanotube has a hollow cylindrical structure obtained or as if obtained by rounding graphene sheet (independent hexagonal network plane of carbon), as above-mentioned, and, therefore, the carbon nanotube is uniform in structure, high in conductivity, and capable of forming a conductive network more easily than the carbon fiber. Accordingly, the carbon nanotube is preferred among the fibrous carbon materials. Furthermore, in the case of using at least two kinds of carbons having different shapes in the dielectric raw material of 3) above, it is preferable that at least one kind of the two kinds of carbons is conductive carbon or carbon nanotube, whereby it is possible to obtain more easily a dielectric raw material with a light weight and a high permittivity as desired in the present invention. In addition, as the graphite carbon to be combined with the conductive carbon or carbon nanotube, spherical carbon is preferred, as above-mentioned. Among the spherical carbons, particularly preferred are those called true-spherical graphite and true-spherical carbon. Besides, since the carbon nanotube is small in diameter (for example, even in the case of MWNT, the diameter is usually about 20 to 60 nm) and high-frequency electromagnetic waves flow only on the surface of a conductive substance, it is preferable to use the carbon nanotube jointly with conductive carbon having a large surface area. In the case where at least two kinds of specific carbons differing in shape are thus used, the blending proportions of them are not particularly limited, and can be appropriately selected. For example, in the case of jointly using the graphite carbon (exclusive of carbon nanotube) and the conductive carbon, the amount of the graphite carbon (exclusive of carbon nanotube) in the total amount (100 wt. %) of the carbons is preferably 50 to 99 wt. %, and the amount of the conductive carbon is preferably 1 to 50 wt. %. In the case of jointly using the conductive carbon and the carbon nanotube, the amount of the carbon nanotube in the total amount (100 wt. %) of the carbons is preferably 1 to 99 wt. %, and the amount of the conductive carbon is preferably 1 to 99 wt. %. Further, in the case of jointly using the carbon nanotube and the graphite carbon (exclusive of carbon nanotube), the amount of the carbon nanotube in the total amount (100 wt. %) of the carbons is preferably 0.5 to 95 wt. %, and the amount of the graphite carbon (exclusive of carbon nanotube) is preferably 5 to 99.5 wt. %. In the case of using at least two kinds of specific carbons differing in shape, the blending amount of the carbons in the dielectric raw material is not particularly limited, and can be appropriately selected. The blending amount of the carbons per 100 parts by weight of the silicone rubber is preferably 5 to 250 parts by weight, more preferably 10 to 220 parts by weight. If the total amount of the carbons is too large, the mixture may be difficult to process, whereas if the total amount is too small, the desired permittivity may be difficult to obtain. The dielectric raw material according to the present invention may further contain, as other component than the above-described, for example a metal (aluminum, silver, etc.), a metal oxide, a metal hydroxide or the like added to the silicone rubber base material. By the addition of these components, thermal conductivity and/or flame resistance is imparted to the dielectric raw material. Furthermore, a plasticizer and a processing assistant may also be added. By the addition of these agents, processability of the dielectric raw material is improved. Examples of the plasticizer and processing assistant include silicone oil, etc. The shape of the dielectric raw material according to the present invention is not particularly limited. Examples of the shape include sheet, cap, holder, block, etc., from which a suitable shape can be selected according to the use of the dielectric raw material. The dielectric raw material according to the present invention is not particularly limited in regard of its relative permittivity, electric power radiated toward the human body side, or the like. For example, the relative permittivity can be appropriately selected within a preferable range according to the use of the dielectric raw material. For example, in the case of a portable phone (900 MHz), for example, in the case where the dielectric raw material is a 1 mm-thick sheet, it is preferable that the value ∈r′ of the real part of the complex relative permittivity ∈r is not less then 100, preferably not less than 150, further preferably not less than 200, and still further preferably not less than 350, and that the value ∈r″ of the imaginary part is not less than 100, preferably not less then 150, further preferably not less then 300, and still further preferably not less than 700. If these values are too small, the enhancing effect on antenna radiation efficiency intended in the present invention may be difficult to obtain, and the reduction in the electric power radiated toward the human body side may be difficult to achieve. In the case where the dielectric raw material of the present invention is utilized as an electromagnetic wave shielding body of a portable phone, it is desirable that the power radiated toward the human body side is less. In view of this, if the electromagnetic wave shielding body using the dielectric raw material of the present invention is mounted, for example, to a whip antenna of a portable phone in which the antenna device is not of a built-in type, it is favorable that the power radiated toward the human body side can be reduced by not less than 80%, under the stored condition (non-drawn-out condition) of the whip antenna. On the other hand, in the case where the electromagnetic wave shielding body is mounted to a built-in antenna of a portable phone, as in the embodiment described later, it is desirable that the power radiated toward the human body side can be reduced by not less than 80%. Incidentally, where the value of the real part is not less than 200, the power radiated toward the human body side is reduced to 20% or below, even if the value of the imaginary part is not less than 100, and the radiation efficiency is thus enhanced. Besides, where the value of the imaginary part is not less than 350, the power radiated toward the human body side is reduced to 20% or below, even if the value of the real part is not more than 100, and the radiation efficiency is thus enhanced. Incidentally, the preferable relative permittivity of the dielectric raw material of the present invention as above-mentioned pertains to the case where the sheet-formed dielectric raw material is 1 mm in thickness. In the case of a smaller thickness, a higher relative permittivity is desirable. When mounted to one of the devices which will be described later or the like, the dielectric raw material (electromagnetic wave shielding body) is more desirable as it is thinner. Therefore, when a dielectric raw material has a high permittivity, like the dielectric raw material of the present invention, the dielectric raw material can control electromagnetic waves sufficiently even if it is thin. For example, in the case where a dielectric raw material in the form of a 0.5 mm-thick sheet is used as a dielectric raw material of an electromagnetic wave shielding body to be mounted to a portable phone in which the antenna device is not of a built-in type, if only the value of the real part of the complex relative permittivity of the 0.5 mm-thick sheet-formed dielectric raw material is not less than 500, sufficient control of electromagnetic waves can be achieved even if the value of the imaginary part is small. The dielectric raw material according to the present invention is not particularly limited as to physical properties. Since too large a specific gravity makes it difficult to achieve a reduction in weight intended in the present invention, however, the specific gravity is desirably not more than three, in any case of the dielectric raw materials of 1), 2) and 3) above. The dielectric raw material according to the present invention is not particularly limited in regard of the producing method thereof. For example, the dielectric raw material can be formed by a method in which a curing agent, carbons and, if required, other ingredients are added to a silicone rubber serving as a main material of a silicone rubber base material and, in the case of the dielectric raw material of 2) above, an organic polymer, the admixture is mixed by use of rolls, a kneader or the like, and the resulting mixture is molded by a general polymer material molding method. It is to be noted here that, in the case of the present invention, it is desirable to control the mixing conditions (the number of stirring steps, the stirring force, the mixing time, etc.) so as not to mix in excess and so as to keep constant the mixing conditions for securing homogeneity of the product. Examples of the general molding method which can be used include moldings using a mold or molds, such as press molding, injection molding, transfer molding, etc., extrusion molding, calendaring, etc., and when the material is liquid, potting, casting, screen printing, etc. The dielectric raw material according to the present invention is not particularly limited as to the use thereof. For example, the dielectric raw material can be used suitably as an electromagnetic wave controlling body for use in antenna devices of portable phones, automobiles (ETC, vehicle-to-vehicle distance measuring or controlling system, navigation system, radio, TV), portable TV sets, personal computer communications (Bluetooth), systems for transmission/reception of images such as small-type camera, etc., an electromagnetic wave shielding body for shielding electromagnetic waves, a material for human body phantoms used for measuring the influence of electromagnetic waves on the human body, a shielding apron for use with an electric cooker such as electromagnetic cookers (electromagnetic induction heating cookers), etc., an electromagnetic wave shielding body for use with an electric cooker, etc. The antenna device according to the present invention has the above-described dielectric raw material, and it is preferable to use it particularly for a built-in antenna device of a portable phone, since the dielectric raw material of the present invention can be molded integrally with a metal-made or ceramic-made antenna body and can also be molded in accordance with the circuit design of a portable phone. Meanwhile, the antenna body of a built-in antenna device is curved or crooked, so that interference may be generated between portions of the antenna body. In this connection, the dielectric raw material according to the present invention can be disposed between the portions of the curved or crooked antenna body, whereby the interference between the portions of the antenna body can be suppressed, and the antenna radiation efficiency of the antenna device can be enhanced. Specifically, the absorption of radiated power into the human body can be suppressed. For example, the antenna radiation efficiency can be increased by a factor in the range of 1 to 1.7 folds. In addition, the antenna device of the present invention can be suitably used as a receiving antenna device or transmitting antenna device built in any of known small-type transmission/reception devices, such as a video recorder camera described in Patent Document 6 and the like, a transmission-reception device in a facility described in Patent Document 7, a small-type PDA described in Patent Document 8, a date display and a camera including the date display described in Patent Document 9, a monitor and a monitor system described in Patent Document 10, a micro-camera described in Patent Document 11 and the like. Patent Document 6: Japanese Patent Laid-open No. Sho 61-32686 Patent Document 7: Japanese Patent Laid-open No. Hei 5-191319 Patent Document 8: Japanese Patent Laid-open No. 2001-136082 Patent Document 9: Japanese Patent Laid-open No. 2004-294206 Patent Document 10: Japanese Patent Laid-open No. 2003-272065 Patent Document 11: Japanese Patent Laid-open No. 2004-135902 The portable phone according to the present invention has an electromagnetic wave controlling body using the above-described dielectric raw material. As for the position at which to dispose the dielectric raw material (electromagnetic wave controlling body) in the portable phone, the dielectric raw material is disposed on the human body side. Here, the size, shape and the like of the electromagnetic wave controlling body are not particularly limited. Taking the demand for reductions in weight and size of the portable phone and the function as the electromagnetic wave controlling body into consideration, the size of the electromagnetic wave controlling body is desirably comparable to or greater than the area of the surface (the surface facing the human body) of the antenna. Taking the demand for reductions in weight and thickness of the portable phone into consideration, the thickness of the electromagnetic wave controlling body is preferably not more than about 2 mm, more preferably not more than about 1 mm, and further preferably not more than about 0.5 mm. For prevention of leakage of electromagnetic waves, a smaller thickness is better, and, therefore, there is no particular limit to the thickness. In consideration of the handleability and moldability as a molded body and the like factors, however, the thickness is preferably not less than 0.2 mm. The dielectric raw material, when thus used as an electromagnetic wave controlling body in a portable phone, can reduce the SAR value. The electromagnetic wave shielding body according to the present invention includes the above-described dielectric raw material. The dielectric raw material of the present invention has a high permittivity and, also, is excellent in electromagnetic wave shielding performance, so that it can be used also as an electromagnetic wave shielding body. Therefore, the electromagnetic wave shielding body according to the present invention can effectively shield electromagnetic waves, when used as an electric cooker electromagnetic wave shielding body for shielding the electromagnetic waves generated from an electric cooker. In the case of using the above-described electromagnetic raw material as an electric cooker electromagnetic wave shielding body, the position at which to dispose the electromagnetic wave shielding body is not particularly limited. In consideration of handleability and the like, however, it is preferable, for example, to mount the electromagnetic wave shielding body on the inside or the outside of the electric cooker so that the electromagnetic wave shielding body is interposed between an electromagnetic wave generating source of the electric cooker and the human body side of the electric cooker. Besides, in this case, the electromagnetic wave shielding body is not particularly limited in size, shape or the like, and such factors can be appropriately selected according to the size of the objective electric cooker and the like conditions. The size of the electromagnetic wave shielding body is desirably comparable to or greater than the area of the surface, facing the human body, of the electromagnetic wave generating source (electromagnetic wave generating unit), and is preferably so set as to surround the electromagnetic wave generating source in such a manner that the function of the electric cooker will not be spoiled, whereby the influence of leakage electromagnetic waves on the human body can be effectively prevented. As for the thickness of the electromagnetic wave shielding body, a greater thickness promises a better effect. In consideration of the space of the cooking appliance, however, the thickness is preferably not more than about 5 mm, particularly not more than about 3 mm. Incidentally, there is no upper limit to the thickness. In consideration of moldability of rubber, however, a thickness of not less than 0.2 mm is usually preferred. Incidentally, the electric cooker for which the electromagnetic wave shielding body of the present invention is utilized is not particularly limited in regard of configuration or kind thereof. For example, the electromagnetic wave shielding body can be preferably utilized for electric cookers such as electromagnetic induction heating cookers (electromagnetic cookers), electronic ranges, etc. For example, the electromagnetic induction heating cookers here include those of various configurations, such as the commercialized electromagnetic induction heating cookers and the electromagnetic induction heating cookers described respectively in Patent Documents 12 to 15 and the like. Patent Document 12: Japanese Patent Laid-open No. Hei 11-339944 Patent Document 13: Japanese Patent Laid-open No. Hei 6-5357 Patent Document 14: Japanese Patent Laid-open No. Hei 5-347180 Patent Document 15: Japanese Patent Laid-open No. Hei 5-121155 Now, the present invention will be described specifically by showing Examples and Comparative Examples, but the invention is not to be limited to or by the Examples. To 100 pts.wt. (parts by weight) of a silicone rubber (DY32-152U, a product by Dow Corning Toray Co., Ltd.), were added 150 pts.wt. of true-spherical graphite (MCMB(10-28), a product by Osaka Gas Chemicals Co., Ltd.) and 3.5 pts.wt. of conductive carbon (Ketchen black EC600JD, a product by Lion Corporation; with a DBP absorption of 495 cm3/100 g as measured according to ASTM D 2414-79, and a BET specific surface area of 1270 m2/g, the same applies hereinafter), as carbons, and 1 part by weight of 2,5-dimethyl-2,5-(t-butyl)dihexane (RC-4(50P), a product by Dow Corning Toray Co., Ltd.) as a crosslinking agent. The admixture was mixed by open rolls, and was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min., to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternative SAR value. To 100 pts.wt. of ethylenepropylenediene rubber (EP22, a product by JSR Corporation), were added 150 pts.wt. of true-spherical graphite (MCMB(10-28), a product by Osaka Gas Chemicals Co., Ltd.) and 3.5 pts.wt. of conductive carbon (Ketchen black EC600JD, a product by Lion Corporation), as carbons, 1 part by weight of stearic acid, 5 pts.wt. of zinc oxide, and 4.5 pts.wt. of 2,5-dimethyl-2,5-(t-butyl)dihexane (Perhexane 25B(40), a product by NOF CORPORATION). The admixture was mixed by open rolls, and the resulting mixture was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min., to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm was obtained. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternative SAR value. For the dielectric raw materials obtained in Example 1 and Comparative Example 1 above, permittivity was measured by use of a vector network analyzer (8720ES, a product by Agilent Technologies Inc.) and a dielectric probe set (85070C, a product by Agilent Technologies Inc.). The measurement results of complex relative permittivity of the dielectric material at 900 MHz are shown in Table 1. In addition, for the dielectric raw materials, alternate SAR value change rate was determined according to the method described in Patent Document 1. Further, specific gravity was measured, for the dielectric raw materials. The results are shown in Table 1. When the dielectric raw materials of Example 1 and Comparative Example 1 were observed under a transmission electron microscope, it was confirmed that in the dielectric raw material of Example 1, the carbons were contacting each other to generate conduction paths in about ⅓ of the surface area. On the other hand, in the dielectric raw material of Comparative Example 1, the carbons were rarely contacting each other. A dielectric raw material obtained in the same manner as in Example 1, except that the blending amount of the conductive carbon in Table 1 below was changed from 3.5 pts.wt. in Table 1 to 3 pts.wt. and the total blending amount was 254.0 pts.wt., was served to measurement of complex relative permittivity and alternate SAR value change rate in the same manner as in Example 1. As a result, the complex permittivity (900 MHz) was found to be 143-135j and the alternate SAR value change rate was −56.0%. Besides, a dielectric raw material obtained in the same manner as in Comparative Example 1, except that the blending amount of the conductive carbon was changed from 3.5 pts.wt. in Table 1 to 3 pts.wt. and the total blending amount was 263.5 pts.wt., was served to measurement of complex permittivity and alternate SAR value change rate in the same manner as in Comparative Example 1. As a result, the complex relative permittivity (900 MHz) was found to be 28-12j and the alternate SAR value change rate was −4.8%. TABLE 1Name of ChemicalExample 1Comp. Ex. 1Silicone rubber10002,5-Dimethyl-2,5-(t-butyl)dihexane1.04.5Ethylenepropylenediene rubber0100Stearic acid01.0ZnO05.0True-spherical graphite150150Conductive carbon3.53.5Total (pts. wt.)254.5264.0Complex relative permittivity165-171 j56-58 j(900 MHz)Alternate SAR value change rate (%)−60.0−5.2Specific gravity (g/cm3)1.5911.432 To 90 pts.wt.t of a silicone rubber (DY32-152U, a product by Dow Corning Toray Co., Ltd.) and 10 pts.wt. of a liquid ethylenepropylene rubber (Rucant HC2000, a product by Mitsui Chemicals, Inc.), were added 150 pts.wt. of true-spherical graphite (MCMB(10-28), a product by Osaka Gas Chemicals Co., Ltd.) and 3.5 pts.wt. of conductive carbon (Ketchen black EC600JD, a product by Lion Corporation), as carbons, and 1 part by weight of 2,5-dimethyl-2,5-(t-butyl)dihexane (RC-4(50P), a product by Dow Corning Toray Co., Ltd.) as a crosslinking agent. The admixture was mixed by open rolls, and the resulting mixture was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min., to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm was obtained. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternate SAR value. For the dielectric raw materials obtained in Example 2, the complex relative permittivity of the dielectric material at 900 MHz, alternate SAR value change rate and specific gravity were measured in the same manner as in Example 1 above. The results are shown in Table 2, together with the results of Example 1. In addition, when the dielectric raw material of Example 2 was observed under a transmission electron microscope, it was confirmed that the carbons were contacting each other to form conduction paths in about ⅓ of the surface area. Incidentally, a dielectric raw material obtained in the same manner as in Example 2, except that the blending amount of the conductive carbon was changed from 3.5 pts.wt. in Table 2 to 3 pts.wt. and the total blending amount was 254.0 pts.wt., was served to measurement of complex relative permittivity and alternate SAR value change rate in the same manner as in Example 2. As a result, the complex relative permittivity (900 MHz) was found to be 160-140j, and the alternate SAR value change rate was −78.3%. TABLE 2Name of ChemicalExample 2Example 1Silicone rubber90100Liquid ethylenepropylene rubber1002,5-Dimethyl-2,5-(t-butyl)dihexane1.01.0True-spherical graphite150150Conductive carbon3.53.5Total (pts. wt.)254.5254.5Complex relative permittivity189-205 j165-171 j(900 MHz)Alternate SAR value change rate (%)−79.5−60.0Specific gravity (g/cm3)1.5551.591 To 100 pts.wt. of a low specific gravity silicone rubber (X-30-1777-50-U, a product by Shin-Etsu Chemical Co., Ltd.), were added 150 pts.wt. of true-spherical graphite (MCMB(10-28), a product by Osaka Gas Chemicals Co., Ltd.) and 3.5 pts.wt. of conductive carbon (Ketchen black EC600JD, a product by Lion Corporation), as carbons, and 1 part by weight of 2,5-dimethyl-2,5-(t-butyl)dihexane (RC-4(50P), a product by Dow Corning Toray Co., Ltd.) as a crosslinking agent. The admixture was mixed by open rolls, and the resulting mixture was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min, to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm was obtained. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternate SAR value change rate. For the dielectric raw materials obtained in Example 3, the complex relative permittivity of the dielectric material at 900 MHz, alternate SAR value change rate and specific gravity were measured in the same manner as in Example 1 above. The results are shown in Table 3, together with the results of Example 1. In addition, when the dielectric raw material obtained in Example 3 was observed under a transmission electron microscope, it was confirmed that the carbons were contacting each other to form conduction paths in about ⅓ of the surface area. Incidentally, a dielectric raw material obtained in the same manner as in Example 3, except that the blending amount of the conductive carbon was changed from 3.5 pts.wt. in Table 3 to 3 pts.wt. and the total blending amount was 254.0 pts.wt., was served to measurement of complex relative permittivity and alternate SAR value change rate in the same manner as in Example 3. As a result, the complex relative permittivity (900 MHz) was found to be 199-141j, and the alternate SAR value change rate was −93.2%. TABLE 3Name of ChemicalExample 3Example 1Low specific gravity (foamed)1000Silicone rubberSilicone rubber01002,5-Dimethyl-2,5-(t-butyl)dihexane1.01.0True-spherical graphite150150Conductive carbon3.53.5Total (pts. wt.)254.5254.5Complex relative permittivity230-193 j165-171 j(900 MHz)Alternate SAR value change rate (%)−94.3−60.0Specific gravity (g/cm3)1.3601.591 To 100 pts.wt. of a silicone rubber (DY32-152U, a product by Dow Corning Toray Co., Ltd.), were added 170 pts.wt. of true-spherical graphite (MCMB(10-28), a product by Osaka Gas Chemicals Co., Ltd.) and 10 pts.wt. of conductive carbon (Ketchen black EC600JD, a product by Lion Corporation), as carbons, and 1 part by weight of 2,5-dimethyl-2,5-(t-butyl)dihexane (RC-4(50P), a product by Dow Corning Toray Co., Ltd.) as a crosslinking agent. The admixture was mixed by open rolls, and the resulting mixture was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min., to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm was obtained. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternate SAR value change rate. To 100 pts.wt. of a silicone rubber (DY32-152U, a product by Dow Corning Toray Co., Ltd.), were added 200 pts.wt. of true-spherical graphite (MCMB(10-28), a product by Osaka Gas Chemicals Co., Ltd.) and 10 pts.wt. of conductive carbon (Ketchen black EC600JD, a product by Lion Corporation), as carbons, and 1 part by weight of 2,5-dimethyl-2,5-(t-butyl)dihexane (RC-4(50P), a product by Dow Corning Toray Co., Ltd.) as a crosslinking agent. The admixture was mixed by open rolls, and the resulting mixture was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min., to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm was obtained. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternate SAR value change rate. To 100 pts.wt. of a silicone rubber (DY32-152U, a product by Dow Corning Toray Co., Ltd.), were added 200 pts.wt. of artificial graphite (SGL12, a product by SEC; with a specific gravity of 2.21 g/cm3) as carbon and 1 part by weight of 2,5-dimethyl-2,5-(t-butyl)dihexane (RC-4(50P), a product by Dow Corning Toray Co., Ltd.) as a crosslinking agent. The admixture was mixed by open rolls, and the resulting mixture was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min., to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm was obtained. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternate SAR value change rate. To 100 pts.wt. of a silicone rubber (DY32-152U, a product by Dow Corning Toray Co., Ltd.), were added 150 pts.wt. of laminar natural graphite (SNE6G, a product by SEC; with a specific gravity of 2.25 g/cm3) as carbon and 1 part by weight of 2,5-dimethyl-2,5-(t-butyl)dihexane (RC-4(50P), a product by Dow Corning Toray Co., Ltd.) as a crosslinking agent. The admixture was mixed by open rolls, and the resulting mixture was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min., to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm was obtained. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternate SAR value change rate. For the dielectric raw materials obtained in Examples 4 to 7, the complex relative permittivity of the dielectric material at 900 MHz, alternate SAR value change rate and specific gravity were measured in the same manner as in Example 1 above. The results are shown in Table 4. In addition, when the dielectric raw materials obtained in Examples 4 to 7 were observed under a transmission electron microscope, it was confirmed that the carbons were contacting each other to form conduction paths, in about ⅓ of the surface area of the dielectric raw material of Example 4, in about ½ of the surface area of the dielectric raw material of Example 5, in about ½ of the surface area of the dielectric raw material of Example 6, and in about ⅓ of the surface area of the dielectric raw material of Example 7. TABLE 4Name of ChemicalEx. 4Ex. 5Ex. 6Ex. 7Silicone rubber1001001001002,5-Dimethyl-2,5-(t-butyl)dihexane1.01.01.01.0True-spherical graphite17020000Artificial graphite002000Laminar natural graphite000150Conductive carbon101000Total (pts. wt.)281311301201Complex relative permittivity1049-1451-1820-650-(900 MHz)1221 j1048 j3.7 j720 jAlternate SAR value change rate−91.1−88.4−90.0−90.0(%)Specific gravity (g/cm3)1.6101.6501.6601.605 To 100 pts.wt. of a silicone rubber (DY32-152U, a product by Dow Corning Toray Co., Ltd.), were added 10 pts.wt. of conductive carbon (Ketchen black EC600JD, a product by Lion Corporation) and 10 pts.wt. of carbon nanotube (MWNT, a product by Bussan Nanotech Research Institute Inc.), as carbons, 1.0 part by weight of a processing assistant (Alphaflex 101, a product by Alphaflex Industries), 1.0 part by weight of 2,5-dimethyl-2,5-(t-butyl)dihexane (RC-4(50P), a product by Dow Corning Toray Co., Ltd.) as a crosslinking agent (peroxide curing agent), and 10 pts.wt. of a silicone oil (SH-200 50 cs, a product by Dow Corning Toray Co., Ltd.). The admixture was mixed by open rolls, and the resulting mixture was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min., to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm was obtained. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternate SAR value change rate. For the dielectric raw materials obtained in Example 8, the complex relative permittivity of the dielectric material at 900 MHz, 1.5 GHz, 2.1 GHz, and 5.0 GHz, alternate SAR value change rate at 900 MHz and specific gravity were measured. The results are shown in Table 5. In addition, when the dielectric raw material of Example 8 was observed under a transmission electron microscope, it was confirmed that the carbons were contacting each other to form conduction paths in about ⅓ of the surface area. TABLE 5Example 8Name of ChemicalSilicone rubber, DY32-152U100Processing assistant, Alphaflex 1011.0Peroxide curing agent, RC-4(50 P)1.0Silicone oil, SH-200 50 cs10Carbon nanotube10Conductive carbon10Total (pts. wt.)132Value of real part of complexrelative permittivity0.9 GHz5171.5 GHz3532.1 GHz2875.0 GHz209Value of imaginary part of complexrelative permittivity0.9 GHz10971.5 GHz7142.1 GHz5355.0 GHz255Altarnate SAR value change rate (%)−92.1Specific gravity (g/cm3)1.180 To 100 pts.wt. of a foam balloon-loaded silicone (X-30-1777-50U, a product by Shin-Etsu Chemical Co., Ltd.), were added 1.5 pts.wt. of carbon nanotube (MWNT, a product by Bussan Nanotech Research Institute Inc.) and 100 pts.wt. of true-spherical graphite (MCMB(10-28), a product by Osaka Gas Chemicals Co., Ltd.), as carbons, 1.0 part by weight of a processing assistant (Alphaflex 101, a product by Alphaflex Industries), 1.0 part by weight of a peroxide curing agent (C-8A, a product by Shin-Etsu Chemical Co., Ltd.), and 1.5 pts.wt. of a silicone oil (SH-200 50 cs, a product by Dow Corning Toray Co., Ltd.). The admixture was mixed by open rolls, and the resulting mixture was heat-cured under the conditions of 170° C. and 200 kgf/cm2 for 10 min., to obtain a sheet-formed molded body (dielectric raw material) measuring 40×40×10 mm. Under the same conditions, a sheet-formed molded body (dielectric raw material) measuring 40×110×0.5 mm was obtained. The molded body measuring 40×40×10 mm was used for measurement of permittivity, and the molded body measuring 40×110×0.5 mm was used for measurement of alternate SAR value change rate. For the dielectric raw materials obtained in Example 9, the complex relative permittivity of the dielectric material at 900 MHz, 1.5 GHz, 2.1 GHz, and 5.0 GHz, alternate SAR value change rate at 900 MHz and specific gravity were measured. The results are shown in Table 6. In addition, when the dielectric raw material of Example 9 was observed under a transmission electron microscope, it was confirmed that the carbons were contacting each other to form conduction paths in about ⅓ of the surface area. TABLE 6Example 9Name of ChemicalFoam balloon-loaded silicone100(X-30-1777-50U)Processing assistant, Alphaflex 1011.0Peroxide curing agent, C-8A1.0Silicone oil, SH-200 50 cs1.5Carbon nanotube1.5True-spherical graphite100Total (pts. wt.)205Value of real part of complexrelative permittivity0.9 GHz1861.5 GHz1352.1 GHz1075.0 GHz61Value of imaginary part of complexrelative permittivity0.9 GHz1391.5 GHz1222.1 GHz1085.0 GHz73Altarnate SAR value change rate (%)−90.3Specific gravity (g/cm3)1.210 According to the results shown in Table 1 to 6 above, it is recognized that a high permittivity can be obtained by highly loading a silicone rubber with carbons. Besides, it is also recognized that, by using a silicone rubber as a base material, a higher permittivity can be obtained as compared with the case of using a general-purpose rubber as a base material, with the same amount of a filler (carbons). Further, it is recognized that, when a silicone rubber is mixed with an organic rubber material and carbons are added thereto, a higher permittivity can be obtained as compared with case of using the silicone rubber singly. Furthermore, a further higher permittivity can be obtained by combining carbon materials (carbons) having different shapes. Now, a configuration example of the antenna device according to the present invention will be described below as Example 10, referring to FIG. 3. FIG. 3 is a schematic top plan view showing a portable phone 6 having a built-in antenna device 5 according to a configuration example of the present invention, in its opened condition. The portable phone 6 may be, for example, one which is commercially available. The portable phone shown in FIG. 3 is foldable at a hinge part 7 fitted also with a rotating mechanism, and it can be used in its opened condition at the time of talking; however, the configuration of the portable phone is not particularly limited. In the figure, symbol 8 denotes an ear piece, 9 denotes a display part, 10 denotes a menu control part, 11 denotes a dial operating part, 12 denotes a dielectric raw material, and 13 denotes a portable phone casing. The built-in antenna device 5 is mounted inside the portable phone casing 13, and its configuration is not particularly limited; for example, an antenna device incorporated in a commercially available portable phone can be utilized. The dielectric raw material 12 is a sheet-formed body having dimensions (vertical and lateral) approximate to those of the built-in antenna device 5, and disposed inside the portable phone casing 13 at a position on the front side of the built-in antenna device 5, namely, on an outside wall of the antenna device 5 so that the dielectric raw material 12 is located on the human body side when the portable phone is used. Incidentally, the configuration of the antenna device having the dielectric raw material of the present invention is not particularly limited. Other than the built-in antenna device as used in the portable phone 6 mentioned above, the antenna device of the present invention is applicable to various antenna devices of known various voice transmission/reception devices, picture transmission/reception devices, etc. For example, the antenna device according to the present invention can have a configuration in which the dielectric raw material of the invention is disposed on the human body side of any of these various antenna devices. In the next place, as an example of the portable phone according to the present invention, a portable phone of Example 11 was fabricated by adopting a configuration in which a sheet-formed dielectric raw material composed of the same composition as that in Example 4 above and having a vertical dimension of 5 mm, a lateral dimension of 30 mm and a thickness of 0.5 mm is disposed in a commercially available portable phone configured as shown in FIG. 3 so that the dielectric raw material is located on the human body side (front side) of the built-in antenna device. On the other hand, a portable phone of Comparative Example 2 was fabricated by adopting a configuration in which a commercially available magnetic sheet (a vertical dimension of 5 mm, a lateral dimension of 30 mm, and a thickness of 1 mm) containing a micrometer-order magnetic metal powder dispersed and mixed in a resin and having a specific gravity of 3.1 and a relative permittivity μr=60 (1 MHz) is disposed in a portable phone configured as shown in FIG. 3 so that the magnetic sheet is located on the human body side of the built-in antenna device. The portable phones of Example 11 and Comparative Example 2 were served to measurement of electric field intensity inside a human head phantom (solid) by use of an experimental equipment 14 shown in FIG. 4 and described in detail below in place of alternate SAR characteristics (alternate SAR value). The experimental equipment shown in FIG. 4 is for measuring the field strength inside a human head phantom model 15 when a portable phone 6 is used. In the equipment, a SAR measuring antenna (micro-dipole three-axis type Δ beam antenna) 16 is inserted to a point where the field strength is considered to be the highest inside the human head phantom model 15, and the field strength is measured by a field strength meter (8808, a product by HIOKI E.E. CORPORATION) 18 through a signal amplifying circuit 17 (wide-band OP amplifier). At the time of measurement, the human head phantom model 15 is placed on a laboratory table (not shown) made of PS (polystyrene) foam and measuring 900×900×1800 mm. Incidentally, the experimental equipment 14 shown in FIG. 4 is the same as the equipment used for determining the alternate SAR value change rate in Example 1 and the like. By use of this experimental equipment, alternate SAR value was measured for the portable phones of Example 11 and Comparative Example 2. Further, alternate SAR value was measured for the portable phones from which the dielectric raw material had been removed, thereby determining the alternate SAR value change rate before and after the removal of the dielectric raw material. The alternate SAR value change rate was −53% for Example 11, and −23% for Comparative Example 2. Incidentally, the alternate SAR value change rate was tested for 40×110 mm dielectric raw material in Examples 1 to 9, whereas the dielectric raw material in Example 11 had a size approximate to the size of the built-in antenna in the portable phone; therefore, the reducing effect of the alternate SAR value change rate in Example 11 was smaller according to the smaller area of the dielectric raw material. According to these results, it is recognized that the portable phone of the present invention in which the dielectric raw material of the present invention is mounted as an electromagnetic wave controlling body is capable of reducing the SAR value, and its effect is greater than that in Comparative Example 2 in which a thicker dielectric raw material is mounted. Therefore, the portable phone according to the present invention is sufficiently reduced in SAR value, notwithstanding the small-thickness dielectric raw material is mounted therein as an electromagnetic wave controlling body; thus, the portable phone of the invention can satisfactorily meet the recent demands for reductions in the weight, thickness and size of portable phone. In addition, the portable phone of Example 11 uses the built-in antenna device of Example 10, and communications and conversation could be normally performed, notwithstanding the structure in which the dielectric raw material was disposed in the vicinity of the antenna. In the next place, by use of an experimental equipment shown in FIG. 5, variations in radiation pattern was examined, for a system in which a sheet-formed dielectric raw material composed of the same composition as that in Example 4 above and having a vertical dimension of 150 mm, a lateral dimension of 150 mm and a thickness of 0.5 mm is disposed between an antenna device and a human phantom model. The experimental equipment shown in FIG. 5 had a configuration in which polystyrene foams 21, 21 (500×500×500 mm) are stacked on a rotatable stage 20, a transmission antenna (UHAP, a standard dipole antenna, produced by Schwarzbeck) 22 connected to a S.G. (signal generator 83732B, a synthesized signal generator, produced by Agilent Technologies Inc.; 900 MHz; 0 dBm) which is disposed in the outside of a radio wave dark room and mounted in a rack is mounted thereon, a polystyrene foam 21 (500×500×500 mm) is placed adjacently thereto, a polystyrene foam 21′ with a height (arrows a in the figure) of 300 mm is stacked on this polystyrene foam 21, and a human phantom model (an adult head of 200 mm in diameter (arrows b in the figure)) 23 with a height (arrows c in the figure) of 400 mm is mounted on the polystyrene foam 21′ so that the distance between the human phantom model 23 and the transmission antenna 22 is 20 mm. This ensures that the height of the center (in the height direction) of the human head phantom model 23 from the floor of the laboratory is equal to the height (arrows h in the figure: 1000 mm) of the transmission antenna 22. Incidentally, the dielectric raw material 25 is placed on the same polystyrene foam as for the phantom modem 23 in such a manner that the distance between itself and the transmission antenna 22 and the distance between itself and the phantom model 23 are both 10 mm, i.e., it is set at the midpoint between the transmission antenna 22 and the phantom model 23. Then, a reception antenna (radio wave dark room equipped type helical antenna) 24 connected to an EMC meter (8546A, receiver, produced by Agilent Technologies Inc.) which is disposed in the outside of a radio wave dark room and mounted in a rack is so set that the antenna distance (arrows L in the figure) is 1500 mm and the antenna height (arrows h′ in the figure) is 1000 mm. Further, a floor electromagnetic wave absorber 26 is laid on the floor of the laboratory. In addition, since the antenna length of the transmission antenna 22 is about 167 mm, a 150×150 mm dielectric raw material 25 used as a sample was so placed that a diagonal of the dielectric raw material 25 coincides with the height direction of the transmission antenna 22 and that the antenna length of the transmission antenna 22 is hidden. Incidentally, the height of the phantom model was set to 400 mm, for the purpose of reducing the influence of diffracted waves coming from the perpendicular direction. Besides, the height of the transmission and reception antennas was set to 1000 mm, for the purpose of reducing the influence of reflected waves from the floor. By use of the experimental equipment as above, the following measurements were carried out. Measurement-1: While rotating the stage of the transmission antenna (standard dipole antenna) through 360 degrees in the condition where the phantom model is absent, the level of reception at the reception antenna is measured, and the field in 360° directions is monitored. Measurement-2: While rotating the stage of the transmission antenna (standard dipole antenna) through 360 degrees in the condition where the phantom model is mounted on the stage, the level of reception at the reception antenna is measured, and the field in 360° directions is monitored in the condition where the phantom model is present. Measurement-3: While rotating the stage with the dielectric raw material (sample) set thereon between the transmission antenna (standard dipole antenna) and the phantom model through 360 degrees, the level of reception at the reception antenna is measured, and the field in 360° directions is monitored. Radiation patterns obtained by the above measurements (the measurement results of the level of reception at the reception antenna when the turntable with the transmission antenna, the phantom model and the dielectric raw material mounted thereon was rotated through 360 degrees) are shown in FIG. 6. From the radiation patterns in FIG. 6, it is recognized that, when the dielectric raw material formed of the same composition as in Example 4 was interposed between the transmission antenna and the human phantom model, the radiation pattern was enlarged and the radiation pattern from the transmission antenna was improved, as compared by the case where only the human phantom model was present near the transmission antenna. Incidentally, the configuration of the portable phone according to the present invention is not particularly limited. Other than the portable phone 6 as above-mentioned, a configuration in which the dielectric raw material of the present invention is disposed on the human body side of an antenna device in any of known various portable phones may also be adopted. Furthermore, a configuration example of the electromagnetic wave shielding body according to the present invention will be described as Example 12 below, referring to FIGS. 7 and 8. FIG. 7 is a schematic perspective view showing the appearance of an electric cooker (electromagnetic induction heating cooker) 31 equipped with an electromagnetic wave shielding body 30 (see FIG. 8) of the present invention. FIG. 8 is a schematic vertical sectional view showing schematically the inside configuration of the electric cooker 31 in the condition where a cabinet 32 of the electric cooker 31 is removed, for illustrating the electromagnetic wave shielding body 30 provided in the electric cooker 31. The electric cooker 31 has the cabinet 32 serving as a housing, a top plate 33 provided with a plurality of air holes 33a is mounted on the upper side of the cabinet 32, and a cooking plate 34 on which to mount a heating vessel such as a pan or pot is fitted in the vicinity of a central part of the top plate 33. In addition, a console panel 35 and a heating control knob 36 are provided at a cabinet front surface (the surface facing the human body) 32a. A cooling fan (not shown) and as shown in FIG. 8, a box body 40 containing a control circuit unit 37 and heating coils 39, 39 disposed on a coil support base 38 are contained in the cabinet. In addition, sheet-formed electromagnetic wave shielding bodies 30, 30, 30, 30 composed of the dielectric raw materials according to the present invention are attached to the inside walls of both side surfaces 40a, 40a, a front surface (not shown), a rear surface 40b and a bottom surface 40c of the box body 40. The heating coils 39, 39 are connected to a connection terminal part (not shown) of the control circuit unit 37 by connection cords 41, 41, whereby high-frequency currents supplied to the heating coils 39, 39 can be controlled. Here, the means of attaching the electromagnetic wave shielding body 30 is not particularly limited; for example, the electromagnetic wave shielding body 30 can be attached by an appropriate means, such as by adhering it to the inside wall of the box body 40 by use of an appropriate heat-resistant adhesive. Besides, in the case of an electric cooker having a cabinet 32 on the outside of a box body, like the electric cooker 31, the electromagnetic wave shielding body 30 may be attached not to the inside wall of the box body but to the outside wall of the box body or to the inside wall of the cabinet 32. Further, in the case of an electric cooker wherein, for example, a control circuit unit and a heating coil are contained respectively in separate box bodies, it is preferable to attach the electromagnetic wave shielding bodies to the individual box bodies. In addition, in the case where the box body does not have a rear surface, for example, a configuration in which the electromagnetic wave shielding body is not mounted on the rear side (the opposite side of the human body) may be adopted. Incidentally, the configuration of the electric cooker to be fitted with the electromagnetic wave shielding body of the present invention is not particularly limited. For example, electric cookers such as known various kinds of electromagnetic induction heating cookers, other than the above-described electric cooker (electromagnetic induction heating cooker) 31, can be utilized. The electromagnetic wave shielding body according to the present invention can be disposed by various appropriate means so as to be interposed between an electromagnetic wave generating source and the human body, in each of the various electric cookers. According to the above configuration example, with the electromagnetic wave shielding body of the present invention mounted between the electromagnetic wave generating source of an electric cooker and the human body, the leakage of electromagnetic waves toward the human body side can be reduced. In addition, since the electromagnetic wave shielding body according to the present invention is composed of a dielectric raw material using a silicone rubber base material, it can be molded and to some extent, can be molded into the necessary shape. Incidentally, the electromagnetic wave shielding body of the present invention may be or may not be set in close contact with, for example, the inside wall of the box body of the electric cooker. However, when the electromagnetic wave shielding body is set in close contact with the inside wall or the like, a higher electromagnetic wave shielding effect can be expected. The dielectric raw material according to the present invention has a prolonging effect on the useful life of a battery by enhancing the radiation efficiency of an antenna in a portable phone, for example, at the time of communications through the portable phone. In addition, the dielectric raw material can reduce the influence of electromagnetic waves on the human body at the time of talking, and can enhance the communication performance. Besides, the dielectric raw material of the present invention enables communications over longer distances with less electric power, by enhancing the radiation efficiency of an antenna device, and can be incorporated into a circuit without spoiling the characteristics of the antenna. In addition, the use of the dielectric raw material according to the present invention makes it possible to reduce unnecessary radiations from an electric cooker or the like.
055457975
summary
BACKGROUND OF THE INVENTION The present invention relates to a method of immobilizing plutonium by atomic scale fixation in a crystalline ceramic in order to provide a durable, disposable waste form or product. With the end of the cold war, it is now necessary to be able to dispose of large quantities of highly pure plutonium, especially plutonium recovered from nuclear weapons. Such plutonium is here referred to as weapons plutonium, indicating that it is not mixed with other nuclides. Heretofore known or proposed methods for the disposal of plutonium are not suitable for disposal of these large quantities of plutonium. In addition, plutonium is highly fissile, and it is necessary to develop extremely durable waste forms which reduce the possibility of mobilization and concentration of plutonium in quantities that can lead to criticality. The known borosilicate glass waste form is not very durable, attains saturation damage due to exposure to radiation in an unacceptably short period of time, and is readily altered, both by physical degradation and chemical alteration under conditions at which waste forms should be stable. It is therefore an object of the present invention to provide a method that provides for the long-term disposal of plutonium in a waste form for which long-term durability can be confirmed and that overcomes the drawbacks of the heretofore known methods, with the inventive method providing a waste product or form that not only protects the environment but also ensures that the plutonium is not readily recoverable for use in weapons. This object, and other objects and advantages of the present invention, will appear more clearly from the following specification and examples. SUMMARY OF THE INVENTION The object of the present invention is realized by a method of atomic scale fixation and immobilization of plutonium to provide a durable, disposable waste product and includes the steps of: providing plutonium in the form of PuO.sub.2 or Pu(NO.sub.3).sub.4, providing ZrO.sub.2 and SiO.sub.2, mixing these three compounds together to form a mixture, cold pressing the mixture to form pellets, blocks, or any desired shape, and heating the pellets, blocks, or other shaped forms under pressure to form the durable, disposable waste product. As used in this application, the term immobilization indicates that the plutonium will not be able to migrate, and the term fixation is used to indicate that the plutonium is fixed at the atomic scale within the zircon structure. The method of the present invention makes it possible to immobilize large amounts of plutonium in a single crystalline phase. In particular, the inventive method involves the chemical reaction, for example hot pressing or sol gel techniques, of SiO.sub.2, ZrO.sub.2 and desirable quantities of PuO.sub.2 or Pu(NO.sub.3).sub.4 (e.g. 10 mole % or more) to form a single phase of zircon doped with plutonium, in other words (Zr, Pu) SiO.sub.4. It should be possible to incorporate this amount of plutonium in the zircon structure. However, if, for example, any of the PuO.sub.2 fails to react and fails to become incorporated into the atomic structure of zircon, then the PuO.sub.2 particles would be "encapsulated" in a matrix of zircon. This is still a highly stable and durable configuration for the waste form. Effective disposal of plutonium requires incorporation into a solid matrix that is suitable for transportation, is resistant to radiation damage and is inert in most near surface environments. The zircon structure produced by the method of the present invention satisfies these requirements. It also avoids criticality. Since the half-life of Pu-239 is 24,000 years, and it is desirable to isolate materials for at least 10 half-lives, this amounts to 240,000 years. This is well within the range for which data are available on the geochemical behavior of natural zircons. In particular, studies have been done on natural zircons which may be up to billions of years old. Thus, zircon is an extremely durable phase. In particular, its properties are known because zircon occurs naturally. For example, zircon is often found as a heavy mineral in stream sediments, and even after transport over great distances shows limited chemical alteration or physical degradation. The minor alteration that zircon undergoes over long periods of time and under extreme conditions makes it a far more desirable structure than the heretofore proposed glasses, which may more readily alter and degrade in relatively shorter periods of time. A 10 mole % substitution of plutonium for zirconium in the zircon structure has little effect on its chemical or physical properties. The study of the radiation effects of plutonium-doped zircon (8 mole %) and natural zircons (up to 4,000 ppm uranium) have shown that there is little difference in the radiation damage results (see The Radiation-Induced Crystalline-To-Amorphous Transition In Zircon, Weber, Ewing and Wang, Journal of Materials Research, Volume 9, Number 3, March 1994). Also, in distinct contrast to borosilicate glass, at temperatures below 80.degree. C. and at a nearly neutral pH, in other words conditions that are pertinent to nuclear waste disposal, zircon is extremely insoluble, so that leaching does not lead to the release, migration or concentration of plutonium. It should also be noted that the recovery of plutonium from the inventively produced zircon waste product is very difficult since the waste product is a highly refractory substance. With respect to criticality, concern thereof can be mitigated by adjusting the waste loading of plutonium in the zircon structure, and also by incorporating neutron absorbing nuclides, such as gadolinium, into the zircon structure. Natural zircons contain small quantities of gadolinium, which is an effective neutron poison. U.S. Pat. 3,959,172, Brownell et al, discloses the immobilization of radionuclides by a gel process or by a hydrothermal slurrying process. Unfortunately, such processes are not suitable for the large-scale provision of a durable, disposable waste product, and this reference certainly does not indicate how to make a (Zr, Pu)SiO.sub.4 single phase waste form. The following are examples showing the processing of Zr.sub.1-x Pu.sub.x SiO.sub.4 as a waste form for weapons plutonium, with the following Example 1 being intended merely to prove synthesis in the laboratory and not being pursuant to the present inventive method, whereas the actual production of a zircon structure waste product made by the inventive method for fixating or immobilizing plutonium is discussed in Example 2. The main goal of all processing techniques, in the laboratory or at large scale, is to achieve an intimate mixture of the reacting constituents in order to obtain maximum waste form performance (high chemical durability). The Pu concentration "x" can range from 0&lt;x&lt;1. The waste form can be produced in glove boxes. Handling techniques of large amounts of PuO.sub.2 powder are well established and used to produce UO.sub.2 -PuO.sub.2 (MOX) fuel for nuclear power reactors. .UPSILON.-radiation emitters can be incorporated in the waste form to limit accessibility. In this case, part of the processing equipment must be shielded.
abstract
A guide tube inspection camera fixture for inspecting internal surfaces of nuclear reactor control rod guide tubes is provided. In an exemplary embodiment, the guide tube camera fixture includes a base plate, a unit-body tower having a first end and a second end, and a support bracket coupled to the unit-body tower. The unit-body tower is coupled to the base plate, and the support bracket is configured to support an inspection camera.
claims
1. A method of forming and disposing of at least one rock welded waste capsule, wherein the method comprises steps of:(a) forming rock side walls of the at least one rock welded waste capsule, wherein the rock side walls bound a cavity, wherein the cavity is configured to receive at least some amount of radioactive material;(b) forming a rock bottom of the at least one rock welded waste capsule, wherein the rock bottom is integral with a lower most portion of the rock side walls and the rock bottom is configured to prevent the at least some amount of radioactive material from falling out of the at least one rock welded waste capsule;(c) forming a rock cap that is shaped and sized to press fit to a top of the rock side walls to cover over the cavity; wherein the rock side walls, the rock bottom, and the rock cap are all substantially constructed from a same type of rock;(d) loading the at least some amount of radioactive material into the cavity;(e) rock welding the rock cap to the top of the rock side walls using a rock welding system that uses a resistive melting means and/or a millimeter wave melting means to melt the rock cap to the top of the rock side walls, wherein completion of the step (e) results in the at least one rock welded waste capsule being fully formed and sealed; and(f) inserting the at least one rock welded waste capsule from the step (e) into a wellbore that is at least partially located within a deep geological repository, wherein the deep geological repository is located at least 10,000 feet below a surface of the Earth. 2. The method according to claim 1, wherein an exterior of the at least one rock welded waste capsule is substantially shaped as a right cylinder or as a rectangular prism. 3. The method according to claim 1, wherein the cavity is substantially shaped as a right cylinder. 4. The method according to claim 1, wherein a length of the cavity is shorter than an overall exterior length of the at least one rock welded waste capsule. 5. The method according to claim 1, wherein the cavity has a fixed and a static diameter that is selected from a range of five inches to nine inches, plus or minus one inch. 6. The method according to claim 1, wherein an outside diameter or an outside width of the at least one rock welded waste capsule is fixed, static, and selected from a range of six inches to fifteen inches, plus or minus one inch. 7. The method according to claim 1, wherein the at least one rock welded waste capsule has a thickness of the side walls that is fixed and static and that is selected from a range of one inch to three inches, plus or minus one half inch. 8. The method according to claim 1, wherein the at least one rock welded waste capsule has an overall exterior length that is fixed and static and that is selected from a range of three feet to fifteen feet, plus or minus six inches. 9. The method according to claim 1, wherein the rock side walls and the rock bottom are formed from a same larger rock. 10. The method according to claim 1, wherein the radioactive material is selected from one or more of: an amount of plutonium, an amount of weapons grade plutonium, an amount of high level nuclear waste, an amount of uranium, an amount of depleted uranium, a nuclear fuel rod, a nuclear fuel rod assembly, a nuclear fuel rod subassembly, a portion of the nuclear fuel rod, a portion of the nuclear rod assembly, a portion of the nuclear fuel rod subassembly, radioactive pellets, derivatives thereof, combinations thereof, or portions thereof. 11. The method according to claim 1, wherein the same type of rock is selected from granite or another igneous rock. 12. The method according to claim 1, wherein the rock welding system comprises heater elements, a controller, and cables; wherein the cables operationally link the heater elements to the controller; wherein heater elements comprise the resistive melting means and/or the millimeter wave melting means. 13. The method according to claim 12, wherein during the step (e), the controller directs electrical power from an electrical power source to the heater elements, via the cables, so that the heater elements emit heat directed at an external junction of where the rock cap is in physical communication with the top of the rock side walls resulting in melting and welding of the rock cap to the top of the rock side walls. 14. The method according to claim 12, wherein during the step (e), the heater elements are in physical communication with an external junction of where the rock cap is press fit to the top of the rock side walls. 15. The method according to claim 14, wherein prior to the step (f), the heater elements are removed from proximity with respect to the at least one rock welded waste capsule. 16. The method according to claim 1, wherein prior to the step (f), the method further comprises a step of cooling the at least one rock welded waste capsule using a cooling system that is directed at removing at least some heat from the at least one rock welded waste capsule. 17. The method according to claim 16, wherein the step of cooling occurs during the step (e) and/or after the step (e). 18. The method according to claim 16, wherein prior to the step (f), the cooling system is removed from proximity with respect to the at least one rock welded waste capsule. 19. The method according to claim 1, wherein after the step (d) but before the step (e), the method further comprises a step of loading an amount of insulating material into the cavity to protect the at least some amount of radioactive material from heat during the step (e). 20. The method according to claim 1, wherein the rock side walls in the step (a) are formed from two or more segments of elongate rock members with hollow interiors that are rocked welded together in an end to end fashion, using the rock welding system or using another rock welding system, wherein the cavity is formed from the hollow interiors.
description
This application claims the benefit of U.S. Provisional Application No. 62/910,073 filed Oct. 3, 2019, which is incorporated herein by reference in its entirety. The present invention relates generally to systems and apparatuses for storing high level radioactive waste such as used or spent nuclear fuel, and more particularly to an improved nuclear fuel cask with impact protection. In the operation of nuclear reactors, the nuclear energy source is in the form of hollow zircaloy tubes filled with enriched uranium, collectively arranged in multiple assemblages referred to as fuel assemblies. When the energy in the fuel assembly has been depleted to a certain predetermined level, the used or “spent” nuclear fuel (SNF) assemblies are removed from the nuclear reactor. The standard structure used to package used or spent fuel assemblies discharged from light water reactors for off-site shipment or on-site dry storage is known as the fuel basket. The fuel basket is essentially an assemblage of prismatic storage cells each of which is sized to store one fuel assembly that comprises a plurality of individual spent nuclear fuel rods. The fuel basket is arranged inside a cylindrical metallic storage canister (typically stainless steel), which is often referred to as a multi-purpose canister (MPC), which forms the primary nuclear waste containment barrier. The fuel assemblies are typically loaded into the canister while submerged in the spent fuel pool of the reactor containment structure to minimize radiation exposure to personnel. The canisters which typically comprise a single metal shell have limited ability however to block or attenuate the gamma and neutron radiation emitted by the decaying SNF other than borated water remaining in the canister from the spent fuel pool. To transport the nuclear waste canister loaded with SNF or other waste, the canister is placed into a radiation-shielded outer ventilated overpack or cask for safe transport and storage of the waste. The cask forms the secondary containment barrier. Casks are used to transfer the SNF or other high level nuclear waste from the spent fuel pool (e.g. “transfer cask”) in the nuclear reactor containment structure to a more remote interim term storage such as in the dry cask storage system of an on-site or off-site independent spent fuel storage installation (ISFSI) until a final repository for spent nuclear fuel is available from the federal government. A typical modern transport cask, used to move radiative nuclear waste, including spent nuclear fuel, is a heavy cylindrical weldment transported over railroads or occasionally by sea on ships. A typical transport cask may be equipped with an impact limiter of some form at each extremity. The external diameter of such cask package is governed by the narrowest passage through which the rail car carrying the loaded cask must pass. Typically, the narrowest passageway in the cask package's travel path is a tunnel, or sometimes a low-profile bridge underpass. Since casks are extremely tall structures, the casks are typically transported in a horizontal position on the rail car. In the US, the outside diameter (OD) of the impact limiter is limited to 128 inches to avoid clearance issues in tunnels. In most other countries, it is even smaller. Impact limiters are fabricated from energy-absorbing materials that prevent or limit structural damage to the transport cask in case of an accident to prevent release of radiation to the environment. Such devices are mandate by the NRC (Nuclear Regulatory Commission) for nuclear waste transport packages such as casks and must undergo drop tests to evaluate their effectiveness. In the past, plastic foams, metal honeycombs, and wood have been used. Impact limiters made of organic materials such as wood have many drawbacks. Wood is inherently non-homogeneous and non-isotropic, its strength properties are affected by weather, and it is flammable. Therefore, the main appeal of wood impact limiter is low cost. The standard honeycomb impact limiter is made by placing alternate layers of solid corrugated aluminum sheets or panels 10 laid out in alternating orthogonal directions to each other and bonding the layers together by a high-temperature epoxy (see, e.g. FIG. 29). The layers are cut to a circular or other shape and stacked on top of each other being oriented transversely to longitudinal axis of the cask such that there are no openings between the layers extend in the longitudinal direction of the cask. Honeycomb impact limiters are typically time intensive and expensive to manufacture, and in generally scare supply. Accordingly, there remains a need for improvements in impact limiters for nuclear waste transport casks. The present application discloses a nuclear waste transport cask with improved impact protection provided by impact limiters which are economical to manufacture and overcome the drawbacks of the foregoing prior impact limiter designs. The present impact limiters comprise cylindrical structures which are detachably coupled to the top and bottom extremities of the cask. Each impact limiter may comprise a deformable and crushable annular metallic perforated impact barrel or sleeve of cylindrical shape comprising a plurality of elongated perforations in the form of longitudinal passages. The passages may have a circular cross-sectional shape in certain embodiments. The perforated sleeve has an annular metallic body of monolithic unitary structure in which the perforations are formed and an enlarged central opening to receive the ends of the cask therein. The longitudinal passages of the perforated sleeve form open passageways which extend between opposite ends of the sleeve in a direction parallel to each other, and in one embodiment parallel to the longitudinal axis of the vertically elongated transport cask. The passages define ligaments or webs of solid material between adjacent perforations. When the impact limiters are subjected to an inward-acting external impact force having a radial component (e.g. perpendicular or obliquely angled transversely to the longitudinal axis of the cask) caused by dropping the cask horizontally on its side or end first at an angled orientation to horizontal, the perforations radially collapse in the impact or crush zone. The outer webs in the impact zone increasingly deform inwardly under the impact while collapsing the perforations, and may contact at least some of the more inner webs in the crush zone which slows the progression of deformation and collapse of the impact ring is resisted by the solid web material. The amount of deformation experienced by perforation sleeve or ring is generally the result of the magnitude of the external impact force, diameter of perforations, pitch or spacing between the perforations, diameter of the perforations and web thickness, and modulus of elasticity of metal selected for the impact rings. In one example, the impact rings may be formed of a soft isotropic material such as without limitation a suitable grade or alloy of aluminum; however, other suitable metallic materials may be used. According to one aspect, a nuclear waste cask with impact protection comprises: a longitudinal axis; a longitudinally elongated cask body including a top end, a bottom end, a sidewall extending between the ends, and a cavity configured for holding a nuclear waste canister; and an impact limiter coupled to the top end of the cask body, the impact limiter comprising an annular perforated sleeve having a body including a central opening and a circumferential array of elongated longitudinal passages formed therethrough around the central opening. The body of the perforated sleeve may be formed of a solid metal ring of monolithic unitary structure. The longitudinal passages may be oriented parallel to each other and the longitudinal axis of the cask in one embodiment. According to another aspect, a nuclear waste cask with impact protection comprises: a longitudinal axis; a longitudinally elongated cask including a top end, a bottom end, a sidewall extending between the ends, and a cavity configured for holding a nuclear waste canister; and an impact limiter coupled to each of the top and bottom ends of the cask; the impact limiter comprising an outer shell and an inner perforated core of monolithic unitary structure. In one embodiment, the perforated core comprises an annular sleeve including a plurality of elongated longitudinal passages oriented parallel to the longitudinal axis of the cask. Further areas of applicability of the present invention will become apparent from the detailed description provided hereinafter. It should be understood that the detailed description and specific examples, while indicating the preferred embodiment of the invention, are intended for purposes of illustration only and are not intended to limit the scope of the invention. All drawings are schematic and not necessarily to scale. Features shown numbered in certain figures which may appear un-numbered in other figures are the same features unless noted otherwise herein. The features and benefits of the invention are illustrated and described herein by reference to non-limiting exemplary (“example”) embodiments. This description of exemplary embodiments is intended to be read in connection with the accompanying drawings, which are to be considered part of the entire written description. Accordingly, the disclosure expressly should not be limited to such exemplary embodiments illustrating some possible non-limiting combination of features that may exist alone or in other combinations of features. In the description of embodiments disclosed herein, any reference to direction or orientation is merely intended for convenience of description and is not intended in any way to limit the scope of the present invention. Relative terms such as “lower,” “upper,” “horizontal,” “vertical,”, “above,” “below,” “up,” “down,” “top” and “bottom” as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) should be construed to refer to the orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description only and do not require that the apparatus be constructed or operated in a particular orientation. Terms such as “attached,” “affixed,” “connected,” “coupled,” “interconnected,” and similar refer to a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as both movable or rigid attachments or relationships, unless expressly described otherwise. As used throughout, any ranges disclosed herein are used as shorthand for describing each and every value that is within the range. Any value within the range can be selected as the terminus of the range. In addition, any references cited herein are hereby incorporated by reference in their entireties. In the event of a conflict in a definition in the present disclosure and that of a cited reference, the present disclosure controls. As used herein, the terms “seal weld or welding” shall be construed according to its conventional meaning in the art to be a continuous weld which forms a gas-tight joint between the parts joined by the weld. Because the extent of crush depth available in the radial direction of the cask is limited by the diameter of the impact limiter (which is constrained by the size of the tunnels and bridges that the package must pass through as previously described herein), the challenge to limit the deceleration of the cask under horizontal or near-horizontal drop is more acute. Limiting the peak g-load under the horizontal (side drop) or near-horizontal (slap-down) angled drop conditions is the governing condition in the impact limiter's performance. This is attributed to the fact that the fuel basket panels of the spent nuclear fuel canister inside the outer cask have relatively limited capacity to withstand the inertia load of the fuel assemblies in their weak (lateral) direction. In the longitudinal direction, there is no such dimensional constraint; hence vertical and oblique (center of gravity or CG over the corner) drop orientations do not pose a similar challenge. To overcome the challenge of limiting deceleration of the package from a horizontal or near-horizontal fall, a new perforated impact limiter design and configuration which may comprise a perforation aluminum ring or sleeve in one non-limiting embodiment is disclosed. The term “aluminum” is used in a generic sense in this document meaning pure aluminum or any of the many aluminum alloys available in the industry. As further described below, the present perforated aluminum impact limiter is an assemblage comprising an essentially annular shaped cylindrical body of certain height and diameter that slides over the top and bottom ends of the cask's machined end flanges or forgings as further described herein. The impact limiter generally comprises an outer cap shell and an internal perforated core comprising in one embodiment an annular cylindrical perforated barrel or sleeve. The perforated sleeve may have a monolithic body comprising a central opening configured to slip over the top and bottom ends of the cask body. The “donut-shaped” perforated sleeve includes a plurality of elongated perforations forming longitudinal passages through the solid body of the sleeve. The passages have a greater longitudinal length than their respective diameters, as further described herein. The passages circumferentially extend 360 degrees around the entire sleeve in one embodiment. The longitudinal passages may be arrayed in a staggered pitch and may be tightly packed in one embodiment such that pitch spacing between adjacent perforations is not greater than the diameter of the smallest adjacent perforation. Accordingly, in one preferred pattern and pitch or hole spacing between perforations, a radial reference line drawn from the geometric center of the perforated sleeve outwards through the sleeve will intersect at least one perforation regardless of angular orientation of the reference line. In other words, the reference line cannot be drawn through any angular position from 0 to 360 degrees which will not pass through at least one perforation. The solidity ratio, “S” (defined as the ratio of the solid metal area formed by webs of material between the perforations to the total transverse cross-sectional area of the sleeve), provides the parameter that can be varied to achieve the required crush force resistance/crush performance. In contrast to the cross-core honeycomb panel constructions of the past as previously described herein, solid aluminum as a non-limiting metal of choice in one preferred embodiment is universally commercially-available in a host of product forms and is obtainable in numerous common alloy compositions with well-characterized and known precise mechanical properties. Advantageously, this makes the crush or impact resistance of the impact limiter more readily amendable to engineering analysis and computer modeling, and more predictable in impact performance than composite structures such as the past honeycomb design. In contrast to wood-based impact limiters, the present aluminum impact limiter is essentially temperature-insensitive in the range applicable to cask transport conditions (−40 C to 100 C) and subject to only minimal change in their strength moduli under dynamic (impact) conditions. The present perforated aluminum impact limiter has several critically important advantages over its honeycomb predecessor. Because aluminum is an isotropic material (i.e. identical values of mechanical properties in all directions), the impact limiter is assured to have essentially a radially symmetric crush property. In contrast, the honeycomb is an orthotropic material which imparts a certain variation in the crush characteristic of the impact limiter in the circumferential direction. Advantageously, an impact limiter with a radially symmetric crush strength provided by the present perforated aluminum sleeve design will deform uniformly regardless of the location of the impact force on the impact limiter unlike the honeycomb design. Unlike the honeycomb product, the present perforated aluminum impact limiter does not require any adhesives which therefore does not suffer in impact performance effectiveness in the event of a fire during transport or otherwise compared to its honeycomb counterpart. FIGS. 1-24 depict various aspects of a nuclear waste transport cask 20 with impact protection according to the present disclosure. Cask 20 may be used for storing any type of radioactive high level nuclear waste, including spent nuclear fuel (SNF) or other forms of radioactive waste. The cask is constructed to provide radiation shielding to ameliorate the gamma and neutron radiation emitted by the decaying spent nuclear fuel (SNF) or other high level radioactive waste held in the inner fuel storage canister 30 contained inside the cask. Cask 20 may be any commercially-available storage and/or transport cask, such as for example without limitation HI-STAR or HI-STORM casks available from Holtec International of Camden, N.J. or other. The SNF canister 30 may be any commercially-available waste canister such as a multi-purpose canister (MPC) also available from Holtec International or other. Cask 20 has a vertically elongated and metallic cylindrical body including an open top end 21, a bottom end 23, a cylindrical sidewall 24 extending between the ends, and an internal cavity 28. The cylindrical metallic SNF canister 30 (represented schematically by dashed lines and well known in the art) containing radioactive SNF fuel assemblies or other nuclear waste W is insertable into cavity 28 through top end 21, which is then closed by a bolt-on top lid assembly 25 to seal the cask 20. Cavity 28 extends for a full height of the cask in one embodiment. The cavity 28 is configured (e.g. transverse cross-sectional area) to hold only a single SNF canister 30 in one embodiment. The upper and lower extremities of cask 20 further include top and bottom end forgings 37, 38. Top end forgoing 37 has an annular structure defining a central opening for inserting the SNF canister 30 therethrough into cavity 28 of the cask. Bottom end forgoing 38 has a solid disk-like structure defining a centrally-located and circular bottom baseplate 29. Baseplate 29 disposed at the bottom end of the cask body forms a floor and support surface inside cavity 28 on which the SNF canister is seated. The cask body 21 including the forgings 37, 38, and inner shell 24a (described below) may be formed of steel, such as stainless steel which is effective at blocking gamma radiation. In one embodiment, baseplate 29 (bottom end forging 38) defines a downwardly open recess 29a which receives a circular disk-shaped radiation shielding plate 31 formed of radiation shielding material. The shielding material may be a boron-containing material such as Metamic® or Holtite™ (each a proprietary product of Holtec International of Camden, N.J.); the latter of which generally comprises hydrogen rich polymer impregnated with boron carbide particles for neutron shielding. Metamic® is a discontinuously reinforced aluminum boron carbide metal matrix composite material designed for neutron radiation shielding. Either shielding material is effective for neutron scattering/attenuation. Other neutron scattering/attenuation material may be used. In one embodiment, the radiation shielding plate 31 may be Holtite™. Top lid assembly 25 may include a inner lid 26 and outer lid 27 in one embodiment. Both the inner and outer lids are recessed into the top end of the cask body 21, more particularly top end forging 37, such that the lids do not protrude above the top end 21 of the cask. Lids 26 and 27 may be stacked on top of each other in abutting contact in one arrangement. Inner lid 26 may have a smaller outer diameter than the outer lid 25 which allows each lid to be fastened to a different circumferentially-extending annular surface of the top end forging 37. Inner lid 26 may be bolted onto the top end forging 37 by a first circumferential array of threaded fasteners 28 such as bolts. Outer lid 27 may be bolted onto the top end forging of the cask by a second circumferential array of threaded fasteners 28 such as bolts which fall on a different bolt circle outside the bolt circle formed by the bolts for the inner lid. Inner and outer lids 26, 27 may be formed of metal such as steel (e.g. stainless steel in one embodiment) and has a substantial thickness selected to effectively block gamma radiation emitted by the canister 30. The inner and outer lids 26, 27 may be formed of steel such as stainless steel in some embodiments. The sidewall 24 of cask 20 may be formed by multiple vertically elongated cylindrical shells and radiation shielding materials. Alternatively, sidewalls 24 may be collectively formed by a plurality of axially aligned and vertically stacked cylindrical shell segments seal welded together at the joints therebetween to form an elongated shell assemblage. In one embodiment, the cask body may be a composite construction generally comprising a structural inner shell 24a, intermediate gamma shield 24b, and outer neutron shielding jacket 40. Shell 24a, gamma shield 24b, and jacket 40 may be generally annular and cylindrical in shape, and are concentrically aligned with each other and longitudinal axis LA of cask 20. Inner shell 24a may be formed of a structural metal such as steel (e.g. stainless steel or other) which forms the innermost part of sidewall 24 whose interior surface forms the cavity 28 of the cask which holds nuclear waste canister 30. The intermediate gamma shield 24b may be formed of a radiation shielding material, and more particularly a gamma shielding material effective for blocking gamma radiation emitted by the SNF stored in nuclear waste container 30 held inside the cask 20. Intermediate shield 24b may be formed of lead of suitable thickness in some embodiments. However, other dense gamma blocking materials such as concrete, copper, suitably thick steel, etc. may alternatively be used as some non-limiting additional examples. The inner shell 24a and gamma shield 24b may be in substantial conformal contact in some embodiments as shown, or alternatively may be radially spaced apart forming an annular gap therebetween. Both the inner shell and gamma shield formed of dense steel and lead material types described above are each effective for gamma blocking applications. The inner steel shell 24a provides the bulk of the structural support of the cask sidewall 24 and is welded to top and bottom end forgings 37, 38. The cylindrical outer neutron shielding jacket 40 extends perimetrically and circumferentially around the sidewall 24 of cask 20 between the top and bottom ends of the cask. The jacket may extend longitudinally for substantially the entire height of the cask. The jacket 40 may be formed of a boron-containing neutron shielding material such as Metamic® or Holtite™ (each a proprietary product of Holtec International of Camden, N.J.). These materials were previously described herein and are effective for neutron scattering/attenuation. In one embodiment, the jacket may be formed of Holtite™. Other neutron scattering/attenuation material may be used. In some constructions, the jacket 40 may be formed by two or more arcuate segments which are coupled together such as via welding or mechanical fastening methods. An outer metallic shell enclosure 41 which encases the neutron shielding jacket 40 may be provided in some embodiments for protection of the neutron shielding material. Outward facing upper and lower impact load bearing surfaces 35, 36 are formed by exposed side portions of top and bottom end forgings 37, 38 of cask 20 above and below the neutron shielding jacket 40 in one embodiment as shown. The end forgings may be seal welded to the top and bottom ends of the inner shell 24a. Bearing surfaces 35, 36 extend circumferentially around the entire perimeter of the cask and face radially/laterally outwards. In one embodiment, the bearing surfaces may be formed by annular stepped portions 22 of the cask sidewall 24 at the top and bottom ends 21, 23 of the cask 20. The bearing surfaces 35, 36 represent reduced diameter stepped end portions of the cask 20 formed by the end forgings 37, 38 having a smaller outside diameter than the outside diameter of shielding jacket 40 on the main middle portion of the cask sidewall. Bearing surfaces 35, 36 are therefore recessed radially inwards from the adjoining full diameter portions of the cask sidewall 24 below the upper bearing surface 35 and above the lower bearing surface 36 as shown. Pairs of upper and lower lifting lugs or trunnions 32 may be provided for lifting, transporting, and loading the cask 20 onto the rail car or other movable carrier via a motorized cask crawler typically driven by tank-like tracks for hauling the extremely heavy casks (e.g. 30 ton or more). Such robust cask crawlers are well known in the art without need for further elaboration and conventionally used for transporting and raising/lowering casks at a nuclear reactor facility (e.g. power generation plant or other) or interim nuclear waste storage facility. Cask crawler transporters are commercially-available from manufacturers such as J&R Engineering Co. of Mukwonago, Wis. (e.g. LIFT-N-LOCK®) and others. The trunnions 32 are rigidly attached to the inner steel shell 24a of the cask 20 such as via welding or another rigid coupling method. The top and bottom impact limiters 50 according to the present disclosure will now be described. FIGS. 13-24 show the impact limiters and aspects thereof in greater detail. Each impact limiter 50 generally comprises an outer protective cap shell 51, impact-absorbing core comprising perforated sleeve 80, and annular closure plate 70. Cap shell 51 in one embodiment includes a circular end wall 52 and a cylindrical sidewall 53 extending longitudinally from the end wall parallel to longitudinal axis LA of cask 20. End wall 52 defines an outer surface 58 including a plurality of fastener openings 57 to access fasteners used to secure the impact limiters 50 to cask 20, as further described herein. An innermost end of sidewall 53 opposite the end wall 52 (i.e. end of the sidewall proximate to cask 20 when impact limiter is mounted) defines an annular edge 59. Cap shell 51 defines an internal end cavity 51a which is filled with a suitable energy absorbing material 45 that is crushable to dissipate external impact forces which might be caused by an end drop of the cask 20 (i.e. vertical drop on cask on end or slight oblique angle thereto). The energy absorbing material 45 may be a suitable preferably fire-resistant energy absorbing substance or structural assemblage. In one embodiment, the energy absorbing material may be a conventional honeycomb impact limiter formed by cross-laid corrugated aluminum panels 10 as previously described herein and shown in FIG. 29. In this application, the honeycomb arrangement of panels is used for cask end impact situations while side drop impact protection is provided by the perforated sleeves 80 further described herein collectively forming a hybrid impact limiter. The panels 10 would be oriented such that the plane of each panel is oriented perpendicularly to longitudinal axis LA of the cask (i.e. cross-wise). Open areas between the panels would therefore be arranged in the lateral/radial direction, not longitudinally. In another embodiment, the energy absorbing material 45 may be a crushable polymeric foam material of suitable density (e.g. polyethylene, etc.). In one embodiment, the energy absorbing material 45 may fill the end cavity 51a such that the material has a longitudinal thickness substantially greater than perforated sleeve 80, and may comprise a majority of the total longitudinal height of the cap shell 51. In some cases, the shell 51 may further provide structural support to the impact limiter assembly. In one construction, an annular spacer 71 may be provided which forms an annular gap between the end wall 52 of the cap shell 51 and the perforated sleeve 80 to space the sleeve longitudinally apart from the end wall (see, e.g. FIGS. 14-15). Cap shell 51 may be formed of a suitable metal, such for example without limitation thin gauge stainless steel. Other metal materials including suitable gauge aluminum or other can be used. The cap shell provides a protective outer skin that encloses the energy-absorbing perforated sleeve 80 and energy absorbing material 45 at the outboard ends of the impact limiters 50 which shields the sleeve and energy absorbing material from minor damage, fire, and weather during transport and handling. Cap shell 51 includes a centrally-located cylindrical collar 55 defining an open circular receptacle 56. Collar 55 projects inwardly in a longitudinal direction from the end wall 52 of the cap shell towards the cask 20. Collar 55 is spaced radially inward from sidewall 53 to define an open annulus 54 configured for receiving and mounting perforated sleeve 80 therein. Sleeve 80 becomes fully nested within the annulus 54 and cap shell 51 when positioned in the impact limiter 50. Perforated sleeve 80 is located inboard of end wall 52 for both the top and bottom impact limiters. Once the perforated sleeve is mounted in annulus 54, closure plate 70 may be welded to annular edge 59 and/or collar 55 to retain the sleeve in the cap shell. The impact limiters 50 may be detachably mounted to the lid assembly of the cask 20 via a plurality of threaded fasteners 60 such as bolts. Fasteners 60 may be supported by a circular metallic bolting plate 64 positioned inside circular receptacle 56 formed in the cap shell 51 by collar 55. Fasteners 60 project towards the cask 20 from bolting plate 64 in receptacle 56 to threadably engage corresponding threaded sockets or bores 61 formed in the upper outer lid 27 and the baseplate portion of the bottom end forging 38 when the top and bottom end forgings of cask 20 are insertable received in central receptacle 56 of the impact limiters. The enlarged heads of the bolts do not pass through bolting plate which may be welded to the collar 55 while the threaded shanks of the bolts pass through respective openings in the bolting plate to project inwards from the bolting plate to threadably engage the cask (see, e.g. FIGS. 14 and 15). Bolting plate 64 may be formed of a suitably strong metal, such as without limitation carbon or stainless steel for strength. The bolting plate 64 is compressed by the impact limiter fasteners 60 against the uppermost exposed outer lid 27 of cask 20 at top and radiation shielding plate 31 at the bottom of the cask when the impact limiter 50 is detachably coupled thereto. Bolting plate 64 may be spaced longitudinally apart from energy absorbing material 45 in one embodiment. A circular radiation shielding disk 63 with bolt holes may be interposed between bolting plate 64 and the energy absorbing material. Radiation shielding disk may be formed of a radiation shielding material effective for neutron attenuation, such as without limitation Holtite™ previously described herein. Other neutron absorbing materials or gamma blocking materials such as lead may be used in other embodiments depending on the radiation shielding needs. In other embodiments, the shielding disk 63 may be replaced by a disk of thermal fire-resistance insulation for added protection of the cask against a fire event. Longitudinally-extending fastener openings 57 formed through the energy absorbing material 45 of each impact limiter provide access to the fasteners 60 for tightening and coupling the impact limiters 50 to the cask 20. The bottom radiation shielding plate 31 of cask 20 may also include a plurality of longitudinally-extending fastener openings 62 which permit the fasteners to reach and access the threaded bores 61 in the bottom end forging 38 (see, e.g. FIG. 15). When mounted on cask 20, the impact limiters 50 have an outside diameter D1 which is larger than the outside diameter D2 of the cask (defined by the exterior surface of radiation shielding jacket 40 (identified in FIG. 13). The outside diameter D3 of the perforated sleeve 80 similarly is larger than cask outside diameter D2. Accordingly, the impact limiters are configured to each protrude radially outward beyond the body of cask to protect the cask if dropped. The deformable impact limiters, and not the cask, will first strike the impact surface (e.g. ground or concrete slab generally) to absorb and dissipate the impact force or kinetic energy of the fall. Perforated sleeve 80 may have an annular body 80a formed of a base metal such as without limitation aluminum or aluminum alloy in one non-limiting preferred embodiment. The body may be a solid metal monolithic body of unitary structure in one embodiment. This construction advantageously allows the perforated sleeve to absorb and mechanically deform in response to an external impact force as an integral solid unit in a directionally uniform manner. In other possible constructions, the body of perforated sleeve 80 may be formed by composite construction formed by multiple stacked and welded annular metal ring segments having the same mounting and impact absorbing features as the monolithic sleeve described further below. FIG. 30 shows one non-limiting example of such a composition construction. The segmented perforated sleeve comprises at least two ring segments 80-1, 80-2 which are abuttingly engaged and stacked upon each other at a flat-to-flat interface between mating major end surfaces 87 of the ring segments which form a joint 95 therebetween. The segments 80-1, 80-2 may be welded together at their inner and outer circumferential peripheries. More specifically, welds may be formed between the annular abutting outer circumferential walls 85 of the abutted segments at the exposed outboard portions 95a of the joint 95. Welds may also be formed between the annular abutting inner circumferential wall 87 within central opening 82 of the segments 80-1, 80-2 at the exposed inboard portions 95b of the joint. Intermittent stitch welds spaced circumferentially apart or full circumferential welds may be used to permanently join the ring segment; both welding methods of which are well known in the art without further explanation. The composite perforated sleeve 80 may be built in segments to the desired height of the sleeve by permanently joining a suitable number of segments together of individual height. The array of collapsible perforations in each ring segment would be concentrically aligned with each other in the stack to form continuous longitudinal passages 81 which extend for the full height of the stack and perforated sleeve 80. With continuing general reference now to FIGS. 1-24, the perforated sleeve body 80a may comprise a central opening 82 and a circumferential array of perforations comprising elongated longitudinal passages 81 formed between flat and parallel opposing major end surfaces 84 of the body. Central opening 82 receives the top and bottom ends 21, 23 of cask 20. Passages 81 may extend completely through the major end surfaces in one non-limiting preferred embodiment; however, in other possible embodiments the passages 81 may extend only partially through annular body of the sleeve. Cylindrical outer circumferential wall 85 and inner circumferential wall 87 extend longitudinally between the major end surfaces 84 of the perforated sleeve 80 parallel to longitudinal axis LA. The inner circumferential wall 87 of perforated sleeve 80 defines an inward facing annular load transfer surface 86 which engages the annular outer surface 55a of collar 55 facing outward towards annulus 54 when the sleeve is positioned in the annulus of impact limiter 50. The opposite annular inner surface 55b of collar 55 facing inward toward receptacle 56 is positioned to engage the top and bottom outward facing annular impact load hearing surfaces 35, 36 of cask 20 when the impact limiters 50 are installed on the cask. The impact sleeve 80, collar 55, and bearing surfaces 35, 36 of the cask are laterally/radially aligned when the impact limiters 50 are mounted on the top and bottom ends of the cask (see, e.g. FIGS. 10-11). This allows the radially inward directed impact load or force resulting from an impact event to be distributed radially through the impact sleeve 80 to the cask to be absorbed by the more structurally robust top and bottom end forgings 37, 38 rather than the steel inner shell 24a, lead intermediate gamma shield 24b, and outer boron-containing neutron shielding jacket 40. These latter components are structurally weaker in the radial/lateral direction and/or thinner in lateral thickness than the end forgings 37, 38 as shown, and hence are more susceptible to damage due to impact loads which could breach the nuclear waste containment package (i.e. cask). Radially acting external impact forces are transmitted through in turn (from outside to inside) the impact sleeve 80, to collar 55, and finally to the cask load bearing surfaces 35, 36 of the cask end forgings 37, 38. With continuing reference to FIGS. 13-24, the longitudinal passages 81 may be oriented parallel to each other and extend between major end surfaces of the perforated sleeve 80. Accordingly, none of the passages may intersect any other passages. In one embodiment, the longitudinal passages may further be oriented parallel to the longitudinal axis LA of the cask when mounted thereon. In such an orientation, passages 81 are oriented perpendicular to the opposing major end surfaces 84 of the perforated sleeve 80. Longitudinal passages 81 may have a circular transverse cross section which allows them to be readily formed by drilling the solid metallic body of the perforated sleeve. However, other cross-sectional shapes are possible. The passages may each have a longitudinal length Lp which is greater than their respective diameter Dp (see, e.g. FIGS. 23-24). In some non-limiting preferred embodiments, the longitudinal passages each have a length Lp greater than at least two times their respective diameter Dp. This allows formation of a longitudinally thick perforated sleeve 80 for greater lateral and oblique impact resistance, and protection of the cask 20 in surviving falls. The end wall 52 of impact limiter 50 may have a longitudinal thickness which is at least twice the longitudinal thickness of perforated sleeve 50 in some embodiments. The array of longitudinal passages 81 of perforated sleeve 80 may be dispersed in a full 360 degree pattern around an entirety of the perforated sleeve as best shown in FIG. 23. In one embodiment, the array of longitudinal passages 81 may be arranged in multiple circumferentially-extending concentric rings Rn of longitudinal passages which extend circumferentially around the perforated sleeve. In some embodiments, at least 3 rings Rn may be provided. In the non-limiting illustrated embodiment, 7 rings are shown. Any suitable number of rings may be provided depending on the radial width of the perforated sleeve 80, diameter Dp of the longitudinal passages 81, and other design factors. Longitudinal passages 81 in each ring Rn may be uniformly spaced apart in one implementation. The longitudinal passages 81 may be arrayed in a triangular staggered pitch or hole pattern as best shown in FIG. 23. In certain embodiments, a 60 degree hole pattern may be used in which passages 81 in adjacent rings Rn are located at an acute angle A1 of 60 degrees to each other. Other angles and hole patterns may be used. The staggered hole pattern allows a maximum number of passages 81 to be formed in perforated sleeve 80 due to the circumferentially offset positioning of passages between adjacent rings Rn (i.e. a passage 81 in the next inward or outward adjacent ring Rn to a present first ring under consideration is located between each of two passages in a first ring as shown). The result is a tightly packed pattern of longitudinal passages 81 in the perforated sleeve 80, thereby concomitantly maximizing the open area which can be provided to control and maximize the deformability of the sleeve to absorb lateral drop-induced impact loads/forces. The longitudinal passages 81 in each concentric ring Rn may have progressively larger diameters than the inwardly immediate adjacent ring of longitudinal passages such that the diameters increase in size moving radially outwards from the geometric center C of perforated sleeve 80 through the rings. Accordingly, in such a constructions, longitudinal passages 81 of an outermost ring Rn each have larger diameters than those in an innermost ring of longitudinal passages closest to the geometric center C and central opening 82 of perforated sleeve 80. Longitudinal passages 81 in diagonal rows Dr of passages 81 in the sleeve may be spaced at a hole pitch P1 which progressively gets larger between each adjacent ring Rn of passages moving in a radially outward direction from the central opening 82. In additional, the pitch P2 between longitudinal passages 81 in each concentric ring of passages may also become progressively larger moving in a radially outward direction. Accordingly, the pitch P2 between passages 81 in the outermost ring Rn is larger than pitch P2 between passages in the innermost ring. Referring to FIGS. 22-24, longitudinal passages are separated by a relatively thin ligament or web 90 of material of the solid metallic body of the perforated sleeve 80. A web thickness T1 is defined between adjacent longitudinal passages 81 which is measured perpendicularly to the passage lengths Lp as shown in FIG. 23 (perpendicularly to longitudinal axis LA of cask). The webs 90 extend fully between the opposing major surfaces 84 of the perforated sleeve between the passages 81. In various embodiments, the webs 90 between adjacent passages 81 may preferably be smaller in thickness T1 than the diameter Dp of the largest diameter longitudinal passage, and more preferably smaller than the smaller diameter longitudinal passage (i.e. innermost ring Rn of passages). The thin webs 90 in conjunction with the hole pattern and pitch (spacing) between longitudinal passages 81 result in a tightly packed perforations such that a radial reference line R drawn from the geometric center of the perforated sleeve 80 outwards through any portion of the sleeve will intersect at least one perforation regardless of angular orientation of the reference line. The solidity ratio “S” is defined as the ratio of the solid metal area formed by the webs 91 of material between the longitudinal passages 81 divided by the total transverse cross-sectional area of the perforated sleeve 80 (calculated across major end surfaces 84 perpendicular to longitudinal axis LA). In one non-limiting preferred embodiment, the solidity ratio S may be less than 0.5 resulting in an open area of the sleeve 80 collectively formed by the longitudinal passages 81 being greater than 50% and solid areas concomitantly being less than 50%. The greater the open area, the generally greater the ability of the perforated sleeve to deform under lateral impact loads or forces acting perpendicularly (lateral/horizontal cask drop) or obliquely (angled cask drop) to the longitudinal axis LA of cask 20. In other embodiments where less deformability might be required, the open area of sleeve 80 may be less than 50% and solid area greater than 50% resulting in more solid area (i.e. solidity ratio greater than 0.5). As previously noted herein, the solidity ratio provides the engineering parameter that can be varied to achieve the required crush force resistance/crush performance of the perforated sleeve. It bears noting that other hole patterns (e.g. square, etc.), other non-polygonal or polygonal hole shapes (e.g. oblong slots, ellipses, squares, rectangles, triangles, hexagons, etc.) and hole pitches may be used in other embodiments contemplates. Accordingly, the invention is not limited to the hole shape, hole pattern, or pitches described herein. Computer Testing/Analysis of Perforated Sleeve To evaluate the crush performance of the perforated aluminum perforated sleeve 80 of impact limiter 50 disclosed herein in lateral drop scenarios, a 109 metric ton cask protected during a lateral (horizontal) drop event (per 10 CFR 71.73) by the perforated sleeve was computer analyzed. This so-called free drop accident postulates a fall from 30 feet onto an essentially rigid surface. The following impact limiter geometry was computer modeled: Inner diameter of cylinder=86.75 inches; Outer diameter=123.75 inches; and Longitudinal Thickness (longitudinal major end surface to major end surface=13.0 inches″). The raw workpiece comprising a 6061-T6 aluminum ring (illustrated in FIGS. 22-24) was drilled with 7 rows of circular longitudinally-extending holes to form the longitudinal passages 81. The diameters of the passages range from 2.125 inches (innermost passages) to 2.875 inches (outermost passages) with an increment of 0.125 inch between adjacent circumferential concentric rings Rn previously described herein. There are 100 longitudinal passages 81 of same diameter in each row. The solidity ratio “S” of the perforated aluminum ring or sleeve used for the impact limiter was 0.455. The 30-feet lateral (horizontal) drop event is simulated on the computer code LS-DYNA. FIG. 25 shows the deformed crushed shape of the impact limiter after the impact event. FIGS. 26 and 27 respectively show the impact deceleration-time history plot of the cask and the cask to ground (target surface) time history (a zero gap at the end of the impact is undesirable). FIG. 26 shows the peak deceleration to be limited to about 65 g's which indicates excellent impact limiter performance for this class of problems. FIG. 28 shows cask 20 with impact limiters 50 on each end loaded onto a typical low-body rail car 100 (“low boy”) for transport. Cask 20 is transported in the horizontal position as shown to the intended destination site. Aspects and contemplated variations of the impact limiter 50 utilizing the perforated ring or sleeve 80 are as follows. The perforated sleeve 80 may be made of a perforated aluminum that can be used to efficiently extract the kinetic energy from a falling transport package—cask, so as to limit the deceleration suffered by its contents including the nuclear waste container 30 with spent fuel assemblies (SNF) contained therein. Typical aluminum materials that are suited for this application inn constructing the perforated sleeve 80 include without limitation pure aluminum (Al 1100), alloy 5052, alloy 6061 and alloy 6063, among others. Collectively, these materials are referred to as “soft isotopic” metallic materials. The perforated sleeve 80 can be manufactured by machining (e.g. drilling or other method) the soft-isotopic material castings or plates to form the longitudinal passages 81. Extruding blocks of the soft-isotopic material to form the ring shaped base material or workpiece prior to machining the passages may also be used. While circular perforations (longitudinal passages 81) in transverse cross section are desirable due to simplicity in their formation, the perforations in sleeve 80 can be other cross-sectional shaped including without limitation square, hexagonal or another fabricable geometric shape. Finally, in lieu of a cylindrical sidewall 85 as shown herein (i.e. straight and parallel to longitudinal axis LA), the perforated sleeve 80 can have other shaped sidewalls such as without limitation a frustoconically tapered or stair-cased (multi-stepped) sidewall in the radial direction to obtain the desired crush-force relationship. While the foregoing description and drawings represent some example systems, it will be understood that various additions, modifications and substitutions may be made therein without departing from the spirit and scope and range of equivalents of the accompanying claims. In particular, it will be clear to those skilled in the art that the present invention may be embodied in other forms, structures, arrangements, proportions, sizes, and with other elements, materials, and components, without departing from the spirit or essential characteristics thereof. In addition, numerous variations in the methods/processes described herein may be made. One skilled in the art will further appreciate that the invention may be used with many modifications of structure, arrangement, proportions, sizes, materials, and components and otherwise, used in the practice of the invention, which are particularly adapted to specific environments and operative requirements without departing from the principles of the present invention. The presently disclosed embodiments are therefore to be considered in all respects as illustrative and not restrictive, the scope of the invention being defined by the appended claims and equivalents thereof, and not limited to the foregoing description or embodiments. Rather, the appended claims should be construed broadly, to include other variants and embodiments of the invention, which may be made by those skilled in the art without departing from the scope and range of equivalents of the invention.
abstract
Methods and systems are described for processing cellulosic and lignocellulosic materials and useful intermediates and products, such as energy and fuels. For example, irradiating methods and systems are described to aid in the processing of the cellulosic and lignocellulosic materials. The electron beam accelerator has multiple windows foils and these foils are cooled with cooling gas. In one configuration a secondary foil is integral to the electron beam accelerator and in another configuration the secondary foil is part of the enclosure for the biomass conveying system.
abstract
Methods, apparatuses, and systems for design, fabrication, and use of an ion trap with variable pitch electrodes are described herein. One apparatus includes an ion trap and a plurality of variable pitch electrodes disposed on the ion trap. A respective electrode of the plurality of electrodes can have a first pitch in a first region of the trap and a second pitch in a second region of the trap.
summary
abstract
An X-ray computed tomography apparatus, comprises an X-ray generator, an X-ray detector, a controller, and a display. The X-ray generator is configured to generate a first X-ray in a pre-scan mode and a second X-ray in an image scan mode. The X-ray detector is configured to detect a first transmission X-ray exposed through a specimen to a first exposure width of the X-ray detector along a body axis of the specimen, resulting from the first X-ray at a first scanning position in the pre-scan mode. The X-ray detector is further configured to detect a second transmission X-ray exposed through the specimen to a second exposure width of the X-ray detector along the body axis of the specimen, resulting from the second X-ray at a second scanning position in the image scan mode. The first exposure width is narrower than the second exposure width. The controller is configured to control the first scanning position and the second scanning position. The display is configured to display a first image based on the first transmission X-ray detected by the X-ray detector and a second image based on the second transmission X-ray detected by the X-ray detector.
039869253
abstract
Power plant with a plurality of similarly constructed, elongated units, each unit including a closed working fluid loop for MHD-conversion. The electrical outputs are connected in parallel on a common bus. Prime heater is a nuclear reactor distributed among the units, and separately controlled for each of them by a computer, to operate a unit in the cooling mode or in the power mode, depending upon overall power demand. Each unit includes a compressor-turbine aggregate for circulating air for heat exchange with the working fluid.
claims
1. An apparatus for holding radioactive objects, comprising:a base;a central pillar extending upwardly between a bottom end coupled to the base and a top end above the base;a plurality of inner segments spaced around the central pillar; anda plurality of outer segments spaced around the inner segments to form pairs,wherein the inner segments, the outer segments and the central pillar are coupled together to permit limited radial movement of at least one of the segments of each pair,wherein each pair defines a generally vertical, object-receiving channel arranged between the inner and outer segment of the pair,wherein the segments of each pair are adapted to bear against an object in the channel of the pair to laterally restrain the object and facilitate heat transfer from the object, andwherein the channels are connected by a first annular space that extends around the central pillar between the inner segments and the outer segments. 2. The apparatus of claim 1, wherein each of the inner segments is adapted to move generally radially with respect to the central pillar. 3. The apparatus of claim 2, further comprising at least one fastening element coupling each of the inner segments with the central pillar. 4. The apparatus of claim 3, wherein the at least one fastening element is received in a bore formed in the inner segment. 5. The apparatus of claim 4, wherein each of the inner segments comprises a drainage conduit extending between top and bottom surfaces of the inner segment, and the drainage conduit is in fluid communication with the bore. 6. The apparatus of claim 5, wherein each of the outer segments is adapted to move generally radially with respect to the central pillar. 7. The apparatus of claim 6, further comprising at least one fastening element coupling each of the outer segments with the respective one of the inner segments. 8. The apparatus of claim 7, wherein the at least one fastening element is received in a bore formed in the outer segment. 9. The apparatus of claim 8, wherein each of the outer segments comprises a drainage conduit extending between top and bottom surfaces of the outer segment, and the drainage conduit is in communication with the bore. 10. The apparatus of claim 2, wherein each of the inner segments is biased outwardly with respect to the central pillar. 11. The apparatus of claim 10, further comprising at least one spring element arranged between each of the inner segments and the central pillar to bias the inner segments outwardly. 12. The apparatus of claim 1, wherein each of the outer segments is adapted to move generally radially with respect to the central pillar. 13. The apparatus of claim 12, further comprising at least one fastening element coupling each of the outer segments with the respective one of the inner segments. 14. The apparatus of claim 13, wherein the at least one fastening element is received in a bore formed in the outer segment. 15. The apparatus of claim 14, wherein each of the outer segments comprises a drainage conduit extending between top and bottom surfaces of the outer segment, and the drainage conduit is in communication with the bore. 16. The apparatus of claim 12, wherein each of the outer segments is biased outwardly with respect to the central pillar. 17. The apparatus of claim 16, further comprising at least one spring element arranged between each of the outer segments and the respective one of the inner segments to bias the outer segment outwardly. 18. The apparatus of claim 1, wherein each of the channels comprises a beveled top opening to facilitate loading of the objects into the channels. 19. The apparatus of claim 1, further comprising a second annular space that extends around the central pillar between the central pillar and the inner segments. 20. The apparatus of claim 1, further comprising a radial gap extending between each of the pairs of segments and an adjacent pair. 21. The apparatus of claim 20, wherein each of the outer segments comprises chamfered side faces, so that the radial gap extends radially outwardly into a generally triangular passage. 22. The apparatus of claim 1, wherein the central pillar comprises a drainage passage extending between the top and bottom ends. 23. The apparatus of claim 22, wherein the central pillar comprises a plurality of drainage ports extending generally radially between the drainage passage and an outer surface adjacent to the inner segments. 24. The apparatus of claim 22, wherein the top end of the central pillar is adapted for attachment to a handling tool. 25. The apparatus of claim 1, wherein:each of the inner segments is adapted to move generally radially with respect to the central pillar;each of the inner segments is biased outwardly with respect to the central pillar;each of the outer segments is adapted to move generally radially with respect to the central pillar;each of the outer segments is biased outwardly with respect to the central pillar; andfurther comprisingat least one first spring element arranged between each of the inner segments and the central pillar to bias the inner segments outwardly, andat least one second spring element arranged between each of the outer segments and the respective one of the inner segments to bias the outer segment outwardly.
050826174
claims
1. An isotopic heat source comprising: at least one isotopic fuel stack, comprising alternating layers of: thulium oxide; and a low atomic weight diluent for thulium oxide; a heat block defining holes into which the fuel stacks can be placed; at least one heat pipe for heat removal, with said heat pipe being positioned in the heat block in thermal connection with the fuel stack; and a structural container surrounding the heat block. PG,19 at least one layer of insulation surrounding the heat block. at least one layer of radiation shielding surrounding the heat block. two layers of shielding surrounding the heat block and defining a free convection space that separates the two layers of the shielding. a working fluid contained in the heat pipe, said working fluid being suitable for conversion from liquid to vapor phases in the heat source area. means for producing capillary action along the inner surface of the heat pipes so that condensed heat pipe working fluid can flow back along the inner surface of the heat pipes to the heat source area. a single phase gas reservoir connected to the heat pipe to supply a single phase gas suitable for restricting the flow of the heat pipe working fluid and thus the heat rejection surface of the heat pipe. 2. A heat source in accordance with claim 1 further comprising, 3. A heat source in accordance with claim 1 further comprising, 4. A heat source in accordance with claim 1 further comprising, 5. A heat source in accordance with claim 1 wherein the heat pipes are oversized in length so as to extend beyond the heat source and the heat exchanger. 6. A heat source in accordance with claim 5 further comprising, 7. A heat source in accordance with claim 6 wherein the heat pipe has an inner surface comprising, 8. A heat source in accordance with claim 7 further comprising, 9. The isotopic heat source of claim 1 wherein the thulium oxide is thulium-169 oxide which is neutron activated to produce thulium-170 l oxide. 10. The isotopic heat source of claim 1 wherein the diluent is graphite. 11. The isotopic heat source of claim 1 wherein the thulium oxide layers do not exceed 1 cm in thickness.
abstract
An illumination system is used to illuminate a specified illumination field of an object surface with EUV radiation. The illumination system has an EUV source and a collector to concentrate the EUV radiation in the direction of an optical axis. A first optical element is provided to generate secondary light sources, and a second optical element is provided at the location of these secondary light sources, the second optical element being part of an optical device which includes further optical elements, and which images the first optical element into an image plane into the illumination field. Between the collector and the illumination field, a maximum of five reflecting optical elements are arranged. These optical elements reflect the main beam either grazingly or steeply. The optical axis, projected onto an illumination main plane, is deflected by more than 30° between a source axis portion and a field axis portion. In a first variant of the illumination system, at least an axis portion between at least two of the reflecting optical elements is inclined relative to the illumination main plane. In a second variant of the illumination system, the optical device, in addition to the second optical element includes precisely three further optical elements, i.e. a third optical element, a fourth optical element and a fifth optical element. In this second variant, the optical axis meets the third, fourth and fifth optical elements at an angle of incidence which is greater than 70°. This construction variants make possible either an increase of the EUV throughput of the illumination system for a given size, or a reduction of the size of the illumination system and thus of the associated projection exposure system for a given EUV throughput.
summary
summary
056065825
description
DETAILED DESCRIPTION OF AN EMBODIMENT OF THE INVENTION FIG. 1 shows in front view the vessel 1 of a pressurized water nuclear reactor, sealed in its upper portion by a hemispherical cover 2 supporting tight enclosures 3. Within each of the tight enclosures 3 is placed a mechanism 4 permitting the displacement of a control rod 5 of an absorber fuel rod cluster 6. This mechanism is preferably of the screw-nut type with a separable screw. The absorber rod cluster 6 is placed in the lower portion of the control rod 5 in suspended manner. The fuel rods have a neutron absorbing power. The core of the reactor 7 is constituted by a juxtaposed fuel assembly system 8. The upper limit of the core 7, just above said fuel assemblies 8, is defined by a core plate 9. The regulation of the reactivity of the core 7 is consequently ensured by introducing to a more or less deep extent the absorber clusters 6, into certain of the fuel assemblies 8 of the core 7. Above the core 7, the absorber clusters 6 slide within cases referred to as "cluster guides" constituted by two superimposed elements 10a, 10b vertically suspended on an upper support plate 11 attached to the vessel and connected to the core plate 9 by fixing posts 12. These equipments located above the core form a rigid assembly called "upper internal equipments". Perforated transverse plates 13 are placed at different levels within the cluster guides 10b and ensure a discontinuous guidance of the different elements of the cluster. Another continuous guidance element 14 is installed in the lower portion of the lower cluster guide element 10b, in an area where the water passes radially out of the cluster guide in the direction of the vessel outflow tubes. In the right-hand part of FIG. 2 and in particular FIG. 4, showing the lower portion of the device 16 according to the invention, it is possible to see on a larger scale the continuous guidance element 14 constituted by slotted sleeves 15 positioned in a vertical manner. The device 16 connects the control rod 5 to a fixing part 17 for the absorber clusters 6. The fixture is constituted by a pommel 18 for assembling with the control rod 5 and equipped with fuel rod-supporting, radial arms 19. Core reloading or similar operations are performed in water, following the dismantling of the vessel sealing cover. During these operations, the temperature within the vessel must be kept below a certain level, e.g. 60.degree. C., and the absorber clusters 6 must remain completely inserted within the fuel assemblies 8, not shown in FIG. 2, their pommel 18 resting on the upper end piece 20 of the fuel assembly 8. A special tool for manipulating the device according to the invention can be stowed at the upper end of the control rod 5, once the vessel cover has been removed. The control rods 5 are removed by raising upper internal equipments, once the control rods 5 are disconnected and disengaged from the pommel 18 of the associated absorber cluster. During this removal operation, the control rods 5 are raised by upper internal equipments, by means of a shoulder 21 which they have in the lower portion and which is driven by attachment teeth 22 provided on the continuous guidance sleeves 15. The pommel 18 of the absorber cluster 6 has an opening 23 and a cylindrical recess 24 for receiving the lower end of the control rod 5. A cylindrical locking chamber 6 is machined within the recess 24. The upper portion of the locking chamber 26 is connected to the recess 24 by a conical contraction 27. The control rod 5 is constituted by a cylindrical sheath 28, contracted in its lower portion over a certain height and then terminated by a solid shaft 29 penetrating the opening 23. The sheath 28 of the control rod 5 is provided in the lower part of this contraction on the same axial side with a series of truncated cone-shaped radial notches 30 and whose base issues into the interior of the sheath 28. In the interior of each of these radial notches 30 is placed a ball 31, whose diameter exceeds the thickness of the sheath 28. Each ball 31 is mobile within its radial notch 30. The locking chamber 36 permits a disengagement of these balls 31 towards the outside of the control rod 5. The contracted portion of each of the radial notches 30 prevents a complete disengagement of each ball 31 in this sense. A locking member 32 is installed in the lower part of the control rod 5. This locking member 32 is central and coaxial with respect to the control rod 5 and displaceable in accordance with its longitudinal and vertical axis. An annular locking groove 33 is machined in the bottom of the locking member 32, which extends beyond the contraction of the sheath 28 of the control rod 5 and has, above said contraction, a widening 34 tightly connected to the lower end of a metal bellows 35, deformable in accordance with the axis of the control rod 5. According to a main feature of the invention, the top of the locking member 32 is engaged within an inner tube 36 terminated in its upper part by an attachment head 37 surmounted by a fastening 38. The tube 36 has a widening 39 tightly connected to the upper end of the bellows 35. A first spring 40 is placed within the inner tube 36 and tends to disengage the locking member 32 from the inner tube 36. The inner tube 36 has a vertical recess 41 receiving a guide and stop pin 42 radially fixed to the upper end of the locking member 32. The recess 41 links the internal volume of the inner tube 36 with that of the bellows 35. These two volumes contain an incompressible or almost incompressible substance, whose volume varies with the temperature to a significant extent. With these conditions satisfied, this substance must be compatible with the neutron flux radiation and also, in the case of a sealing loss of the bellows 35, with the water of the reactor and the chemical elements therein and with advantage use can be made of demineralized water. The attachment head 37, bellows 35 and locking member 32 constitute in this first embodiment a rigid, coaxial assembly able to slide within the control rod and whose length is consequently dependent on the temperature. An opening 43 within the locking member 32 and linked with the interior of the bellows 35 can also be filled with water and constitutes an additional volume making it possible to achieve the desired deformation characteristics of the thermal module. A second spring 44, interposed between a detachment 45 of the inner space of the control rod 5 and a projecting portion 46 of the attachment head 37, pushes towards the bottom said head. The stiffness of the second spring 44 is greater than that of the first spring 40. The attachment head 37 carries a finger 47 pushed back by a small spring 48. This attachment finger 47 is transversely mobile in order to project into the inner space of the control rod 5 or is partly or totally retracted into the inner space of a cylinder 49. The latter bears on a contraction 50 of the internal space of the control rod 5 and can turn by a fraction of a turn with respect thereto. The extent of this rotation is limited by the displacement of a radial guide and stop pin 51, integral with the cylinder 49 and in part engaged in a horizontal slot 52 made in the thickness of the sheath 28 of the control rod 5 over a circumferential sector of said sheath 28. In its upper portion, the internal space of the cylinder 49 has a widening 53 issuing onto the internal space of the control rod 5. This internal space of the cylinder 49 also has an axial, vertical notch 54, whose cross-section is that of a capital U, as illustrated in FIG. 3B. The lower end of the notch 54 is sealed by a shoulder 55. Its upper end issues into the thickness of the cylinder 49 level with the widening 53 and its edges 56 are rounded. An extractor tube 47 is mounted in sliding manner on the lower end of the control rod 5 and has a third internal spring 58 mounted on the contracted portion of the control rod 5 and interposed between the base of the contraction 59 and an internal surface 60 made within the extractor tube 57. This third spring 58 is prestressed in such a way as to produce a pressure on the control rod 5 which is at least adequate to raise it, the lower end of the extractor tube 57 being placed on the cluster pommel 18. Another guide and stop pin 61 is radially fixed in the contracted portion of the sheath 28 of the control rod 5 and projects inwards and outwards of said sheath 28. The portion of said guide pin 61 passing outside the sheath 28 is engaged in a vertical slot 62 made in the thickness of the extractor tube 57 parallel to its axis. The other end of the guide and stop pin 61 is engaged in a vertical recess 63 formed in the locking member 32 along the axis of the latter. With reference to FIG. 3, the extractor tube 57 has a slot 64 constituted by a vertical branch 64a, oriented parallel to the tube axis and issuing onto a second branch, oriented parallel to the tube axis and issuing onto a second, inclined branch 64b in the form of a helix portion. The slot 64 is engaged on the radial pin 51, which is integral with the cylinder 49 and collaborates with the horizontal slot 52 of the control rod 5. The horizontal slot 52 in the sheath 28 of the control rod 5 can be better seen in FIG. 4. It can be seen that it permits a rotation, whose extent is determined by its width for the cylinder 49 and the retraction of the abutment constituted by the shoulder 55 sealing the lower end of the notch 54. The device is completed by a long dismantling rod 66, positioned axially and centrally in the control rod 5 and terminated in its lower portion by a boss 67, which penetrates a fastening 38 of the attachment head 37. The dismantling rod 66 rises to the top of the control rod 5, where are located not shown, control means permitting the vertical actuation thereof and the fixed maintenance thereof in an axial position, where its terminal boss does not impede the displacements of the attachment head 37. The control rod 5 comprises not shown means making it possible to stop the rotation of said rod, e.g. with respect to the continuous guide 14 installed in the lower cluster guide 10b. The control rod 5 also comprises not shown means making it possible to link in rotation said rod and the cluster pommel 18 once these elements have been engaged. OPERATION OF THE DEVICE With reference to FIGS. 5A to 5D, the operation of the aforementioned device will now be explained. Consideration will be given to the case of a reactor during different phases between two core fuel resupplies. When the reactor is operating, i.e. at 350.degree., e.g. for producing electricity, the components of the device assume the position indicated in FIG. 2. FIG. 5A shows the main components of the device in this position. The control rod 5 is coupled in translation to the absorber cluster 6. The locking member 32 is in the lower position and has in front of the balls 31 a solid part keeping them in the "out" position, i.e. partly engaged in the thickness of the sheath 28 of the control rod 5 and in the locking chamber 26 of the pommel 18 of the absorber cluster 6. The locking member 32 is maintained in the lower position under the effect of the pressure of the second spring 44. Its lower end abuts against the bottom of the contraction of the sheath 28 and the control rod 5. Thus, the balls 31 transmit to the pommel 18 of the absorber cluster 6 the axial forces exerted by mechanism 4 in FIG. 1 on the control rod 5. The third spring 58 tends to permanently move apart the control rod 5 and the pommel of the absorber cluster 6 by pushing back the extractor tube 57. It therefore tends to maintain a permanent contact between the balls 31 and their recess 30 and the conical contraction 37 of the pommel 18. This avoids or renders acceptable any impacts between the balls 31 and the parts in question. Such impacts can e.g. result from vertical accelerations and decelerations transmitted to the control rod 5 by the mechanism during its operation and which are liable to produce a deterioration of the contacting surfaces. The attachment finger 47, which is pushed back by the small spring 48, is in a position projecting from the attachment head 37 and its end abuts against the interior of the sheath 28 of the control rod 5. In this position, a temperature rise or fall close to the device gives rise to a lengthening or shortening of the thermal module constituted by the water-filled bellows 35. These length changes are compensated by a crushing or an expansion of the second spring 44, the locking member 32 remaining in the lower position. They produce a rise or fall of the attachment head 37, the attachment finger 47 carried by the latter moving axially within the internal space of the sheath 28 of the control rod 5. If the temperature is sufficiently lowered, the attachment finger 47 descends within the cylinder 49. At the end of penetration, the entrance chamfer 58 pushes back the attachment finger 47 within the attachment head 37, until it comes into an intermediate radial position authorizing its displacement within the notch 54 of the cylinder 49. When a certain temperature is reached, e.g. 150.degree. C., the attachment finger 47 can abut, as shown in FIG. 5B, against the shoulder 55, which seals the notch 54 of the cylinder 49. If the temperature continues to drop, the attachment head 37 is stopped in its descent and the shortening of the thermal module, i.e. the bellows 35, is accompanied by a raising of the locking member 32. When a given temperature is reached, the groove 33 of the locking member 32 comes level with the balls 31 and allows the disconnection enabling said balls 31 to pass out of the locking chamber 26 of the pommel 18, in order to be placed in the "return" position within said groove 33. This disconnection temperature can e.g. be 80.degree. C. for a vessel cover raising temperature below 60.degree. C. During uncoupling, the clusters 6 can e.g. be suspended on the control rods 5, the base of the pommels 18 being a few centimeters above the upper end piece 20 of the fuel assembly. In this uncoupling position, the control rod 5 is disengaged from the pommel 18, which drops onto the upper end piece 20 of the fuel assembly under the combined effect of the weight of said cluster and the pressure of the third spring 38, to which it is exposed by means of the extractor tube 57. During the descent of the pommel 18, the extractor tube 57 is pushed downwards by the third spring 58 and slides on the control rod 5 so as to take the position previously occupied by the pommel 18. This renders impossible, in redundant manner with the conical contraction of the recess 30 of the balls 31, the loss of said balls 31 when the latter have been disengaged from the pommel 18. This movement of the extractor tube 57 is stopped when a radial, inner surface 58 machined in the interior of said extractor tube 57, comes into contact with an external shoulder 69 of the control rod 5. When the control rod 5 has become disengaged from the pommel 18 of the absorber cluster 6, the control rod is placed on the pommel 18 with the aid of mechanism 4 in FIG. 1. The position then assumed by the main components of the device and the cluster pommel is diagrammatically shown in FIG. 5C. The extractor tube 57 abuts the pommel 18 of the absorber cluster 6, which rests on the upper end piece of the cluster. The third spring 58 then raises the control rod 5 and maintains the sheath 28 and solid shaft 29 of rod 5, which terminates it respectively out of the cylindrical recess 24 and out of the opening 23 of the pommel 18. The deformation characteristics of the thermal module constituted by the bellows 35 and the geometry of the locking groove 26 are such that a temperature drop below the uncoupling temperature has no effect on the position of the balls 31. Once the vessel cover has been raised, the control rods 5 are discharged at the same time as the upper internal equipments, the shoulder 21 bearing on the attachment teeth 22 of the continuous guide 14 in FIG. 1, when the upper internal equipments are raised. The arrangement of the control rod 5 with respect to the pommel 18 of the absorber cluster 6, obtained by the device according to the invention, avoids the untimely raising of a cluster, during the retraction of the upper internal equipments. Following core reloading, the upper internal equipments are put back into place at the same time as the control rods 5 and the latter are in a relative position, with respect to their respective pommel 18, identical to those which they had before cover removal and then that of the upper internal equipments. This position is indicated in FIG. 5C. The upper end of the control rods 5, in this position, extends beyond the cluster guide elements 10. When it is necessary to recouple the control rods 5 and the absorber clusters 6, use is made of the device manipulating tool, which is engaged in the upper portion of each rod 5. In order to obtain its recoupling, the control rod 5 is lowered to a conical surface 70 connecting the lower end of its sheath 28 and its terminal shaft 29 abuts against the contraction 71 at the entrance of the opening 23 of the pommel 18. The descent takes place under the effect of the weight of the tool, which ensures that the equipment is not damaged by the application of an overload. The descent of the control rod 5 passes the radial pin 51, connected to the inner cylinder 49, into the longitudinal portion 64a of the slot 64 of the extractor tube 56 and then, when the balls 31 pass the recess 24 of the pommel 18, into the helical portion 64b of said same slot. The displacement of the radial pin 51 in said helical portion 64b gives rise to the rotation by a fraction of a turn of the cylinder 49, which retracts the shoulder 55 of said cylinder and brings the attachment finger 47 into the complete retraction position within the attachment head 37 to which it is fixed. This attachment head 37 is then freed and the assembly which it forms with the thermal module, i.e. the bellows 35, and the locking member 32 descends until the latter arrives in the lower position, under the effect of gravitational forces exerted on the different elements and the pressure of the second spring 44 applied to the attachment head 37. The descent of the locking member 32 pushes the balls 31 towards the outside of their conical recess 30 in the sheath 28 and passes them out into the locking chamber 26 of the pommel 18. When the force on the control rod 5 is slackened, the latter rises under the effect of the pressure of the third spring 58 until the balls 31 come into contact with the conical contraction 27. During this rise, the radial pin 51 passes into the helical portion 64b of the slot 64 and rotates the cylinder 49 until it is brought, when the longitudinal, vertical, portion 64a of the slot 64 is joined into a starting position where the notch 54 coincides with the trajectory of the attachment finger 47. Coupling is then implemented and the position assumed by the components of the device according to the invention is shown in FIG. 5D. The vessel cover can then be put back into place. The special manipulating tool of the device can also be used for manually uncoupling the control rod 5 from the absorber cluster 6, in the case of a malfunctioning of the automatic disconnection system, e.g. in the case of a sealing loss of the bellows 35. Therefore the device according to the invention is designed in such a way that, if the seal of the bellows 35 is broken, the locking member 32 is brought and/or immobilized in a bottom position. Thus, coupling is maintained when the reactor is cooled. This is obtained by means of the first spring 40 exerting a permanent downward pressure on the locking member 32 which, in the case of a rigidity loss of the thermal module, brings and/or maintains said member 32 in the bottom position. Emergency manual uncoupling takes place by raising the dismantling rod 66 with the aid of the special tool, the control rod 55 being kept fixed by said same tool. The rising of the dismantling rod 66 displaces the locking member 32 when a certain distance has been covered. The boss 67 terminating the dismantling rod 66 firstly raises the attachment head 37 engaging with its fastening 38 and then, if the bellows has fractured, the pin 42 of the locking member 32 abuts against the bottom of the recess 41 of the tube 36 of the attachment head 37, which raises the member 32. The latter is brought into the upper position, the lower end of the recess 63 thereof abutting against the pin 61 traversing the sheath 28 of the control rod 5. The groove 33 in the locking member 32 is then positioned in front of the balls 31, which allows uncoupling to take place. Finally, with the aid of the tool, the control rod 5 is raised under the effect of the pressure of the third spring 58 until it is disengaged from the pommel 18 and the dismantling rod 66 is lowered into the inoperative position. The position assumed by the components of the device and the pommel 18 of the absorber cluster 6 is the same as after an automatic disconnection followed by a placing of the rod on the pommel (FIG. 5C). The neutralization of the automatic uncoupling requires that the temperature is lowered below the locking temperature of the attachment head 37 by an abutment of the attachment finger 47 against the shoulder 55 of the inner cylinder 49. It is also necessary for the absorber cluster 6 to be brought into a position inserted in the fuel assembly, its pommel 18 resting on the upper end piece of the assembly. With these conditions fulfilled, neutralization takes place by exerting a pressure on the control rod 5 with the aid of its displacement mechanism until its conical surface 70 is made to abut against the contraction 71 of the pommel 18. The lowering of the control rod 5 causes, as in the manual recoupling operation with the aid of the special manipulating tool described hereinbefore, a complete return of the attachment finger 47 into the attachment head 37, which authorizes a lowering of the head 37 within the cylinder 49 and is accompanied by a lowering of the locking member 32 into its bottom position. Under these conditions, a subsequent temperature drop causes a descent of the attachment head 37, the locking member 32 remaining in the lower position. Thus, the automatic uncoupling is neutralized and the internal components of the device according to the invention assume, for the same temperature, the position shown in FIG. 5D. Automatic uncoupling is again obtained after an adequate temperature rise to bring the attachment finger 47 above the shoulder 55 of the cylinder 49. Finally, if the temperature has been brought beyond the uncoupling temperature without neutralization of automatic uncoupling, it is possible to recouple without having to open the vessel cover. This recoupling takes place with the aid of the displacement mechanism, the procedure being the same as when this operation is performed with the aid of the special manipulating tool. PROPOSED VARIANT A second embodiment of the device according to the invention is shown in FIG. 6. It only differs from the first in that use is made of a locking member in two parts, together with a second, pressure-sensitive module. Thus, the locking member 32 is here constituted by two superimposed sections. The upper section 32a is connected to the thermal module and the locking groove 33 is machined in the bottom of the lower section 32b. The latter has in the upper portion a contraction engaged within an opening made within the upper section 32a. These two sections are interconnected with the possibility of a relative translational displacement equal to the unlocking travel of the ball link, by means of a stop pin 72 radially fixed to the lower section 32b and engaged in an axial opening 73 made in the upper section 32a. A fourth spring 74 is mounted on the contraction of the lower section 32b and tends to move apart the two sections. A barometric module 100 is placed within the control rod sheath 28a beneath the lower section 32b of the locking member. This barometric module 100 is constituted by a central axial bush 75 coaxial to the control rod 5 and sealed in the lower portion by a base 76 and engaged in the upper portion in a cap 77. A metal bellows 78 is mounted on the axial bush 75 and tightly connects the base 67 of the bush 75 to the edge of the cap 77. The vacuum is formed in the interior of the barometric module 100. A guide and stop pin 79 is radially fixed to the cap 77 and engaged in an axial slot 80 machined in the axial bush 75. A fifth spring 81 is placed within the axial bush 75 and tends to raise the cap 77. The stiffness of the fifth spring 81 exceeds that of the fourth spring 74 and is less than that of the first and second springs 40, 44 of FIG. 2. When the reactor is under full power, the temperature within the vessel reaches several hundred .degree.C. and the pressure exceeds 100 bars. The position assumed by the components of the device according to the second embodiment is shown in FIG. 5. The pressure exerted on the barometric module 100 compresses the fifth spring 81 and maintains the module in the maximum shortening position, the bottom of the cap 77 bearing on the upper end of the tube 75. The attachment finger 47 is in the projection position into the interior of the internal space of the control rod 5. The second spring (not shown in FIG. 6) exerts a downward pressure on the attachment head, which is transmitted by means of the thermal device, i.e. the bellows 35, to the upper section 32a of the locking member. This pressure compresses the fourth spring 74 until the upper end of the contraction of the lower section 32b is brought to the bottom of an opening of the upper section 32a. The lower section 32b has a solid portion facing the balls 31, which maintains the coupling. If the temperature is lowered beyond said temperature for abutting the attachment finger against the cylinder shoulder, the upper section 32a of the locking member is raised by the thermal module, whereas the lower section 32b remains in engagement on the cap 77 and is maintained in this position by the pressure of the fourth spring 74. Automatic uncoupling is obtained if the operating temperature is sufficiently low for the upper section 32a of the locking member to rise to a level equal to the unlocking travel and if the pressure is sufficiently decreased for the pressure permanently exerted by the fifth spring 81 on the cap 77 to become adequate to raise said cap 77 and the lower section 32b by a height equal to the unlocking travel. As a result the unlocking groove 33 faces the balls 31. For example, unlocking can be obtained in this way when the temperature is lowered to 80.degree. C. and the pressure to a few bars. When the unlocking travel has been covered, the cap 77 abuts at the top against a surface 82 in the control rod sheath 28a. The barometric module 100 is then in the maximum elongation position and a subsequent pressure drop has no effect on the locking member position. Finally, if the seal of the metal bellows 78 of the barometric module 100 is broken and if that of the thermal module bellows 35 is maintained, uncoupling is obtained when the uncoupling temperature is reached. If the seal of the thermal module is broken and that of the barometric module 100 maintained or if the seal of said two modules is lost, the lower section 32b of the locking member remains in the lower position when the uncoupling pressure and temperature are reached and coupling is maintained. The special manipulating tool then makes it possible to obtain the uncoupling, the process being similar to that described during the description of the operation of the first embodiment of the device according to the invention. It is possible to raise the lower section 32b of the locking member by means of the pin 72. In the same way, the recoupling and automatic uncoupling neutralization procedures remain unchanged compared with those described in connection with the first embodiment of the device according to the invention. The invention is not limited to the embodiments described hereinbefore and has several variants. Thus, it is possible to use other means for coupling the lower end of the control rod to the absorber cluster pommel. It is also possible to use other means for attaching the locking member to the control rod. The attachment means can also be carried by the control rod and not by the internal part thereof. Finally, the device according to the invention is applicable not only to pressurized water nuclear reactors, but to any reactor type where the control takes place by translations of absorber elements within the core and where said control is ensured by mechanisms located outside the vessel and transmitted to the absorber elements by a vessel-traversing transmission member. It is also possible to use the invention in nuclear installations, where use is made of an elongated vessel with an exceptionally long transmission member, e.g. to permit the installation within said vessel of a heat exchanger.
claims
1. A fuel assembly for a pressure-tube nuclear reactor, comprising:a fuel channel assembly comprising:an outer conduit having an open upper end and a closed lower end that is spaced apart from the upper end along a fuel channel assembly axis;an inner conduit received within the outer conduit and having an inner upper end, an inner lower end axially spaced apart from the inner upper end and disposed toward the lower end of the outer conduit and a central flow passage extending between the inner upper end and inner lower end for receiving a flow of a coolant in one direction;an annular fuel bundle chamber defined between the outer conduit and the inner conduit, wherein the coolant exiting the inner lower end is redirected by the closed lower end of the outer conduit to flow through the annular fuel bundle chamber in an opposite direction; anda fuel bundle positioned within the fuel bundle chamber, the fuel bundle comprising a plurality of fuel elements, and consisting of an inner ring of inner fuel elements surrounding the inner conduit, and an outer ring of outer fuel elements surrounding the inner ring, wherein the fuel elements of the inner ring have a smaller axial cross sectional area than the fuel elements of the outer ring. 2. The fuel assembly of claim 1, wherein a first ratio of a cross sectional area of the coolant in the fuel bundle chamber and the central flow passage to a cross sectional area of the fuel elements is between approximately 2.6 and 7.5. 3. The fuel assembly of claim 1, wherein a second ratio of a cross sectional area of the coolant in the central flow passage to a cross sectional area of the coolant in the fuel bundle chamber is between approximately 0.8 and 1.3. 4. A nuclear reactor comprising a plurality of the fuel assemblies according to claim 1 arranged in a lattice, wherein a moderator region laterally surrounds the outer conduit of each of the fuel assemblies, the moderator region retaining a moderator therein. 5. The fuel assembly of claim 1, wherein the inner and outer conduits have generally circular axial cross sectional shapes. 6. The fuel assembly of claim 5, wherein the central flow passage is laterally surrounded by the fuel bundle. 7. The fuel assembly of claim 6, wherein a central axis of the central flow passage is laterally centered relative to the fuel bundle. 8. The fuel assembly of claim 7, wherein the fuel bundle is rotationally symmetrical about the central axis. 9. The fuel assembly of claim 8, wherein the fuel elements of the inner ring are positioned along a first common circumference about the central axis, and the fuel elements of the outer ring are positioned along a second common circumference about the central axis that is concentric with and laterally outboard of the first common circumference. 10. The fuel assembly of claim 9, wherein a number of the fuel elements in the inner ring is equal to a number of the fuel elements in the outer ring. 11. The fuel assembly of claim 10, wherein a subchannel distance between each of the fuel elements in the inner ring and the corresponding adjacent one of the fuel elements of the outer ring is approximately equal to a subchannel distance between each of the fuel elements in the inner ring. 12. The fuel assembly of claim 9, wherein the fuel elements have generally circular axial cross sections. 13. The fuel assembly of claim 9, wherein axial cross sectional areas of each of the fuel elements in the inner ring are different than axial cross sectional areas of each of the fuel elements in the outer ring. 14. The fuel assembly of claim 1, wherein the fuel channel assembly comprises an insulator that is positioned radially intermediate of the fuel bundle chamber and the outer conduit. 15. The fuel assembly of claim 14, wherein the insulator is encapsulated between inner and outer liner tubes, the outer liner tube being arranged along an interior surface of the outer conduit. 16. The fuel assembly of claim 15, wherein the insulator is formed of a solid material. 17. The fuel assembly of claim 16, wherein the inner and outer liner tubes are formed of different materials. 18. A fuel assembly for a pressure-tube nuclear reactor, the fuel assembly comprising:a fuel channel assembly comprising an outer conduit, an inner conduit received within the outer conduit and defining an annular fuel bundle chamber therebetween for receiving a flow of coolant in one direction, the inner conduit comprising a central flow passage for receiving a flow of the coolant in an opposite direction; anda fuel bundle positioned within the fuel bundle chamber, the fuel bundle comprising a plurality of fuel elements,wherein both of the following conditions are satisfied:(i) a first ratio of a cross sectional area of the coolant in the fuel bundle chamber and the central flow passage to a cross sectional area of the fuel elements is between approximately 2.6 and 7.5; and(ii) a second ratio of a cross sectional area of the coolant in the central flow passage to a cross sectional area of the coolant in the fuel bundle chamber is between approximately 0.8 and 1.3. 19. A pressure-tube nuclear reactor, comprising:a plurality of fuel assemblies arranged in a lattice, each of the fuel assemblies comprisinga fuel channel assembly comprising an outer conduit, an inner conduit received within the outer conduit and defining an annular fuel bundle chamber therebetween receiving a flow of a coolant liquid at a coolant pressure and in one direction, the inner conduit comprising a central flow passage receiving a flow of the coolant liquid in an opposite direction, anda fuel bundle positioned within the fuel bundle chamber, the fuel bundle comprising a plurality of fuel elements; anda moderator region laterally surrounding the outer conduit of each of the fuel assemblies, the moderator region retaining a liquid moderator therein, the liquid moderator being fluidly isolated from the coolant liquid and being at a moderator pressure that is less than the coolant pressure;wherein at least one of the following conditions is satisfied:(i) a first ratio of a cross sectional area of the moderator in the moderator region to a cross sectional area of the fuel elements is between approximately 10 and 20; and(ii) a second ratio of a cross sectional area of the moderator in the moderator region to a cross sectional area of the coolant in the fuel bundle chamber and the central flow passage is between approximately 2.7 and 3.7.
claims
1. A method of operating an ion implanter comprising:producing an ion beam;receiving ions from the ion beam in a component having an entrance opening, an internal surface for absorbing ions that have passed through the entrance opening an array of electrodes comprising a first array of upstream electrodes disposed adjacent the entrance opening and a second array of downstream electrodes disposed between the first array and the internal surface, said electrodes being generally planar and positioned such that the side edges thereof face the entrance opening and provide a line of sight to an internal surface, wherein the component is arranged to receive ions from an ion beam through the entrance opening such that ions strike the internal surface; andproviding an electrical bias on elements of the component. 2. The method of claim 1, further comprising providing a further electrical bias to one or more electrodes located adjacent the internal surface of the component such that the one or more electrodes repel electrons ejected from the internal surface. 3. The method of claim 1, further comprising providing a further electrical bias to one or more electrodes located adjacent the entrance opening so as to be at substantially the same potential as the ion beam as it passes the component. 4. An ion implanter comprisingan ion-receiving component which defines an internal surface, an entrance opening, and an array of electrodes comprising a first array of upstream electrodes disposed adjacent the entrance opening and a second array of downstream electrodes disposed between the first array and the internal surface, said electrodes being generally planar and positioned such that the side edges thereof face the entrance opening and provide a line of sight to an internal surface, wherein the component is arranged to receive ions from an ion beam through the entrance opening such that ions strike the internal surface, anda power supply apparatus for providing an electrical bias to elements of the ion-receiving component. 5. The ion implanter of claim 4, wherein the power supply apparatus operates to bias the internal surface to be at substantially the same potential as the ion beam. 6. The ion implanter of claim 4, wherein the power supply apparatus operates to bias one or more upstream electrodes to be at substantially the same potential as the ion beam. 7. The ion implanter of claim 4, wherein the power supply apparatus operates to bias one or more downstream electrodes to repel electrons liberated from the internal surface. 8. The ion implanter of claim 4, wherein the power supply operates to bias the first array of upstream electrodes to be at substantially the same potential as the ion beam and to bias the second array of downstream electrodes to repel electrons liberated from the internal surface. 9. The ion implanter of claim 4, wherein the component is a beam dump or a beam stop.
044118597
summary
Gamma sensors, such as are used to measure the heat rate of nuclear reactors, depend upon the heating of a thermally isolated heater body by gamma rays. The heat so generated is proportional to the specific power output of the nearby fuel rods. To measure the heat generated in the heater body, it is permitted to escape to a sink through a controlled heat path of closely held dimensions. The temperature drop along such heat path is directly proportional to heat rate (watts/gm) in the heater body and therefore proportional to power in adjoining fuel rods. A thermocouple, or thermocouples, arranged to measure the temperature drop along the controlled heat path produces a signal proportional to this power. This temperature drop and the signal are not greatly affected by the temperature of the heat sink. However, when the temperature of the heat sink changes by a considerable amount, say 50.degree. C., then there is a corresponding and noticeable second order change in the thermal conductivity of the controlled heat path, which is reflected as a change in the temperature drop and as an error in the signal output; even though the gamma ray flux is constant. The gamma sensor disclosed in the aforementioned application gives very accurate measurements when the bore into which it is inserted is that of an unfueled guide tube of a nuclear reactor through which coolant circulates rapidly. In such an installation, it follows that the outer sheath of the sensor will have a fairly well defined temperature. The readings of the gamma sensor will therefore be accurate enough, or cn accurately be corrected to take care of the aforementioned second order change in thermal conductivity of the heat path with changes in the temperature of the outer sheath of the gamma sensor, which outer sheath acts as a heat sink. However, when such gamma sensor is inserted into a dry bore of a nuclear reactor fuel core, the outer sheath of the sensor may assume a non-uniform temperature, depending upon whether the portion of the outer sheath in question touches or does not touch the bore. Those portions of the outer sheath which touch the bore will be kept cool by the contact, while those portions which bridge the bore will heat up from the gamma ray flux. SUMMARY OF THE INVENTION In the present invention a centering device spans the annular gap between the gamma sensor and the bore into which it is inserted. The centering device has the dual function of providing a thermal bridge at the correct spot on the gamma sensor for a heatsink and of centering the gamma sensor in the bore of the fuel core or guide tube into which it is inserted. In accordance with certain embodiments of the invention, the centering device is a separate elastically deformable element mounted externally on the outer sheath of the sensor and in thermal contact therewith by means of an annular groove, for example, to also engage the internal bore surface of the fuel core or guide tube. The centering device is axially located on the sensor within the cold region of the heater body close to the boundary of an adjacent hot region which is coextensive with the thermal resistance gap portion of the heater body having a reduced diameter. Thus, the centering device may be aligned with the cold junction of the differential thermocouple associated with the sensor at an axial location coinciding with a flat portion of the axial temperature gradient. Development of any asymmetrical temperature gradient is thereby avoided by preventing any unintended thermal conduction between the outer sheath and the bore surface. In accordance with another embodiment of the invention, the outer sheath of the gamma sensor is radially deformed from a circular cross-section to establish a thermally conductive path by thermal contact with the heater body and the bore surface of the guide tube along the cold regions as well as to function as a centering means.
description
This application claims the benefit of a priority under 35 SC 119(a)-(d) to French Patent Application No. 03 06139 filed May 22, 2003, the entire contents of which are hereby incorporated by reference. The invention concerns anti-scatter grids as used in radiology imaging and particularly in X-ray imaging. A radiology imaging apparatus conventionally comprises a source of radiation, such as an X-ray source, and a means for forming the image, such as an image receptor, between which the object to be imaged is positioned. The beam of radiation emitted by the source passes through the object before reaching the receptor. It is partly absorbed by the inner structure of the object so that the intensity of the beam received by the receptor is attenuated. The global attenuation of the beam after passing through the object is directly related to absorption distribution within the object. The image receptor comprises an optoelectronic detector or intensifying screen-film couple, sensitive to radiation intensity. Consequently, the image generated by the receptor corresponds in principle to the distribution of global ray attenuation subsequent to passing through inner structures of the object. Part of the radiation emitted by the source is absorbed by the inner structure of the object, the other part is either transmitted (primary or direct radiation) or scattered (secondary or scatter radiation). The presence of scatter radiation leads to degradation of contrast in the image obtained and a reduced signal to noise ratio. This is of particular hindrance, in particular if it is desired to visualize details of the object. One solution to this problem comprises inserting an “anti-scatter” grid between the object to be X-rayed and the image receptor. These grids are usually formed of a series of parallel strips or partitions of X-ray absorbing material. In so-called “focalized” grids (according to the terminology laid down by standard IEC 60627 on “X-ray imaging diagnostic equipment—Characteristics of anti-scatter grids for general use and mammography screening”) all the planes of the strips or partitions are oriented along planes passing through the focal point of radiation emitted by the source. Therefore, these grids allow direct radiation to pass and absorb scatter radiation. Focalized anti-scatter grids have contributed towards a considerable improvement in the contrast of images obtained. In order to obtain good quality images it is desirable to provide grids having the finest possible structure so as not to disturb direct radiation. It is also desirable to control the orientation of the absorbing strips or partitions with precision. The precision with which the strips or partitions are orientated evidently depends upon the manufacturing technique used to produce the grid. However, it is found that during use of the grid it may undergo deformation, which substantially modifies strip orientation. The consequence is impaired precision of strip or partition orientation. This impairment is greater the narrower the thickness of the grid and its propensity to deform. This problem is particularly raised in imaging devices with an overhanging grid, i.e., fixed on one side only. In this case it may undergo substantial bending stresses. To overcome these disadvantages, grids have been proposed having an aluminium frame, the frame giving rigidity to the assembly. In addition, these grids are coated on each of their surfaces with plates in a composite carbon and resin material having a thickness of between 0.2 and 0.4 mm. An embodiment of the invention is directed to an anti-scatter grid comprising an anti-scatter layer having a plurality of metallized partitions, these partitions allowing radiation that are emitted from a source located above the grid to pass and absorbing those radiation which do not derive directly from this source and at least one plate in an expanded polymer material fixed to one surface of the anti-scatter layer. An embodiment of the invention also directed to a method for fabricating an anti-scatter grid comprising: forming an anti-scatter layer having a plurality of metallized partitions, these partitions enabling radiation to pass emitted by a source located over the grid and absorbing those radiation which do not derive directly from this source; and fixing at least one plate of expanded polymer material on one surface of the anti-scatter layer. In FIG. 1 anti-scatter layer 10 is formed of a planar substrate 12 of a polymer material, approximately 1 to 3 mm thick, comprising partitions defining cells 14. As shown in FIG. 1, the thickness can typically be 1.7 mm. The inner walls of cells 14 are coated with an absorbing metal layer 16. Anti-scatter layer 10 is focalized, which means that the cell walls are oriented along planes passing through the focal point of radiation emitted by a source of radiation. As a result of the anti-scatter layer 10, part of the direct radiation emitted by an X-ray source passes through the grid via substrate 12 while another part passes through the layer via cells 14. On account of the low density of the polymer forming substrate 12, the radiation passing through it is scarcely attenuated. The inner walls of cells 14 coated with a metal layer 16 absorb scatter radiation arriving at anti-scatter layer 10 at too great an angle relative to the direction of focalization of one of cells 14. In FIG. 2, two plates 20 and 22 of expanded polymer material are arranged on each surface of anti-scatter layer 10. The polymer material forming the plate should have sufficient rigidity to prevent grid deformation and sufficient homogeneity so as not to disturb the X-ray image through artifacts. Expanded polymer materials have the advantage of scarcely attenuating X-rays on account of their low surface density. The plate of expanded material also plays a protective role for the anti-scatter layer of the grid. Both plates 20 and 22 may be formed of a hard polymethacrylimide (PMI) foam. This type of foam is manufactured, for example, by RÖHM GmbH under the trademark ROHACELL® or an expanded polyetherimide (this type of material is supplied for example by ALCAN AIREX AG under the trademark AIREX®). The plate is formed in a material having a density of between 20 and 70 kg/m3. ROHACELL® is available in this density range. In particular a density in the order of 30 kg/m3 is available. The plates may have a thickness between 2 and 6 mm and the two plates may have the same thickness. Plates 20 and 22, respectively positioned on the surface of anti-scatter layer 10 are intended to be exposed to the rays emitted by the X-ray source, and on the surface of anti-scatter layer 10 located on the image detector side, can be identical. The thickness of the plates is on the order of 3 mm with an approximate density of 30 kg/m3. As shown in FIG. 2, there are two plates 20, 22 with a range of thickness between 2 and 4 mm. Assembly of plates 20 and 22 of polymethacrylimide is made by bonding. The adhesive is preferably deposited on plates 20 and 22 and these plates are then superimposed on anti-scatter layer 10.The adhesive may be distributed so that it only contacts a peripheral area of anti-scatter layer 10 which does not form an active part of the layer. Therefore the adhesive does not disturb radiation transmission through layer 10 and plates 20 and 22. Alternately, the adhesive may be placed so that it contacts the entire surfaces of anti-scatter layer 10 which improves the mechanical resistance of the assembly. In this case, an aerosol adhesive is preferred to provide a fine, homogeneous layer of adhesive. This bonding technique avoids filling the cells of the anti-scatter layer. It is also possible to use a film adhesive. This type of adhesive is in the form of a film with or without a backing that can be deposited directly on a surface of each of plates 20 or 22 so that they can be assembled with anti-scatter layer 10. Adhesive films have the advantage of providing a thin, homogeneous layer of constant thickness and therefore of obtaining constant radiation transmission over the entire assembly surface. FIGS. 3 and 4 shows a frame 30 intended to be positioned around the assembly formed by the anti-scatter grid. The purpose of frame 10 is to rigidify and to protect the assembly. In FIG. 4, positioning of the frame comprises a first step in which a crosspiece 38 is positioned on one of the longitudinal sides of the assembly formed by the superimposition of plates 20, 22 and anti-scatter layer 10. The second step in frame positioning comprises placing two U-shaped 32 and 34 sections made of carbon composite material on the two opposite transverse sides of the assembly. The U-shaped sections encase the assembly and crosspiece 38. As shown in FIG. 4, the thickness of the U-shaped portions of the sections 32 and 34 may be approximately 1.0 mm. As shown in FIG. 5, the legs of the U-shaped sections 32 and 34 may be approximately 5.0 to 10. mm. FIG. 5 shows a third step comprising depositing a fine layer 36 (thickness on the order of 0.3 to 0.5 mm) of carbon composite material on the remaining longitudinal side of the assembly to finalize frame 30. The anti-scatter grid obtained (FIG. 5) is particularly adapted for mammography screening applications. The longitudinal side coated with fine layer 36 is the side against which the patient leans, and the longitudinal side along which crosspiece 38 extends is the side on which the anti-scatter grid is held in place. With fine layer 36, X-rays passing close to the patients' ribcage are not hindered so as to obtain the most extensive mammography view possible. Crosspiece 38 is intended to fix the anti-scatter grid for a Potter-Bucky device. Crosspiece 38 limits vibrations of the anti-scatter grid should it be placed in movement. The anti-scatter grid may also comprise one or more protection layers covering one or optionally both plates 20 and 22 of polymethacrylimide. The protection layer may be formed of a polymer material for example, a composite material containing carbon fibers, a lacquer or varnish. The protection layer is intended to protect the expanded polymethacrylimide plate against humidity and impact. The attenuation of X-rays by the protective layer should be the least possible. The protective layer is made of a polymer material for example having a thickness in the order of 0.1 mm that provides an acceptable attenuation of X-rays in the order of 1%. The protection layer can be a polymer material, preferably a polyester (supplied for example by DUPONT DE NEMOURS under trademark MYLAR®) in polycarbonate (available from RÖHM GmbH for example under trademark EUROPLEX®), or in polymethylmethacrylate PMM (supplied for example by RÖHM GmbH under trademark PLEXIGLASS®). The protection layer is preferably deposited on a surface of plate 22 oriented in an opposite direction to the X-ray source (i.e., towards the detector). The protective layer protects the grid against possible impacts during handling operations. However, plate 20 oriented towards the source may also be given a protection layer. In one variant of embodiment of the invention, the assembly may be held in place by a crosspiece and not a frame. FIG. 6 shows a crosspiece 38 intended to be positioned on one of the longitudinal sides of the assembly. Crosspiece 38 has a straight generally U-shaped section. The assembly, comprising the two plates 20 and 22 in expanded polymer material and anti-scatter layer 10, is inserted between the two sides of the U. Crosspiece 38 is intended to rigidify and to protect the edge of the assembly. Crosspiece 38 is also used to fix the assembly to a Potter-Bucky. Fixations may be provided for this purpose on crosspiece 38. The grid so fabricated is lighter than the grid in FIG. 5. The plate of expanded material can rigidify the grid and maintain the anti-scatter layer in its initial form. Expanded materials offer a high bending strength-to-weight ratio. In addition, these materials have low surface density, which means they make practically no contribution towards grid deformation. One skilled in the art may make or propose various modifications to the structure and/or way and/or function and/or result and/or steps of the disclosed embodiments and equivalents thereof without departing from the scope and extant of the invention.