repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a2bb2o/sky130_fd_sc_hs__a2bb2o.functional.v
2,123
module MODULE1 ( VAR8, VAR16, VAR1 , VAR5, VAR15, VAR2 , VAR17 ); input VAR8; input VAR16; output VAR1 ; input VAR5; input VAR15; input VAR2 ; input VAR17 ; wire VAR17 VAR14 ; wire VAR17 VAR6 ; wire VAR3 ; wire VAR13; and VAR10 (VAR14 , VAR2, VAR17 ); nor VAR11 (VAR6 , VAR5, VAR15 ); or VAR4 (VAR3 , VAR6, VAR14 ); VAR12 VAR9 (VAR13, VAR3, VAR8, VAR16); buf VAR7 (VAR1 , VAR13 ); endmodule
apache-2.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/up_jesd.v
27,687
module MODULE1 ( VAR1, VAR123, VAR69, VAR21, VAR41, VAR54, VAR49, VAR176, VAR45, VAR86, VAR117, VAR15, VAR55, VAR32, VAR42, VAR56, VAR80, VAR16, VAR103, VAR141, VAR185, VAR142, VAR195, VAR133, VAR12, VAR125, VAR144, VAR162, VAR118, VAR194, VAR46, VAR25, VAR10, VAR83, VAR116, VAR70, VAR102, VAR157, VAR173, VAR17, VAR85, VAR143, VAR39, VAR170, VAR97, VAR159, VAR163, VAR108, VAR34, VAR87, VAR167, VAR183, VAR29, VAR154, VAR187, VAR51, VAR137, VAR129, VAR186, VAR155, VAR59, VAR64, VAR57, VAR72, VAR149, VAR22, VAR84, VAR27, VAR67, VAR115, VAR150); parameter VAR127 = 32'h00040062; parameter VAR101 = 0; output VAR1; output VAR123; input VAR69; output VAR21; output VAR41; output VAR54; output VAR49; output VAR176; output VAR45; output VAR86; output VAR117; output [ 4:0] VAR15; output [ 7:0] VAR55; output VAR32; output [ 1:0] VAR42; output [12:0] VAR56; output [ 7:0] VAR80; input VAR16; input VAR103; input VAR141; input VAR185; input [31:0] VAR142; input [31:0] VAR195; input [31:0] VAR133; input [31:0] VAR12; input [ 7:0] VAR125; input [31:0] VAR144; input [31:0] VAR162; input [31:0] VAR118; input [ 7:0] VAR194; input VAR46; output VAR25; output VAR10; output [ 7:0] VAR83; output VAR116; output [11:0] VAR70; output [15:0] VAR102; input [15:0] VAR157; input VAR173; output VAR17; output VAR85; output VAR143; output [ 4:0] VAR39; output [ 7:0] VAR170; output [ 7:0] VAR97; output [ 7:0] VAR159; output [11:0] VAR163; output [11:0] VAR108; output [11:0] VAR34; output [31:0] VAR87; output [15:0] VAR167; output [15:0] VAR183; output [15:0] VAR29; output [15:0] VAR154; output [15:0] VAR187; output [15:0] VAR51; output [15:0] VAR137; output [15:0] VAR129; output [15:0] VAR186; output [15:0] VAR155; output [ 7:0] VAR59; input VAR64; input VAR57; input VAR72; input VAR149; input VAR22; input VAR84; input [13:0] VAR27; input [31:0] VAR67; output [31:0] VAR115; output VAR150; reg [31:0] VAR171 = 'd0; reg VAR94 = 'd0; reg VAR23 = 'd0; reg VAR66 = 'd0; reg VAR113 = 'd0; reg VAR181 = 'd0; reg VAR82 = 'd0; reg VAR43 = 'd0; reg VAR26 = 'd0; reg VAR4 = 'd0; reg VAR179 = 'd0; reg [ 4:0] VAR65 = 'd0; reg [ 7:0] VAR138 = 'd0; reg VAR169 = 'd0; reg [ 1:0] VAR197 = 'd0; reg [12:0] VAR130 = 'd0; reg [ 7:0] VAR193 = 'd0; reg VAR96 = 'd0; reg VAR44 = 'd0; reg [11:0] VAR109 = 'd0; reg [15:0] VAR37 = 'd0; reg VAR90 = 'd0; reg VAR184 = 'd0; reg VAR33 = 'd0; reg [ 4:0] VAR2 = 'd0; reg [ 7:0] VAR75 = 'd0; reg [ 7:0] VAR178 = 'd0; reg [ 7:0] VAR191 = 'd0; reg [11:0] VAR36 = 'd0; reg [11:0] VAR61 = 'd0; reg [11:0] VAR192 = 'd0; reg [31:0] VAR124 = 'd0; reg [15:0] VAR98 = 'd0; reg [15:0] VAR168 = 'd0; reg [15:0] VAR93 = 'd0; reg [15:0] VAR112 = 'd0; reg [15:0] VAR14 = 'd0; reg [15:0] VAR122 = 'd0; reg [15:0] VAR147 = 'd0; reg [15:0] VAR6 = 'd0; reg [15:0] VAR91 = 'd0; reg [15:0] VAR92 = 'd0; reg VAR150 = 'd0; reg [31:0] VAR115 = 'd0; reg [ 5:0] VAR38 = 'd0; reg VAR19 = 'd0; reg VAR52 = 'd0; reg VAR88 = 'd0; reg VAR74 = 'd0; reg VAR54 = 'd0; reg VAR180 = 'd0; reg VAR100 = 'd0; reg VAR77 = 'd0; reg VAR158 = 'd0; reg VAR139 = 'd0; reg VAR48 = 'd0; reg VAR49 = 'd0; reg VAR106 = 'd0; reg VAR148 = 'd0; reg VAR176 = 'd0; reg VAR45 = 'd0; reg VAR86 = 'd0; reg VAR117 = 'd0; reg [ 4:0] VAR15 = 'd0; reg [ 7:0] VAR55 = 'd0; reg VAR32 = 'd0; reg [ 1:0] VAR42 = 'd0; reg [12:0] VAR56 = 'd0; reg [ 7:0] VAR80 = 'd0; reg [ 5:0] VAR60 = 'd0; reg VAR58 = 'd0; reg VAR135 = 'd0; reg [31:0] VAR81 = 'd0; reg [31:0] VAR9 = 'd0; reg [31:0] VAR107 = 'd0; reg [31:0] VAR89 = 'd0; reg [ 7:0] VAR7 = 'd0; reg [31:0] VAR134 = 'd0; reg [31:0] VAR5 = 'd0; reg [31:0] VAR188 = 'd0; reg [ 7:0] VAR62 = 'd0; reg VAR164 = 'd0; reg VAR165 = 'd0; reg VAR30 = 'd0; reg VAR35 = 'd0; reg [31:0] VAR114 = 'd0; reg [31:0] VAR63 = 'd0; reg [31:0] VAR160 = 'd0; reg [31:0] VAR18 = 'd0; reg [ 7:0] VAR174 = 'd0; reg [31:0] VAR153 = 'd0; reg [31:0] VAR152 = 'd0; reg [31:0] VAR145 = 'd0; reg [ 7:0] VAR40 = 'd0; reg VAR177 = 'd0; reg VAR111 = 'd0; reg VAR172 = 'd0; reg VAR10 = 'd0; reg [ 7:0] VAR83 = 'd0; reg VAR116 = 'd0; reg [11:0] VAR70 = 'd0; reg [15:0] VAR102 = 'd0; reg VAR196 = 'd0; reg VAR128 = 'd0; reg VAR120 = 'd0; reg VAR73 = 'd0; reg VAR126 = 'd0; reg [15:0] VAR132 = 'd0; reg VAR20 = 'd0; reg VAR31 = 'd0; reg VAR53 = 'd0; reg VAR175 = 'd0; reg VAR161 = 'd0; reg VAR136 = 'd0; reg VAR17 = 'd0; reg VAR85 = 'd0; reg VAR143 = 'd0; reg [ 4:0] VAR39 = 'd0; reg [ 7:0] VAR170 = 'd0; reg [ 7:0] VAR97 = 'd0; reg [ 7:0] VAR159 = 'd0; reg [11:0] VAR163 = 'd0; reg [11:0] VAR108 = 'd0; reg [11:0] VAR34 = 'd0; reg [31:0] VAR87 = 'd0; reg [15:0] VAR183 = 'd0; reg [15:0] VAR167 = 'd0; reg [15:0] VAR154 = 'd0; reg [15:0] VAR29 = 'd0; reg [15:0] VAR187 = 'd0; reg [15:0] VAR137 = 'd0; reg [15:0] VAR51 = 'd0; reg [15:0] VAR186 = 'd0; reg [15:0] VAR129 = 'd0; reg [15:0] VAR155 = 'd0; reg [ 7:0] VAR59 = 'd0; reg VAR121 = 'd0; reg VAR8 = 'd0; reg VAR95 = 'd0; reg VAR166 = 'd0; reg VAR47 = 'd0; wire VAR11; wire VAR79; wire VAR189; wire VAR190; wire VAR105; wire VAR119; wire VAR3; wire VAR156; wire VAR28; wire VAR140; wire VAR99; wire VAR182; assign VAR11 = (VAR27[13:8] == 6'h00) ? VAR22 : 1'b0; assign VAR79 = VAR11 & VAR84; assign VAR189 = ~VAR94; assign VAR190 = ~VAR113; assign VAR105 = ~(VAR113 & VAR16); assign VAR119 = ~(VAR66 & VAR16 & VAR103); assign VAR3 = ~(VAR23 & VAR16 & VAR103); always @(negedge VAR72 or posedge VAR149) begin if (VAR72 == 0) begin VAR171 <= 'd0; VAR94 <= 'd0; VAR23 <= 'd0; VAR66 <= 'd0; VAR113 <= 'd0; VAR181 <= 'd0; VAR82 <= 'd0; VAR43 <= 'd0; VAR26 <= 'd0; VAR4 <= 'd0; VAR179 <= 'd0; VAR65 <= 'd0; VAR138 <= 'd0; VAR169 <= 'd0; VAR197 <= 'd0; VAR130 <= 'd0; VAR193 <= 'd0; VAR96 <= 'd0; VAR44 <= 'd0; VAR109 <= 'd0; VAR37 <= 'd0; VAR90 <= 'd0; VAR184 <= 'd0; VAR33 <= 'd0; VAR2 <= 'd0; VAR75 <= 'd0; VAR178 <= 'd0; VAR191 <= 'd0; VAR36 <= 'd0; VAR61 <= 'd0; VAR192 <= 'd0; VAR124 <= 'd0; VAR98 <= 'd0; VAR168 <= 'd0; VAR93 <= 'd0; VAR112 <= 'd0; VAR14 <= 'd0; VAR122 <= 'd0; VAR147 <= 'd0; VAR6 <= 'd0; VAR91 <= 'd0; VAR92 <= 'd0; end else begin if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h02)) begin VAR171 <= VAR67; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h10)) begin VAR94 <= VAR67[3]; VAR23 <= VAR67[2]; VAR66 <= VAR67[1]; VAR113 <= VAR67[0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h11)) begin VAR181 <= VAR67[1]; VAR82 <= VAR67[0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h12)) begin VAR43 <= VAR67[0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h14)) begin VAR26 <= VAR67[18]; VAR4 <= VAR67[17]; VAR179 <= VAR67[16]; VAR65 <= VAR67[12:8]; VAR138 <= VAR67[7:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h15)) begin VAR169 <= VAR67[20]; VAR197 <= VAR67[17:16]; VAR130 <= VAR67[12:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h17)) begin VAR193 <= VAR67[7:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h24)) begin VAR96 <= ~VAR96; VAR44 <= VAR67[28]; VAR109 <= VAR67[27:16]; VAR37 <= VAR67[15:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h28)) begin VAR90 <= VAR67[2]; VAR184 <= VAR67[1]; VAR33 <= VAR67[0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h29)) begin VAR2 <= VAR67[4:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h2a)) begin VAR75 <= VAR67[23:16]; VAR178 <= VAR67[15:8]; VAR191 <= VAR67[7:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h2b)) begin VAR36 <= VAR67[27:16]; VAR61 <= VAR67[11:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h2c)) begin VAR192 <= VAR67[11:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h2d)) begin VAR124 <= VAR67; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h2e)) begin VAR98 <= VAR67[31:16]; VAR168 <= VAR67[15:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h2f)) begin VAR93 <= VAR67[31:16]; VAR112 <= VAR67[15:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h30)) begin VAR14 <= VAR67[15:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h31)) begin VAR122 <= VAR67[31:16]; VAR147 <= VAR67[15:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h32)) begin VAR6 <= VAR67[31:16]; VAR91 <= VAR67[15:0]; end if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h33)) begin VAR92 <= VAR67[15:0]; end end end always @(negedge VAR72 or posedge VAR149) begin if (VAR72 == 0) begin VAR150 <= 'd0; VAR115 <= 'd0; end else begin VAR150 <= VAR11; if (VAR11 == 1'b1) begin case (VAR27[7:0]) 8'h00: VAR115 <= VAR127; 8'h01: VAR115 <= VAR101; 8'h02: VAR115 <= VAR171; 8'h10: VAR115 <= {28'd0, VAR94, VAR23, VAR66, VAR113}; 8'h11: VAR115 <= {30'd0, VAR181, VAR82}; 8'h12: VAR115 <= {31'd0, VAR43}; 8'h14: VAR115 <= {13'd0, VAR26, VAR4, VAR179, 3'd0, VAR65, VAR138}; 8'h15: VAR115 <= {11'd0, VAR169, 2'd0, VAR197, 3'd0, VAR130}; 8'h17: VAR115 <= {24'd0, VAR193}; 8'h18: VAR115 <= {31'd0, VAR35}; 8'h19: VAR115 <= VAR114; 8'h1a: VAR115 <= VAR63; 8'h1b: VAR115 <= VAR160; 8'h1c: VAR115 <= VAR18; 8'h1d: VAR115 <= {24'd0, VAR174}; 8'h1e: VAR115 <= VAR153; 8'h1f: VAR115 <= VAR152; 8'h20: VAR115 <= VAR145; 8'h24: VAR115 <= {3'd0, VAR44, VAR109, VAR37}; 8'h25: VAR115 <= {15'd0, VAR126, VAR132}; 8'h28: VAR115 <= {29'd0, VAR90, VAR184, VAR33}; 8'h29: VAR115 <= {27'd0, VAR2}; 8'h2a: VAR115 <= {8'd0, VAR75, VAR178, VAR191}; 8'h2b: VAR115 <= {4'd0, VAR36, 4'd0, VAR61}; 8'h2c: VAR115 <= {20'd0, VAR192}; 8'h2d: VAR115 <= VAR124; 8'h2e: VAR115 <= {VAR98, VAR168}; 8'h2f: VAR115 <= {VAR93, VAR112}; 8'h30: VAR115 <= VAR14; 8'h31: VAR115 <= {VAR122, VAR147}; 8'h32: VAR115 <= {VAR6, VAR91}; 8'h33: VAR115 <= VAR92; 8'h38: VAR115 <= {30'd0, VAR95, VAR47}; 8'h39: VAR115 <= {24'd0, VAR40}; default: VAR115 <= 0; endcase end else begin VAR115 <= 32'd0; end end end always @(negedge VAR72 or posedge VAR149) begin if (VAR72 == 0) begin VAR38 <= 'd0; VAR19 <= 'd0; end else begin VAR38 <= VAR38 + 1'b1; if (VAR38 == 6'd0) begin VAR19 <= ~VAR19; end end end VAR78 #(.VAR110(1'b1)) VAR68 ( .VAR131 (1'b1), .VAR71 (1'b0), .VAR24 (VAR190), .VAR146 (VAR46), .VAR151 (VAR1)); VAR78 #(.VAR110(1'b1)) VAR13 ( .VAR131 (1'b1), .VAR71 (1'b0), .VAR24 (VAR105), .VAR146 (VAR46), .VAR151 (VAR123)); VAR78 #(.VAR110(1'b1)) VAR76 ( .VAR131 (1'b1), .VAR71 (1'b0), .VAR24 (VAR119), .VAR146 (VAR69), .VAR151 (VAR21)); VAR78 #(.VAR110(1'b1)) VAR104 ( .VAR131 (1'b1), .VAR71 (1'b0), .VAR24 (VAR3), .VAR146 (VAR69), .VAR151 (VAR41)); always @(posedge VAR69) begin if (VAR21 == 1'b1) begin VAR52 <= 'd0; VAR88 <= 'd0; VAR74 <= 'd0; VAR54 <= 'd0; end else begin VAR52 <= VAR82; VAR88 <= VAR52; VAR74 <= VAR88; VAR54 <= VAR88 & ~VAR74; end end assign VAR156 = VAR77 ^ VAR100; always @(posedge VAR69) begin if (VAR41 == 1'b1) begin VAR180 <= 'd0; VAR100 <= 'd0; VAR77 <= 'd0; VAR158 <= 'd0; VAR139 <= 'd0; VAR48 <= 'd0; VAR49 <= 'd0; VAR106 <= 'd0; VAR148 <= 'd0; VAR176 <= 'd0; end else begin VAR180 <= VAR19; VAR100 <= VAR180; VAR77 <= VAR100; VAR158 <= VAR181; VAR139 <= VAR158; VAR48 <= VAR139; VAR49 <= VAR139 & ~VAR48; VAR106 <= VAR43; VAR148 <= VAR106; VAR176 <= VAR148 & VAR141; end end always @(posedge VAR69) begin if (VAR156 == 1'b1) begin VAR45 <= VAR26; VAR86 <= VAR4; VAR117 <= VAR179; VAR15 <= VAR65; VAR55 <= VAR138; VAR32 <= VAR169; VAR42 <= VAR197; VAR56 <= VAR130; VAR80 <= VAR193; end end always @(posedge VAR69) begin VAR60 <= VAR60 + 1'b1; if (VAR60 == 6'd0) begin VAR58 <= ~VAR58; VAR135 <= VAR176 & ~VAR185; VAR81 <= VAR142; VAR9 <= VAR195; VAR107 <= VAR133; VAR89 <= VAR12; VAR7 <= VAR125; VAR134 <= VAR144; VAR5 <= VAR162; VAR188 <= VAR118; VAR62 <= VAR194; end end assign VAR28 = VAR165 ^ VAR30; always @(negedge VAR72 or posedge VAR149) begin if (VAR72 == 0) begin VAR164 <= 'd0; VAR165 <= 'd0; VAR30 <= 'd0; VAR35 <= 'd0; VAR114 <= 'd0; VAR63 <= 'd0; VAR160 <= 'd0; VAR18 <= 'd0; VAR174 <= 'd0; VAR153 <= 'd0; VAR152 <= 'd0; VAR145 <= 'd0; VAR40 <= 'd0; end else begin VAR164 <= VAR58; VAR165 <= VAR164; VAR30 <= VAR165; if (VAR28 == 1'b1) begin VAR35 <= VAR135; VAR114 <= VAR81; VAR63 <= VAR9; VAR160 <= VAR107; VAR18 <= VAR89; VAR174 <= VAR7; VAR153 <= VAR134; VAR152 <= VAR5; VAR145 <= VAR188; VAR40 <= VAR62; end end end VAR78 #(.VAR110(1'b1)) VAR50 ( .VAR131 (1'b1), .VAR71 (1'b0), .VAR24 (VAR189), .VAR146 (VAR46), .VAR151 (VAR25)); assign VAR140 = VAR111 ^ VAR172; always @(posedge VAR46) begin if (VAR25 == 1'b1) begin VAR177 <= 'd0; VAR111 <= 'd0; VAR172 <= 'd0; end else begin VAR177 <= VAR96; VAR111 <= VAR177; VAR172 <= VAR111; end if (VAR140 == 1'b1) begin VAR10 <= 1'b1; VAR83 <= VAR193; VAR116 <= ~VAR44; VAR70 <= VAR109; VAR102 <= VAR37; end else begin VAR10 <= 1'b0; VAR83 <= VAR83; VAR116 <= 1'b0; VAR70 <= 12'd0; VAR102 <= 16'd0; end end assign VAR99 = VAR120 ^ VAR128; assign VAR182 = VAR96 ^ VAR73; always @(negedge VAR72 or posedge VAR149) begin if (VAR72 == 0) begin VAR196 <= 'd0; VAR128 <= 'd0; VAR120 <= 'd0; VAR73 <= 'd0; VAR126 <= 'd0; VAR132 <= 'd0; end else begin VAR196 <= VAR173; VAR128 <= VAR196; VAR120 <= VAR128; VAR73 <= VAR96; if (VAR99 == 1'b1) begin VAR126 <= 1'b0; end else if (VAR182 == 1'b1) begin VAR126 <= 1'b1; end if (VAR99 == 1'b1) begin VAR132 <= VAR157; end end end always @(posedge VAR46) begin if (VAR25 == 1'b1) begin VAR20 <= 'd0; VAR31 <= 'd0; VAR53 <= 'd0; VAR175 <= 'd0; VAR161 <= 'd0; VAR136 <= 'd0; end else begin VAR20 <= VAR33; VAR31 <= VAR20; VAR53 <= VAR31; VAR175 <= VAR184; VAR161 <= VAR175; VAR136 <= VAR161; end VAR17 <= VAR31 & ~VAR53; VAR85 <= VAR161 & ~VAR136; if ((VAR31 == 1'b1) && (VAR53 == 1'b0)) begin VAR143 <= VAR90; VAR39 <= VAR2; VAR170 <= VAR75; VAR97 <= VAR178; VAR159 <= VAR191; VAR163 <= VAR36; VAR108 <= VAR61; VAR34 <= VAR192; VAR87 <= VAR124; VAR183 <= VAR98; VAR167 <= VAR168; VAR154 <= VAR93; VAR29 <= VAR112; VAR187 <= VAR14; VAR137 <= VAR122; VAR51 <= VAR147; VAR186 <= VAR6; VAR129 <= VAR91; VAR155 <= VAR92; VAR59 <= VAR193; end end always @(negedge VAR72 or posedge VAR149) begin if (VAR72 == 0) begin VAR121 <= 'd0; VAR8 <= 'd0; VAR95 <= 'd0; VAR166 <= 'd0; VAR47 <= 'd0; end else begin VAR121 <= VAR64; VAR8 <= VAR121; if (VAR8 == 1'b1) begin VAR95 <= 1'b1; end else if ((VAR79 == 1'b1) && (VAR27[7:0] == 8'h38)) begin VAR95 <= VAR95 & ~VAR67[1]; end VAR166 <= VAR57; VAR47 <= VAR166; end end endmodule
mit
vvk/sysrek
skin_color_segm/divider_28_20.v
1,991
module MODULE1 # ( parameter VAR2=8, parameter VAR18=28, parameter VAR5=20, parameter VAR25=28 ) ( input clk, input VAR19, input [VAR18-1:0]VAR20, input [VAR5-1:0]VAR13, output [VAR25-1:0]VAR21, output VAR17 ); reg [VAR18-1:0] VAR7 = 0; reg [VAR5-1:0] VAR22 = 0; reg [VAR25-1:0]VAR14=0; wire [VAR5+VAR25-1:0]VAR10; reg [7:0]VAR24; reg [7:0]VAR1; reg VAR16=1'b0; reg [VAR25-1:0]VAR12; VAR15 VAR26 ( .clk(clk), .VAR6(VAR14), .VAR9(VAR22), .VAR8(VAR10) ); parameter VAR23=2'b00; parameter VAR4=2'b01; parameter VAR3=2'b10; parameter VAR11=2'b11; reg [1:0]state=VAR23; always @(posedge clk) begin VAR16<=1'b0; case(state) VAR23: begin VAR24<=VAR25-1; VAR14<=0; if(VAR19) begin state<=VAR4; VAR7 <= VAR20; VAR22 <= VAR13; end end VAR4: begin VAR14[VAR24]<=1; VAR1<=VAR2; state<=VAR3; end VAR3: begin VAR1<=VAR1-1; if(VAR1==0) begin if(VAR10>VAR7) VAR14[VAR24]<=0; VAR24<=VAR24-1; if(VAR24==0) state<=VAR11; end else state<=VAR4; end end VAR11: begin VAR16<=1'b1; VAR12<=VAR14; state<=VAR23; end endcase end assign VAR21=VAR12; assign VAR17=VAR16; endmodule
gpl-2.0
P3Stor/P3Stor
pcie/app/BMD_TO_CTRL.v
2,379
module MODULE1 ( clk, VAR1, VAR2, VAR4, VAR6, VAR5 ); input clk; input VAR1; input VAR2; input VAR4; input VAR6; output VAR5; reg VAR3; reg VAR5; always @ ( posedge clk ) begin if (!VAR1 ) begin VAR3 <= 0; end else begin if (!VAR3 && VAR2) VAR3 <= 1'b1; end else if (VAR4) VAR3 <= 1'b0; end end always @ ( posedge clk ) begin if (!VAR1 ) begin VAR5 <= 1'b1; end else begin if ( !VAR6 && !VAR3 ) VAR5 <= 1'b0; end else VAR5 <= 1'b1; end end endmodule
gpl-2.0
omicronns/studies-sys-rek
de1-soc/src/rgb2gray/rgb2gray.v
2,644
module MODULE1( input VAR27, input [7:0] VAR34, input [7:0] VAR16, input [7:0] VAR19, input VAR21, input VAR37, input VAR28, input VAR23, output [7:0] VAR38, output VAR2, output VAR30, output VAR10, output VAR1 ); VAR3 #( .VAR15(5), .VAR17(4) ) VAR5 ( .VAR25(1), .rst(0), .clk(VAR27), .in({VAR21, VAR37, VAR28, VAR23}), .out({VAR2, VAR30, VAR10, VAR1}) ); parameter VAR24 = 9; parameter VAR26 = 10'h099; parameter VAR18 = 10'h12d; parameter VAR6 = 10'h03a; wire [VAR24-1:0] VAR4 = 0; wire [9:0] VAR36; wire [9:0] VAR29; wire [9:0] VAR13; wire [8:0] VAR7; wire [8:0] VAR33; wire [8:0] VAR20; assign VAR38 = VAR20[7:0]; VAR9 VAR8 ( .VAR32(VAR27), .VAR31(VAR26), .VAR22({1'b0, VAR34, VAR4}), .VAR40(VAR36) ); VAR9 VAR35 ( .VAR32(VAR27), .VAR31(VAR18), .VAR22({1'b0, VAR16, VAR4}), .VAR40(VAR29) ); VAR9 VAR41 ( .VAR32(VAR27), .VAR31(VAR6), .VAR22({1'b0, VAR19, VAR4}), .VAR40(VAR13) ); VAR12 VAR39 ( .VAR32(VAR27), .VAR31(VAR36[8:0]), .VAR22(VAR29[8:0]), .VAR40(VAR7) ); VAR12 VAR14 ( .VAR32(VAR27), .VAR31(VAR13[8:0]), .VAR22(0), .VAR40(VAR33) ); VAR12 VAR11 ( .VAR32(VAR27), .VAR31(VAR7), .VAR22(VAR33), .VAR40(VAR20) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dfrtp/sky130_fd_sc_ms__dfrtp.behavioral.pp.v
2,243
module MODULE1 ( VAR1 , VAR21 , VAR6 , VAR14, VAR20 , VAR8 , VAR13 , VAR17 ); output VAR1 ; input VAR21 ; input VAR6 ; input VAR14; input VAR20 ; input VAR8 ; input VAR13 ; input VAR17 ; wire VAR19 ; wire VAR16 ; reg VAR2 ; wire VAR5 ; wire VAR11; wire VAR15 ; wire VAR18 ; wire VAR9 ; wire VAR7 ; not VAR10 (VAR16 , VAR11 ); VAR4 VAR3 (VAR19 , VAR5, VAR15, VAR16, VAR2, VAR20, VAR8); assign VAR18 = ( VAR20 === 1'b1 ); assign VAR9 = ( VAR18 && ( VAR11 === 1'b1 ) ); assign VAR7 = ( VAR18 && ( VAR14 === 1'b1 ) ); buf VAR12 (VAR1 , VAR19 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a21o/sky130_fd_sc_hdll__a21o_8.v
2,264
module MODULE1 ( VAR7 , VAR3 , VAR2 , VAR5 , VAR9, VAR4, VAR8 , VAR10 ); output VAR7 ; input VAR3 ; input VAR2 ; input VAR5 ; input VAR9; input VAR4; input VAR8 ; input VAR10 ; VAR6 VAR1 ( .VAR7(VAR7), .VAR3(VAR3), .VAR2(VAR2), .VAR5(VAR5), .VAR9(VAR9), .VAR4(VAR4), .VAR8(VAR8), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR7 , VAR3, VAR2, VAR5 ); output VAR7 ; input VAR3; input VAR2; input VAR5; supply1 VAR9; supply0 VAR4; supply1 VAR8 ; supply0 VAR10 ; VAR6 VAR1 ( .VAR7(VAR7), .VAR3(VAR3), .VAR2(VAR2), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o221a/sky130_fd_sc_hd__o221a.behavioral.v
1,662
module MODULE1 ( VAR15 , VAR1, VAR11, VAR6, VAR13, VAR17 ); output VAR15 ; input VAR1; input VAR11; input VAR6; input VAR13; input VAR17; supply1 VAR16; supply0 VAR7; supply1 VAR8 ; supply0 VAR9 ; wire VAR4 ; wire VAR2 ; wire VAR5; or VAR12 (VAR4 , VAR13, VAR6 ); or VAR14 (VAR2 , VAR11, VAR1 ); and VAR3 (VAR5, VAR4, VAR2, VAR17); buf VAR10 (VAR15 , VAR5 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/clkdlybuf4s18/sky130_fd_sc_lp__clkdlybuf4s18.behavioral.v
1,439
module MODULE1 ( VAR5, VAR9 ); output VAR5; input VAR9; supply1 VAR6; supply0 VAR1; supply1 VAR2 ; supply0 VAR7 ; wire VAR4; buf VAR3 (VAR4, VAR9 ); buf VAR8 (VAR5 , VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/xnor3/sky130_fd_sc_ms__xnor3.functional.pp.v
1,828
module MODULE1 ( VAR4 , VAR8 , VAR7 , VAR1 , VAR10, VAR5, VAR14 , VAR3 ); output VAR4 ; input VAR8 ; input VAR7 ; input VAR1 ; input VAR10; input VAR5; input VAR14 ; input VAR3 ; wire VAR9 ; wire VAR2; xnor VAR13 (VAR9 , VAR8, VAR7, VAR1 ); VAR11 VAR12 (VAR2, VAR9, VAR10, VAR5); buf VAR6 (VAR4 , VAR2 ); endmodule
apache-2.0
vipinkmenon/scas
hw/fpga/ipcore_dir/v6_emac_v2_2_blank.v
2,947
module MODULE1 ( VAR31, VAR30, VAR23, VAR27, VAR13, VAR6, VAR7, VAR3, VAR20, VAR33, VAR19, VAR35, VAR34, VAR17, VAR22, VAR5, VAR16, VAR29, VAR8, VAR15, VAR11, VAR10, VAR18, VAR9, VAR2, VAR26, VAR12, VAR14, VAR32, VAR1, VAR24, VAR21, VAR25, VAR4, VAR28 ); input VAR31; input VAR30; output VAR23; input VAR27; input VAR13; output VAR6; input VAR7; output VAR3; output VAR20; input VAR33; input VAR19; output VAR35; input VAR34; output VAR17; output VAR22; output VAR5; output VAR16; output VAR29; input VAR8; output VAR15; output VAR11; input VAR10; input VAR18; input VAR9; output VAR2; input VAR26; input VAR12; output [31 : 0] VAR14; input [15 : 0] VAR32; output [27 : 0] VAR1; input [7 : 0] VAR24; input [7 : 0] VAR21; input [7 : 0] VAR25; output [7 : 0] VAR4; output [7 : 0] VAR28; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlrtn/sky130_fd_sc_hd__dlrtn.functional.v
1,806
module MODULE1 ( VAR6 , VAR1, VAR4 , VAR5 ); output VAR6 ; input VAR1; input VAR4 ; input VAR5 ; wire VAR3 ; wire VAR8; wire VAR10 ; not VAR2 (VAR3 , VAR1 ); not VAR7 (VAR8, VAR5 ); VAR9 VAR11 VAR12 (VAR10 , VAR4, VAR8, VAR3); buf VAR13 (VAR6 , VAR10 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a21oi/sky130_fd_sc_ls__a21oi.symbol.v
1,349
module MODULE1 ( input VAR4, input VAR7, input VAR3, output VAR6 ); supply1 VAR2; supply0 VAR5; supply1 VAR8 ; supply0 VAR1 ; endmodule
apache-2.0
LSaldyt/qnp
output/vs/opt_var22_multi.v
39,261
module MODULE1(VAR5, VAR4, VAR10, VAR20, VAR17, VAR16, VAR22, VAR7, VAR9, VAR3, VAR6, VAR13, VAR15, VAR18, VAR11, VAR14, VAR1, VAR19, VAR8, VAR12, VAR2, VAR21, valid); wire 0000; wire 0001; wire 0002; wire 0003; wire 0004; wire 0005; wire 0006; wire 0007; wire 0008; wire 0009; wire 0010; wire 0011; wire 0012; wire 0013; wire 0014; wire 0015; wire 0016; wire 0017; wire 0018; wire 0019; wire 0020; wire 0021; wire 0022; wire 0023; wire 0024; wire 0025; wire 0026; wire 0027; wire 0028; wire 0029; wire 0030; wire 0031; wire 0032; wire 0033; wire 0034; wire 0035; wire 0036; wire 0037; wire 0038; wire 0039; wire 0040; wire 0041; wire 0042; wire 0043; wire 0044; wire 0045; wire 0046; wire 0047; wire 0048; wire 0049; wire 0050; wire 0051; wire 0052; wire 0053; wire 0054; wire 0055; wire 0056; wire 0057; wire 0058; wire 0059; wire 0060; wire 0061; wire 0062; wire 0063; wire 0064; wire 0065; wire 0066; wire 0067; wire 0068; wire 0069; wire 0070; wire 0071; wire 0072; wire 0073; wire 0074; wire 0075; wire 0076; wire 0077; wire 0078; wire 0079; wire 0080; wire 0081; wire 0082; wire 0083; wire 0084; wire 0085; wire 0086; wire 0087; wire 0088; wire 0089; wire 0090; wire 0091; wire 0092; wire 0093; wire 0094; wire 0095; wire 0096; wire 0097; wire 0098; wire 0099; wire 0100; wire 0101; wire 0102; wire 0103; wire 0104; wire 0105; wire 0106; wire 0107; wire 0108; wire 0109; wire 0110; wire 0111; wire 0112; wire 0113; wire 0114; wire 0115; wire 0116; wire 0117; wire 0118; wire 0119; wire 0120; wire 0121; wire 0122; wire 0123; wire 0124; wire 0125; wire 0126; wire 0127; wire 0128; wire 0129; wire 0130; wire 0131; wire 0132; wire 0133; wire 0134; wire 0135; wire 0136; wire 0137; wire 0138; wire 0139; wire 0140; wire 0141; wire 0142; wire 0143; wire 0144; wire 0145; wire 0146; wire 0147; wire 0148; wire 0149; wire 0150; wire 0151; wire 0152; wire 0153; wire 0154; wire 0155; wire 0156; wire 0157; wire 0158; wire 0159; wire 0160; wire 0161; wire 0162; wire 0163; wire 0164; wire 0165; wire 0166; wire 0167; wire 0168; wire 0169; wire 0170; wire 0171; wire 0172; wire 0173; wire 0174; wire 0175; wire 0176; wire 0177; wire 0178; wire 0179; wire 0180; wire 0181; wire 0182; wire 0183; wire 0184; wire 0185; wire 0186; wire 0187; wire 0188; wire 0189; wire 0190; wire 0191; wire 0192; wire 0193; wire 0194; wire 0195; wire 0196; wire 0197; wire 0198; wire 0199; wire 0200; wire 0201; wire 0202; wire 0203; wire 0204; wire 0205; wire 0206; wire 0207; wire 0208; wire 0209; wire 0210; wire 0211; wire 0212; wire 0213; wire 0214; wire 0215; wire 0216; wire 0217; wire 0218; wire 0219; wire 0220; wire 0221; wire 0222; wire 0223; wire 0224; wire 0225; wire 0226; wire 0227; wire 0228; wire 0229; wire 0230; wire 0231; wire 0232; wire 0233; wire 0234; wire 0235; wire 0236; wire 0237; wire 0238; wire 0239; wire 0240; wire 0241; wire 0242; wire 0243; wire 0244; wire 0245; wire 0246; wire 0247; wire 0248; wire 0249; wire 0250; wire 0251; wire 0252; wire 0253; wire 0254; wire 0255; wire 0256; wire 0257; wire 0258; wire 0259; wire 0260; wire 0261; wire 0262; wire 0263; wire 0264; wire 0265; wire 0266; wire 0267; wire 0268; wire 0269; wire 0270; wire 0271; wire 0272; wire 0273; wire 0274; wire 0275; wire 0276; wire 0277; wire 0278; wire 0279; wire 0280; wire 0281; wire 0282; wire 0283; wire 0284; wire 0285; wire 0286; wire 0287; wire 0288; wire 0289; wire 0290; wire 0291; wire 0292; wire 0293; wire 0294; wire 0295; wire 0296; wire 0297; wire 0298; wire 0299; wire 0300; wire 0301; wire 0302; wire 0303; wire 0304; wire 0305; wire 0306; wire 0307; wire 0308; wire 0309; wire 0310; wire 0311; wire 0312; wire 0313; wire 0314; wire 0315; wire 0316; wire 0317; wire 0318; wire 0319; wire 0320; wire 0321; wire 0322; wire 0323; wire 0324; wire 0325; wire 0326; wire 0327; wire 0328; wire 0329; wire 0330; wire 0331; wire 0332; wire 0333; wire 0334; wire 0335; wire 0336; wire 0337; wire 0338; wire 0339; wire 0340; wire 0341; wire 0342; wire 0343; wire 0344; wire 0345; wire 0346; wire 0347; wire 0348; wire 0349; wire 0350; wire 0351; wire 0352; wire 0353; wire 0354; wire 0355; wire 0356; wire 0357; wire 0358; wire 0359; wire 0360; wire 0361; wire 0362; wire 0363; wire 0364; wire 0365; wire 0366; wire 0367; wire 0368; wire 0369; wire 0370; wire 0371; wire 0372; wire 0373; wire 0374; wire 0375; wire 0376; wire 0377; wire 0378; wire 0379; wire 0380; wire 0381; wire 0382; wire 0383; wire 0384; wire 0385; wire 0386; wire 0387; wire 0388; wire 0389; wire 0390; wire 0391; wire 0392; wire 0393; wire 0394; wire 0395; wire 0396; wire 0397; wire 0398; wire 0399; wire 0400; wire 0401; wire 0402; wire 0403; wire 0404; wire 0405; wire 0406; wire 0407; wire 0408; wire 0409; wire 0410; wire 0411; wire 0412; wire 0413; wire 0414; wire 0415; wire 0416; wire 0417; wire 0418; wire 0419; wire 0420; wire 0421; wire 0422; wire 0423; wire 0424; wire 0425; wire 0426; wire 0427; wire 0428; wire 0429; wire 0430; wire 0431; wire 0432; wire 0433; wire 0434; wire 0435; wire 0436; wire 0437; wire 0438; wire 0439; wire 0440; wire 0441; wire 0442; wire 0443; wire 0444; wire 0445; wire 0446; wire 0447; wire 0448; wire 0449; wire 0450; wire 0451; wire 0452; wire 0453; wire 0454; wire 0455; wire 0456; wire 0457; wire 0458; wire 0459; wire 0460; wire 0461; wire 0462; wire 0463; wire 0464; wire 0465; wire 0466; wire 0467; wire 0468; wire 0469; wire 0470; wire 0471; wire 0472; wire 0473; wire 0474; wire 0475; wire 0476; wire 0477; wire 0478; wire 0479; wire 0480; wire 0481; wire 0482; wire 0483; wire 0484; wire 0485; wire 0486; wire 0487; wire 0488; wire 0489; wire 0490; wire 0491; wire 0492; wire 0493; wire 0494; wire 0495; wire 0496; wire 0497; wire 0498; wire 0499; wire 0500; wire 0501; wire 0502; wire 0503; wire 0504; wire 0505; wire 0506; wire 0507; wire 0508; wire 0509; wire 0510; wire 0511; wire 0512; wire 0513; wire 0514; wire 0515; wire 0516; wire 0517; wire 0518; wire 0519; wire 0520; wire 0521; wire 0522; wire 0523; wire 0524; wire 0525; wire 0526; wire 0527; wire 0528; wire 0529; wire 0530; wire 0531; wire 0532; wire 0533; wire 0534; wire 0535; wire 0536; wire 0537; wire 0538; wire 0539; wire 0540; wire 0541; wire 0542; wire 0543; wire 0544; wire 0545; wire 0546; wire 0547; wire 0548; wire 0549; wire 0550; wire 0551; wire 0552; wire 0553; wire 0554; wire 0555; wire 0556; wire 0557; wire 0558; wire 0559; wire 0560; wire 0561; wire 0562; wire 0563; wire 0564; wire 0565; wire 0566; wire 0567; wire 0568; wire 0569; wire 0570; wire 0571; wire 0572; wire 0573; wire 0574; wire 0575; wire 0576; wire 0577; wire 0578; wire 0579; wire 0580; wire 0581; wire 0582; wire 0583; wire 0584; wire 0585; wire 0586; wire 0587; wire 0588; wire 0589; wire 0590; wire 0591; wire 0592; wire 0593; wire 0594; wire 0595; wire 0596; wire 0597; wire 0598; wire 0599; wire 0600; wire 0601; wire 0602; wire 0603; wire 0604; wire 0605; wire 0606; wire 0607; wire 0608; wire 0609; wire 0610; wire 0611; wire 0612; wire 0613; wire 0614; wire 0615; wire 0616; wire 0617; wire 0618; wire 0619; wire 0620; wire 0621; wire 0622; wire 0623; wire 0624; wire 0625; wire 0626; wire 0627; wire 0628; wire 0629; wire 0630; wire 0631; wire 0632; wire 0633; wire 0634; wire 0635; wire 0636; wire 0637; wire 0638; wire 0639; wire 0640; wire 0641; wire 0642; wire 0643; wire 0644; wire 0645; wire 0646; wire 0647; wire 0648; wire 0649; wire 0650; wire 0651; wire 0652; wire 0653; wire 0654; wire 0655; wire 0656; wire 0657; wire 0658; wire 0659; wire 0660; wire 0661; wire 0662; wire 0663; wire 0664; wire 0665; wire 0666; wire 0667; wire 0668; wire 0669; wire 0670; wire 0671; wire 0672; wire 0673; wire 0674; wire 0675; wire 0676; wire 0677; wire 0678; wire 0679; wire 0680; wire 0681; wire 0682; wire 0683; wire 0684; wire 0685; wire 0686; wire 0687; wire 0688; wire 0689; wire 0690; wire 0691; wire 0692; wire 0693; wire 0694; wire 0695; wire 0696; wire 0697; wire 0698; wire 0699; wire 0700; wire 0701; wire 0702; wire 0703; wire 0704; wire 0705; wire 0706; wire 0707; wire 0708; wire 0709; wire 0710; wire 0711; wire 0712; wire 0713; wire 0714; wire 0715; wire 0716; wire 0717; wire 0718; wire 0719; wire 0720; wire 0721; wire 0722; wire 0723; wire 0724; wire 0725; wire 0726; wire 0727; wire 0728; wire 0729; wire 0730; wire 0731; wire 0732; wire 0733; wire 0734; wire 0735; wire 0736; wire 0737; wire 0738; wire 0739; wire 0740; wire 0741; wire 0742; wire 0743; wire 0744; wire 0745; input VAR5; input VAR4; input VAR10; input VAR20; input VAR17; input VAR16; input VAR22; input VAR7; input VAR9; input VAR3; input VAR6; input VAR13; input VAR15; input VAR18; input VAR11; input VAR14; input VAR1; input VAR19; input VAR8; input VAR12; input VAR2; input VAR21; output valid; assign 0140 = ~VAR21; assign 0151 = ~VAR12; assign 0162 = ~VAR11; assign 0173 = ~VAR3; assign 0184 = ~(VAR4 ^ VAR5); assign 0195 = 0184 ^ 0173; assign 0206 = 0195 ^ VAR6; assign 0217 = 0206 & VAR15; assign 0228 = ~(0195 & VAR6); assign 0239 = VAR4 & VAR5; assign 0260 = VAR4 | VAR5; assign 0261 = ~0260; assign 0272 = VAR3 ? 0239 : 0261; assign 0283 = 0272 ^ 0228; assign 0294 = 0283 ^ VAR13; assign 0305 = 0294 ^ 0217; assign 0316 = 0206 ^ VAR15; assign 0337 = ~(0316 | 0305); assign 0338 = ~(0337 | 0162); assign 0349 = ~0338; assign 0360 = ~VAR18; assign 0371 = 0294 & 0217; assign 0382 = ~VAR20; assign 0393 = ~VAR10; assign 0404 = 0239 ^ 0393; assign 0415 = 0404 ^ 0382; assign 0426 = 0415 ^ VAR22; assign 0437 = 0426 ^ VAR7; assign 0448 = 0437 ^ VAR9; assign 0459 = ~(0260 | 0173); assign 0470 = 0459 ^ 0448; assign 0481 = 0470 ^ VAR6; assign 0492 = ~(0272 | 0228); assign 0503 = 0283 & VAR13; assign 0514 = 0503 | 0492; assign 0525 = 0514 ^ 0481; assign 0536 = 0525 ^ 0371; assign 0547 = 0536 ^ 0360; assign 0558 = 0547 ^ 0349; assign 0569 = ~VAR14; assign 0580 = 0316 | 0162; assign 0591 = 0580 ^ 0305; assign 0612 = ~(0591 | 0569); assign 0613 = ~(0612 ^ 0558); assign 0624 = ~VAR1; assign 0635 = 0591 ^ 0569; assign 0646 = ~(0635 | 0624); assign 0657 = 0646 ^ 0613; assign 0668 = 0657 ^ VAR19; assign 0679 = 0668 ^ VAR8; assign 0690 = ~(0679 | 0151); assign 0701 = 0679 ^ 0151; assign 0712 = 0316 ^ 0162; assign 0723 = 0712 | 0151; assign 0724 = 0635 ^ 0624; assign 0725 = ~(0724 | 0723); assign 0726 = 0725 & 0701; assign 0727 = ~(0726 | 0690); assign 0728 = 0668 & VAR8; assign 0729 = 0635 & VAR1; assign 0730 = ~0729; assign 0731 = ~(0730 | 0558); assign 0732 = ~0731; assign 0733 = 0613 | 0624; assign 0734 = 0733 & 0732; assign 0735 = 0612 & 0558; assign 0736 = 0547 | 0349; assign 0737 = 0536 | 0360; assign 0738 = ~(0525 & 0371); assign 0739 = 0481 & 0503; assign 0740 = 0470 & VAR6; assign 0741 = 0740 | 0492; assign 0742 = ~((0448 | 0260) & VAR3); assign 0743 = ~VAR9; assign 0744 = 0437 | 0743; assign 0745 = 0404 | 0382; assign 0000 = ~(VAR4 & VAR5); assign 0001 = ~((0000 | VAR10) & 0260); assign 0002 = ~(VAR20 & VAR10); assign 0003 = ~(0002 | 0184); assign 0004 = ~((0001 & 0745) | 0003); assign 0005 = 0004 ^ VAR16; assign 0006 = 0415 & VAR22; assign 0007 = ~((0426 & VAR7) | 0006); assign 0008 = ~(0007 ^ 0005); assign 0009 = 0008 ^ VAR3; assign 0010 = 0009 ^ 0744; assign 0011 = 0010 ^ 0742; assign 0012 = 0011 ^ 0741; assign 0013 = 0012 ^ 0739; assign 0014 = ~(0013 ^ VAR15); assign 0015 = 0014 ^ 0738; assign 0016 = 0015 ^ 0737; assign 0017 = 0016 ^ 0736; assign 0018 = 0017 ^ 0735; assign 0019 = 0018 ^ VAR1; assign 0020 = ~(0019 ^ 0734); assign 0021 = ~(0657 & VAR19); assign 0022 = 0020 ^ 0021; assign 0023 = 0728 ? 0020 : 0022; assign 0024 = 0023 ^ 0151; assign 0025 = 0024 ^ 0727; assign 0026 = 0724 ^ 0723; assign 0027 = 0026 & VAR2; assign 0028 = ~(0027 & 0701); assign 0029 = 0028 | 0025; assign 0030 = ~VAR2; assign 0031 = 0024 & 0726; assign 0032 = ~((0022 & 0679) | 0151); assign 0033 = 0032 | 0031; assign 0034 = ~0020; assign 0035 = ~(0034 & 0728); assign 0036 = 0018 | 0732; assign 0037 = ~(0036 & 0733); assign 0038 = ~((0018 & VAR1) | 0037); assign 0039 = 0017 & 0735; assign 0040 = ~(0016 | 0736); assign 0041 = ~(0015 | 0737); assign 0042 = ~(0013 & VAR15); assign 0043 = ~((0014 | 0738) & 0042); assign 0044 = 0012 & 0739; assign 0045 = 0011 & 0741; assign 0046 = ~(0260 & VAR3); assign 0047 = 0448 | 0046; assign 0048 = ~((0008 | 0448) & VAR3); assign 0049 = ~((0047 | 0010) & 0048); assign 0050 = 0008 | 0437; assign 0051 = 0050 & VAR9; assign 0052 = ~(0005 & 0006); assign 0053 = ~VAR16; assign 0054 = 0004 | 0053; assign 0055 = VAR20 & VAR10; assign 0056 = ~((0055 & 0000) | 0261); assign 0057 = 0056 ^ 0054; assign 0058 = 0057 ^ 0052; assign 0059 = ~VAR7; assign 0060 = 0426 & VAR7; assign 0061 = 0005 & 0060; assign 0062 = ~(0061 | 0059); assign 0063 = 0062 ^ 0058; assign 0064 = 0063 ^ 0051; assign 0065 = 0064 ^ 0049; assign 0066 = 0065 ^ 0045; assign 0067 = 0066 ^ 0044; assign 0068 = 0067 ^ 0043; assign 0069 = 0068 ^ 0041; assign 0070 = 0069 ^ VAR11; assign 0071 = 0070 ^ 0040; assign 0072 = 0071 ^ VAR14; assign 0073 = 0072 ^ 0039; assign 0074 = 0073 ^ 0624; assign 0075 = 0074 ^ 0038; assign 0076 = ~VAR19; assign 0077 = ~((0034 & 0657) | 0076); assign 0078 = ~(0077 ^ 0075); assign 0079 = 0078 ^ 0035; assign 0080 = 0079 ^ 0033; assign 0081 = 0080 ^ 0030; assign 0082 = ~(0081 | 0029); assign 0083 = 0081 & 0029; assign 0084 = 0725 ^ 0701; assign 0085 = 0712 ^ VAR12; assign 0086 = ~(0026 | VAR2); assign 0087 = ~((0086 | 0027) & 0085); assign 0088 = 0087 & 0028; assign 0089 = ~((0084 | 0027) & 0088); assign 0090 = 0089 | 0025; assign 0091 = ~((0090 | 0140) & (0083 | 0082)); assign 0092 = ~((0080 & VAR2) | 0082); assign 0093 = ~(0079 & 0033); assign 0094 = 0077 & 0075; assign 0095 = ~(0073 & VAR1); assign 0096 = ~((0074 | 0038) & 0095); assign 0097 = 0071 & VAR14; assign 0098 = 0072 & 0039; assign 0099 = 0098 | 0097; assign 0100 = 0069 & VAR11; assign 0101 = 0070 & 0040; assign 0102 = 0101 | 0100; assign 0103 = 0015 | 0536; assign 0104 = ~((0068 | 0103) & VAR18); assign 0105 = ~(0065 & 0045); assign 0106 = 0064 & 0049; assign 0107 = 0063 & 0051; assign 0108 = 0058 & VAR7; assign 0109 = 0108 | 0061; assign 0110 = ~(0057 | 0052); assign 0111 = ~((0056 | 0004) & VAR16); assign 0112 = ~((0055 & 0260) | 0239); assign 0113 = 0112 ^ 0111; assign 0114 = 0113 ^ 0110; assign 0115 = 0114 ^ 0109; assign 0116 = 0115 ^ 0107; assign 0117 = 0116 ^ 0106; assign 0118 = 0117 ^ 0105; assign 0119 = ~(0066 & 0044); assign 0120 = ~(0067 & 0043); assign 0121 = ~(0120 & 0119); assign 0122 = 0121 ^ 0118; assign 0123 = 0122 ^ 0104; assign 0124 = 0123 ^ 0102; assign 0125 = 0124 ^ 0099; assign 0126 = 0125 ^ 0096; assign 0127 = 0126 ^ 0094; assign 0128 = ~VAR8; assign 0129 = 0034 & 0668; assign 0130 = ~((0078 & 0129) | 0128); assign 0131 = ~(0130 ^ 0127); assign 0132 = ~(0131 ^ 0093); assign 0133 = ~((0132 & 0092) | (0090 & 0140)); assign 0134 = VAR22 ^ VAR17; assign 0135 = 0134 ^ 0059; assign 0136 = 0135 ^ VAR9; assign 0137 = 0136 ^ VAR3; assign 0138 = 0137 & VAR6; assign 0139 = 0136 & VAR3; assign 0141 = ~(0134 | 0059); assign 0142 = ~(VAR22 & VAR17); assign 0143 = ~(VAR20 ^ VAR5); assign 0144 = 0143 ^ VAR16; assign 0145 = 0144 ^ 0142; assign 0146 = ~0145; assign 0147 = ~(0146 & 0141); assign 0148 = 0146 | 0141; assign 0149 = 0148 & 0147; assign 0150 = 0135 & VAR9; assign 0152 = ~0149; assign 0153 = 0150 ? 0146 : 0152; assign 0154 = 0139 ? 0149 : 0153; assign 0155 = 0154 ^ 0138; assign 0156 = 0137 ^ VAR6; assign 0157 = ~(0156 | 0360); assign 0158 = 0156 ^ 0360; assign 0159 = 0158 & VAR11; assign 0160 = ~(0159 | 0157); assign 0161 = 0160 ^ 0155; assign 0163 = 0158 ^ VAR11; assign 0164 = ~(0163 | 0569); assign 0165 = 0163 & 0569; assign 0166 = ~(0165 | 0164); assign 0167 = 0166 & 0173; assign 0168 = 0167 & 0161; assign 0169 = ~(0168 | 0624); assign 0170 = 0155 & 0159; assign 0171 = 0155 & 0157; assign 0172 = ~VAR13; assign 0174 = ~0138; assign 0175 = 0153 | 0174; assign 0176 = 0149 & 0139; assign 0177 = ~((0146 & 0135) | 0743); assign 0178 = ~(0144 | 0142); assign 0179 = 0143 & VAR16; assign 0180 = ~VAR17; assign 0181 = ~VAR4; assign 0182 = ~(VAR20 & VAR5); assign 0183 = 0182 ^ 0181; assign 0185 = 0183 ^ 0180; assign 0186 = ~(0185 ^ 0179); assign 0187 = 0186 ^ 0178; assign 0188 = ~(0187 ^ 0147); assign 0189 = ~(0188 ^ 0177); assign 0190 = ~(0189 ^ 0176); assign 0191 = 0190 ^ 0175; assign 0192 = 0191 ^ 0172; assign 0193 = 0192 ^ 0171; assign 0194 = ~(0193 ^ 0170); assign 0196 = 0161 & 0164; assign 0197 = 0196 ^ 0194; assign 0198 = ~(0197 ^ 0169); assign 0199 = VAR1 & 0173; assign 0200 = ~0199; assign 0201 = ~(0200 | 0165); assign 0202 = ~(0201 | 0164); assign 0203 = ~(0202 ^ 0161); assign 0204 = ~(VAR1 ^ VAR3); assign 0205 = 0204 & 0166; assign 0207 = ~((0205 & 0203) | 0076); assign 0208 = 0207 ^ 0198; assign 0209 = 0208 ^ VAR8; assign 0210 = ~0166; assign 0211 = ~(0204 & VAR19); assign 0212 = ~(0211 | 0210); assign 0213 = ~(0212 ^ 0203); assign 0214 = 0204 ^ VAR19; assign 0215 = ~(0214 | 0128); assign 0216 = ~0215; assign 0218 = ~(0211 & 0200); assign 0219 = 0218 ^ 0166; assign 0220 = ~(0219 | 0216); assign 0221 = ~0220; assign 0222 = ~(0221 | 0213); assign 0223 = ~(0222 & 0209); assign 0224 = ~((0213 | 0208) & VAR8); assign 0225 = 0224 & 0223; assign 0226 = 0207 & 0198; assign 0227 = ~0169; assign 0229 = ~(0197 | 0227); assign 0230 = 0193 & 0170; assign 0231 = 0192 & 0171; assign 0232 = 0191 | 0172; assign 0233 = 0190 | 0175; assign 0234 = 0188 & 0177; assign 0235 = 0186 & 0178; assign 0236 = ~(0183 & VAR17); assign 0237 = ~(VAR17 & VAR20); assign 0238 = ~(0237 | 0184); assign 0240 = 0239 | 0382; assign 0241 = ~((0240 & 0236) | 0238); assign 0242 = ~((0185 & 0143) | 0053); assign 0243 = 0242 ^ 0241; assign 0244 = 0243 ^ VAR22; assign 0245 = 0244 ^ 0235; assign 0246 = ~(0187 | 0134); assign 0247 = ~((0246 & 0146) | 0059); assign 0248 = 0247 ^ 0245; assign 0249 = 0248 ^ 0234; assign 0250 = 0149 & 0136; assign 0251 = ~((0189 & 0250) | 0173); assign 0252 = 0251 ^ 0249; assign 0253 = 0252 ^ 0233; assign 0254 = 0253 ^ 0232; assign 0255 = 0254 ^ VAR15; assign 0256 = 0255 ^ VAR18; assign 0257 = 0256 ^ 0231; assign 0258 = 0257 ^ VAR11; assign 0259 = 0258 ^ 0230; assign 0262 = ~0161; assign 0263 = ~(0262 | 0163); assign 0264 = ~((0263 & 0194) | 0569); assign 0265 = 0264 ^ 0259; assign 0266 = 0265 ^ 0229; assign 0267 = 0266 ^ 0226; assign 0268 = 0267 ^ VAR8; assign 0269 = ~(0268 ^ 0225); assign 0270 = 0269 ^ VAR12; assign 0271 = ~(0219 ^ 0215); assign 0273 = 0214 ^ 0128; assign 0274 = ~(0273 | 0271); assign 0275 = ~(0220 | 0128); assign 0276 = 0275 ^ 0213; assign 0277 = ~0276; assign 0278 = ~((0277 & 0274) | 0151); assign 0279 = ~0278; assign 0280 = ~((0213 & VAR8) | 0220); assign 0281 = 0280 ^ 0209; assign 0282 = 0281 ^ 0279; assign 0284 = 0282 & VAR2; assign 0285 = ~0284; assign 0286 = 0285 | 0270; assign 0287 = ~(0281 | 0279); assign 0288 = 0287 ? 0269 : 0270; assign 0289 = ~(0288 & VAR2); assign 0290 = 0289 & 0286; assign 0291 = 0269 & VAR12; assign 0292 = 0287 | 0291; assign 0293 = ~(0267 & VAR8); assign 0295 = ~(0267 | VAR8); assign 0296 = ~((0295 | 0225) & 0293); assign 0297 = 0264 & 0259; assign 0298 = 0257 & VAR11; assign 0299 = 0258 & 0230; assign 0300 = 0299 | 0298; assign 0301 = 0255 & VAR18; assign 0302 = 0256 & 0231; assign 0303 = 0302 | 0301; assign 0304 = ~VAR6; assign 0306 = ~0252; assign 0307 = ~(0190 | 0153); assign 0308 = 0307 & 0137; assign 0309 = ~((0308 & 0306) | 0304); assign 0310 = 0247 & 0245; assign 0311 = 0243 & VAR22; assign 0312 = ~((0244 & 0235) | 0311); assign 0313 = ~((0237 | 0184) & (0000 | 0382)); assign 0314 = ~((0242 & 0241) | 0313); assign 0315 = 0314 ^ 0312; assign 0317 = 0315 ^ 0310; assign 0318 = 0248 & 0234; assign 0319 = ~((0251 & 0249) | 0318); assign 0320 = ~(0319 ^ 0317); assign 0321 = 0320 ^ 0309; assign 0322 = ~(0253 | 0232); assign 0323 = 0254 & VAR15; assign 0324 = ~(0323 | 0322); assign 0325 = ~(0324 ^ 0321); assign 0326 = 0325 ^ 0303; assign 0327 = 0326 ^ 0300; assign 0328 = 0327 ^ 0297; assign 0329 = 0265 & 0229; assign 0330 = ~(0266 & 0226); assign 0331 = ~0330; assign 0332 = 0331 | 0329; assign 0333 = 0332 ^ 0328; assign 0334 = 0333 ^ 0296; assign 0335 = ~(0334 ^ 0292); assign 0336 = 0282 | VAR2; assign 0339 = 0273 ^ VAR12; assign 0340 = 0339 | 0271; assign 0341 = 0274 & VAR12; assign 0342 = 0341 ^ 0276; assign 0343 = 0342 & 0340; assign 0344 = ~((0343 & VAR21) | (0336 & 0285)); assign 0345 = 0288 ^ VAR2; assign 0346 = ~((0345 | 0284) & 0286); assign 0347 = ~((0346 | 0344) & (0335 | 0290)); assign 0348 = ~(0334 & 0292); assign 0350 = 0333 & 0296; assign 0351 = 0328 & 0331; assign 0352 = 0328 & 0329; assign 0353 = 0325 & 0303; assign 0354 = 0321 & 0323; assign 0355 = ~0317; assign 0356 = ~((0319 | 0355) & (0314 | 0312)); assign 0357 = ~((0315 & 0310) | 0356); assign 0358 = ~(0320 & 0309); assign 0359 = 0321 & 0322; assign 0361 = ~0359; assign 0362 = ~(0361 & 0358); assign 0363 = ~(0362 ^ 0357); assign 0364 = 0363 ^ 0354; assign 0365 = 0364 ^ 0353; assign 0366 = 0326 & 0300; assign 0367 = 0327 & 0297; assign 0368 = 0367 | 0366; assign 0369 = 0368 ^ 0365; assign 0370 = ~(0369 & 0352); assign 0372 = 0369 | 0352; assign 0373 = 0372 & 0370; assign 0374 = 0373 ^ 0351; assign 0375 = ~(0374 ^ 0350); assign 0376 = ~((0375 & 0348) | (0335 & 0290)); assign 0377 = ~(0372 & 0351); assign 0378 = 0364 & 0353; assign 0379 = ~((0361 & 0358) | 0357); assign 0380 = 0363 & 0354; assign 0381 = 0380 | 0379; assign 0383 = 0381 | 0378; assign 0384 = ~((0368 & 0365) | 0383); assign 0385 = 0384 & 0370; assign 0386 = ~(0385 & 0377); assign 0387 = ~((0374 & 0350) | 0386); assign 0388 = ~((0375 | 0348) & 0387); assign 0389 = ~((0376 & 0347) | 0388); assign 0390 = ~(0131 | 0093); assign 0391 = 0125 & 0096; assign 0392 = 0118 | 0120; assign 0394 = 0122 | 0104; assign 0395 = 0394 & 0392; assign 0396 = 0116 & 0106; assign 0397 = ~(0114 & 0109); assign 0398 = ~(0112 | 0111); assign 0399 = ~((0113 & 0110) | 0398); assign 0400 = ~(0399 & 0397); assign 0401 = ~((0115 & 0107) | 0400); assign 0402 = 0401 ^ 0396; assign 0403 = ~0105; assign 0405 = ~(0118 | 0119); assign 0406 = ~((0117 & 0403) | 0405); assign 0407 = 0406 ^ 0402; assign 0408 = ~(0407 ^ 0395); assign 0409 = 0123 & 0102; assign 0410 = 0124 & 0099; assign 0411 = 0410 | 0409; assign 0412 = 0411 ^ 0408; assign 0413 = ~(0412 ^ 0391); assign 0414 = ~(0126 & 0094); assign 0416 = ~(0130 & 0127); assign 0417 = 0416 & 0414; assign 0418 = 0417 ^ 0413; assign 0419 = ~(0418 & 0390); assign 0420 = 0418 | 0390; assign 0421 = VAR17 ^ VAR10; assign 0422 = 0421 ^ VAR7; assign 0423 = 0422 ^ VAR6; assign 0424 = 0423 ^ VAR13; assign 0425 = 0424 ^ 0624; assign 0427 = ~(0425 | 0128); assign 0428 = ~(0424 | 0624); assign 0429 = 0423 & VAR13; assign 0430 = 0421 & VAR7; assign 0431 = 0422 & VAR6; assign 0432 = 0431 | 0430; assign 0433 = VAR17 ^ VAR20; assign 0434 = VAR10 ? 0382 : 0433; assign 0435 = 0434 ^ VAR22; assign 0436 = 0435 ^ 0432; assign 0438 = 0436 & 0429; assign 0439 = ~(0436 | 0429); assign 0440 = ~(0439 | 0438); assign 0441 = 0440 ^ 0360; assign 0442 = 0441 ^ VAR11; assign 0443 = 0442 ^ 0428; assign 0444 = 0443 ^ 0076; assign 0445 = 0444 & 0427; assign 0446 = ~(0442 & 0428); assign 0447 = ~(0440 | 0360); assign 0449 = 0441 & VAR11; assign 0450 = 0449 | 0447; assign 0451 = 0438 | 0172; assign 0452 = 0435 & 0430; assign 0453 = ~VAR22; assign 0454 = 0434 | 0453; assign 0455 = 0055 ^ VAR5; assign 0456 = ~((VAR20 | VAR10) & VAR17); assign 0457 = ~(0456 ^ 0455); assign 0458 = 0457 ^ 0053; assign 0460 = 0458 ^ 0454; assign 0461 = 0460 ^ 0452; assign 0462 = 0461 ^ VAR9; assign 0463 = ~((0435 & 0422) | 0304); assign 0464 = 0463 ^ 0462; assign 0465 = 0464 ^ 0451; assign 0466 = 0465 ^ 0450; assign 0467 = 0466 ^ VAR14; assign 0468 = 0467 ^ 0446; assign 0469 = 0468 & 0445; assign 0471 = ~0442; assign 0472 = ~(0471 | 0424); assign 0473 = ~(0467 & 0472); assign 0474 = ~(0473 & VAR1); assign 0475 = ~(0466 & VAR14); assign 0476 = ~((0465 & 0441) | 0162); assign 0477 = 0464 | 0451; assign 0478 = ~((0462 & VAR6) | (0435 & 0431)); assign 0479 = ~0434; assign 0480 = 0458 & 0479; assign 0482 = 0480 | 0453; assign 0483 = 0457 | 0053; assign 0484 = ~0456; assign 0485 = ~(0484 & 0455); assign 0486 = 0182 & VAR10; assign 0487 = 0486 ^ 0184; assign 0488 = 0487 ^ VAR17; assign 0489 = 0488 ^ 0485; assign 0490 = 0489 ^ 0483; assign 0491 = 0490 ^ 0482; assign 0493 = 0460 & 0452; assign 0494 = ~((0461 & VAR9) | 0493); assign 0495 = 0494 ^ 0491; assign 0496 = ~(0495 ^ 0478); assign 0497 = 0496 ^ 0477; assign 0498 = 0497 ^ VAR15; assign 0499 = 0465 & 0447; assign 0500 = 0499 ^ 0498; assign 0501 = 0500 ^ 0476; assign 0502 = 0501 ^ 0475; assign 0504 = ~(0502 ^ 0474); assign 0505 = ~(0443 | 0076); assign 0506 = ~(0468 & 0505); assign 0507 = ~(0506 & VAR19); assign 0508 = 0507 ^ 0504; assign 0509 = 0508 & 0469; assign 0510 = ~((0504 | 0076) & 0506); assign 0511 = ~0466; assign 0512 = 0501 | 0511; assign 0513 = 0512 & VAR14; assign 0515 = 0497 & VAR15; assign 0516 = ~(0463 ^ 0462); assign 0517 = ~((0496 & 0516) | 0172); assign 0518 = 0517 | 0438; assign 0519 = ~(0495 | 0478); assign 0520 = 0491 & 0493; assign 0521 = 0490 | 0482; assign 0522 = 0489 | 0483; assign 0523 = 0487 | 0180; assign 0524 = ~((0488 | 0485) & 0523); assign 0526 = 0181 | VAR5; assign 0527 = 0181 & VAR5; assign 0528 = ~((0527 | VAR10) & 0526); assign 0529 = ~((0182 & 0002) | VAR4); assign 0530 = ~((0528 & 0382) | 0529); assign 0531 = 0530 ^ VAR17; assign 0532 = 0531 ^ 0524; assign 0533 = 0532 ^ 0522; assign 0534 = 0533 ^ 0521; assign 0535 = ~(0534 ^ 0520); assign 0537 = ~(0491 & 0461); assign 0538 = ~(0537 & VAR9); assign 0539 = 0538 ^ 0535; assign 0540 = 0539 ^ 0519; assign 0541 = 0540 ^ 0518; assign 0542 = 0541 ^ 0515; assign 0543 = ~((0439 | 0438) & 0465); assign 0544 = 0543 | 0498; assign 0545 = 0544 & VAR18; assign 0546 = ~(0545 ^ 0542); assign 0548 = ~(0500 & 0476); assign 0549 = 0548 & VAR11; assign 0550 = ~(0549 ^ 0546); assign 0551 = ~(0550 ^ 0513); assign 0552 = 0502 | 0474; assign 0553 = ~(0552 & VAR1); assign 0554 = 0553 ^ 0551; assign 0555 = 0554 ^ VAR19; assign 0556 = 0555 ^ 0510; assign 0557 = ~(0556 ^ 0509); assign 0559 = 0508 ^ 0469; assign 0560 = 0444 ^ 0427; assign 0561 = ~(0445 | 0505); assign 0562 = ~(0561 ^ 0468); assign 0563 = 0562 | 0560; assign 0564 = 0563 & VAR12; assign 0565 = ~(0564 & 0559); assign 0566 = 0565 & VAR12; assign 0567 = 0566 ^ 0557; assign 0568 = ~(0567 | 0030); assign 0570 = 0560 ^ 0151; assign 0571 = ~(0570 | 0030); assign 0572 = ~(0560 | 0151); assign 0573 = 0572 ^ 0562; assign 0574 = ~(0573 & 0571); assign 0575 = ~0574; assign 0576 = 0564 ^ 0559; assign 0577 = 0576 & VAR2; assign 0578 = ~(0577 | 0575); assign 0579 = ~0578; assign 0581 = 0567 ^ 0030; assign 0582 = 0581 & 0579; assign 0583 = 0582 | 0568; assign 0584 = ~((0557 | 0151) & 0565); assign 0585 = 0556 & 0509; assign 0586 = 0554 & VAR19; assign 0587 = 0555 & 0510; assign 0588 = 0587 | 0586; assign 0589 = ~((0551 | 0624) & 0552); assign 0590 = 0550 & 0513; assign 0592 = ~((0546 | 0162) & 0548); assign 0593 = 0540 & 0518; assign 0594 = 0539 & 0519; assign 0595 = ~((0537 & 0535) | 0743); assign 0596 = ~(0484 | 0455); assign 0597 = ~((0488 | 0485) & VAR16); assign 0598 = ~((0488 & 0596) | 0597); assign 0599 = 0532 & VAR16; assign 0600 = 0599 | 0598; assign 0601 = 0055 & VAR5; assign 0602 = ~0601; assign 0603 = ~(0528 | 0382); assign 0604 = ~((0261 | 0393) & 0000); assign 0605 = ~((0604 | 0603) & 0602); assign 0606 = 0530 & VAR17; assign 0607 = ~((0531 & 0524) | 0606); assign 0608 = ~((0607 & 0605) | (0484 & 0455)); assign 0609 = ~(0608 ^ 0600); assign 0610 = 0533 | 0521; assign 0611 = ~(0534 & 0520); assign 0614 = 0611 & 0610; assign 0615 = 0614 ^ 0609; assign 0616 = 0615 ^ 0595; assign 0617 = 0616 ^ 0594; assign 0618 = ~(0617 ^ 0593); assign 0619 = ~(0541 & 0515); assign 0620 = ~(0545 & 0542); assign 0621 = 0620 & 0619; assign 0622 = 0621 ^ 0618; assign 0623 = 0622 ^ 0592; assign 0625 = 0623 ^ 0590; assign 0626 = 0625 ^ 0589; assign 0627 = 0626 ^ 0588; assign 0628 = 0627 ^ 0585; assign 0629 = 0628 ^ 0584; assign 0630 = ~(0629 ^ 0583); assign 0631 = 0581 ^ 0578; assign 0632 = 0574 & VAR2; assign 0633 = 0632 ^ 0576; assign 0634 = 0573 ^ 0571; assign 0636 = 0570 ^ 0030; assign 0637 = 0425 ^ 0128; assign 0638 = ~(0637 | 0636); assign 0639 = ~0638; assign 0640 = ~(0639 | 0634); assign 0641 = ~0640; assign 0642 = ~((0641 | 0633) & VAR21); assign 0643 = 0642 | 0631; assign 0644 = 0643 | 0630; assign 0645 = 0628 & 0584; assign 0647 = 0627 & 0585; assign 0648 = 0626 & 0588; assign 0649 = ~(0616 & 0594); assign 0650 = ~(0615 & 0595); assign 0651 = 0609 | 0610; assign 0652 = 0608 & 0600; assign 0653 = 0485 & 0602; assign 0654 = 0653 ^ 0652; assign 0655 = 0654 & 0611; assign 0656 = 0655 & 0651; assign 0658 = 0656 ^ 0650; assign 0659 = ~(0658 ^ 0649); assign 0660 = ~0619; assign 0661 = ~((0660 | 0593) & 0617); assign 0662 = 0661 ^ 0659; assign 0663 = ~(0618 | 0620); assign 0664 = ~((0622 & 0592) | 0663); assign 0665 = ~(0664 ^ 0662); assign 0666 = ~(0623 & 0590); assign 0667 = ~(0625 & 0589); assign 0669 = ~(0667 & 0666); assign 0670 = ~(0669 ^ 0665); assign 0671 = 0670 ^ 0648; assign 0672 = 0671 ^ 0647; assign 0673 = ~(0672 & 0645); assign 0674 = 0672 | 0645; assign 0675 = ~((0674 & 0673) | (0629 & 0583)); assign 0676 = ~(0671 & 0647); assign 0677 = 0673 & 0676; assign 0678 = ~(0665 | 0666); assign 0680 = ~0659; assign 0681 = ~(0661 | 0680); assign 0682 = 0652 & 0601; assign 0683 = ~((0649 & 0650) | 0656); assign 0684 = 0683 | 0682; assign 0685 = ~(0684 | 0681); assign 0686 = ~((0664 | 0662) & 0685); assign 0687 = ~(0686 | 0678); assign 0688 = ~((0665 | 0667) & 0687); assign 0689 = ~((0670 & 0648) | 0688); assign 0691 = 0689 & 0677; assign 0692 = 0691 & 0675; assign 0693 = 0692 & 0644; assign 0694 = 0642 ^ 0631; assign 0695 = 0637 ^ VAR21; assign 0696 = ~(0634 | 0140); assign 0697 = 0638 & VAR21; assign 0698 = 0697 ^ 0634; assign 0699 = ~((0696 | 0633) & 0698); assign 0700 = ~((0696 & 0633) | 0699); assign 0702 = ~((0695 | 0636) & 0700); assign 0703 = ~(0702 | 0630); assign 0704 = ~((0416 & 0414) | 0413); assign 0705 = 0406 | 0402; assign 0706 = 0406 & 0402; assign 0707 = ~((0706 | 0395) & 0705); assign 0708 = 0408 & 0410; assign 0709 = ~0396; assign 0710 = ~(0408 & 0409); assign 0711 = ~((0401 | 0709) & 0710); assign 0713 = 0711 | 0708; assign 0714 = 0713 | 0707; assign 0715 = ~((0412 & 0391) | 0714); assign 0716 = ~(0715 ^ 0704); assign 0717 = ~((0703 & 0694) | 0716); assign 0718 = 0717 & 0419; assign 0719 = ~(0718 & 0693); assign 0720 = ~((0420 & 0419) | 0719); assign 0721 = ~((0132 | 0092) & 0720); assign 0722 = 0721 | 0389; assign valid = ~((0133 & 0091) | 0722); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o21bai/sky130_fd_sc_hdll__o21bai_4.v
2,345
module MODULE2 ( VAR6 , VAR10 , VAR1 , VAR4, VAR8, VAR5, VAR7 , VAR3 ); output VAR6 ; input VAR10 ; input VAR1 ; input VAR4; input VAR8; input VAR5; input VAR7 ; input VAR3 ; VAR9 VAR2 ( .VAR6(VAR6), .VAR10(VAR10), .VAR1(VAR1), .VAR4(VAR4), .VAR8(VAR8), .VAR5(VAR5), .VAR7(VAR7), .VAR3(VAR3) ); endmodule module MODULE2 ( VAR6 , VAR10 , VAR1 , VAR4 ); output VAR6 ; input VAR10 ; input VAR1 ; input VAR4; supply1 VAR8; supply0 VAR5; supply1 VAR7 ; supply0 VAR3 ; VAR9 VAR2 ( .VAR6(VAR6), .VAR10(VAR10), .VAR1(VAR1), .VAR4(VAR4) ); endmodule
apache-2.0
SiLab-Bonn/basil
basil/firmware/modules/gpac_adc_rx/gpac_adc_rx.v
1,838
module MODULE1 #( parameter VAR22 = 16'h0000, parameter VAR6 = 16'h0000, parameter VAR29 = 16, parameter [1:0] VAR19 = 0, parameter [0:0] VAR17 = 0 ) ( input wire VAR9, input wire [13:0] VAR12, input wire VAR27, input wire VAR11, input wire VAR3, output wire VAR4, output wire [31:0] VAR8, input wire VAR13, input wire VAR24, input wire [VAR29-1:0] VAR10, inout wire [7:0] VAR25, input wire VAR21, input wire VAR18, output wire VAR16 ); wire VAR14, VAR26; wire [VAR29-1:0] VAR1; wire [7:0] VAR2; wire [7:0] VAR5; VAR23 #( .VAR22(VAR22), .VAR6(VAR6) , .VAR29(VAR29) ) VAR28 ( .VAR21(VAR21), .VAR18(VAR18), .VAR10(VAR10), .VAR25(VAR25), .VAR14(VAR14), .VAR26(VAR26), .VAR1(VAR1), .VAR2(VAR2), .VAR5(VAR5) ); VAR20 #( .VAR19(VAR19), .VAR17(VAR17), .VAR29(VAR29) ) VAR30 ( .VAR13(VAR13), .VAR24(VAR24), .VAR10(VAR1), .VAR15(VAR2), .VAR21(VAR14), .VAR18(VAR26), .VAR7(VAR5), .VAR9(VAR9), .VAR12(VAR12), .VAR27(VAR27), .VAR11(VAR11), .VAR3(VAR3), .VAR4(VAR4), .VAR8(VAR8), .VAR16(VAR16) ); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlybuf4s25kapwr/sky130_fd_sc_lp__dlybuf4s25kapwr.pp.symbol.v
1,410
module MODULE1 ( input VAR3 , output VAR2 , input VAR1, input VAR6 , input VAR5 , input VAR4 , input VAR7 ); endmodule
apache-2.0
hoglet67/opc
system/blackice/memory_controller_3_wait_states.v
3,372
module MODULE1 ( VAR21, VAR11, VAR19, VAR1, VAR7, VAR9, VAR16, VAR13, VAR18, VAR3, VAR20, VAR4, VAR6, VAR15, VAR10 ); parameter VAR17 = 32; parameter VAR2 = 20; input VAR21; input VAR11; input VAR19; input VAR1; output VAR7; input [VAR2-1:0] VAR9; input [VAR17-1:0] VAR16; output [VAR17-1:0] VAR13; output VAR18; output VAR3; output VAR20; output [17:0] VAR10; input [15:0] VAR4; output [15:0] VAR6; output VAR15; wire VAR8; reg VAR5; reg [15:0] VAR12; reg [1:0] VAR14; always @(posedge VAR21) if (!VAR11) VAR14 <= 0; else if (!VAR19 || VAR14 > 0) VAR14 <= VAR14 + 1; assign VAR7 = !(!VAR19 && VAR14 < 3); assign VAR8 = VAR14[1]; always @(posedge VAR21) if (!VAR1 && !VAR19 && !VAR14[0]) VAR5 <= 1'b0; else VAR5 <= 1'b1; always @(posedge VAR21) if (VAR14[0] == 1'b1) VAR12 <= VAR4; assign VAR13 = { VAR4, VAR12 }; assign VAR10 = {VAR9[16:0], VAR8}; assign VAR18 = VAR19; assign VAR3 = !VAR1; assign VAR20 = VAR5; assign VAR15 = !VAR1; assign VAR6 = VAR8 == 1 ? VAR16[31:16] : VAR16[15:0] ; endmodule
gpl-3.0
d16-processor/d16
verilog/src/pc_unit.v
2,706
module MODULE1( clk, en, rst, VAR4, VAR5, VAR3 ); input clk; input en; input rst; input [15:0] VAR4; input [1:0] VAR5; input [15:0] VAR4; input [1:0] VAR5; output [15:0] VAR3; wire clk; wire en; wire [15:0] VAR4; wire [1:0] VAR5; wire [15:0] VAR3; reg [15:0] VAR6 = 16'VAR1 0000; assign VAR3 = VAR6; always @(posedge clk) begin if(rst == 1) VAR6 <= 0; end else if(en == 1'VAR2 1) begin case(VAR5) VAR6 <= VAR6; end VAR6 <= (((VAR6)) + 2); end VAR6 <= VAR4; end VAR6 <= 16'VAR1 0000; end default : begin end endcase end end
mit
asicguy/gplgpu
hdl/altera_ddr3/alt_ddrx_bypass.v
45,623
module MODULE1 # ( parameter VAR95 = 2, VAR182 = 4, VAR73 = 16, VAR160 = 3, VAR180 = 1, VAR119 = 4, VAR50 = 8 ) ( VAR96, VAR194, VAR142, VAR64, VAR11, VAR159, VAR10, VAR51, VAR102, VAR164, VAR109, VAR83, VAR144, VAR135, VAR39, VAR90, VAR178, VAR133, VAR46, VAR2, VAR30, VAR173, VAR117, VAR34, VAR69, VAR49, VAR116, VAR59, VAR93, VAR105, VAR104, VAR9, VAR191, VAR122, VAR36, VAR113, VAR53, VAR138, VAR43, VAR107, VAR79, VAR75, VAR17, VAR136, VAR139, VAR150, VAR21, VAR157, VAR131, VAR55, VAR27, VAR168, VAR18, VAR172, VAR134, VAR5, VAR24, VAR44, VAR15, VAR82, VAR192, VAR87, VAR153, VAR35, VAR128, VAR28, VAR12, VAR99, VAR97, VAR71, VAR118, VAR154, VAR161, VAR13, VAR195, VAR65, VAR186, VAR112, VAR89, VAR148, VAR57, VAR40, VAR33, VAR121, VAR184, VAR129, VAR88, VAR166, VAR14, VAR193, VAR143, VAR158, VAR175, VAR125, VAR100, VAR77, VAR23, VAR80, VAR84, VAR66, VAR127, VAR101, VAR156, VAR165, VAR196, VAR86, VAR94, VAR183, VAR41, VAR91, VAR115, VAR198, VAR124, VAR61, VAR58, VAR56, VAR38, VAR185, VAR140, VAR7, VAR171, VAR174, VAR48, VAR26, VAR74, VAR67, VAR22, VAR108, VAR130, VAR187, VAR152, VAR37, VAR114, VAR78, VAR4, VAR32, VAR1, VAR25, VAR60, VAR197, VAR6, VAR137, VAR81, VAR126, VAR176, VAR147, VAR155, VAR163 ); input VAR96; input VAR194; input [(VAR50 + 1) * VAR95 - 1 : 0] VAR142; input [(VAR50 + 1) * VAR160 - 1 : 0] VAR64; input [(VAR50 + 1) * VAR73 - 1 : 0] VAR11; input [VAR50 : 0] VAR159; input VAR10; input VAR51; input VAR102; input VAR164; input VAR109; input VAR83; input VAR144; input VAR135; input VAR39; input VAR90; input [VAR182 - 1 : 0] VAR178; input [VAR160 - 1 : 0] VAR133; input [VAR73 - 1 : 0] VAR46; input VAR2; input VAR30; input VAR173; input VAR117; input VAR34; input VAR69; input VAR49; input VAR116; input VAR59; input VAR93; input VAR105; input VAR104; input VAR9; input VAR191; input VAR122; input VAR36; input VAR113; input VAR53; input VAR138; input VAR43; input VAR107; input VAR79; input VAR75; input VAR17; input VAR136; input VAR139; input VAR150; input VAR21; input VAR157; input VAR131; input VAR55; input VAR27; input VAR168; input VAR18; input VAR172; input VAR134; input VAR5; input VAR24; input VAR44; input VAR15; input VAR82; input VAR192; input VAR87; input VAR153; input VAR35; input VAR128; input VAR28; input VAR12; input VAR99; input VAR97; input VAR71; input VAR118; input VAR154; input VAR161; input VAR13; input VAR195; input VAR65; input VAR186; input VAR112; input VAR89; input VAR148; input VAR57; input VAR40; input VAR33; input VAR121; input VAR184; input VAR129; input VAR88; input VAR166; input VAR14; input VAR193; input VAR143; input VAR158; input VAR175; input VAR125; input VAR100; input VAR77; input VAR23; input VAR80; input VAR84; input VAR66; input VAR127; input VAR101; input VAR156; input VAR165; input VAR196; input VAR86; input VAR94; input VAR183; input VAR41; input VAR91; input VAR115; input VAR198; input VAR124; input VAR61; input VAR58; input [VAR182 - 1 : 0] VAR56; input [VAR182 - 1 : 0] VAR38; input [VAR182 - 1 : 0] VAR185; input [VAR182 - 1 : 0] VAR140; input [VAR182 - 1 : 0] VAR7; input [VAR182 - 1 : 0] VAR171; input [VAR182 - 1 : 0] VAR174; input [VAR182 - 1 : 0] VAR48; input [VAR182 - 1 : 0] VAR26; input [VAR182 - 1 : 0] VAR74; input [VAR119 : 0] VAR67; input [VAR119 : 0] VAR22; input [VAR119 : 0] VAR108; input [VAR119 : 0] VAR130; input [VAR119 : 0] VAR187; input [VAR119 : 0] VAR152; input [VAR119 : 0] VAR37; output [VAR182 - 1 : 0] VAR114; output [VAR182 - 1 : 0] VAR78; output [VAR182 - 1 : 0] VAR4; output [VAR182 - 1 : 0] VAR32; output [VAR182 - 1 : 0] VAR1; output [VAR182 - 1 : 0] VAR25; output [VAR182 - 1 : 0] VAR60; output [VAR182 - 1 : 0] VAR197; output [VAR182 - 1 : 0] VAR6; output [VAR119 : 0] VAR137; output [VAR119 : 0] VAR81; output [VAR119 : 0] VAR126; output [VAR119 : 0] VAR176; output [VAR119 : 0] VAR147; output [VAR119 : 0] VAR155; output [VAR119 : 0] VAR163; reg VAR16; reg VAR92; reg VAR76; reg VAR177; reg VAR179; reg VAR68; reg [VAR182 - 1 : 0] VAR162; reg [VAR160 - 1 : 0] VAR181; reg [VAR73 - 1 : 0] VAR110; reg [VAR119 : 0] VAR85; reg [VAR119 : 0] VAR42; reg [VAR119 : 0] VAR189; reg [VAR119 : 0] VAR145; reg [VAR119 : 0] VAR54; reg [VAR119 : 0] VAR62; reg [VAR119 : 0] VAR149; reg [VAR119 : 0] VAR111; reg [VAR119 : 0] VAR103; reg [VAR119 : 0] VAR52; reg [VAR119 : 0] VAR19; reg [VAR119 : 0] VAR132; reg [VAR119 : 0] VAR167; reg [VAR119 : 0] VAR3; reg [VAR119 : 0] VAR190; reg [VAR119 : 0] VAR120; reg [VAR119 : 0] VAR141; reg [VAR119 : 0] VAR170; reg [VAR119 : 0] VAR70; reg [VAR119 : 0] VAR20; reg VAR72; reg VAR31; reg [VAR182 - 1 : 0] VAR114; reg [VAR182 - 1 : 0] VAR78; reg [VAR182 - 1 : 0] VAR4; reg [VAR182 - 1 : 0] VAR32; reg [VAR182 - 1 : 0] VAR1; reg [VAR182 - 1 : 0] VAR25; reg [VAR119 : 0] VAR137; reg [VAR119 : 0] VAR81; reg [VAR119 : 0] VAR163; reg [VAR119 : 0] VAR126; reg [VAR119 : 0] VAR176; reg [VAR119 : 0] VAR147; reg [VAR119 : 0] VAR155; wire [VAR182 - 1 : 0] VAR60; wire [VAR182 - 1 : 0] VAR197; wire [VAR182 - 1 : 0] VAR6; assign VAR60 = VAR48; assign VAR197 = VAR26; assign VAR6 = VAR74; always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) begin VAR16 <= 1'b0; VAR92 <= 1'b0; VAR76 <= 1'b0; VAR177 <= 1'b0; VAR179 <= 1'b0; VAR68 <= 1'b0; end else begin VAR16 <= VAR10; VAR92 <= VAR51; VAR76 <= VAR109; VAR177 <= VAR164; VAR179 <= VAR144; VAR68 <= VAR135; end end always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) begin VAR162 <= 0; VAR181 <= 0; VAR110 <= 0; end else begin VAR162 <= VAR178; VAR181 <= VAR133; VAR110 <= VAR46; end end generate genvar VAR63; for (VAR63 = 0;VAR63 < VAR119 + 1;VAR63 = VAR63 + 1) begin : VAR47 reg VAR188; reg VAR8; wire [VAR95 - 1 : 0] VAR29 = VAR142 [(VAR63 + 1) * VAR95 - 1 : VAR63 * VAR95]; wire [VAR160 - 1 : 0] VAR169 = VAR64 [(VAR63 + 1) * VAR160 - 1 : VAR63 * VAR160 ]; wire [VAR73 - 1 : 0] VAR146 = VAR11 [(VAR63 + 1) * VAR73 - 1 : VAR63 * VAR73]; wire VAR45 = 1'b0; always @ begin VAR42 [VAR63] = VAR45; end always @ begin if (VAR159 [VAR63]) begin if (((VAR83 || VAR164) && VAR188) || VAR144) VAR54 [VAR63] = 1'b1; end else VAR54 [VAR63] = 1'b0; end else begin if (((VAR83 || VAR164) && VAR178 [VAR29] && VAR188) || (VAR144 && VAR178 [VAR29])) VAR54 [VAR63] = 1'b1; end else VAR54 [VAR63] = 1'b0; end end always @ begin if (VAR159 [VAR63]) begin if (VAR10 && VAR188) VAR145 [VAR63] = 1'b1; end else VAR145 [VAR63] = 1'b0; end else begin if (VAR10 && VAR178 [VAR29] && VAR188) VAR145 [VAR63] = 1'b1; end else VAR145 [VAR63] = 1'b0; end end always @ begin if (VAR2) VAR72 = 1'b1; end else VAR72 = 1'b0; end always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) VAR31 <= 1'b0; end else begin if (VAR2) VAR31 <= 1'b1; end else VAR31 <= 1'b0; end end always @ begin VAR81 [VAR151] = VAR106; end always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) VAR163 [VAR151] <= 1'b0; end else VAR163 [VAR151] <= VAR37 [VAR151]; end end endgenerate generate genvar VAR98; for (VAR98 = 0;VAR98 < VAR182;VAR98 = VAR98 + 1) begin : VAR123 always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) VAR78 [VAR98] <= 1'b0; end else begin if (VAR109 && VAR178 [VAR98]) VAR78 [VAR98] <= 1'b0; end else if (VAR76 && VAR162 [VAR98]) VAR78 [VAR98] <= 1'b0; end else if ((VAR10 || VAR51) && VAR178 [VAR98]) VAR78 [VAR98] <= 1'b0; else if ((VAR16 || VAR92) && VAR162 [VAR98]) VAR78 [VAR98] <= 1'b0; else VAR78 [VAR98] <= VAR185 [VAR98]; end end always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) VAR4 [VAR98] <= 1'b0; end else begin if (VAR109 && VAR178 [VAR98]) VAR4 [VAR98] <= 1'b0; end else if (VAR76 && VAR162 [VAR98]) VAR4 [VAR98] <= 1'b0; else if ((VAR164 || VAR144) && VAR178 [VAR98]) VAR4 [VAR98] <= 1'b0; else if ((VAR177 || VAR179) && VAR162 [VAR98]) VAR4 [VAR98] <= 1'b0; else if (VAR135 && VAR178 [VAR98]) VAR4 [VAR98] <= 1'b0; else VAR4 [VAR98] <= VAR140 [VAR98]; end end always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) VAR32 [VAR98] <= 1'b0; end else begin if (VAR109 && VAR178 [VAR98]) VAR32 [VAR98] <= 1'b0; end else if (VAR76 && VAR162 [VAR98]) VAR32 [VAR98] <= 1'b0; else if ((VAR164 || VAR144) && VAR178 [VAR98]) VAR32 [VAR98] <= 1'b0; else if ((VAR177 || VAR179) && VAR162 [VAR98]) VAR32 [VAR98] <= 1'b0; else if (VAR135 && VAR178 [VAR98]) VAR32 [VAR98] <= 1'b0; else VAR32 [VAR98] <= VAR7 [VAR98]; end end always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) VAR1 [VAR98] <= 1'b0; end else begin if (VAR109 && VAR178 [VAR98]) VAR1 [VAR98] <= 1'b0; end else if (VAR76 && VAR162 [VAR98]) VAR1 [VAR98] <= 1'b0; else if ((VAR164 || VAR144) && VAR178 [VAR98]) VAR1 [VAR98] <= 1'b0; else if ((VAR177 || VAR179) && VAR162 [VAR98]) VAR1 [VAR98] <= 1'b0; else if (VAR135 && VAR178 [VAR98]) VAR1 [VAR98] <= 1'b0; else if (VAR68 && VAR162 [VAR98]) VAR1 [VAR98] <= 1'b0; else VAR1 [VAR98] <= VAR171 [VAR98]; end end always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) VAR25 [VAR98] <= 1'b0; end else VAR25 [VAR98] <= VAR174 [VAR98]; end always @ (posedge VAR96 or negedge VAR194) begin if (!VAR194) VAR114 [VAR98] <= 1'b0; end else begin if (VAR109 && VAR178 [VAR98]) VAR114 [VAR98] <= 1'b0; end else if (VAR76 && VAR162 [VAR98]) VAR114 [VAR98] <= 1'b0; else if (VAR144 && VAR178 [VAR98]) VAR114 [VAR98] <= 1'b1; else if (VAR179 && VAR162 [VAR98]) VAR114 [VAR98] <= 1'b1; else VAR114 [VAR98] <= VAR38 [VAR98]; end end end endgenerate endmodule
gpl-3.0
olgirard/openmsp430
fpga/OBSOLETE/altera_de1_board/rtl/verilog/ext_de1_sram.v
3,781
module MODULE1( input clk, input [VAR7-1:0] VAR12, input VAR13, input [1:0] VAR2, input [15:0] VAR8, output reg [15:0] VAR1, inout [15:0] VAR10, output reg [17:0] VAR15, output reg VAR6, output reg VAR9, output reg VAR11, output reg VAR17, output reg VAR16 ); parameter VAR7 = 9; reg [15:0] VAR5; reg VAR3; reg VAR4; always @(negedge clk) begin VAR15 <= { {18-VAR7{1'b0}}, VAR12[VAR7-1:0] }; end always @(negedge clk) begin if( !VAR13 && !(&VAR2) ) VAR3 <= 1'b0; end else VAR3 <= 1'b1; VAR4 <= ~VAR13; end always @(negedge clk) VAR5 <= VAR8; assign VAR10 = VAR3 ? {16{1'VAR14}} : VAR5; always @(posedge clk) begin if( VAR4 && VAR3 ) VAR1 <= VAR10; end always @(negedge clk) begin if( !VAR13 ) begin if( &VAR2[1:0] ) begin VAR17 <= 1'b0; VAR16 <= 1'b0; VAR11 <= 1'b1; VAR6 <= 1'b0; VAR9 <= 1'b0; end else begin VAR17 <= 1'b0; VAR16 <= 1'b1; VAR11 <= 1'b0; VAR6 <= VAR2[1]; VAR9 <= VAR2[0]; end end else begin VAR17 <= 1'b1; VAR16 <= 1'b1; VAR11 <= 1'b1; VAR6 <= 1'b1; VAR9 <= 1'b1; end end endmodule
bsd-3-clause
sabertazimi/hust-lab
verilog/labs/lab5/src/Mealy_FSM.v
1,985
module MODULE1( input VAR4, input reset, input VAR6, output reg [3:0] VAR7, output reg VAR9 ); reg [1:0] state, VAR1; parameter VAR10 = 0, VAR8 = 1, VAR5 = 2, VAR2 = 3; always @(posedge VAR4) begin if(reset) begin state <= VAR10; VAR7 <= 0; end else begin state <= VAR1; if (VAR1 != state) VAR7 <= VAR7 + 1; end end always @(VAR6 or state or reset) begin VAR9 = 0; case(state) VAR10: begin if (VAR6) begin VAR1 <= VAR8; end else begin VAR1 <= VAR10; if (~reset) VAR9 <= 1; end end VAR8: begin if (VAR6) VAR3 VAR1 <= VAR5; end else begin VAR1 <= VAR8; end end VAR5: begin if (VAR6) begin VAR1 <= VAR2; end else begin VAR1 <= VAR5; end end VAR2: begin if (VAR6) begin VAR1 <= VAR8; VAR9 <= 1; end else begin VAR1 <= VAR2; end end endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nand4bb/sky130_fd_sc_ms__nand4bb_4.v
2,334
module MODULE1 ( VAR8 , VAR11 , VAR10 , VAR7 , VAR4 , VAR1, VAR5, VAR3 , VAR2 ); output VAR8 ; input VAR11 ; input VAR10 ; input VAR7 ; input VAR4 ; input VAR1; input VAR5; input VAR3 ; input VAR2 ; VAR9 VAR6 ( .VAR8(VAR8), .VAR11(VAR11), .VAR10(VAR10), .VAR7(VAR7), .VAR4(VAR4), .VAR1(VAR1), .VAR5(VAR5), .VAR3(VAR3), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR8 , VAR11, VAR10, VAR7 , VAR4 ); output VAR8 ; input VAR11; input VAR10; input VAR7 ; input VAR4 ; supply1 VAR1; supply0 VAR5; supply1 VAR3 ; supply0 VAR2 ; VAR9 VAR6 ( .VAR8(VAR8), .VAR11(VAR11), .VAR10(VAR10), .VAR7(VAR7), .VAR4(VAR4) ); endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
ASIC_FLOW/ASIC_KOA_2_cycles/integracion_fisica/front_end/source/RecursiveKOA_Weighted.v
6,482
module MODULE1 ( input wire clk, input wire rst, input wire VAR7, input wire [VAR2-1:0] VAR22, input wire [VAR2-1:0] VAR20, output wire [2*VAR2-1:0] VAR5 ); wire [1:0] VAR10; wire [3:0] VAR16; assign VAR10 = 2'b00; assign VAR16 = 4'b0000; wire [VAR2/2-1:0] VAR21; wire [VAR2/2:0] VAR9; wire [VAR2/2-3:0] VAR33; wire [VAR2/2-4:0] VAR34; reg [4*(VAR2/2)+2:0] VAR11; reg [4*(VAR2/2)-1:0] VAR35; assign VAR21 = {(VAR2/2){1'b0}}; assign VAR9 = {(VAR2/2+1){1'b0}}; assign VAR33 = {(VAR2/2-4){1'b0}}; assign VAR34 = {(VAR2/2-5){1'b0}}; localparam VAR13 = VAR2/2; generate case (VAR2%2) 0:begin : VAR19 reg [VAR2/2:0] VAR8; reg [VAR2/2:0] VAR37; reg [VAR2-1:0] VAR14; reg [VAR2-1:0] VAR17; reg [VAR2+1:0] VAR6; reg [2*(VAR2/2+2)-1:0] VAR26; reg [VAR2+1:0] VAR15; VAR25 #(.VAR2(VAR2/2)) VAR27( .clk(clk), .VAR22(VAR22[VAR2-1:VAR2-VAR2/2]), .VAR20(VAR20[VAR2-1:VAR2-VAR2/2]), .VAR29(VAR14) ); VAR25 #(.VAR2(VAR2/2)) VAR28( .clk(clk), .VAR22(VAR22[VAR2-VAR2/2-1:0]), .VAR20(VAR20[VAR2-VAR2/2-1:0]), .VAR29(VAR17) ); VAR25 #(.VAR2((VAR2/2)+1)) VAR4 ( VAR32 #(.VAR2((VAR2/2)+1)) VAR4 ( .clk(clk), .VAR22(VAR8), .VAR20(VAR37), .VAR29(VAR6) ); always @* begin : VAR1 VAR8 <= (VAR22[((VAR2/2)-1):0] + VAR22[(VAR2-1) -: VAR2/2]); VAR37 <= (VAR20[((VAR2/2)-1):0] + VAR20[(VAR2-1) -: VAR2/2]); VAR15 <= (VAR6 - VAR14 - VAR17); VAR11[4*(VAR2/2):0] <= {VAR33,VAR15,VAR21} + {VAR14,VAR17}; end VAR23 #(.VAR36(4*(VAR2/2))) VAR24 ( .clk(clk), .rst(rst), .VAR31(VAR7), .VAR18(VAR11[4*(VAR2/2)-1:0]), .VAR12({VAR5}) ); end 1:begin : VAR30 reg [VAR2/2+1:0] VAR8; reg [VAR2/2+1:0] VAR37; reg [2*(VAR2/2)-1:0] VAR14; reg [2*(VAR2/2+1)-1:0] VAR17; reg [2*(VAR2/2+2)-1:0] VAR6; reg [2*(VAR2/2+2)-1:0] VAR26; reg [VAR2+4-1:0] VAR15; VAR25 #(.VAR2(VAR2/2)) VAR27( .clk(clk), .VAR22(VAR22[VAR2-1:VAR2-VAR2/2]), .VAR20(VAR20[VAR2-1:VAR2-VAR2/2]), .VAR29(VAR14) ); VAR25 #(.VAR2((VAR2/2)+1)) VAR28( .clk(clk), .VAR22(VAR22[VAR2-VAR2/2-1:0]), .VAR20(VAR20[VAR2-VAR2/2-1:0]), .VAR29(VAR17) ); VAR25 #(.VAR2(VAR2/2+2)) VAR4 ( VAR32 #(.VAR2(VAR2/2+2)) VAR4 ( .clk(clk), .VAR22(VAR8), .VAR20(VAR37), .VAR29(VAR6) ); always @* begin : VAR3 VAR8 <= (VAR22[VAR2-VAR2/2-1:0] + VAR22[VAR2-1:VAR2-VAR2/2]); VAR37 <= VAR20[VAR2-VAR2/2-1:0] + VAR20[VAR2-1:VAR2-VAR2/2]; VAR15 <= (VAR6 - VAR14 - VAR17); VAR11[4*(VAR2/2)+2:0]<= {VAR34,VAR15,VAR9} + {VAR14,VAR17}; end VAR23 #(.VAR36(4*(VAR2/2)+2)) VAR24 ( .clk(clk), .rst(rst), .VAR31(VAR7), .VAR18(VAR11[2*VAR2-1:0]), .VAR12({VAR5}) ); end endcase endgenerate endmodule
gpl-3.0
ElegantLin/My-CPU
Small Program/Small Program.srcs/sources_1/imports/imports/sources_1/imports/Chapter11/div.v
3,037
module MODULE1( input wire clk, input wire rst, input wire VAR18, input wire[31:0] VAR21, input wire[31:0] VAR17, input wire VAR2, input wire VAR3, output reg[63:0] VAR14, output reg VAR22 ); wire[32:0] VAR8; reg[5:0] VAR4; reg[64:0] VAR12; reg[1:0] state; reg[31:0] VAR1; reg[31:0] VAR16; reg[31:0] VAR15; assign VAR8 = {1'b0,VAR12[63:32]} - {1'b0,VAR1}; always @ (posedge clk) begin if (rst == VAR9) begin state <= VAR11; VAR22 <= VAR20; VAR14 <= {VAR19,VAR19}; end else begin case (state) if(VAR17 == VAR19) begin state <= VAR5; end else begin state <= VAR10; VAR4 <= 6'b000000; if(VAR18 == 1'b1 && VAR21[31] == 1'b1 ) begin VAR16 = ~VAR21 + 1; end else begin VAR16 = VAR21; end if(VAR18 == 1'b1 && VAR17[31] == 1'b1 ) begin VAR15 = ~VAR17 + 1; end else begin VAR15 = VAR17; end VAR12 <= {VAR19,VAR19}; VAR12[32:1] <= VAR16; VAR1 <= VAR15; end end else begin VAR22 <= VAR20; VAR14 <= {VAR19,VAR19}; end end state <= VAR7; end if(VAR4 != 6'b100000) begin if(VAR8[32] == 1'b1) begin VAR12 <= {VAR12[63:0] , 1'b0}; end else begin VAR12 <= {VAR8[31:0] , VAR12[31:0] , 1'b1}; end VAR4 <= VAR4 + 1; end else begin if((VAR18 == 1'b1) && ((VAR21[31] ^ VAR17[31]) == 1'b1)) begin VAR12[31:0] <= (~VAR12[31:0] + 1); end if((VAR18 == 1'b1) && ((VAR21[31] ^ VAR12[64]) == 1'b1)) begin VAR12[64:33] <= (~VAR12[64:33] + 1); end state <= VAR7; VAR4 <= 6'b000000; end end else begin state <= VAR11; end end VAR22 <= VAR6; if(VAR2 == VAR13) begin state <= VAR11; VAR22 <= VAR20; VAR14 <= {VAR19,VAR19}; end end endcase end end endmodule
gpl-3.0
disaderp/automatic-chainsaw
GPU/TXT.v
3,750
module MODULE1 ( input clk, input reset, output [9:0] VAR3, output [9:0] VAR10, output reg[11:0] VAR5, input [7:0] VAR7, output reg VAR1, output reg VAR8, output reg VAR6, output VAR9, output VAR15 ); VAR4 VAR12 ( .clk (clk), .VAR14 (VAR3), .VAR2 (VAR10), .VAR9(VAR9), .VAR15(VAR15) ); reg [7:0] VAR11; reg [11:0] VAR16 = 0; reg [11:0] VAR13 = 0; always @( posedge clk or negedge reset ) begin if (!reset) begin VAR11 <= 0; VAR5 <= 0; VAR8 <= 0; VAR6 <= 0; end else begin VAR5 <= 0; case (VAR3[2:0]) 3'b110: begin VAR16[11:0] <= { 6'd0, VAR3[9:4] }; VAR13[11:0] <= { 6'd0, VAR10[9:4] }; VAR5[11:0] <= (VAR13 << 5) + (VAR13 << 3) + VAR16; VAR8 <= 1; VAR6 <= 1; end 3'b111: begin VAR11 <= VAR7; end 3'b000: begin VAR6 <= 0; VAR8 <= 0; end endcase end end always @( posedge clk ) begin case (VAR3[2:0]) 3'b000: VAR1 <= VAR11[7]; 3'b001: VAR1 <= VAR11[6]; 3'b010: VAR1 <= VAR11[5]; 3'b011: VAR1 <= VAR11[4]; 3'b100: VAR1 <= VAR11[3]; 3'b101: VAR1 <= VAR11[2]; 3'b110: VAR1 <= VAR11[1]; 3'b111: VAR1 <= VAR11[0]; endcase end endmodule
gpl-3.0
piranna/wasmachine
src/genrom.v
4,039
module MODULE1 #( parameter VAR13 = 4, parameter VAR3 = 8, parameter VAR5 = 4 ) ( input clk, input wire [ VAR13 :0] addr, input wire [ VAR5-1:0] VAR2, input wire [ VAR13 :0] VAR4, input wire [ VAR13 :0] VAR12, output reg [2**VAR5*VAR3-1:0] VAR8=0, output reg VAR7=0 ); parameter VAR6 = "VAR1.VAR10"; localparam VAR9 = 1 << (VAR13+1); reg [VAR3-1: 0] VAR11 [0: VAR9-1]; always @(posedge clk) begin VAR7 <= addr < VAR4 || addr > VAR12; case (VAR2) 0: VAR8 <= VAR11[addr ]; 1: VAR8 <= {VAR11[addr ], VAR11[addr+ 1]}; 2: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2]}; 3: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3]}; 4: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4]}; 5: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5]}; 6: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6]}; 7: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6], VAR11[addr+ 7]}; 8: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6], VAR11[addr+ 7], VAR11[addr+ 8]}; 9: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6], VAR11[addr+ 7], VAR11[addr+ 8], VAR11[addr+ 9]}; 10: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6], VAR11[addr+ 7], VAR11[addr+ 8], VAR11[addr+ 9], VAR11[addr+10]}; 11: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6], VAR11[addr+ 7], VAR11[addr+ 8], VAR11[addr+ 9], VAR11[addr+10], VAR11[addr+11]}; 12: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6], VAR11[addr+ 7], VAR11[addr+ 8], VAR11[addr+ 9], VAR11[addr+10], VAR11[addr+11], VAR11[addr+12]}; 13: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6], VAR11[addr+ 7], VAR11[addr+ 8], VAR11[addr+ 9], VAR11[addr+10], VAR11[addr+11], VAR11[addr+12], VAR11[addr+13]}; 14: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6], VAR11[addr+ 7], VAR11[addr+ 8], VAR11[addr+ 9], VAR11[addr+10], VAR11[addr+11], VAR11[addr+12], VAR11[addr+13], VAR11[addr+14]}; 15: VAR8 <= {VAR11[addr ], VAR11[addr+ 1], VAR11[addr+ 2], VAR11[addr+ 3], VAR11[addr+ 4], VAR11[addr+ 5], VAR11[addr+ 6], VAR11[addr+ 7], VAR11[addr+ 8], VAR11[addr+ 9], VAR11[addr+10], VAR11[addr+11], VAR11[addr+12], VAR11[addr+13], VAR11[addr+14], VAR11[addr+15]}; endcase end
gpl-3.0
ShepardSiegel/ocpi
rtl/mkOCApp4B.v
52,366
module MODULE1(VAR259, VAR145, VAR403, VAR11, VAR383, VAR227, VAR310, VAR338, VAR115, VAR88, VAR339, VAR185, VAR22, VAR240, VAR273, VAR323, VAR256, VAR254, VAR153, VAR367, VAR264, VAR260, VAR27, VAR94, VAR319, VAR101, VAR387, VAR261, VAR130, VAR267, VAR296, VAR347, VAR220, VAR16, VAR179, VAR41, VAR55, VAR282, VAR89, VAR337, VAR198, VAR255, VAR44, VAR127, VAR376, VAR350, VAR370, VAR292, VAR7, VAR295, VAR325, VAR394, VAR408, VAR191, VAR388, VAR38, VAR302, VAR69, VAR204, VAR167, VAR21, VAR131, VAR281, VAR331, VAR212, VAR43, VAR150, VAR316, VAR17, VAR344, VAR156, VAR230, VAR142, VAR20, VAR244, VAR112, VAR285, VAR64, VAR63, VAR406, VAR170, VAR134, VAR363, VAR372, VAR223, VAR374, VAR143, VAR386, VAR390, VAR119, VAR1, VAR166, VAR67, VAR379, VAR32, VAR329, VAR381, VAR203, VAR24, VAR391, VAR195, VAR354, VAR286, VAR369, VAR197, VAR280, VAR309, VAR294, VAR293, VAR382, VAR396, VAR206, VAR400, VAR327, VAR107, VAR26, VAR164, VAR410, VAR5, VAR190, VAR194, VAR78, VAR189, VAR380, VAR365, VAR46, VAR306, VAR249, VAR160, VAR30, VAR315, VAR368, VAR154, VAR205, VAR105, VAR39, VAR209, VAR75, VAR137, VAR139, VAR402, VAR193, VAR333, VAR411, VAR110, VAR243, VAR318, VAR70, VAR288, VAR71, VAR290, VAR246, VAR276, VAR308, VAR378, VAR18, VAR10, VAR279, VAR25, VAR172, VAR95, VAR136, VAR349, VAR85, VAR35, VAR389, VAR277, VAR173, VAR31, VAR196, VAR54, VAR222, VAR226, VAR361, VAR407); parameter [0 : 0] VAR201 = 1'b0; input VAR259; input VAR145; input VAR403; input VAR11; input VAR383; input VAR227; input VAR310; input VAR338; input VAR115; input VAR88; input [2 : 0] VAR339; input VAR185; input [3 : 0] VAR22; input [31 : 0] VAR240; input [31 : 0] VAR273; output [1 : 0] VAR323; output [31 : 0] VAR256; output VAR254; output [1 : 0] VAR153; input [1 : 0] VAR367; input [2 : 0] VAR264; input VAR260; input [3 : 0] VAR27; input [31 : 0] VAR94; input [31 : 0] VAR319; output [1 : 0] VAR101; output [31 : 0] VAR387; output VAR261; output [1 : 0] VAR130; input [1 : 0] VAR267; input [2 : 0] VAR296; input VAR347; input [3 : 0] VAR220; input [31 : 0] VAR16; input [31 : 0] VAR179; output [1 : 0] VAR41; output [31 : 0] VAR55; output VAR282; output [1 : 0] VAR89; input [1 : 0] VAR337; input [2 : 0] VAR198; input VAR255; input [3 : 0] VAR44; input [31 : 0] VAR127; input [31 : 0] VAR376; output [1 : 0] VAR350; output [31 : 0] VAR370; output VAR292; output [1 : 0] VAR7; input [1 : 0] VAR295; input [2 : 0] VAR325; input VAR394; input [3 : 0] VAR408; input [31 : 0] VAR191; input [31 : 0] VAR388; output [1 : 0] VAR38; output [31 : 0] VAR302; output VAR69; output [1 : 0] VAR204; input [1 : 0] VAR167; input [2 : 0] VAR21; input VAR131; input [3 : 0] VAR281; input [31 : 0] VAR331; input [31 : 0] VAR212; output [1 : 0] VAR43; output [31 : 0] VAR150; output VAR316; output [1 : 0] VAR17; input [1 : 0] VAR344; input [2 : 0] VAR156; input VAR230; input [3 : 0] VAR142; input [31 : 0] VAR20; input [31 : 0] VAR244; output [1 : 0] VAR112; output [31 : 0] VAR285; output VAR64; output [1 : 0] VAR63; input [1 : 0] VAR406; input [2 : 0] VAR170; input VAR134; input [3 : 0] VAR363; input [31 : 0] VAR372; input [31 : 0] VAR223; output [1 : 0] VAR374; output [31 : 0] VAR143; output VAR386; output [1 : 0] VAR390; input [1 : 0] VAR119; input [2 : 0] VAR1; input [63 : 0] VAR166; output VAR67; output VAR379; input [2 : 0] VAR32; input [63 : 0] VAR329; output VAR381; output VAR203; input [2 : 0] VAR24; input [63 : 0] VAR391; output VAR195; output VAR354; output [2 : 0] VAR286; output VAR369; output VAR197; output VAR280; output [13 : 0] VAR309; output [11 : 0] VAR294; output VAR293; output VAR382; output [31 : 0] VAR396; output [3 : 0] VAR206; input [1 : 0] VAR400; input [31 : 0] VAR327; input VAR107; input VAR26; input VAR164; input [31 : 0] VAR410; output [31 : 0] VAR5; output VAR190; input VAR194; output [2 : 0] VAR78; output VAR189; output VAR380; output VAR365; output [13 : 0] VAR46; output [11 : 0] VAR306; output VAR249; output VAR160; output [31 : 0] VAR30; output [3 : 0] VAR315; input [1 : 0] VAR368; input [31 : 0] VAR154; input VAR205; input VAR105; input VAR39; input [31 : 0] VAR209; output [31 : 0] VAR75; output VAR137; input VAR139; output [2 : 0] VAR402; output VAR193; output [35 : 0] VAR333; output [11 : 0] VAR411; output VAR110; output VAR243; output [127 : 0] VAR318; output [15 : 0] VAR70; input [1 : 0] VAR288; input VAR71; input [127 : 0] VAR290; input VAR246; input VAR276; output VAR308; input [2 : 0] VAR378; input VAR18; input VAR10; input [11 : 0] VAR279; input [31 : 0] VAR25; input [3 : 0] VAR172; input [7 : 0] VAR95; output VAR136; output VAR349; input VAR85; output [2 : 0] VAR35; output VAR389; output VAR277; output [11 : 0] VAR173; output [31 : 0] VAR31; output [3 : 0] VAR196; output [7 : 0] VAR54; input VAR222; output VAR226; input VAR361; output [511 : 0] VAR407; wire [511 : 0] VAR407; wire [127 : 0] VAR318; wire [35 : 0] VAR333; wire [31 : 0] VAR256, VAR387, VAR55, VAR370, VAR302, VAR150, VAR285, VAR143, VAR396, VAR5, VAR30, VAR75, VAR31; wire [15 : 0] VAR70; wire [13 : 0] VAR309, VAR46; wire [11 : 0] VAR411, VAR294, VAR306, VAR173; wire [7 : 0] VAR54; wire [3 : 0] VAR206, VAR315, VAR196; wire [2 : 0] VAR402, VAR286, VAR78, VAR35; wire [1 : 0] VAR153, VAR323, VAR130, VAR101, VAR89, VAR41, VAR7, VAR350, VAR204, VAR38, VAR17, VAR43, VAR63, VAR112, VAR390, VAR374; wire VAR254, VAR261, VAR282, VAR292, VAR69, VAR316, VAR64, VAR386, VAR243, VAR110, VAR193, VAR308, VAR280, VAR382, VAR293, VAR197, VAR369, VAR190, VAR365, VAR160, VAR249, VAR380, VAR189, VAR137, VAR277, VAR389, VAR226, VAR349, VAR136, VAR379, VAR67, VAR203, VAR381, VAR354, VAR195; wire [31 : 0] VAR371, VAR169, VAR330, VAR263, VAR155, VAR272, VAR15, VAR152; wire [3 : 0] VAR99, VAR65, VAR207, VAR332; wire [2 : 0] VAR123, VAR301, VAR321, VAR377; wire VAR72, VAR62, VAR186, VAR348, VAR356, VAR151, VAR234, VAR73, VAR221, VAR149, VAR335, VAR266, VAR178, VAR215, VAR141, VAR117, VAR45, VAR108, VAR68, VAR351, VAR236, VAR188, VAR268, VAR346; wire [127 : 0] VAR253, VAR353; wire [35 : 0] VAR199; wire [31 : 0] VAR111, VAR283, VAR326; wire [15 : 0] VAR270; wire [11 : 0] VAR262; wire [3 : 0] VAR405; wire [2 : 0] VAR147, VAR33; wire [1 : 0] VAR182, VAR2, VAR177, VAR116; wire VAR357, VAR59, VAR274, VAR162, VAR79, VAR74, VAR61, VAR313, VAR237; wire [31 : 0] VAR76, VAR14, VAR98, VAR128, VAR124, VAR102, VAR393, VAR307, VAR364; wire [13 : 0] VAR404; wire [11 : 0] VAR100, VAR146, VAR324; wire [7 : 0] VAR132, VAR312; wire [3 : 0] VAR298, VAR161, VAR241, VAR340; wire [2 : 0] VAR126, VAR355, VAR120, VAR359; wire [1 : 0] VAR49, VAR317, VAR397, VAR269; wire VAR29, VAR96, VAR265, VAR336, VAR106, VAR8, VAR159, VAR271, VAR144, VAR210, VAR83, VAR304, VAR47, VAR165, VAR322, VAR97, VAR225, VAR176, VAR231, VAR360, VAR133, VAR66; wire [31 : 0] VAR275, VAR218, VAR86, VAR399, VAR214; wire [11 : 0] VAR289, VAR81; wire [7 : 0] VAR366, VAR384; wire [3 : 0] VAR48, VAR232, VAR278; wire [2 : 0] VAR202, VAR57, VAR121; wire [1 : 0] VAR19, VAR334, VAR217; wire VAR87, VAR228, VAR343, VAR192, VAR245, VAR148, VAR248, VAR122, VAR23, VAR34, VAR181, VAR103; wire [31 : 0] VAR114, VAR171, VAR51, VAR385, VAR109, VAR92, VAR91, VAR187, VAR375; wire [13 : 0] VAR224; wire [11 : 0] VAR257, VAR113, VAR80; wire [7 : 0] VAR297, VAR174; wire [3 : 0] VAR53, VAR238, VAR299, VAR258; wire [2 : 0] VAR342, VAR163, VAR50, VAR252; wire [1 : 0] VAR184, VAR157, VAR40, VAR180; wire VAR36, VAR9, VAR77, VAR208, VAR168, VAR352, VAR56, VAR247, VAR291, VAR211, VAR303, VAR183, VAR233, VAR392, VAR341, VAR213, VAR314, VAR216, VAR235, VAR28, VAR52, VAR287; wire [511 : 0] VAR84; assign VAR323 = 2'd0 ; assign VAR256 = 32'hAAAAAAAA ; assign VAR254 = 1'd1 ; assign VAR153 = 2'b0 ; assign VAR101 = VAR177 ; assign VAR387 = VAR326 ; assign VAR261 = VAR59 ; assign VAR130 = VAR2 ; assign VAR41 = VAR397 ; assign VAR55 = VAR98 ; assign VAR282 = VAR96 ; assign VAR89 = VAR317 ; assign VAR350 = VAR217 ; assign VAR370 = VAR86 ; assign VAR292 = VAR228 ; assign VAR7 = VAR334 ; assign VAR38 = VAR40 ; assign VAR302 = VAR51 ; assign VAR69 = VAR9 ; assign VAR204 = VAR157 ; assign VAR43 = 2'd0 ; assign VAR150 = 32'hAAAAAAAA ; assign VAR316 = 1'd1 ; assign VAR17 = 2'b0 ; assign VAR112 = 2'd0 ; assign VAR285 = 32'hAAAAAAAA ; assign VAR64 = 1'd1 ; assign VAR63 = 2'b0 ; assign VAR374 = 2'd0 ; assign VAR143 = 32'hAAAAAAAA ; assign VAR386 = 1'd1 ; assign VAR390 = 2'b0 ; assign VAR67 = 1'h0 ; assign VAR379 = 1'h0 ; assign VAR381 = 1'h0 ; assign VAR203 = 1'h0 ; assign VAR195 = 1'h0 ; assign VAR354 = 1'h0 ; assign VAR286 = VAR355 ; assign VAR369 = VAR159 ; assign VAR197 = VAR8 ; assign VAR280 = VAR265 ; assign VAR309 = VAR404 ; assign VAR294 = VAR100 ; assign VAR293 = VAR106 ; assign VAR382 = VAR336 ; assign VAR396 = VAR128 ; assign VAR206 = VAR161 ; assign VAR5 = VAR124 ; assign VAR190 = VAR271 ; assign VAR78 = VAR163 ; assign VAR189 = VAR56 ; assign VAR380 = VAR352 ; assign VAR365 = VAR77 ; assign VAR46 = VAR224 ; assign VAR306 = VAR257 ; assign VAR249 = VAR168 ; assign VAR160 = VAR208 ; assign VAR30 = VAR385 ; assign VAR315 = VAR238 ; assign VAR75 = VAR109 ; assign VAR137 = VAR247 ; assign VAR402 = VAR33 ; assign VAR193 = VAR79 ; assign VAR333 = VAR199 ; assign VAR411 = VAR262 ; assign VAR110 = VAR162 ; assign VAR243 = VAR274 ; assign VAR318 = VAR253 ; assign VAR70 = VAR270 ; assign VAR308 = VAR74 ; assign VAR136 = VAR66 ; assign VAR349 = VAR133 ; assign VAR35 = VAR50 ; assign VAR389 = VAR392 ; assign VAR277 = VAR233 ; assign VAR173 = VAR113 ; assign VAR31 = VAR187 ; assign VAR196 = VAR299 ; assign VAR54 = VAR297 ; assign VAR226 = VAR341 ; assign VAR407 = VAR84 ; VAR358 #(.VAR201(VAR201)) VAR140(.VAR90(VAR115), .VAR42(VAR145), .VAR4(VAR111), .VAR395(VAR357), .VAR12(VAR405), .VAR3(VAR147), .VAR219(VAR283), .VAR118(VAR182), .VAR290(VAR353), .VAR288(VAR116), .VAR71(VAR237), .VAR246(VAR61), .VAR276(VAR313), .VAR251(VAR177), .VAR311(VAR326), .VAR6(VAR59), .VAR284(VAR2), .VAR402(VAR33), .VAR193(VAR79), .VAR333(VAR199), .VAR411(VAR262), .VAR110(VAR162), .VAR243(VAR274), .VAR318(VAR253), .VAR70(VAR270), .VAR308(VAR74)); VAR239 #(.VAR37(32'h00000001), .VAR201(VAR201)) VAR305(.VAR90(VAR115), .VAR42(VAR403), .VAR4(VAR76), .VAR395(VAR29), .VAR12(VAR298), .VAR3(VAR126), .VAR219(VAR14), .VAR118(VAR49), .VAR327(VAR102), .VAR410(VAR393), .VAR400(VAR269), .VAR93(VAR324), .VAR409(VAR340), .VAR13(VAR359), .VAR104(VAR364), .VAR138(VAR312), .VAR107(VAR304), .VAR26(VAR144), .VAR164(VAR83), .VAR194(VAR210), .VAR60(VAR225), .VAR129(VAR97), .VAR328(VAR231), .VAR300(VAR176), .VAR125(VAR360), .VAR251(VAR397), .VAR311(VAR98), .VAR6(VAR96), .VAR284(VAR317), .VAR286(VAR355), .VAR369(VAR159), .VAR197(VAR8), .VAR280(VAR265), .VAR309(VAR404), .VAR294(VAR100), .VAR293(VAR106), .VAR382(VAR336), .VAR396(VAR128), .VAR206(VAR161), .VAR5(VAR124), .VAR190(VAR271), .VAR242(VAR120), .VAR320(VAR165), .VAR175(VAR47), .VAR250(VAR146), .VAR135(VAR307), .VAR401(VAR241), .VAR373(VAR132), .VAR362(VAR322), .VAR158(VAR66), .VAR200(VAR133)); VAR82 #(.VAR201(VAR201)) VAR398(.VAR90(VAR115), .VAR42(VAR11), .VAR4(VAR275), .VAR395(VAR87), .VAR12(VAR48), .VAR3(VAR202), .VAR219(VAR218), .VAR118(VAR19), .VAR93(VAR81), .VAR409(VAR278), .VAR13(VAR121), .VAR104(VAR214), .VAR138(VAR384), .VAR328(VAR23), .VAR300(VAR122), .VAR125(VAR34), .VAR60(VAR248), .VAR129(VAR148), .VAR251(VAR217), .VAR311(VAR86), .VAR6(VAR228), .VAR284(VAR334), .VAR158(VAR103), .VAR200(VAR181), .VAR242(VAR57), .VAR320(VAR192), .VAR175(VAR343), .VAR250(VAR289), .VAR135(VAR399), .VAR401(VAR232), .VAR373(VAR366), .VAR362(VAR245)); VAR239 #(.VAR37(32'h00000002), .VAR201(VAR201)) VAR58(.VAR90(VAR115), .VAR42(VAR383), .VAR4(VAR114), .VAR395(VAR36), .VAR12(VAR53), .VAR3(VAR342), .VAR219(VAR171), .VAR118(VAR184), .VAR327(VAR92), .VAR410(VAR91), .VAR400(VAR180), .VAR93(VAR80), .VAR409(VAR258), .VAR13(VAR252), .VAR104(VAR375), .VAR138(VAR174), .VAR107(VAR183), .VAR26(VAR291), .VAR164(VAR303), .VAR194(VAR211), .VAR60(VAR314), .VAR129(VAR213), .VAR328(VAR235), .VAR300(VAR216), .VAR125(VAR28), .VAR251(VAR40), .VAR311(VAR51), .VAR6(VAR9), .VAR284(VAR157), .VAR286(VAR163), .VAR369(VAR56), .VAR197(VAR352), .VAR280(VAR77), .VAR309(VAR224), .VAR294(VAR257), .VAR293(VAR168), .VAR382(VAR208), .VAR396(VAR385), .VAR206(VAR238), .VAR5(VAR109), .VAR190(VAR247), .VAR242(VAR50), .VAR320(VAR392), .VAR175(VAR233), .VAR250(VAR113), .VAR135(VAR187), .VAR401(VAR299), .VAR373(VAR297), .VAR362(VAR341), .VAR158(VAR287), .VAR200(VAR52)); VAR229 VAR345(.VAR407(VAR84)); assign VAR123 = VAR339 ; assign VAR356 = 1'd1 ; assign VAR72 = VAR185 ; assign VAR62 = 1'd1 ; assign VAR99 = VAR22 ; assign VAR348 = 1'd1 ; assign VAR371 = VAR240 ; assign VAR186 = 1'd1 ; assign VAR169 = VAR273 ; assign VAR151 = 1'd1 ; assign VAR301 = VAR21 ; assign VAR335 = 1'd1 ; assign VAR234 = VAR131 ; assign VAR73 = 1'd1 ; assign VAR65 = VAR281 ; assign VAR149 = 1'd1 ; assign VAR330 = VAR331 ; assign VAR221 = 1'd1 ; assign VAR263 = VAR212 ; assign VAR266 = 1'd1 ; assign VAR321 = VAR156 ; assign VAR45 = 1'd1 ; assign VAR178 = VAR230 ; assign VAR215 = 1'd1 ; assign VAR207 = VAR142 ; assign VAR117 = 1'd1 ; assign VAR155 = VAR20 ; assign VAR141 = 1'd1 ; assign VAR272 = VAR244 ; assign VAR108 = 1'd1 ; assign VAR377 = VAR170 ; assign VAR268 = 1'd1 ; assign VAR68 = VAR134 ; assign VAR351 = 1'd1 ; assign VAR332 = VAR363 ; assign VAR188 = 1'd1 ; assign VAR15 = VAR372 ; assign VAR236 = 1'd1 ; assign VAR152 = VAR223 ; assign VAR346 = 1'd1 ; assign VAR111 = VAR94 ; assign VAR357 = VAR260 ; assign VAR405 = VAR27 ; assign VAR147 = VAR264 ; assign VAR283 = VAR319 ; assign VAR182 = VAR267 ; assign VAR353 = VAR290 ; assign VAR116 = VAR288 ; assign VAR237 = VAR71 ; assign VAR61 = VAR246 ; assign VAR313 = VAR276 ; assign VAR76 = VAR16 ; assign VAR29 = VAR347 ; assign VAR298 = VAR220 ; assign VAR126 = VAR296 ; assign VAR14 = VAR179 ; assign VAR49 = VAR337 ; assign VAR102 = VAR327 ; assign VAR393 = VAR410 ; assign VAR269 = VAR400 ; assign VAR324 = VAR279 ; assign VAR340 = VAR172 ; assign VAR359 = VAR378 ; assign VAR364 = VAR25 ; assign VAR312 = VAR95 ; assign VAR304 = VAR107 ; assign VAR144 = VAR26 ; assign VAR83 = VAR164 ; assign VAR210 = VAR194 ; assign VAR225 = VAR103 ; assign VAR97 = VAR181 ; assign VAR231 = VAR18 ; assign VAR176 = VAR10 ; assign VAR360 = VAR85 ; assign VAR275 = VAR127 ; assign VAR87 = VAR255 ; assign VAR48 = VAR44 ; assign VAR202 = VAR198 ; assign VAR218 = VAR376 ; assign VAR19 = VAR295 ; assign VAR81 = VAR146 ; assign VAR278 = VAR241 ; assign VAR121 = VAR120 ; assign VAR214 = VAR307 ; assign VAR384 = VAR132 ; assign VAR23 = VAR165 ; assign VAR122 = VAR47 ; assign VAR34 = VAR322 ; assign VAR248 = VAR287 ; assign VAR148 = VAR52 ; assign VAR114 = VAR191 ; assign VAR36 = VAR394 ; assign VAR53 = VAR408 ; assign VAR342 = VAR325 ; assign VAR171 = VAR388 ; assign VAR184 = VAR167 ; assign VAR92 = VAR154 ; assign VAR91 = VAR209 ; assign VAR180 = VAR368 ; assign VAR80 = VAR289 ; assign VAR258 = VAR232 ; assign VAR252 = VAR57 ; assign VAR375 = VAR399 ; assign VAR174 = VAR366 ; assign VAR183 = VAR205 ; assign VAR291 = VAR105 ; assign VAR303 = VAR39 ; assign VAR211 = VAR139 ; assign VAR314 = VAR222 ; assign VAR213 = VAR361 ; assign VAR235 = VAR192 ; assign VAR216 = VAR343 ; assign VAR28 = VAR245 ; endmodule
lgpl-3.0
dagrende/rpi_fpga_stepper
rpi_fpga_stepper.v
6,936
module MODULE1(clk, VAR42, VAR28, VAR25, VAR16, VAR51, VAR2, VAR18, dout, din, VAR52, VAR4); parameter VAR19=10; parameter VAR39=11; parameter VAR10=4; input clk; input VAR42, VAR16, VAR28, VAR51; output VAR25, VAR18 = 1'VAR17; output VAR2; input [15:0] din; assign VAR18 = VAR51; reg VAR5; reg[13:0] VAR40; output [13:0] dout = VAR35 ? 14'VAR17 : VAR40; wire[3:0] VAR47; output [3:0] VAR52 = VAR35 ? 4'VAR17 : VAR47 ^ {4{VAR5}}; wire[3:0] VAR15; output [3:0] VAR4 = VAR35 ? 4'VAR17 : VAR15; wire [VAR19+VAR39-1:0] VAR26, VAR3, VAR32, VAR53; reg [VAR39:0] VAR46, VAR49, VAR12, VAR48; reg [VAR10-1:0] VAR55, VAR31; reg [1:0] VAR34; reg [10:0] VAR24; wire VAR44 = ~|(VAR24[5:0]); always @(posedge clk) begin VAR24 <= VAR24 + 1'd1; end wire VAR41, VAR35; VAR11 VAR20(clk, VAR41, &VAR24, VAR35); VAR50 #(VAR19,VAR39,VAR10) VAR22(clk, VAR44, VAR26, VAR46, VAR55, VAR31, VAR47[0], VAR15[0], VAR34); VAR50 #(VAR19,VAR39,VAR10) VAR54(clk, VAR44, VAR3, VAR49, VAR55, VAR31, VAR47[1], VAR15[1], VAR34); VAR50 #(VAR19,VAR39,VAR10) VAR30(clk, VAR44, VAR32, VAR12, VAR55, VAR31, VAR47[2], VAR15[2], VAR34); VAR50 #(VAR19,VAR39,VAR10) VAR38(clk, VAR44, VAR53, VAR48, VAR55, VAR31, VAR47[3], VAR15[3], VAR34); reg [2:0] VAR36; always @(posedge clk) VAR36 <= {VAR36[1:0], VAR42}; wire VAR13 = (VAR36[2:1]==2'b01); wire VAR7 = (VAR36[2:1]==2'b10); wire VAR21 = VAR36[1]; reg [2:0] VAR29; always @(posedge clk) VAR29 <= {VAR29[1:0], VAR16}; wire VAR6 = ~VAR29[1]; wire VAR23 = (VAR29[2:1]==2'b10); wire VAR9 = (VAR29[2:1]==2'b01); wire VAR43 = VAR28; reg [2:0] VAR8; reg VAR37; reg [4:0] VAR45; reg [7:0] VAR1; reg [7:0] VAR33; reg [7:0] VAR27; always @(posedge clk) begin if(VAR23) begin VAR8 <= 3'b000; VAR45 <= 5'b00000; end if(VAR6) begin if(VAR13) begin VAR1 <= {VAR1[6:0], VAR43}; VAR8 <= VAR8 + 3'b001; if(VAR8==3'b000) VAR33 <= VAR27; end else if(VAR7) begin VAR33 <= {VAR33[6:0], 1'b0}; if(VAR8==3'b000) begin VAR45 <= VAR45 + 5'b00001; end end VAR37 <= VAR13 && (VAR8==3'b111); end end assign VAR25 = VAR33[7]; reg [7:0] VAR14; always @(posedge clk) begin if(VAR6) begin if(VAR45 == 5'b00000) begin VAR27 <= VAR26[7:0]; if(VAR37) VAR14 <= VAR1; end else if(VAR45 == 5'b00001) begin VAR27 <= VAR26[15:8]; if(VAR37) VAR46 <= {VAR1,VAR14}; end else if(VAR45 == 5'b00010) begin VAR27 <= VAR26[VAR19+VAR39-1:16]; if(VAR37) VAR14 <= VAR1; end else if(VAR45 == 5'b00011) begin VAR27 <= 8'b0; if(VAR37) VAR49 <= {VAR1,VAR14}; end else if(VAR45 == 5'b00100) begin VAR27 <= VAR3[7:0]; if(VAR37) VAR14 <= VAR1; end else if(VAR45 == 5'b00101) begin VAR27 <= VAR3[15:8]; if(VAR37) VAR12 <= {VAR1,VAR14}; end else if(VAR45 == 5'b00110) begin VAR27 <= VAR3[VAR19+VAR39-1:16]; if(VAR37) VAR14 <= VAR1; end else if(VAR45 == 5'b00111) begin VAR27 <= 8'b0; if(VAR37) VAR48 <= {VAR1,VAR14}; end else if(VAR45 == 5'b01000) begin VAR27 <= VAR32[7:0]; if(VAR37) VAR14 <= VAR1; end else if(VAR45 == 5'b01001) begin VAR27 <= VAR32[15:8]; if(VAR37) begin VAR40 <= {VAR1[5:0],VAR14}; end end else if(VAR45 == 5'b01010) begin VAR27 <= VAR32[VAR19+VAR39-1:16]; if(VAR37) VAR14 <= VAR1; end else if(VAR45 == 5'b01011) begin VAR27 <= 8'b0; if(VAR37) begin VAR34 <= VAR1[7:6]; VAR31 <= VAR1[VAR10-1:0]; VAR5 <= VAR14[7]; VAR55 <= VAR14[VAR10-1:0]; end end else if(VAR45 == 5'b01100) VAR27 <= VAR53[7:0]; end else if(VAR45 == 5'b01101) VAR27 <= VAR53[15:8]; end else if(VAR45 == 5'b01110) VAR27 <= VAR53[VAR19+VAR39-1:16]; else if(VAR45 == 5'b01111) VAR27 <= 8'b0; else if(VAR45 == 5'b10000) VAR27 <= din[7:0]; else if(VAR45 == 5'b10001) VAR27 <= din[15:8]; else if(VAR45 == 5'b10010) VAR27 <= 8'b0; else if(VAR45 == 5'b10011) VAR27 <= 8'b0; else VAR27 <= VAR45; end end assign VAR2 = VAR35 ? 1'VAR17 : (VAR47[0] ^ VAR15[0]); assign VAR41 = VAR1[6] & (VAR45 == 5'b01001) & VAR37; endmodule
gpl-2.0
bit0fun/Fusion-Core
Fusion-Core-Base/or_32.v
2,011
module MODULE1( input [31:0] VAR2, input [31:0] VAR1, output [31:0] out ); assign out[0] = VAR2[0] | VAR1[0]; assign out[1] = VAR2[1] | VAR1[1]; assign out[2] = VAR2[2] | VAR1[2]; assign out[3] = VAR2[3] | VAR1[3]; assign out[4] = VAR2[4] | VAR1[4]; assign out[5] = VAR2[5] | VAR1[5]; assign out[6] = VAR2[6] | VAR1[6]; assign out[7] = VAR2[7] | VAR1[7]; assign out[8] = VAR2[8] | VAR1[8]; assign out[9] = VAR2[9] | VAR1[9]; assign out[10] = VAR2[10] | VAR1[10]; assign out[11] = VAR2[11] | VAR1[11]; assign out[12] = VAR2[12] | VAR1[12]; assign out[13] = VAR2[13] | VAR1[13]; assign out[14] = VAR2[14] | VAR1[14]; assign out[15] = VAR2[15] | VAR1[15]; assign out[16] = VAR2[16] | VAR1[16]; assign out[17] = VAR2[17] | VAR1[17]; assign out[18] = VAR2[18] | VAR1[18]; assign out[19] = VAR2[19] | VAR1[19]; assign out[20] = VAR2[20] | VAR1[20]; assign out[21] = VAR2[21] | VAR1[21]; assign out[22] = VAR2[22] | VAR1[22]; assign out[23] = VAR2[23] | VAR1[23]; assign out[24] = VAR2[24] | VAR1[24]; assign out[25] = VAR2[25] | VAR1[25]; assign out[26] = VAR2[26] | VAR1[26]; assign out[27] = VAR2[27] | VAR1[27]; assign out[28] = VAR2[28] | VAR1[28]; assign out[29] = VAR2[29] | VAR1[29]; assign out[30] = VAR2[30] | VAR1[30]; assign out[31] = VAR2[31] | VAR1[31]; endmodule
gpl-3.0
sarchar/vga_de0_nano
pixel_clock_bb.v
10,948
module MODULE1 ( VAR2, VAR1); input VAR2; output VAR1; endmodule
mit
liqimai/ZPC
PersonalComputer/Adder64.v
1,352
module MODULE1( input [63:0] VAR15, input [63:0] VAR5, input VAR10, output [3:0] VAR20, output [3:0] VAR26, output [63:0] sum, output VAR19, output VAR12, output VAR11, output VAR6, output VAR24 ); wire[15:0] VAR8,VAR2; wire[4:0] VAR22; wire[3:0] VAR4,VAR18,VAR25,VAR16,VAR13; VAR21 VAR21(VAR8,VAR2,VAR20,VAR26); VAR23 VAR3(VAR20,VAR26,VAR10,VAR22); VAR17 VAR1(VAR15[15: 0],VAR5[15: 0],VAR22[0],VAR8[ 3: 0],VAR2[ 3: 0],sum[15: 0],VAR4[0],VAR18[0],VAR25[0],VAR16[0],VAR13[0]), VAR7(VAR15[31:16],VAR5[31:16],VAR22[1],VAR8[ 7: 4],VAR2[ 7: 4],sum[31:16],VAR4[1],VAR18[1],VAR25[1],VAR16[1],VAR13[1]), VAR9(VAR15[47:32],VAR5[47:32],VAR22[2],VAR8[11: 8],VAR2[11: 8],sum[47:32],VAR4[2],VAR18[2],VAR25[2],VAR16[2],VAR13[2]), VAR14(VAR15[63:48],VAR5[63:48],VAR22[3],VAR8[15:12],VAR2[15:12],sum[63:48],VAR4[3],VAR18[3],VAR25[3],VAR16[3],VAR13[3]); assign VAR19=VAR4[3], VAR12=VAR22[4], VAR11=VAR25[3], VAR6=^VAR16[3:0], VAR24= ~|(~VAR13[3:0]); endmodule
gpl-2.0
SI-RISCV/e200_opensource
rtl/e203/perips/sirv_DeglitchShiftRegister.v
1,817
module MODULE1( input VAR11, input reset, input VAR9, output VAR7 ); reg VAR5; reg [31:0] VAR10; reg VAR3; reg [31:0] VAR6; reg sync; reg [31:0] VAR1; reg VAR8; reg [31:0] VAR2; wire VAR4; assign VAR7 = VAR4; assign VAR4 = sync & VAR8; always @(posedge VAR11) begin VAR5 <= VAR9; VAR3 <= VAR5; sync <= VAR3; VAR8 <= sync; end endmodule
apache-2.0
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_decerr_slave.v
10,445
module MODULE1 # ( parameter integer VAR27 = 1, parameter integer VAR30 = 32, parameter integer VAR54 = 1, parameter integer VAR41 = 1, parameter integer VAR36 = 0, parameter integer VAR47 = 2'b11, parameter integer VAR44 = 0 ) ( input wire VAR19, input wire VAR46, input wire [(VAR27-1):0] VAR3, input wire VAR42, output wire VAR13, input wire VAR26, input wire VAR10, output wire VAR49, output wire [(VAR27-1):0] VAR28, output wire [1:0] VAR29, output wire [VAR54-1:0] VAR37, output wire VAR11, input wire VAR43, input wire [(VAR27-1):0] VAR48, input wire [((VAR36 == 1) ? 4 : 8)-1:0] VAR38, input wire VAR4, output wire VAR21, output wire [(VAR27-1):0] VAR24, output wire [(VAR30-1):0] VAR31, output wire [1:0] VAR9, output wire [VAR41-1:0] VAR40, output wire VAR17, output wire VAR14, input wire VAR5 ); reg VAR1; reg VAR53; reg VAR12; reg VAR51; reg VAR32; localparam VAR35 = 2'b00; localparam VAR34 = 2'b01; localparam VAR33 = 2'b10; localparam VAR6 = 2'b00; localparam VAR8 = 2'b01; localparam VAR52 = 2'b10; localparam integer VAR23 = 0; localparam integer VAR2 = 1; localparam integer VAR7 = 2; assign VAR29 = VAR47; assign VAR9 = VAR47; assign VAR31 = {VAR30{1'b0}}; assign VAR37 = {VAR54{1'b0}}; assign VAR40 = {VAR41{1'b0}}; assign VAR13 = VAR1; assign VAR49 = VAR53; assign VAR11 = VAR12; assign VAR21 = VAR51; assign VAR14 = VAR32; generate if (VAR36 == VAR7) begin : VAR20 reg VAR39; assign VAR17 = 1'b1; assign VAR28 = 0; assign VAR24 = 0; always @(posedge VAR19) begin if (~VAR46) begin VAR1 <= 1'b0; VAR53 <= 1'b0; VAR12 <= 1'b0; end else begin if (VAR12) begin if (VAR43) begin VAR12 <= 1'b0; VAR1 <= 1'b1; end end else if (VAR10 & VAR53) begin VAR53 <= 1'b0; VAR12 <= 1'b1; end else if (VAR42 & VAR1) begin VAR1 <= 1'b0; VAR53 <= 1'b1; end else begin VAR1 <= 1'b1; end end end always @(posedge VAR19) begin if (~VAR46) begin VAR51 <= 1'b0; VAR32 <= 1'b0; VAR39 <= 1'b0; end else begin if (VAR32) begin if (VAR5) begin VAR32 <= 1'b0; VAR51 <= 1'b1; end end else if (VAR39) begin VAR39 <= 1'b0; VAR32 <= 1'b1; end else if (VAR4 & VAR51) begin VAR51 <= 1'b0; VAR39 <= 1'b1; end else begin VAR51 <= 1'b1; end end end end else begin : VAR25 reg VAR18; reg [(VAR27-1):0] VAR22; reg [(VAR27-1):0] VAR16; reg [((VAR36 == 1) ? 4 : 8)-1:0] VAR50; reg [1:0] VAR15; reg [1:0] VAR45; assign VAR17 = VAR18; assign VAR28 = VAR44 ? 0 : VAR22; assign VAR24 = VAR44 ? 0 : VAR16; always @(posedge VAR19) begin if (~VAR46) begin VAR15 <= VAR35; VAR1 <= 1'b0; VAR53 <= 1'b0; VAR12 <= 1'b0; VAR22 <= 0; end else begin case (VAR15) VAR35: begin if (VAR42 & VAR1) begin VAR1 <= 1'b0; if (VAR44 == 0) VAR22 <= VAR3; VAR53 <= 1'b1; VAR15 <= VAR34; end else begin VAR1 <= 1'b1; end end VAR34: begin if (VAR10 & VAR26) begin VAR53 <= 1'b0; VAR12 <= 1'b1; VAR15 <= VAR33; end end VAR33: begin if (VAR43) begin VAR12 <= 1'b0; VAR1 <= 1'b1; VAR15 <= VAR35; end end endcase end end always @(posedge VAR19) begin if (~VAR46) begin VAR45 <= VAR6; VAR51 <= 1'b0; VAR32 <= 1'b0; VAR18 <= 1'b0; VAR16 <= 0; VAR50 <= 0; end else begin case (VAR45) VAR6: begin if (VAR4 & VAR51) begin VAR51 <= 1'b0; if (VAR44 == 0) VAR16 <= VAR48; VAR50 <= VAR38; VAR18 <= (VAR38 == 0); VAR45 <= VAR8; end else begin VAR51 <= 1'b1; end end VAR8: begin VAR32 <= 1'b1; VAR45 <= VAR52; end VAR52: begin if (VAR5) begin if (VAR50 == 0) begin VAR32 <= 1'b0; VAR18 <= 1'b0; VAR51 <= 1'b1; VAR45 <= VAR6; end else begin if (VAR50 == 1) begin VAR18 <= 1'b1; end VAR50 <= VAR50 - 1; end end end endcase end end end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a32o/sky130_fd_sc_ms__a32o_4.v
2,469
module MODULE1 ( VAR12 , VAR6 , VAR7 , VAR11 , VAR10 , VAR5 , VAR9, VAR4, VAR3 , VAR8 ); output VAR12 ; input VAR6 ; input VAR7 ; input VAR11 ; input VAR10 ; input VAR5 ; input VAR9; input VAR4; input VAR3 ; input VAR8 ; VAR1 VAR2 ( .VAR12(VAR12), .VAR6(VAR6), .VAR7(VAR7), .VAR11(VAR11), .VAR10(VAR10), .VAR5(VAR5), .VAR9(VAR9), .VAR4(VAR4), .VAR3(VAR3), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR12 , VAR6, VAR7, VAR11, VAR10, VAR5 ); output VAR12 ; input VAR6; input VAR7; input VAR11; input VAR10; input VAR5; supply1 VAR9; supply0 VAR4; supply1 VAR3 ; supply0 VAR8 ; VAR1 VAR2 ( .VAR12(VAR12), .VAR6(VAR6), .VAR7(VAR7), .VAR11(VAR11), .VAR10(VAR10), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/and4b/sky130_fd_sc_ms__and4b.blackbox.v
1,320
module MODULE1 ( VAR2 , VAR8, VAR1 , VAR3 , VAR4 ); output VAR2 ; input VAR8; input VAR1 ; input VAR3 ; input VAR4 ; supply1 VAR5; supply0 VAR7; supply1 VAR9 ; supply0 VAR6 ; endmodule
apache-2.0
vivier/SCSI2SD
software/SCSI2SD/v3/SCSI2SD.cydsn/scsiTarget/scsiTarget.v
14,974
module MODULE1 ( output [7:0] VAR55, output VAR40, input VAR24, input [7:0] VAR44, input VAR17, input VAR43, input VAR16, input clk, output VAR2, output VAR35, output VAR56 ); wire VAR76; VAR75 #(.VAR9(VAR48)) VAR36 ( .VAR81(clk), .enable(1'b1), .VAR14(VAR76) ); localparam VAR54 = 1'b1; localparam VAR45 = 1'b0; localparam VAR37 = 3'b000; localparam VAR12 = 3'b001; localparam VAR59 = 3'b010; localparam VAR90 = 3'b011; localparam VAR50 = 3'b100; localparam VAR57 = 3'b101; localparam VAR72 = 3'b110; localparam VAR80 = 3'b111; reg[2:0] state; reg[7:0] VAR88; wire VAR42; wire[7:0] VAR41; wire[7:0] VAR3; reg VAR49; reg VAR82; reg[2:0] VAR79; reg VAR70; assign VAR40 = VAR70; assign VAR55[7:0] = VAR88; assign VAR41[7:0] = ~VAR44[7:0]; assign VAR56 = VAR82; wire VAR89; wire VAR25; wire VAR74; wire VAR64; wire VAR1 = VAR25 && (state == VAR37) && VAR24; VAR33 #(.VAR58(1), .VAR22(8'h00)) VAR5 ( .VAR4(VAR76), .VAR20({3'b0, VAR1, VAR64, VAR25, VAR74, VAR89}) ); assign VAR2 = VAR25; assign VAR35 = VAR64; always @(posedge VAR76) begin case (state) VAR37: begin if (!VAR16) state <= VAR37; end else if (!VAR25) state <= VAR12; end else state <= VAR37; VAR88 <= 8'b0; VAR70 <= 1'b0; VAR49 <= 1'b0; VAR82 <= 1'b0; end VAR12: if (!VAR16) state <= VAR37; else if (VAR43 == VAR54) state <= VAR59; else if (VAR24 && !VAR64) begin state <= VAR72; VAR70 <= 1'b1; end else begin state <= VAR57; end VAR59: begin if (!VAR16) state <= VAR37; end else state <= VAR90; VAR88 <= VAR3; end VAR90: if (!VAR16) state <= VAR37; else state <= VAR50; VAR50: if (!VAR16) state <= VAR37; else if(VAR42 && VAR24) begin state <= VAR72; VAR70 <= 1'b1; end else if (VAR42) begin state <= VAR57; end else state <= VAR50; VAR57: if (!VAR16) state <= VAR37; end else if (VAR24 && ((VAR43 == VAR54) || !VAR64)) begin state <= VAR72; VAR70 <= 1'b1; end else begin state <= VAR57; end VAR72: if (!VAR16) state <= VAR37; end else if (~VAR24) begin state <= VAR80; VAR49 <= 1'b1; VAR79[0] <= (~VAR17) ^ 1'b1 ^ ~VAR44[7] ^ ~VAR44[6]; VAR79[1] <= ~VAR44[5] ^ ~VAR44[4] ^ ~VAR44[3]; VAR79[2] <= ~VAR44[2] ^ ~VAR44[1] ^ ~VAR44[0]; end else state <= VAR72; VAR80: begin state <= VAR37; VAR70 <= 1'b0; VAR49 <= 1'b0; VAR82 <= 1'b0; VAR88 <= 8'b0; if (VAR43 == VAR45) begin VAR82 <= ^VAR79[2:0]; end end default: state <= VAR37; endcase end VAR34 #(.VAR13(2), .VAR7( { 8'hFF, 8'h00, 8'hFF, 8'hFF, 1'h0, VAR27, VAR19, 10'h00, VAR69,VAR86, } )) VAR26( .reset(1'b0), .clk(VAR76), .VAR31(state), .VAR8(1'b0), .VAR39(1'b0), .VAR85(1'b0), .VAR62(VAR49), .VAR51(1'b0), .VAR67(1'b0), .VAR66(), .VAR73(), .VAR32(VAR42), .VAR28(), .VAR78(), .VAR47(), .VAR21(), .VAR52(), .VAR30(), .VAR65(), .VAR63(), .VAR38(), .VAR89(VAR89), .VAR25(VAR25), .VAR74(VAR74), .VAR64(VAR64), .VAR53(1'b0), .VAR83(), .VAR18(1'b0), .VAR84(), .VAR68(1'b0), .VAR11(), .VAR77(1'b0), .VAR6(), .VAR46(2'b0), .VAR23(), .VAR15(2'b0), .VAR29(), .VAR91(2'b0), .VAR92(), .VAR61(2'b0), .VAR71(), .VAR60(2'b0), .VAR93(), .VAR87(1'b0), .VAR10(), .VAR41(VAR41), .VAR3(VAR3) ); endmodule
gpl-3.0
tmatsuya/milkymist-ml401
cores/hpdmc_ddr32/rtl/hpdmc_ctlif.v
3,780
module MODULE1 #( parameter VAR10 = 4'h0 ) ( input VAR24, input VAR2, input [13:0] VAR27, input VAR19, input [31:0] VAR6, output reg [31:0] VAR32, output reg VAR20, output reg VAR3, output reg VAR25, output reg VAR15, output reg VAR11, output reg VAR4, output reg VAR13, output reg [12:0] VAR16, output reg [1:0] VAR5, output reg [2:0] VAR26, output reg [2:0] VAR8, output reg VAR9, output reg [10:0] VAR31, output reg [3:0] VAR30, output reg [1:0] VAR18, output reg VAR7, output reg VAR23, output reg VAR14, output reg VAR1, output reg VAR28, input VAR33, input [1:0] VAR29 ); reg VAR12; always @(posedge VAR24) begin if(VAR33) VAR12 <= 1'b1; end else if(VAR1) VAR12 <= 1'b0; end wire VAR22 = VAR27[13:10] == VAR10; reg [1:0] VAR21; reg [1:0] VAR17; always @(posedge VAR24) begin VAR21 <= VAR29; VAR17 <= VAR21; end always @(posedge VAR24) begin if(VAR2) begin VAR32 <= 32'd0; VAR20 <= 1'b1; VAR3 <= 1'b1; VAR25 <= 1'b0; VAR16 <= 13'd0; VAR5 <= 2'd0; VAR26 <= 3'd2; VAR8 <= 3'd2; VAR9 <= 1'b0; VAR31 <= 11'd740; VAR30 <= 4'd8; VAR18 <= 2'd2; end else begin VAR15 <= 1'b1; VAR11 <= 1'b1; VAR4 <= 1'b1; VAR13 <= 1'b1; VAR7 <= 1'b0; VAR23 <= 1'b0; VAR14 <= 1'b0; VAR1 <= 1'b0; VAR28 <= 1'b0; VAR32 <= 32'd0; if(VAR22) begin if(VAR19) begin case(VAR27[1:0]) 2'b00: begin VAR20 <= VAR6[0]; VAR3 <= VAR6[1]; VAR25 <= VAR6[2]; end 2'b01: begin VAR15 <= ~VAR6[0]; VAR11 <= ~VAR6[1]; VAR4 <= ~VAR6[2]; VAR13 <= ~VAR6[3]; VAR16 <= VAR6[16:4]; VAR5 <= VAR6[18:17]; end 2'b10: begin VAR26 <= VAR6[2:0]; VAR8 <= VAR6[5:3]; VAR9 <= VAR6[6]; VAR31 <= VAR6[17:7]; VAR30 <= VAR6[21:18]; VAR18 <= VAR6[23:22]; end 2'b11: begin VAR7 <= VAR6[0]; VAR23 <= VAR6[1]; VAR14 <= VAR6[2]; VAR1 <= VAR6[3]; VAR28 <= VAR6[4]; end endcase end case(VAR27[1:0]) 2'b00: VAR32 <= {VAR25, VAR3, VAR20}; 2'b01: VAR32 <= {VAR5, VAR16, 4'h0}; 2'b10: VAR32 <= {VAR18, VAR30, VAR31, VAR9, VAR8, VAR26}; 2'b11: VAR32 <= {VAR17, VAR12, 5'd0}; endcase end end end endmodule
lgpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/latsnq/gf180mcu_fd_sc_mcu9t5v0__latsnq_2.behavioral.pp.v
2,990
module MODULE1( VAR7, VAR6, VAR3, VAR24, VAR23, VAR9 ); input VAR6, VAR7, VAR3; inout VAR23, VAR9; output VAR24; reg VAR22; VAR26 VAR21(.VAR7(VAR7),.VAR6(VAR6),.VAR3(VAR3),.VAR24(VAR24),.VAR23(VAR23),.VAR9(VAR9),.VAR22(VAR22)); VAR26 VAR18(.VAR7(VAR7),.VAR6(VAR6),.VAR3(VAR3),.VAR24(VAR24),.VAR23(VAR23),.VAR9(VAR9),.VAR22(VAR22)); buf VAR27(VAR1,VAR3); not VAR11(VAR28,VAR6); and VAR12(VAR15,VAR3,VAR28); and VAR2(VAR16,VAR3,VAR6); not VAR19(VAR14,VAR6); not VAR13(VAR5,VAR7); and VAR8(VAR17,VAR5,VAR14); not VAR20(VAR25,VAR7); and VAR10(VAR4,VAR25,VAR6);
apache-2.0
subailong/miaow
src/verilog/rtl/issue/mem_wait.v
1,421
module MODULE1 ( VAR20, clk, rst, VAR11, VAR8, VAR17, VAR22, VAR15, VAR16 ); input clk,rst; input VAR11, VAR8, VAR17; input [5:0] VAR22, VAR15, VAR16; output [VAR18-1:0] VAR20; wire [VAR18-1:0] VAR21, VAR2, VAR13, VAR7, VAR12; VAR9 VAR19 ( .VAR6(VAR22), .out(VAR21), .en(VAR11) ); VAR9 VAR4 ( .VAR6(VAR15), .out(VAR2), .en(VAR8) ); VAR9 VAR5 ( .VAR6(VAR16), .out(VAR13), .en(VAR17) ); VAR3 MODULE1[VAR18-1:0] ( .VAR14(VAR12), .VAR10(40'b0), .en(VAR7), .clk(clk), .VAR1(VAR21), .rst(rst) ); assign VAR7 = VAR13 | VAR2 | VAR21; assign VAR20 = VAR12; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
models/udp_dlatch_p_pp_pg_n/sky130_fd_sc_ls__udp_dlatch_p_pp_pg_n.blackbox.v
1,420
module MODULE1 ( VAR1 , VAR2 , VAR3 , VAR5, VAR4 , VAR6 ); output VAR1 ; input VAR2 ; input VAR3 ; input VAR5; input VAR4 ; input VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlrtn/sky130_fd_sc_hd__dlrtn.blackbox.v
1,354
module MODULE1 ( VAR2 , VAR6, VAR5 , VAR3 ); output VAR2 ; input VAR6; input VAR5 ; input VAR3 ; supply1 VAR7; supply0 VAR8; supply1 VAR1 ; supply0 VAR4 ; endmodule
apache-2.0
SymbiFlow/yosys
techlibs/ecp5/cells_map.v
14,437
module \VAR62 (input VAR26, VAR10, output VAR5); parameter VAR2 = 1'VAR79; generate if (VAR2 === 1'b1) VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR42")) VAR80 (.VAR57(VAR10), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); else VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR104")) VAR80 (.VAR57(VAR10), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); endgenerate wire VAR67 = 1'b1; endmodule module \VAR30 (input VAR26, VAR10, output VAR5); parameter VAR2 = 1'VAR79; generate if (VAR2 === 1'b1) VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR42")) VAR80 (.VAR57(VAR10), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); else VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR104")) VAR80 (.VAR57(VAR10), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); endgenerate wire VAR67 = 1'b1; endmodule module \VAR110 (input VAR26, VAR10, VAR35, output VAR5); parameter VAR2 = 1'VAR79; generate if (VAR2 === 1'b1) VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR42")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); else VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR104")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); endgenerate wire VAR67 = 1'b1; endmodule module \VAR31 (input VAR26, VAR10, VAR35, output VAR5); parameter VAR2 = 1'VAR79; generate if (VAR2 === 1'b1) VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR42")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); else VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR104")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); endgenerate wire VAR67 = 1'b1; endmodule module \VAR87 (input VAR26, VAR10, VAR35, output VAR5); parameter VAR2 = 1'VAR79; generate if (VAR2 === 1'b1) VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR42")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); else VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR104")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); endgenerate wire VAR67 = 1'b1; endmodule module \VAR84 (input VAR26, VAR10, VAR35, output VAR5); parameter VAR2 = 1'VAR79; generate if (VAR2 === 1'b1) VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR42")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); else VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR104")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(1'b0), .VAR4(VAR26), .VAR5(VAR5)); endgenerate wire VAR67 = 1'b1; endmodule module \VAR40 (input VAR26, VAR10, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR65 (input VAR26, VAR10, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR33 (input VAR26, VAR10, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR86 (input VAR26, VAR10, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR21 (input VAR26, VAR10, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR69 (input VAR26, VAR10, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR17 (input VAR26, VAR10, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR49 (input VAR26, VAR10, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("1"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR102 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR64 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR55 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR71 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR58 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR14 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR16 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR96 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR15 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR38 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR90 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR101 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR44"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR73 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR43 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR34"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR82 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR95 (input VAR26, VAR10, VAR35, VAR32, output VAR5); VAR61 #(.VAR59("VAR22"), .VAR103("VAR34"), .VAR85("VAR57"), .VAR6("VAR18"), .VAR78("VAR42"), .VAR7("VAR23")) VAR80 (.VAR57(VAR10), .VAR44(VAR35), .VAR18(VAR32), .VAR4(VAR26), .VAR5(VAR5)); wire VAR67 = 1'b1; endmodule module \VAR36 (input VAR35, input VAR26, output VAR5); VAR61 #(.VAR59("VAR72"), .VAR103("1"), .VAR50("VAR46"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR18(!VAR35), .VAR4(1'b0), .VAR106(VAR26), .VAR5(VAR5)); endmodule module \VAR27 (input VAR35, input VAR26, output VAR5); VAR61 #(.VAR59("VAR72"), .VAR103("1"), .VAR50("VAR46"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR18(VAR35), .VAR4(1'b0), .VAR106(VAR26), .VAR5(VAR5)); endmodule module \VAR94 (input VAR10, VAR68, VAR32, VAR26, output VAR5); VAR61 #(.VAR59("VAR72"), .VAR103("1"), .VAR85("VAR34"), .VAR50("VAR46"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR18(VAR68 || VAR32), .VAR4(VAR26), .VAR106(!VAR32), .VAR5(VAR5)); endmodule module \VAR25 (input VAR10, VAR68, VAR32, VAR26, output VAR5); VAR61 #(.VAR59("VAR72"), .VAR103("1"), .VAR85("VAR57"), .VAR50("VAR46"), .VAR6("VAR18"), .VAR78("VAR104"), .VAR7("VAR53")) VAR80 (.VAR57(VAR10), .VAR18(VAR68 || VAR32), .VAR4(VAR26), .VAR106(!VAR32), .VAR5(VAR5)); endmodule module MODULE23 (VAR88, VAR107); parameter VAR75 = 0; parameter VAR3 = 0; input [VAR75-1:0] VAR88; output VAR107; generate if (VAR75 == 1) begin localparam [15:0] VAR91 = {{8{VAR3[1]}}, {8{VAR3[0]}}}; VAR41 #(.VAR91(VAR91)) VAR80 (.VAR81(VAR107), .VAR88(1'b0), .VAR60(1'b0), .VAR10(1'b0), .VAR26(VAR88[0])); end else if (VAR75 == 2) begin localparam [15:0] VAR91 = {{4{VAR3[3]}}, {4{VAR3[2]}}, {4{VAR3[1]}}, {4{VAR3[0]}}}; VAR41 #(.VAR91(VAR91)) VAR80 (.VAR81(VAR107), .VAR88(1'b0), .VAR60(1'b0), .VAR10(VAR88[0]), .VAR26(VAR88[1])); end else if (VAR75 == 3) begin localparam [15:0] VAR91 = {{2{VAR3[7]}}, {2{VAR3[6]}}, {2{VAR3[5]}}, {2{VAR3[4]}}, {2{VAR3[3]}}, {2{VAR3[2]}}, {2{VAR3[1]}}, {2{VAR3[0]}}}; VAR41 #(.VAR91(VAR91)) VAR80 (.VAR81(VAR107), .VAR88(1'b0), .VAR60(VAR88[0]), .VAR10(VAR88[1]), .VAR26(VAR88[2])); end else if (VAR75 == 4) begin VAR41 #(.VAR91(VAR3)) VAR80 (.VAR81(VAR107), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); end else if (VAR75 == 5) begin wire VAR28, VAR89; VAR41 #(.VAR91(VAR3[15: 0])) VAR37 (.VAR81(VAR28), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[31:16])) VAR20 (.VAR81(VAR89), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR63 VAR19(.VAR9(VAR89), .VAR97(VAR28), .VAR108(VAR88[4]), .VAR81(VAR107)); end else if (VAR75 == 6) begin wire VAR28, VAR89, VAR12, VAR111, VAR8, VAR77; VAR41 #(.VAR91(VAR3[15: 0])) VAR37 (.VAR81(VAR28), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[31:16])) VAR20 (.VAR81(VAR89), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[47:32])) VAR92 (.VAR81(VAR12), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[63:48])) VAR70 (.VAR81(VAR111), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR63 VAR51(.VAR9(VAR89), .VAR97(VAR28), .VAR108(VAR88[4]), .VAR81(VAR8)); VAR63 VAR52(.VAR9(VAR111), .VAR97(VAR12), .VAR108(VAR88[4]), .VAR81(VAR77)); VAR105 VAR93 (.VAR66(VAR8), .VAR98(VAR77), .VAR83(VAR88[5]), .VAR81(VAR107)); end else if (VAR75 == 7) begin wire VAR28, VAR89, VAR12, VAR111, VAR13, VAR29, VAR74, VAR45, VAR8, VAR77, VAR109, VAR11, h0, h1; VAR41 #(.VAR91(VAR3[15: 0])) VAR37 (.VAR81(VAR28), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[31:16])) VAR20 (.VAR81(VAR89), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[47:32])) VAR92 (.VAR81(VAR12), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[63:48])) VAR70 (.VAR81(VAR111), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[79:64])) VAR47 (.VAR81(VAR13), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[95:80])) VAR76 (.VAR81(VAR29), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[111: 96])) VAR54 (.VAR81(VAR74), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR41 #(.VAR91(VAR3[127:112])) VAR1 (.VAR81(VAR45), .VAR88(VAR88[0]), .VAR60(VAR88[1]), .VAR10(VAR88[2]), .VAR26(VAR88[3])); VAR63 VAR51(.VAR9(VAR89), .VAR97(VAR28), .VAR108(VAR88[4]), .VAR81(VAR8)); VAR63 VAR52(.VAR9(VAR111), .VAR97(VAR12), .VAR108(VAR88[4]), .VAR81(VAR77)); VAR63 VAR56(.VAR9(VAR29), .VAR97(VAR13), .VAR108(VAR88[4]), .VAR81(VAR109)); VAR63 VAR99(.VAR9(VAR45), .VAR97(VAR74), .VAR108(VAR88[4]), .VAR81(VAR11)); VAR105 VAR24 (.VAR66(VAR8), .VAR98(VAR77), .VAR83(VAR88[5]), .VAR81(h0)); VAR105 VAR39 (.VAR66(VAR109), .VAR98(VAR11), .VAR83(VAR88[5]), .VAR81(h1)); VAR105 VAR48 (.VAR66(h0), .VAR98(h1), .VAR83(VAR88[6]), .VAR81(VAR107)); end else begin wire VAR100 = 1; end endgenerate endmodule
isc
jlrandulfe/UviSpace
DE1-SoC/FPGA_Design/ip/sdram_control/sdram_pll0.v
17,188
module MODULE1 ( input wire VAR2, input wire rst, output wire VAR6, output wire VAR4, output wire VAR1 ); VAR5 VAR3 ( .VAR2 (VAR2), .rst (rst), .VAR6 (VAR6), .VAR4 (VAR4), .VAR1 (VAR1) ); endmodule
gpl-3.0
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
bin_Gray_Processing/ip/Gray_Processing/acl_fp_ldexp_hc.v
3,007
module MODULE1(VAR5, VAR11, VAR20, VAR14, VAR6, VAR10, VAR7, VAR3, VAR17); input VAR5, VAR11; input [31:0] VAR20; input [31:0] VAR14; input VAR6, VAR7; output VAR10, VAR3; output [31:0] VAR17; wire [7:0] VAR8 = VAR20[30:23]; wire [22:0] VAR15 = VAR20[22:0]; wire VAR19 = VAR20[31]; wire [31:0] VAR4 = VAR14; wire [31:0] VAR12 = VAR4 + VAR8; reg [7:0] VAR18; reg [22:0] VAR21; reg VAR16; reg VAR13; wire VAR9; always@(posedge VAR5 or negedge VAR11) begin if (~VAR11) begin VAR18 <= 8'VAR2; VAR21 <= 23'VAR2; VAR16 <= 1'VAR1; VAR13 <= 1'b0; end else if (VAR9) begin VAR13 <= VAR6; VAR16 <= VAR19; if (VAR8 == 8'hff) begin VAR21 <= VAR15; VAR18 <= VAR8; end else if (VAR12[31] | (VAR8 == 8'd0)) begin VAR21 <= 23'd0; VAR18 <= 8'd0; end else if ({1'b0, VAR12[30:0]} >= 255) begin VAR21 <= 23'd0; VAR18 <= 8'hff; end else if (VAR12[7:0] == 8'd0) begin VAR21 <= 23'd0; VAR18 <= 8'h00; end else begin VAR21 <= VAR15; VAR18 <= VAR12[7:0]; end end end assign VAR9 = ~VAR13 | ~VAR7; assign VAR10 = VAR13; assign VAR3 = VAR13 & VAR7; assign VAR17 = {VAR16, VAR18, VAR21}; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a21bo/sky130_fd_sc_hs__a21bo.pp.symbol.v
1,352
module MODULE1 ( input VAR2 , input VAR3 , input VAR6, output VAR4 , input VAR1, input VAR5 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdfrbp/sky130_fd_sc_hvl__sdfrbp.behavioral.v
2,848
module MODULE1 ( VAR15 , VAR20 , VAR5 , VAR13 , VAR23 , VAR6 , VAR25 ); output VAR15 ; output VAR20 ; input VAR5 ; input VAR13 ; input VAR23 ; input VAR6 ; input VAR25; supply1 VAR11; supply0 VAR14; supply1 VAR26 ; supply0 VAR10 ; wire VAR30 ; wire VAR22 ; wire VAR31 ; reg VAR19 ; wire VAR27 ; wire VAR18 ; wire VAR24 ; wire VAR1 ; wire VAR16 ; wire VAR12 ; wire VAR3 ; wire VAR7; wire VAR4 ; not VAR2 (VAR22 , VAR7 ); VAR21 VAR8 (VAR31, VAR16, VAR12, VAR3 ); VAR28 VAR29 (VAR30 , VAR31, VAR4, VAR22, VAR19, VAR11, VAR14); assign VAR27 = ( VAR7 === 1'b1 ); assign VAR18 = ( ( VAR3 === 1'b0 ) & VAR27 ); assign VAR24 = ( ( VAR3 === 1'b1 ) & VAR27 ); assign VAR1 = ( ( VAR16 !== VAR12 ) & VAR27 ); buf VAR9 (VAR15 , VAR30 ); not VAR17 (VAR20 , VAR30 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/tapvgnd2/sky130_fd_sc_hd__tapvgnd2_1.v
1,950
module MODULE1 ( VAR4, VAR5, VAR6 , VAR3 ); input VAR4; input VAR5; input VAR6 ; input VAR3 ; VAR2 VAR1 ( .VAR4(VAR4), .VAR5(VAR5), .VAR6(VAR6), .VAR3(VAR3) ); endmodule module MODULE1 (); supply1 VAR4; supply0 VAR5; supply1 VAR6 ; supply0 VAR3 ; VAR2 VAR1 (); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/latsnq/gf180mcu_fd_sc_mcu9t5v0__latsnq_4.behavioral.v
2,924
module MODULE1( VAR26, VAR8, VAR16, VAR7 ); input VAR8, VAR26, VAR16; output VAR7; reg VAR12; VAR13 VAR5(.VAR26(VAR26),.VAR8(VAR8),.VAR16(VAR16),.VAR7(VAR7),.VAR12(VAR12)); VAR13 VAR3(.VAR26(VAR26),.VAR8(VAR8),.VAR16(VAR16),.VAR7(VAR7),.VAR12(VAR12)); buf VAR19(VAR15,VAR16); not VAR21(VAR14,VAR8); and VAR18(VAR10,VAR16,VAR14); and VAR6(VAR1,VAR16,VAR8); not VAR17(VAR24,VAR8); not VAR20(VAR22,VAR26); and VAR11(VAR25,VAR22,VAR24); not VAR2(VAR9,VAR26); and VAR23(VAR4,VAR9,VAR8);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or3b/sky130_fd_sc_hdll__or3b.pp.symbol.v
1,309
module MODULE1 ( input VAR6 , input VAR8 , input VAR5 , output VAR2 , input VAR4 , input VAR7, input VAR3, input VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dlygate4sd1/sky130_fd_sc_hdll__dlygate4sd1.functional.pp.v
1,850
module MODULE1 ( VAR8 , VAR12 , VAR5, VAR10, VAR7 , VAR6 ); output VAR8 ; input VAR12 ; input VAR5; input VAR10; input VAR7 ; input VAR6 ; wire VAR3 ; wire VAR1; buf VAR4 (VAR3 , VAR12 ); VAR9 VAR11 (VAR1, VAR3, VAR5, VAR10); buf VAR2 (VAR8 , VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a2111o/sky130_fd_sc_ls__a2111o_1.v
2,448
module MODULE1 ( VAR6 , VAR4 , VAR3 , VAR5 , VAR11 , VAR8 , VAR10, VAR9, VAR7 , VAR12 ); output VAR6 ; input VAR4 ; input VAR3 ; input VAR5 ; input VAR11 ; input VAR8 ; input VAR10; input VAR9; input VAR7 ; input VAR12 ; VAR2 VAR1 ( .VAR6(VAR6), .VAR4(VAR4), .VAR3(VAR3), .VAR5(VAR5), .VAR11(VAR11), .VAR8(VAR8), .VAR10(VAR10), .VAR9(VAR9), .VAR7(VAR7), .VAR12(VAR12) ); endmodule module MODULE1 ( VAR6 , VAR4, VAR3, VAR5, VAR11, VAR8 ); output VAR6 ; input VAR4; input VAR3; input VAR5; input VAR11; input VAR8; supply1 VAR10; supply0 VAR9; supply1 VAR7 ; supply0 VAR12 ; VAR2 VAR1 ( .VAR6(VAR6), .VAR4(VAR4), .VAR3(VAR3), .VAR5(VAR5), .VAR11(VAR11), .VAR8(VAR8) ); endmodule
apache-2.0
glennchid/font5-firmware
src/verilog/synthesis/boardSynchroniser.v
1,600
module MODULE1( input clk, input VAR8, input VAR2, input [1:0] VAR13, input [1:0] VAR10, input VAR3, output reg VAR9 = 1'b0, inout VAR1 ); reg VAR12 = 1'b0, VAR7 = 1'b0, VAR4 = 1'b0; reg [1:0] VAR6 = 2'b00; reg VAR5 = 1'b0; always @(posedge clk) begin VAR12 <= VAR2; VAR7 <= VAR12; VAR4 <= VAR12 & ~VAR7; if (VAR4) begin VAR6 <= (VAR6 == VAR10) ? 2'b00 : VAR6 + 1'b1; if (VAR8) begin if (VAR6 == VAR10) VAR5 <= 1'b1; end else if (VAR6 == VAR13) VAR5 <= 1'b0; end else VAR5 <= VAR5; end else VAR5 <= 1'b0; end VAR9 <= (VAR3) ? VAR5 : VAR1; end assign VAR1 = (VAR3) ? VAR5 : 1'VAR11; endmodule
gpl-3.0
Elphel/x393_sata
host/oob_ctrl.v
10,614
module MODULE1 #( parameter VAR31 = 4, parameter VAR11 = 1 ) ( input wire clk, input wire rst, input wire VAR14, output wire [11:0] VAR22, input wire VAR37, input wire VAR15, input wire VAR13, output wire VAR25, output wire VAR9, output wire VAR1, output wire VAR33, input wire VAR35, output wire VAR29, input wire VAR26, output wire VAR30, input wire VAR6, input wire [VAR31*8 - 1:0] VAR17, input wire [VAR31 - 1:0] VAR45, output wire [VAR31*8 - 1:0] VAR38, output wire [VAR31 - 1:0] VAR39, input wire [VAR31*8 - 1:0] VAR3, input wire [VAR31 - 1:0] VAR20, output wire [VAR31*8 - 1:0] VAR7, output wire [VAR31 - 1:0] VAR23, input wire VAR5, output wire VAR42, input VAR18, input VAR16, output reg VAR28 ,output VAR36 ); wire VAR32; wire VAR10; wire VAR4; wire VAR34; wire VAR47; wire VAR40; wire VAR21; wire VAR27; wire VAR8; wire VAR2; reg VAR43; reg VAR46; reg VAR44; always @ (posedge clk) begin if (rst || VAR16) VAR44 <= 0; end else if (VAR18) VAR44 <= 1; end reg VAR41; reg VAR12; always @ (posedge clk) begin if (!(VAR43 & VAR14)) VAR41 <= 0; end else if (VAR5) VAR41 <= 1; VAR12 <= VAR5; VAR28 <= VAR41 && VAR5 && !VAR12; end assign VAR42 = VAR41; always @ (posedge clk) VAR43 <= (VAR43 | VAR4) & ~VAR34 & ~rst & ~VAR44; always @ (posedge clk) VAR46 <= (VAR46 | VAR32 | VAR47 & VAR40) & ~VAR27 & ~VAR8 & ~(VAR34 & ~VAR2 & ~VAR32) & ~rst; assign VAR32 = VAR14 & ~VAR46 & ~VAR2 & ~VAR44; wire VAR19; assign VAR1 = VAR19 || VAR44; assign VAR40 = VAR47 & VAR43; VAR24 #( .VAR31 (VAR31), .VAR11 (VAR11) ) VAR24 ( .VAR22 (VAR22), .clk (clk), .rst (rst), .VAR37 (VAR37), .VAR15 (VAR15), .VAR13 (VAR13), .VAR25 (VAR25), .VAR9 (VAR9), .VAR1 (VAR19), .VAR33 (VAR33), .VAR35 (VAR35), .VAR29 (VAR29), .VAR26 (VAR26), .VAR30 (VAR30), .VAR6 (VAR6), .VAR17 (VAR17), .VAR45 (VAR45), .VAR38 (VAR38), .VAR39 (VAR39), .VAR3 (VAR3), .VAR20 (VAR20), .VAR7 (VAR7), .VAR23 (VAR23), .VAR32 (VAR32), .VAR10 (VAR10), .VAR2 (VAR2), .VAR4 (VAR4), .VAR34 (VAR34), .VAR47 (VAR47), .VAR40 (VAR40), .VAR21 (VAR21), .VAR27 (VAR27), .VAR8 (VAR8) ,.VAR36(VAR36) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nand3/sky130_fd_sc_ms__nand3.functional.v
1,291
module MODULE1 ( VAR2, VAR4, VAR5, VAR7 ); output VAR2; input VAR4; input VAR5; input VAR7; wire VAR3; nand VAR6 (VAR3, VAR5, VAR4, VAR7 ); buf VAR1 (VAR2 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkdlyinv5sd2/sky130_fd_sc_ls__clkdlyinv5sd2.pp.symbol.v
1,357
module MODULE1 ( input VAR2 , output VAR4 , input VAR1 , input VAR3, input VAR6, input VAR5 ); endmodule
apache-2.0
jhoward321/pacman
usb_system/synthesis/submodules/usb_system_clocks.v
10,931
module MODULE1 ( VAR3, VAR1, VAR10, VAR7) ; input VAR3; input VAR1; input [0:0] VAR10; output [0:0] VAR7; tri0 VAR3; tri1 VAR1; reg [0:0] VAR4; reg [0:0] VAR8; reg [0:0] VAR6; wire VAR2; wire VAR9; wire VAR5;
mit
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/lsbufhv2lv/sky130_fd_sc_hvl__lsbufhv2lv.functional.v
1,207
module MODULE1 ( VAR2, VAR3 ); output VAR2; input VAR3; buf VAR1 (VAR2 , VAR3 ); endmodule
apache-2.0
XCopter-HSU/XCopter
documentations/Bumblebee_Documentation/SoPC/NIOS_MCAPI_Base_v07/soc_system/synthesis/submodules/i2c_master_bit_ctrl.v
16,890
module MODULE1( clk, rst, VAR3, VAR28, VAR37, VAR2, VAR45, VAR12, din, dout, VAR6, VAR14, VAR41, VAR18, VAR31, VAR20 ); input clk; input rst; input VAR28; input [15:0] VAR3; input [3:0] VAR37; output VAR2; reg VAR2; output VAR45; reg VAR45; output VAR12; reg VAR12; input din; output dout; reg dout; input VAR6; output VAR14; output VAR41; reg VAR41; input VAR18; output VAR31; output VAR20; reg VAR20; reg VAR19, VAR24; reg VAR34; reg VAR17; reg VAR23; wire VAR38; reg [15:0] VAR4; reg [16:0] VAR44; always @(posedge clk) VAR34 <= VAR41; assign VAR38 = VAR34 && !VAR19; always @(posedge clk) if (rst) begin VAR4 <= 16'h0; VAR23 <= 1'b1; end else if ( ~|VAR4 || !VAR28) begin VAR4 <= VAR3; VAR23 <= 1'b1; end else if (VAR38) begin VAR4 <= VAR4; VAR23 <= 1'b0; end else begin VAR4 <= VAR4 - 16'h1; VAR23 <= 1'b0; end reg VAR30, VAR33; reg VAR40; reg VAR5; always @(posedge clk) if (rst) begin VAR19 <= 1'b1; VAR24 <= 1'b1; VAR30 <= 1'b1; VAR33 <= 1'b1; end else begin VAR19 <= VAR6; VAR24 <= VAR18; VAR30 <= VAR19; VAR33 <= VAR24; end always @(posedge clk) if (rst) begin VAR40 <= 1'b0; VAR5 <= 1'b0; end else begin VAR40 <= ~VAR24 & VAR33 & VAR19; VAR5 <= VAR24 & ~VAR33 & VAR19; end always @(posedge clk) if (rst) VAR45 <= 1'b0; else VAR45 <= (VAR40 | VAR45) & ~VAR5; reg VAR27; always @(posedge clk) if (rst) VAR27 <= 1'b0; else if (VAR23) VAR27 <= VAR37 == VAR10; always @(posedge clk) if (rst) VAR12 <= 1'b0; else VAR12 <= (VAR17 & ~VAR24 & VAR20) | (|VAR44 & VAR5 & ~VAR27); always @(posedge clk) if(VAR19 & ~VAR30) dout <= VAR24; parameter [16:0] VAR32 = 17'b00000000000000000; parameter [16:0] VAR7 = 17'b00000000000000001; parameter [16:0] VAR29 = 17'b00000000000000010; parameter [16:0] VAR35 = 17'b00000000000000100; parameter [16:0] VAR25 = 17'b00000000000001000; parameter [16:0] VAR16 = 17'b00000000000010000; parameter [16:0] VAR13 = 17'b00000000000100000; parameter [16:0] VAR22 = 17'b00000000001000000; parameter [16:0] VAR1 = 17'b00000000010000000; parameter [16:0] VAR15 = 17'b00000000100000000; parameter [16:0] VAR11 = 17'b00000001000000000; parameter [16:0] VAR36 = 17'b00000010000000000; parameter [16:0] VAR26 = 17'b00000100000000000; parameter [16:0] VAR39 = 17'b00001000000000000; parameter [16:0] VAR43 = 17'b00010000000000000; parameter [16:0] VAR21 = 17'b00100000000000000; parameter [16:0] VAR8 = 17'b01000000000000000; parameter [16:0] VAR42 = 17'b10000000000000000; always @(posedge clk) if (rst | VAR12) begin VAR44 <= VAR32; VAR2 <= 1'b0; VAR41 <= 1'b1; VAR20 <= 1'b1; VAR17 <= 1'b0; end else begin VAR2 <= 1'b0; if (VAR23) case (VAR44) VAR32: begin case (VAR37) VAR9: VAR44 <= VAR7; VAR44 <= VAR13; VAR44 <= VAR43; VAR44 <= VAR11; default: VAR44 <= VAR32; endcase VAR41 <= VAR41; VAR20 <= VAR20; VAR17 <= 1'b0; end VAR7: begin VAR44 <= VAR29; VAR41 <= VAR41; VAR20 <= 1'b1; VAR17 <= 1'b0; end VAR29: begin VAR44 <= VAR35; VAR41 <= 1'b1; VAR20 <= 1'b1; VAR17 <= 1'b0; end VAR35: begin VAR44 <= VAR25; VAR41 <= 1'b1; VAR20 <= 1'b0; VAR17 <= 1'b0; end VAR25: begin VAR44 <= VAR16; VAR41 <= 1'b1; VAR20 <= 1'b0; VAR17 <= 1'b0; end VAR16: begin VAR44 <= VAR32; VAR2 <= 1'b1; VAR41 <= 1'b0; VAR20 <= 1'b0; VAR17 <= 1'b0; end VAR13: begin VAR44 <= VAR22; VAR41 <= 1'b0; VAR20 <= 1'b0; VAR17 <= 1'b0; end VAR22: begin VAR44 <= VAR1; VAR41 <= 1'b1; VAR20 <= 1'b0; VAR17 <= 1'b0; end VAR1: begin VAR44 <= VAR15; VAR41 <= 1'b1; VAR20 <= 1'b0; VAR17 <= 1'b0; end VAR15: begin VAR44 <= VAR32; VAR2 <= 1'b1; VAR41 <= 1'b1; VAR20 <= 1'b1; VAR17 <= 1'b0; end VAR11: begin VAR44 <= VAR36; VAR41 <= 1'b0; VAR20 <= 1'b1; VAR17 <= 1'b0; end VAR36: begin VAR44 <= VAR26; VAR41 <= 1'b1; VAR20 <= 1'b1; VAR17 <= 1'b0; end VAR26: begin VAR44 <= VAR39; VAR41 <= 1'b1; VAR20 <= 1'b1; VAR17 <= 1'b0; end VAR39: begin VAR44 <= VAR32; VAR2 <= 1'b1; VAR41 <= 1'b0; VAR20 <= 1'b1; VAR17 <= 1'b0; end VAR43: begin VAR44 <= VAR21; VAR41 <= 1'b0; VAR20 <= din; VAR17 <= 1'b0; end VAR21: begin VAR44 <= VAR8; VAR41 <= 1'b1; VAR20 <= din; VAR17 <= 1'b1; end VAR8: begin VAR44 <= VAR42; VAR41 <= 1'b1; VAR20 <= din; VAR17 <= 1'b1; end VAR42: begin VAR44 <= VAR32; VAR2 <= 1'b1; VAR41 <= 1'b0; VAR20 <= din; VAR17 <= 1'b0; end endcase end assign VAR14 = 1'b0; assign VAR31 = 1'b0; endmodule
gpl-2.0
boylansr/Prop_Muse
P1V/P8X32A_Emulation/P8X32A_DE2_115/cog_ctr.v
2,947
module MODULE1 ( input VAR11, input VAR18, input VAR1, input VAR9, input VAR3, input VAR16, input [31:0] VAR14, input [31:0] VAR7, output reg [32:0] VAR5, output [31:0] VAR19, output VAR22 ); reg [31:0] VAR20; reg [31:0] VAR6; always @(posedge VAR11 or negedge VAR1) if (!VAR1) VAR20 <= 32'b0; else if (VAR9) VAR20 <= VAR14; always @(posedge VAR11) if (VAR3) VAR6 <= VAR14; always @(posedge VAR11) if (VAR16 || VAR4) VAR5 <= VAR16 ? {1'b0, VAR14} : {1'b0, VAR5[31:0]} + {1'b0, VAR6}; reg [1:0] VAR8; always @(posedge VAR11) if (|VAR20[30:29]) VAR8 <= {VAR20[30] ? VAR7[VAR20[13:9]] : VAR8[0], VAR7[VAR20[4:0]]}; wire [15:0][2:0] VAR10 = { VAR8 == 2'b10, !VAR8[0], 1'b0, VAR8 == 2'b10, 1'b0, 1'b0, !VAR8[0], !VAR8[0], 1'b0, !VAR8[0], 1'b0, 1'b0, VAR8 == 2'b01, !VAR8[0], 1'b0, VAR8 == 2'b01, 1'b0, 1'b0, VAR8[0], !VAR8[0], 1'b0, VAR8[0], 1'b0, 1'b0, 1'b1, !VAR5[32], VAR5[32], 1'b1, 1'b0, VAR5[32], 1'b1, !VAR5[31], VAR5[31], 1'b1, 1'b0, VAR5[31], 1'b1, !VAR22, VAR22, 1'b1, 1'b0, VAR22, 1'b1, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0 }; wire [3:0] VAR2 = VAR20[29:26]; wire [2:0] VAR17 = VAR10[VAR2]; wire VAR4 = VAR20[30] ? VAR2[VAR8] : VAR17[2]; wire VAR12 = VAR20[30] ? 1'b0 : VAR17[1]; wire VAR13 = VAR20[30] ? 1'b0 : VAR17[0]; assign VAR19 = VAR12 << VAR20[13:9] | VAR13 << VAR20[4:0]; reg [35:0] VAR15; always @(posedge VAR18) if (~&VAR20[30:28] && |VAR20[27:26]) VAR15 <= VAR15 + {4'b0, VAR6}; wire [7:0] VAR21 = VAR15[35:28]; assign VAR22 = VAR21[~VAR20[25:23]]; endmodule
gpl-3.0
everskar2013/PentiumX
Hardware/Code/uart_new_2.v
5,241
module MODULE1 #( parameter VAR47 = 100000000, parameter VAR15 = 115200 ) ( input [31:0] VAR35, input [31:0] VAR39, input VAR13, input VAR43, output reg [31:0] VAR46, output VAR7, input VAR32, input VAR41, output VAR44, output VAR29, input VAR12, output VAR10, input VAR26 ); reg [15:0] VAR27; wire [7:0] VAR2; wire [7:0] VAR48; wire VAR53; wire VAR38, VAR52; wire VAR8; wire VAR21, VAR34, VAR37, VAR4; reg VAR55 = 0; wire VAR42; wire [7:0] VAR6; reg VAR19 = 0; wire VAR24; reg VAR5 = 0; wire [7:0] VAR14; wire VAR18; reg VAR28 = 0; reg VAR50 = 0; reg VAR54 = 0; reg VAR1 = 0; reg VAR25; wire VAR17; reg VAR56 = 0; reg VAR16 = 0; reg valid = 1; VAR30 VAR33( .VAR32(VAR32), .VAR41(VAR41), .VAR12(VAR12), .VAR10(VAR42), .VAR27(VAR27), .VAR2(VAR2), .VAR38(VAR38), .VAR48(VAR14), .VAR53(VAR1), .VAR52(VAR52), .VAR8(VAR8), .VAR31(VAR31) ); always @(posedge VAR32) begin if(VAR38) VAR19 = 1; end else VAR19 = 0; end assign VAR24 = VAR22 & ~VAR5; always @(posedge VAR32) begin if(VAR22) VAR5 = 1; end else VAR5 = 0; end always @(posedge VAR32) begin VAR16 = VAR24; end assign VAR44 = VAR21 & ~VAR26; VAR3 VAR49 ( .clk(VAR32), .rst(VAR41), .din(VAR2), .VAR45(VAR19), .VAR23(VAR16), .dout(VAR6), .VAR40(VAR21), .VAR36(VAR37), .VAR11() ); always @(posedge VAR32) begin if(VAR28 && !VAR50 && !VAR54) begin VAR50 = 1; VAR54 = 1; end else if(VAR54 && VAR50) begin VAR50 = 0;end else if(!VAR28) begin VAR54 = 0;end end always @(posedge VAR32) begin if(VAR54 && !VAR50) VAR1 = 1; end else VAR1 = 0; end assign VAR18 = ~VAR8 & ~VAR4; always @(posedge VAR32) begin VAR28 = VAR18; end always @(posedge VAR32) begin if(VAR53) VAR25 = 1; end else VAR25 = 0; end VAR51 VAR9 ( .clk(VAR32), .rst(VAR41), .din(VAR48), .VAR45(VAR53 & ~VAR25 & valid), .VAR23(VAR50), .dout(VAR14), .VAR40(VAR34), .VAR36(VAR4), .VAR11() ); assign VAR10 = VAR55 ? VAR12 : VAR42; assign VAR48 = VAR35[7:0]; assign VAR53 = VAR43 & VAR7 & VAR13 & (VAR39[1:0] == 2'b00); assign VAR22 = VAR43 & VAR7 & ~VAR13 & (VAR39[1:0] == 2'b00) & ~VAR56; parameter VAR20 = VAR47/VAR15/16; assign VAR7 = VAR43 & (VAR13?(~VAR34|~valid):1) ; assign VAR17 = VAR43 & VAR7; always @(negedge VAR7) begin if(VAR37) VAR56 = 1; end else VAR56 = 0; end always @(posedge VAR32 or posedge VAR41) begin if(VAR41) begin VAR27 <= VAR20; VAR46 <= 32'd0; valid = 1; end else if(VAR17) begin VAR46 <= 32'd0; case(VAR39[1:0]) 2'b00: if(VAR22) begin VAR46 <= {23'h0, 1'b1, VAR6}; end 2'b01: VAR46 <= VAR27; 2'b10: VAR46 <= VAR55; endcase if(VAR13) begin case(VAR39[1:0]) 2'b00:; 2'b01: VAR27 <= VAR35[15:0]; 2'b10: VAR55 <= VAR35[0]; endcase valid = 0; end end else valid = 1; end endmodule
mit
horia141/bachelor-thesis
prj/components/ROMMatrix/ROMMatrix.v
4,359
module MODULE1(VAR8,reset,VAR1,VAR15,out,VAR24,VAR4); parameter VAR27 = 8; parameter VAR26 = 8; parameter VAR18 = 8; input wire VAR8; input wire reset; input wire [11:0] VAR1; input wire VAR15; output wire [VAR18-1:0] out; output wire [15:0] VAR24; input wire [VAR18-1:0] VAR4; reg [1:0] VAR19; reg [7:0] VAR7; reg [7:0] VAR28; wire [3:0] VAR20; wire [7:0] VAR3; reg [256*8-1:0] VAR6; reg [256*8-1:0] VAR11; assign VAR24 = VAR7 * VAR26 + VAR28; assign out = VAR4; assign VAR20 = VAR1[11:8]; assign VAR3 = VAR1[7:0]; always @ (posedge VAR8) begin if (reset) begin VAR19 <= VAR16; VAR7 <= 0; VAR28 <= 0; end else begin case (VAR19) VAR19 <= VAR25; VAR7 <= 0; VAR28 <= 0; end if (VAR15) begin case (VAR20) VAR19 <= VAR25; VAR7 <= VAR7; VAR28 <= VAR28; end VAR19 <= VAR25; VAR7 <= VAR3; VAR28 <= VAR28; end VAR19 <= VAR25; VAR7 <= VAR7; VAR28 <= VAR3; end default: begin VAR19 <= VAR14; VAR7 <= 0; VAR28 <= 0; end endcase end else begin VAR19 <= VAR25; VAR7 <= VAR7; VAR28 <= VAR28; end end VAR19 <= VAR14; VAR7 <= 0; VAR28 <= 0; end default: begin VAR19 <= VAR14; VAR7 <= 0; VAR28 <= 0; end endcase end end always @ * begin if (VAR15) begin case (VAR20) VAR12(VAR6,"VAR10 VAR21"); end VAR12(VAR6,"VAR10 (VAR2 %VAR22)",VAR3); end VAR12(VAR6,"VAR10 (VAR5 %VAR22)",VAR3); end default: begin VAR12(VAR6,"VAR10 (? %2X)",VAR3); end endcase end else begin VAR12(VAR6,"VAR13"); end end always @ * begin case (VAR19) VAR12(VAR11,"VAR29"); end VAR12(VAR11,"VAR9 %VAR22 %VAR22 %VAR22",VAR7,VAR28,VAR24); end VAR12(VAR11,"VAR17"); end default: begin VAR12(VAR11,"?"); end endcase end VAR23 endmodule
mit
dagrende/quad_stepper
qsfpga/spi_slave.v
1,198
module MODULE1(clk, VAR13, VAR5, VAR16, VAR19, VAR6, VAR3, VAR7); parameter VAR2 = 32; input clk, VAR16; input VAR19; input VAR13; output VAR5; output [VAR2 - 1:0] VAR3; input [VAR2 - 1:0] VAR6; output VAR7; reg [VAR2 - 1:0] VAR17; reg [2:0] VAR11, VAR14; always @(posedge clk) begin VAR11 <= {VAR16, VAR11[2:1]}; VAR14 <= {VAR19, VAR14[2:1]}; end wire VAR18 = VAR11[1:0] == 2'b10; wire VAR8 = VAR11[1:0] == 2'b01; wire VAR1 = VAR14[1:0] == 2'b10; wire VAR9 = VAR14[1:0] == 2'b01; wire VAR15 = VAR14[0] == 0; reg VAR4; reg [7:0] VAR12; always @(posedge clk) begin if (VAR9) begin VAR17 = VAR6; VAR12 = 0; end else if (VAR18) begin VAR4 = VAR13; end else if (VAR8) begin VAR17 = {VAR4, VAR17[VAR2 - 1: 1]}; VAR12 = VAR12 + 1; end end assign VAR5 = VAR15 ? VAR17[0] : 1'VAR10; assign VAR7 = VAR15 && (VAR12 == VAR2); assign VAR3 = VAR17; endmodule
apache-2.0
hcabrera-/lancetfish
RTL/processing_element/des_engine/rtl/des_sbox2.v
3,320
module MODULE1 ( input wire [0:5] VAR1, output reg [0:3] VAR2 ); always @(*) case ({VAR1[0], VAR1[5]}) 2'b00: case (VAR1[1:4]) 4'd0: VAR2 = 4'd15; 4'd1: VAR2 = 4'd1; 4'd2: VAR2 = 4'd8; 4'd3: VAR2 = 4'd14; 4'd4: VAR2 = 4'd6; 4'd5: VAR2 = 4'd11; 4'd6: VAR2 = 4'd3; 4'd7: VAR2 = 4'd4; 4'd8: VAR2 = 4'd9; 4'd9: VAR2 = 4'd7; 4'd10: VAR2 = 4'd2; 4'd11: VAR2 = 4'd13; 4'd12: VAR2 = 4'd12; 4'd13: VAR2 = 4'd0; 4'd14: VAR2 = 4'd5; 4'd15: VAR2 = 4'd10; endcase 2'b01: case (VAR1[1:4]) 4'd0: VAR2 = 4'd3; 4'd1: VAR2 = 4'd13; 4'd2: VAR2 = 4'd4; 4'd3: VAR2 = 4'd7; 4'd4: VAR2 = 4'd15; 4'd5: VAR2 = 4'd2; 4'd6: VAR2 = 4'd8; 4'd7: VAR2 = 4'd14; 4'd8: VAR2 = 4'd12; 4'd9: VAR2 = 4'd0; 4'd10: VAR2 = 4'd1; 4'd11: VAR2 = 4'd10; 4'd12: VAR2 = 4'd6; 4'd13: VAR2 = 4'd9; 4'd14: VAR2 = 4'd11; 4'd15: VAR2 = 4'd5; endcase 2'b10: case (VAR1[1:4]) 4'd0: VAR2 = 4'd0; 4'd1: VAR2 = 4'd14; 4'd2: VAR2 = 4'd7; 4'd3: VAR2 = 4'd11; 4'd4: VAR2 = 4'd10; 4'd5: VAR2 = 4'd4; 4'd6: VAR2 = 4'd13; 4'd7: VAR2 = 4'd1; 4'd8: VAR2 = 4'd5; 4'd9: VAR2 = 4'd8; 4'd10: VAR2 = 4'd12; 4'd11: VAR2 = 4'd6; 4'd12: VAR2 = 4'd9; 4'd13: VAR2 = 4'd3; 4'd14: VAR2 = 4'd2; 4'd15: VAR2 = 4'd15; endcase 2'b11: case (VAR1[1:4]) 4'd0: VAR2 = 4'd13; 4'd1: VAR2 = 4'd8; 4'd2: VAR2 = 4'd10; 4'd3: VAR2 = 4'd1; 4'd4: VAR2 = 4'd3; 4'd5: VAR2 = 4'd15; 4'd6: VAR2 = 4'd4; 4'd7: VAR2 = 4'd2; 4'd8: VAR2 = 4'd11; 4'd9: VAR2 = 4'd6; 4'd10: VAR2 = 4'd7; 4'd11: VAR2 = 4'd12; 4'd12: VAR2 = 4'd0; 4'd13: VAR2 = 4'd5; 4'd14: VAR2 = 4'd14; 4'd15: VAR2 = 4'd9; endcase endcase endmodule
gpl-3.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/db/ip/Video_System/submodules/altera_up_avalon_video_vga_timing.v
11,320
module MODULE1 ( clk, reset, VAR20, VAR18, VAR9, VAR10, VAR5, VAR21, VAR40, VAR31, VAR15, VAR12, VAR7, VAR25, VAR35, VAR3, VAR22, VAR1 ); parameter VAR26 = 9; parameter VAR14 = 640; parameter VAR34 = 16; parameter VAR8 = 96; parameter VAR11 = 48; parameter VAR16 = 800; parameter VAR6 = 480; parameter VAR24 = 10; parameter VAR29 = 2; parameter VAR27 = 33; parameter VAR38 = 525; parameter VAR41 = 10; parameter VAR17 = 10'h001; parameter VAR36 = 10; parameter VAR37 = 10'h001; input clk; input reset; input [VAR26: 0] VAR20; input [VAR26: 0] VAR18; input [VAR26: 0] VAR9; input [ 3: 0] VAR10; output VAR5; output reg VAR21; output reg VAR40; output reg VAR31; output reg VAR15; output reg VAR12; output reg VAR7; output reg VAR25; output reg [VAR26: 0] VAR35; output reg [VAR26: 0] VAR3; output reg [VAR26: 0] VAR22; output reg [VAR26: 0] VAR1; reg [VAR41:1] VAR30; reg [VAR36:1] VAR4; reg VAR33; reg VAR2; reg VAR28; reg VAR23; reg VAR32; reg VAR19; reg VAR13; reg VAR39; always @ (posedge clk) begin if (reset) begin VAR15 <= 1'b1; VAR31 <= 1'b1; VAR12 <= 1'b1; VAR7 <= 1'b1; VAR35 <= {(VAR26 + 1){1'b0}}; VAR3 <= {(VAR26 + 1){1'b0}}; VAR22 <= {(VAR26 + 1){1'b0}}; VAR1 <= {(VAR26 + 1){1'b0}}; end else begin VAR31 <= ~VAR39; VAR15 <= ~VAR32; VAR12 <= ~VAR28; VAR7 <= ~VAR23; VAR25 <= ~VAR39; if (VAR39) begin VAR35 <= {(VAR26 + 1){1'b0}}; VAR3 <= {(VAR26 + 1){1'b0}}; VAR22 <= {(VAR26 + 1){1'b0}}; VAR1 <= {(VAR26 + 1){1'b0}}; end else begin VAR35 <= VAR20; VAR3 <= VAR18; VAR22 <= VAR9; VAR1 <= ({(VAR26 + 1){VAR10[0]}} & VAR20) | ({(VAR26 + 1){VAR10[1]}} & VAR18) | ({(VAR26 + 1){VAR10[2]}} & VAR9); end end end always @ (posedge clk) begin if (reset) begin VAR30 <= VAR16 - 3; VAR4 <= VAR38 - 1; end else begin if (VAR30 == (VAR16 - 1)) begin VAR30 <= {VAR41{1'b0}}; if (VAR4 == (VAR38 - 1)) VAR4 <= {VAR36{1'b0}}; end else VAR4 <= VAR4 + VAR37; end else VAR30 <= VAR30 + VAR17; end end always @ (posedge clk) begin if (reset) begin VAR21 <= 1'b0; VAR40 <= 1'b0; end else begin if ((VAR4 == (VAR6 - 1)) && (VAR30 == (VAR14 - 2))) VAR21 <= 1'b1; end else VAR21 <= 1'b0; if ((VAR4 == (VAR38 - 1)) && (VAR30 == (VAR16 - 2))) VAR40 <= 1'b1; end else VAR40 <= 1'b0; end end always @ (posedge clk) begin if (reset) begin VAR33 <= 1'b0; VAR2 <= 1'b0; VAR28 <= 1'b0; VAR23 <= 1'b0; VAR32 <= 1'b0; end else begin if (VAR30 == (VAR14 + VAR34 - 2)) VAR33 <= 1'b1; end else if (VAR30 == (VAR16 - VAR11 - 2)) VAR33 <= 1'b0; if ((VAR4 == (VAR6 + VAR24 - 1)) && (VAR30 == (VAR16 - 2))) VAR2 <= 1'b1; end else if ((VAR4 == (VAR38 - VAR27 - 1)) && (VAR30 == (VAR16 - 2))) VAR2 <= 1'b0; VAR28 <= VAR33; VAR23 <= VAR2; VAR32 <= VAR33 ^ VAR2; end end always @ (posedge clk) begin if (reset) begin VAR19 <= 1'b1; VAR13 <= 1'b1; VAR39 <= 1'b1; end else begin if (VAR30 == (VAR14 - 2)) VAR19 <= 1'b1; end else if (VAR30 == (VAR16 - 2)) VAR19 <= 1'b0; if ((VAR4 == (VAR6 - 1)) && (VAR30 == (VAR16 - 2))) VAR13 <= 1'b1; end else if ((VAR4 == (VAR38 - 1)) && (VAR30 == (VAR16 - 2))) VAR13 <= 1'b0; VAR39 <= VAR19 | VAR13; end end assign VAR5 = ~VAR39; endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/bufz/gf180mcu_fd_sc_mcu7t5v0__bufz_12.behavioral.v
1,175
module MODULE1( VAR3, VAR1, VAR2 ); input VAR3, VAR1; output VAR2; VAR5 VAR4(.VAR3(VAR3),.VAR1(VAR1),.VAR2(VAR2)); VAR5 VAR6(.VAR3(VAR3),.VAR1(VAR1),.VAR2(VAR2));
apache-2.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_dac_4d_2c_v1_00_a/hdl/verilog/cf_dac_4d_2c.v
16,732
module MODULE1 ( VAR55, VAR104, VAR99, VAR85, VAR66, VAR93, VAR49, VAR39, VAR14, VAR31, VAR45, VAR75, VAR25, VAR108, VAR29, VAR34, VAR56, VAR13, VAR23, VAR105, VAR7, VAR38, VAR101, VAR46, VAR103, VAR109, VAR59, VAR60, VAR69, VAR94, VAR97, VAR22, VAR11); parameter VAR51 = 0; input [ 7:0] VAR55; input VAR104; input VAR99; output VAR85; output VAR66; output VAR93; output VAR49; output [15:0] VAR39; output [15:0] VAR14; input VAR31; output VAR45; input VAR75; input [63:0] VAR25; output VAR108; input VAR29; input VAR34; input VAR56; input VAR13; input [ 4:0] VAR23; input [31:0] VAR105; output [31:0] VAR7; output VAR38; output [ 7:0] VAR101; output VAR46; output VAR103; input VAR109; input VAR59; output [198:0] VAR60; output [ 7:0] VAR69; output VAR94; output [195:0] VAR97; output [ 7:0] VAR22; input VAR11; reg VAR16 = 'd0; reg VAR21 = 'd0; reg VAR19 = 'd0; reg VAR17 = 'd0; reg VAR46 = 'd0; reg VAR80 = 'd0; reg [15:0] VAR48 = 'd0; reg [15:0] VAR9 = 'd0; reg [15:0] VAR86 = 'd0; reg [15:0] VAR89 = 'd0; reg [15:0] VAR65 = 'd0; reg [15:0] VAR8 = 'd0; reg [15:0] VAR68 = 'd0; reg [15:0] VAR63 = 'd0; reg [15:0] VAR95 = 'd0; reg [15:0] VAR76 = 'd0; reg VAR42 = 'd0; reg [ 3:0] VAR88 = 'd0; reg [ 3:0] VAR47 = 'd0; reg [ 3:0] VAR30 = 'd0; reg [ 3:0] VAR102 = 'd0; reg VAR103 = 'd0; reg [15:0] VAR113 = 'd0; reg [15:0] VAR82 = 'd0; reg [15:0] VAR27 = 'd0; reg [15:0] VAR50 = 'd0; reg [15:0] VAR37 = 'd0; reg [ 7:0] VAR101 = 'd0; reg VAR74 = 'd0; reg VAR41 = 'd0; reg VAR43 = 'd0; reg VAR72 = 'd0; reg VAR18 = 'd0; reg VAR96 = 'd0; reg VAR111 = 'd0; reg VAR44 = 'd0; reg [31:0] VAR7 = 'd0; reg VAR100 = 'd0; reg VAR58 = 'd0; reg VAR38 = 'd0; wire VAR6; wire VAR2; wire VAR36; wire VAR106; wire VAR24; wire VAR71; wire [ 2:0] VAR52; wire [15:0] VAR5; wire [15:0] VAR78; wire [15:0] VAR33; wire [ 2:0] VAR20; wire [15:0] VAR70; wire [15:0] VAR92; wire [15:0] VAR90; assign VAR6 = VAR56 & ~VAR13; assign VAR2 = VAR100 & ~VAR58; always @(negedge VAR29 or posedge VAR34) begin if (VAR29 == 0) begin VAR16 <= 'd0; VAR21 <= 'd0; VAR19 <= 'd0; VAR17 <= 'd0; VAR46 <= 'd0; VAR80 <= 'd0; VAR48 <= 'd0; VAR9 <= 'd0; VAR86 <= 'd0; VAR89 <= 'd0; VAR65 <= 'd0; VAR8 <= 'd0; VAR68 <= 'd0; VAR63 <= 'd0; VAR95 <= 'd0; VAR76 <= 'd0; VAR42 <= 'd0; VAR88 <= 'd0; VAR47 <= 'd0; VAR30 <= 'd0; VAR102 <= 'd0; VAR103 <= 'd0; VAR113 <= 'd0; VAR82 <= 'd0; VAR27 <= 'd0; VAR50 <= 'd0; VAR37 <= 'd0; VAR101 <= 'd0; VAR74 <= 'd1; VAR41 <= 'd0; VAR43 <= 'd0; VAR72 <= 'd0; VAR18 <= 'd0; VAR96 <= 'd0; VAR111 <= 'd0; VAR44 <= 'd0; end else begin if ((VAR23 == 5'h01) && (VAR6 == 1'b1)) begin VAR16 <= VAR105[5]; VAR21 <= VAR105[4]; VAR19 <= VAR105[3]; VAR17 <= VAR105[2]; VAR46 <= VAR105[1]; VAR80 <= VAR105[0]; end if ((VAR23 == 5'h02) && (VAR6 == 1'b1)) begin VAR48 <= VAR105[31:16]; VAR9 <= VAR105[15:0]; end if ((VAR23 == 5'h03) && (VAR6 == 1'b1)) begin VAR86 <= VAR105[31:16]; VAR89 <= VAR105[15:0]; end if ((VAR23 == 5'h04) && (VAR6 == 1'b1)) begin VAR65 <= VAR105[31:16]; VAR8 <= VAR105[15:0]; end if ((VAR23 == 5'h05) && (VAR6 == 1'b1)) begin VAR68 <= VAR105[31:16]; VAR63 <= VAR105[15:0]; end if ((VAR23 == 5'h06) && (VAR6 == 1'b1)) begin VAR95 <= VAR105[31:16]; VAR76 <= VAR105[15:0]; end if ((VAR23 == 5'h07) && (VAR6 == 1'b1)) begin VAR42 <= VAR105[0]; end if ((VAR23 == 5'h08) && (VAR6 == 1'b1)) begin VAR88 <= VAR105[15:12]; VAR47 <= VAR105[11: 8]; VAR30 <= VAR105[ 7: 4]; VAR102 <= VAR105[ 3: 0]; end if ((VAR23 == 5'h09) && (VAR6 == 1'b1)) begin VAR103 <= VAR105[0]; end if ((VAR23 == 5'h0b) && (VAR6 == 1'b1)) begin VAR113 <= VAR105[15:0]; end if ((VAR23 == 5'h11) && (VAR6 == 1'b1)) begin VAR82 <= VAR105[31:16]; VAR27 <= VAR105[15:0]; end if ((VAR23 == 5'h10) && (VAR6 == 1'b1)) begin VAR50 <= VAR105[31:16]; VAR37 <= VAR105[15:0]; end if (VAR42 == 1'b1) begin VAR101 <= {VAR55[0], ~VAR74, VAR41, VAR103, VAR19, VAR17, VAR46, VAR80}; end else begin VAR101 <= 'd0; end if (VAR55 == 0) begin VAR74 <= ~VAR46; VAR41 <= VAR103; end else begin VAR74 <= ~VAR109; VAR41 <= VAR59; end VAR43 <= VAR36; VAR72 <= VAR43; if (VAR72 == 1'b1) begin VAR18 <= 1'b1; end else if ((VAR23 == 5'h0a) && (VAR6 == 1'b1)) begin VAR18 <= VAR18 & (~VAR105[1]); end VAR96 <= VAR106; VAR111 <= VAR96; if (VAR111 == 1'b1) begin VAR44 <= 1'b1; end else if ((VAR23 == 5'h0a) && (VAR6 == 1'b1)) begin VAR44 <= VAR44 & (~VAR105[0]); end end end always @(negedge VAR29 or posedge VAR34) begin if (VAR29 == 0) begin VAR7 <= 'd0; VAR100 <= 'd0; VAR58 <= 'd0; VAR38 <= 'd0; end else begin case (VAR23) 5'h00: VAR7 <= 32'h00010063; 5'h01: VAR7 <= {26'd0, VAR16, VAR21, VAR19, VAR17, VAR46, VAR80}; 5'h02: VAR7 <= {VAR48, VAR9}; 5'h03: VAR7 <= {VAR86, VAR89}; 5'h04: VAR7 <= {VAR65, VAR8}; 5'h05: VAR7 <= {VAR68, VAR63}; 5'h06: VAR7 <= {VAR95, VAR76}; 5'h07: VAR7 <= {31'd0, VAR42}; 5'h08: VAR7 <= {16'd0, VAR88, VAR47, VAR30, VAR102}; 5'h09: VAR7 <= {31'd0, VAR103}; 5'h0a: VAR7 <= {30'd0, VAR18, VAR44}; 5'h0b: VAR7 <= {16'd0, VAR113}; 5'h10: VAR7 <= {VAR50, VAR37}; 5'h11: VAR7 <= {VAR82, VAR27}; 5'h12: VAR7 <= {24'd0, VAR55}; default: VAR7 <= 0; endcase VAR100 <= VAR56; VAR58 <= VAR100; VAR38 <= VAR2; end end VAR4 #(.VAR26(1'b0)) VAR110 ( .VAR67 (1'b1), .VAR64 (1'b1), .VAR77 (VAR74), .VAR54 (VAR94), .VAR35 (VAR24)); VAR57 #(.VAR26(1'b0)) VAR3 ( .VAR67 (1'b1), .VAR64 (1'b0), .VAR15 (VAR41), .VAR54 (VAR94), .VAR35 (VAR71)); VAR79 VAR1 ( .VAR31 (VAR31), .VAR45 (VAR45), .VAR75 (VAR75), .VAR25 (VAR25), .VAR108 (VAR108), .VAR10 (VAR36), .VAR114 (VAR106), .VAR94 (VAR94), .VAR61 (VAR24), .VAR81 (VAR71), .VAR107 (VAR52), .VAR12 (VAR5), .VAR53 (VAR78), .VAR84 (VAR33), .VAR87 (VAR20), .VAR83 (VAR70), .VAR40 (VAR92), .VAR28 (VAR90), .VAR16 (VAR16), .VAR21 (VAR21), .VAR17 (VAR17), .VAR48 (VAR48), .VAR9 (VAR9), .VAR102 (VAR102), .VAR86 (VAR86), .VAR89 (VAR89), .VAR30 (VAR30), .VAR65 (VAR65), .VAR8 (VAR8), .VAR47 (VAR47), .VAR68 (VAR68), .VAR63 (VAR63), .VAR88 (VAR88), .VAR37 (VAR37), .VAR50 (VAR50), .VAR27 (VAR27), .VAR82 (VAR82), .VAR19 (VAR19), .VAR76 (VAR76), .VAR95 (VAR95), .VAR113 (VAR113), .VAR60 (VAR60), .VAR69 (VAR69), .VAR97 (VAR97), .VAR22 (VAR22)); VAR98 #(.VAR51(VAR51)) VAR117 ( .VAR80 (VAR80), .VAR104 (VAR104), .VAR99 (VAR99), .VAR85 (VAR85), .VAR66 (VAR66), .VAR93 (VAR93), .VAR49 (VAR49), .VAR39 (VAR39), .VAR14 (VAR14), .VAR94 (VAR94), .VAR61 (VAR80), .VAR107 (VAR52), .VAR12 (VAR5), .VAR53 (VAR78), .VAR84 (VAR33), .VAR87 (VAR20), .VAR83 (VAR70), .VAR40 (VAR92), .VAR28 (VAR90), .VAR73 (), .VAR91 (VAR52[0]), .VAR62 (VAR5), .VAR32 (VAR70), .VAR11 (VAR11), .VAR116 (1'b0), .VAR112 (1'b0), .VAR115 ()); endmodule
mit
asicguy/gplgpu
hdl/de3d/des_smline_3d.v
10,702
module MODULE1 ( input VAR1, input VAR34, input VAR2, input VAR23, input VAR57, input [15:0] VAR36, input [15:0] VAR25, input [15:0] VAR27, input [15:0] VAR54, input VAR20, output reg VAR29, output reg VAR28, output reg VAR17, output reg VAR7, output reg signed [15:0] VAR50, output reg signed [15:0] VAR16, output reg VAR14 ); wire VAR58; wire [2:0] VAR55; wire VAR3; wire VAR63; reg VAR60; reg [1:0] VAR18; reg VAR32; reg VAR12; reg signed [15:0] VAR52; reg signed [15:0] VAR38; reg signed [15:0] VAR15; reg signed [15:0] VAR39; reg signed [15:0] VAR45; reg signed [15:0] VAR48; reg signed [15:0] VAR51; reg signed [15:0] VAR43; reg signed [15:0] VAR53; reg VAR62; reg VAR10; reg VAR30; reg VAR41; reg VAR4; reg VAR26; reg VAR59; wire VAR21; wire VAR44; reg VAR40; reg VAR9; reg VAR24; reg VAR35; reg [15:0] VAR49; reg VAR64; reg VAR13; reg VAR47; always @(posedge VAR1, negedge VAR34) begin if(!VAR34) begin VAR47 <= 1'b0; VAR13 <= 1'b0; VAR14 <= 1'b0; VAR52 <= 16'h0; VAR38 <= 16'h0; VAR50 <= 16'h0; VAR16 <= 16'h0; VAR15 <= 16'h0; VAR39 <= 16'h0; VAR60 <= 1'b0; VAR18 <= 2'b00; VAR51 <= 16'h0; VAR43 <= 16'h0; VAR53 <= 16'h0; VAR49 <= 16'h0; end else begin VAR47 <= (VAR23 & VAR13); VAR13 <= VAR2; VAR14 <= VAR64; VAR52 <= VAR45; VAR38 <= VAR48; if(VAR32) VAR15 <= VAR45; if(VAR12) VAR39 <= VAR48; if(VAR47) VAR50 <= VAR36; end else if(VAR21 & VAR26) VAR50 <= VAR50 + 16'h1; end else if(VAR26) VAR50 <= VAR50 - 16'h1; if(VAR47) VAR16 <= VAR25; else if(VAR44 & VAR59) VAR16 <= VAR16 + 16'h1; else if(VAR59) VAR16 <= VAR16 - 16'h1; if(VAR9) VAR18 <= {VAR48[15], VAR45[15]}; if(VAR40) VAR60 <= VAR45[15]; if(VAR10) VAR43 <= VAR45; if(VAR30) VAR53 <= VAR48; if(VAR62) VAR51 <= VAR45; else if(VAR41) VAR51 <= VAR51 + VAR53; else if(VAR4) VAR51 <= VAR51 - VAR43; if(VAR24) VAR49 <= VAR15; else if(VAR35) VAR49 <= VAR49 - 16'h1; end end assign VAR3 = VAR51[15]; assign VAR63 = ~|VAR51; assign VAR58 = ~|VAR49; assign VAR55 = {VAR60, VAR18}; parameter VAR19 = 4'h0, VAR46 = 4'h1, VAR37 = 4'h2, VAR42 = 4'h3, VAR22 = 4'h4, VAR8 = 4'h5, VAR56 = 4'h6, VAR6 = 4'h7, VAR61 = 4'h8, VAR11 = 4'h9, VAR33 = 4'hA; reg [3:0] VAR5, VAR31; parameter o0=3'b000, o1=3'b001, o2=3'b010, o3=3'b011, o4=3'b100, o5=3'b101, o6=3'b110, o7=3'b111; always @(posedge VAR1, negedge VAR34) if(!VAR34) VAR5 <= VAR19; else VAR5 <= VAR31; assign VAR21 = ((VAR55==o0) || (VAR55==o2) || (VAR55==o4) || (VAR55==o6)); assign VAR44 = ((VAR55==o0) || (VAR55==o1) || (VAR55==o4) || (VAR55==o5)); always @* begin VAR64 = 1'b1; VAR40 = 1'b0; VAR9 = 1'b0; VAR32 = 1'b0; VAR12 = 1'b0; VAR7 = 1'b0; VAR41 = 1'b0; VAR4 = 1'b0; VAR10 = 1'b0; VAR30 = 1'b0; VAR62 = 1'b0; VAR45 = 16'h0; VAR48 = 16'h0; VAR24 = 1'b0; VAR35 = 1'b0; VAR17 = 1'b0; VAR28 = 1'b0; VAR26 = 1'b0; VAR59 = 1'b0; VAR29 = 1'b0; case(VAR5) VAR19: if(VAR47) begin VAR45 = VAR27 - VAR36; VAR48 = VAR54 - VAR25; VAR9 = 1'b1; VAR31 = VAR46; end else begin VAR31= VAR19; VAR64 = 1'b0; end VAR46: begin VAR45 = (VAR52[15]) ? ~VAR52 + 16'h1 : VAR52; VAR48 = (VAR38[15]) ? ~VAR38 + 16'h1 : VAR38; VAR32 = 1'b1; VAR12 = 1'b1; VAR31 = VAR37; end VAR37: begin VAR31 = VAR42; VAR40 = 1'b1; VAR45 = VAR52 - VAR38; end VAR42: VAR31 = VAR22; VAR22: begin VAR31 = VAR8; if(VAR55[2]) begin VAR45 = VAR39; VAR48 = VAR15; VAR32 = 1'b1; VAR12 = 1'b1; end else begin VAR45 = VAR15; VAR48 = VAR39; end end VAR8: begin VAR31 =VAR56; VAR45 = (VAR52 << 1) - (VAR38 << 1); VAR48 = (VAR38 << 1); VAR10 = 1'b1; VAR30 = 1'b1; VAR24 = 1'b1; end VAR56: begin VAR31=VAR6; VAR62 = 1'b1; VAR45 = (~VAR15 + 16'h1) + (VAR39 << 1); end VAR6: begin if(!VAR20) begin VAR45 = VAR52; VAR48 = VAR38; VAR31 = VAR11; end else begin VAR31 = VAR61; end end VAR61: begin if(VAR58 && VAR57) begin VAR31 = VAR33; VAR29 = 1'b1; VAR28 = 1'b1; VAR17 = 1'b1; end else if(!VAR20 && VAR58 && !VAR57) begin VAR31 = VAR33; VAR7 = 1'b1; end else if(!VAR20 && !VAR58) begin VAR35 = 1'b1; VAR7 = 1'b1; VAR31 = VAR61; if(!VAR20 && (VAR55==o1 || VAR55==o3 || VAR55==o5 || VAR55==o7) && !VAR3 && !VAR63) VAR4 = 1; end else if(!VAR20 && (VAR55==o0 || VAR55==o2 || VAR55==o4 || VAR55==o6) && !VAR3) VAR4 = 1; end else if(!VAR20) begin VAR41 = 1; end end else begin VAR31 = VAR61; end if(!VAR20) begin if(VAR58 && !VAR57) begin VAR29 = 1'b1; VAR28 = 1'b1; end else if(!VAR58)VAR29 = 1'b1; if(!VAR58 && (VAR55==o1 || VAR55==o3 || VAR55==o5 || VAR55==o7) && !VAR3 && !VAR63) VAR26 = 1'b1; end else if(!VAR58 && (VAR55==o0 || VAR55==o2 || VAR55==o4 || VAR55==o6) && !VAR3) VAR26 = 1'b1; else if(!VAR58 && (VAR55==o0 || VAR55==o1 || VAR55==o2 || VAR55==o3)) VAR26 = 1'b1; if(!VAR58 && (VAR55==o1 || VAR55==o3 || VAR55==o5 || VAR55==o7) && !VAR3 && !VAR63) VAR59 = 1'b1; else if(!VAR58 && (VAR55==o0 || VAR55==o2 || VAR55==o4 || VAR55==o6) && !VAR3) VAR59 = 1'b1; else if(!VAR58 && (VAR55==o4 || VAR55==o5 || VAR55==o6 || VAR55==o7)) VAR59 = 1'b1; end end VAR11: begin VAR31=VAR61; VAR45 = VAR52; VAR48 = VAR38; end VAR33: begin VAR31 = VAR19; end endcase end endmodule
gpl-3.0
UdayanSinha/Code_Blocks
Nios-2/Nios/practica4/mi_nios/synthesis/submodules/altera_avalon_st_clock_crosser.v
5,155
module MODULE1( VAR30, VAR25, VAR16, VAR23, VAR21, VAR31, VAR7, VAR27, VAR29, VAR4 ); parameter VAR2 = 1; parameter VAR8 = 8; parameter VAR28 = 2; parameter VAR11 = 2; parameter VAR20 = 1; localparam VAR24 = VAR2 * VAR8; input VAR30; input VAR25; output VAR16; input VAR23; input [VAR24-1:0] VAR21; input VAR31; input VAR7; input VAR27; output VAR29; output [VAR24-1:0] VAR4; reg [VAR24-1:0] VAR1; reg [VAR24-1:0] VAR26; reg VAR33; wire VAR13; wire VAR6; reg VAR10; wire VAR3; wire VAR5; wire VAR17; wire VAR12; assign VAR16 = ~(VAR13 ^ VAR33); assign VAR3 = VAR23 & VAR16; assign VAR17 = VAR6 ^ VAR10; assign VAR5 = VAR12 & VAR17; always @(posedge VAR30 or posedge VAR25) begin if (VAR25) begin VAR1 <= 'b0; VAR33 <= 1'b0; end else begin if (VAR3) begin VAR33 <= ~VAR33; VAR1 <= VAR21; end end end always @(posedge VAR31 or posedge VAR7) begin if (VAR7) begin VAR10 <= 1'b0; VAR26 <= 'b0; end else begin VAR26 <= VAR1; if (VAR5) begin VAR10 <= VAR6; end end end VAR9 #(.VAR22(VAR28)) VAR18 ( .clk(VAR31), .VAR32(~VAR7), .din(VAR33), .dout(VAR6) ); VAR9 #(.VAR22(VAR11)) VAR14 ( .clk(VAR30), .VAR32(~VAR25), .din(VAR10), .dout(VAR13) ); generate if (VAR20 == 1) begin VAR15 .VAR8(VAR8), .VAR2(VAR2) ) VAR19 ( .clk(VAR31), .reset(VAR7), .VAR16(VAR12), .VAR23(VAR17), .VAR21(VAR26), .VAR27(VAR27), .VAR29(VAR29), .VAR4(VAR4) ); end else begin assign VAR29 = VAR17; assign VAR12 = VAR27; assign VAR4 = VAR26; end endgenerate endmodule
mit
plindstroem/oh
elink/hdl/erx_core.v
13,291
module MODULE1 ( VAR59, VAR23, VAR40, VAR69, VAR36, VAR47, VAR41, VAR94, VAR27, VAR76, VAR66, reset, clk, VAR15, VAR60, VAR86, VAR59, VAR25, VAR23, VAR28, VAR11 ); parameter VAR64 = 32; parameter VAR88 = 32; parameter VAR18 = 104; parameter VAR4 = 6; parameter VAR48 = 12'h800; input reset; input clk; input [VAR18-1:0] VAR15; input VAR60; input VAR86; output VAR59; output VAR23; output VAR40; output [VAR18-1:0] VAR69; input VAR59; output VAR36; output [VAR18-1:0] VAR47; input VAR25; output VAR41; output [VAR18-1:0] VAR94; input VAR23; input VAR28; input [VAR18-1:0] VAR11; output VAR27; output VAR76; output VAR66; wire VAR68; wire [VAR18-1:0] VAR3; wire VAR90; wire VAR74; wire VAR2; wire [VAR18-1:0] VAR80; wire VAR30; wire [VAR18-1:0] VAR46; wire [VAR18-1:0] VAR1; wire VAR12; wire VAR21; wire [14:0] VAR13; wire [VAR88-1:0] VAR16; wire VAR7; wire [63:0] VAR6; wire [VAR88-1:0] VAR20; wire VAR38; wire [63:0] VAR61; wire [VAR88-1:0] VAR54; wire VAR65; wire VAR84; wire VAR9; wire [31:0] VAR31; wire [1:0] VAR17; wire [11:0] VAR62; wire [11:0] VAR10; wire VAR67; wire [8:0] VAR51; wire [15:0] VAR42; wire VAR92; wire VAR97; wire VAR39; wire VAR43; wire VAR49; wire VAR53; wire [103:0] VAR89; VAR29 VAR29 ( .VAR12 (VAR12), .VAR21 (VAR21), .VAR1 (VAR1[VAR18-1:0]), .reset (reset), .VAR67 (VAR67), .clk (clk), .VAR15 (VAR15[VAR18-1:0]), .VAR86 (VAR86), .VAR60 (VAR60)); VAR72 VAR72 ( .VAR63(VAR2), .VAR37(VAR80[VAR18-1:0]), .clk (clk), .reset (reset), .VAR52(VAR12), .VAR14(VAR1[VAR18-1:0]), .VAR17 (VAR17[1:0]), .VAR10 (VAR10[11:0]), .VAR62 (VAR62[11:0]), .VAR31 (VAR31[31:0])); VAR19 VAR71 (.VAR24 (1'b0), .VAR70 (1'b0), .VAR87 (1'b0), .VAR73 (VAR54[VAR88-1:0]), .VAR63 (VAR30), .VAR37 (VAR46[VAR18-1:0]), .VAR85 (), .reset (reset), .VAR5 (clk), .VAR96 (clk), .VAR33 (VAR9), .VAR75 (VAR65), .VAR84 (VAR84), .VAR13 (VAR13[14:0]), .VAR6 (VAR6[VAR88-1:0]), .VAR52 (VAR2), .VAR14 (VAR80[VAR18-1:0])); VAR91 VAR93( .VAR73 (VAR61[63:0]), .VAR76 (VAR76), .VAR66(VAR66), .reset (reset), .VAR96 (clk), .VAR5 (clk), .VAR79 (VAR30), .VAR35 (VAR46[VAR18-1:0]), .VAR75 (VAR7), .VAR84 (VAR84), .VAR13 (VAR13[VAR4+1:0]), .VAR6 (VAR6[63:0])); VAR95 VAR22 ( .VAR65 (VAR65), .VAR38 (VAR38), .VAR7 (VAR7), .VAR84 (VAR84), .VAR13 (VAR13[14:0]), .VAR6 (VAR6[63:0]), .VAR45 (VAR68), .VAR58 (VAR3[VAR18-1:0]), .clk (clk), .reset (reset), .VAR50 (VAR28), .VAR44 (VAR11[VAR18-1:0]), .VAR82 ({32'b0,VAR16[31:0]}), .VAR8 ({32'b0,VAR20[31:0]}), .VAR56 ({32'b0,VAR54[31:0]}), .VAR78 (VAR61[63:0]), .VAR57 (VAR27)); assign VAR42[15:0] = {16'b0}; assign VAR51[8:0]=9'b0; VAR26 VAR26 (.VAR42 (VAR42[15:0]), .VAR83 (), .VAR73 (VAR16[VAR88-1:0]), .VAR67 (VAR67), .VAR9 (VAR9), .VAR17 (VAR17[1:0]), .VAR31 (VAR31[31:0]), .VAR62 (VAR62[11:0]), .VAR10 (VAR10[11:0]), .reset (reset), .clk (clk), .VAR75 (VAR7), .VAR84 (VAR84), .VAR13 (VAR13[14:0]), .VAR6 (VAR6[31:0]), .VAR51 (VAR51[8:0])); VAR34 VAR81( .VAR73 (VAR20[VAR88-1:0]), .VAR90 (VAR90), .VAR89 (VAR89[VAR18-1:0]), .reset (reset), .clk (clk), .VAR75 (VAR38), .VAR84 (VAR84), .VAR13 (VAR13[VAR4+1:0]), .VAR6 (VAR6[63:0]), .VAR74 (VAR74)); VAR77 VAR77 (.timeout (1'b0), .VAR59 (VAR59), .VAR23 (VAR23), .VAR74 (VAR74), .VAR32 (VAR27), .VAR41 (VAR41), .VAR94 (VAR94[VAR18-1:0]), .VAR40 (VAR40), .VAR69 (VAR69[VAR18-1:0]), .VAR36 (VAR36), .VAR47 (VAR47[VAR18-1:0]), .VAR21 (VAR21), .VAR1 (VAR1[VAR18-1:0]), .VAR30 (VAR30), .VAR46 (VAR46[VAR18-1:0]), .VAR90 (VAR90), .VAR89 (VAR89[VAR18-1:0]), .VAR68 (VAR68), .VAR3 (VAR3[VAR18-1:0]), .VAR23 (VAR23), .VAR59 (VAR59), .VAR25 (VAR25)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlygate4sd1/sky130_fd_sc_ls__dlygate4sd1.symbol.v
1,322
module MODULE1 ( input VAR5, output VAR1 ); supply1 VAR6; supply0 VAR3; supply1 VAR2 ; supply0 VAR4 ; endmodule
apache-2.0
hoglet67/CoPro6502
src/zet/zet/zet_decode.v
4,311
module MODULE1 ( input clk, input rst, input [7:0] VAR33, input [7:0] VAR11, input VAR39, input VAR3, input VAR41, input VAR51, input VAR21, input VAR6, input VAR35, output VAR7, output VAR8, output VAR20, output VAR31, output VAR40, output VAR42, input [2:0] VAR26, input VAR30, input VAR48, output VAR23, output reg VAR12, output VAR46, input VAR49, output reg VAR25, input VAR19, output VAR44, output [VAR17-1:0] VAR1, output [3:0] VAR14, output [3:0] VAR13, output [3:0] VAR10, output [3:0] VAR45, output [1:0] VAR50, output [2:0] VAR5, input VAR24, input VAR2 ); wire [VAR17-1:0] VAR32; reg [VAR17-1:0] VAR47; reg VAR15; reg VAR53; reg VAR28; reg VAR52; reg VAR18; reg VAR37; reg VAR36; reg VAR16; reg VAR29; reg [4:0] VAR38; VAR34 VAR4 (VAR33, VAR11, VAR39, VAR26, VAR32, VAR8, VAR20, VAR31, VAR40, VAR42, VAR14, VAR13, VAR10, VAR45, VAR50); assign VAR1 = (VAR53 ? VAR43 : (VAR15 ? VAR27 : (VAR46 ? (VAR2 ? VAR22 : VAR9) : VAR32))) + VAR47; assign VAR5 = VAR33[7] ? VAR11[5:3] : VAR33[5:3]; assign VAR23 = VAR48 & VAR52; assign VAR7 = VAR35 & VAR28; assign VAR44 = !VAR19 & VAR18; always @(posedge clk) VAR52 <= rst ? 1'b0 : (VAR41 ? VAR52 : VAR48); always @(posedge clk) VAR28 <= rst ? 1'b0 : (VAR41 ? VAR28 : VAR35); always @(posedge clk) if (rst) VAR18 <= 1'b0; else begin if (!VAR41) VAR18 <= 1'b1; end else if (VAR19) VAR18 <= 1'b0; end always @(posedge clk) VAR47 <= rst ? VAR17'd0 : VAR3 ? VAR47 : VAR24 ? VAR17'd0 : |VAR38 ? VAR47 : VAR41 ? (VAR47 + VAR17'd1) : VAR17'd0; always @(posedge clk) VAR38 <= rst ? 5'd0 : ((VAR6 & VAR41) ? (VAR38==5'd0 ? 5'd18 : VAR38 - 5'd1) : 5'd0); always @(posedge clk) if (rst) VAR15 <= 1'b0; else VAR15 <= VAR3 ? VAR15 : (VAR51 ? 1'b1 : (VAR15 ? !VAR24 : 1'b0)); always @(posedge clk) if (rst) VAR53 <= 1'b0; else VAR53 <= VAR3 ? VAR53 : ((((VAR7 & !VAR53) & VAR44) & VAR41 & VAR24) ? 1'b1 : (VAR53 ? !VAR24 : 1'b0)); always @(posedge clk) if (rst) VAR37 <= 1'b0; else VAR37 <= VAR3 ? VAR37 : ((!VAR49 & VAR30 & VAR23 & VAR44 & VAR41 & VAR24) ? 1'b1 : (VAR37 ? !VAR24 : 1'b0)); always @(posedge clk) if (rst) VAR36 <= 1'b0; else VAR36 <= VAR3 ? VAR36 : ((VAR49 & VAR44 & VAR41 & VAR24) ? 1'b1 : (VAR36 ? !VAR24 : 1'b0)); assign VAR46 = VAR37 | VAR36; always @(posedge clk) VAR16 <= rst ? 1'b0 : VAR37; always @(posedge clk) VAR29 <= rst ? 1'b0 : VAR36; always @(posedge clk) VAR12 <= rst ? 1'b0 : (!VAR16 & VAR37); always @(posedge clk) VAR25 <= rst ? 1'b0 : (!VAR29 & VAR36); endmodule
gpl-3.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/CAM_monitor_ipv4.v
9,434
module MODULE1 ( input clk, input VAR45, input [3:0] VAR17, input [11:0] VAR6, input VAR11, input reset, output VAR12, input VAR24, input [3:0] VAR10, input [31:0] VAR22, input VAR14, output VAR28, output VAR13, input VAR4, input VAR47 ); parameter VAR40 = VAR7; wire [11:0] VAR26; wire [3:0] VAR23; reg VAR43; reg VAR20; wire [31:0] VAR35; reg [31:0] VAR29; reg [15:0] VAR46; reg [15:0] VAR41; reg [15:0] VAR16; reg [13:0] VAR15; reg [3:0] VAR49; reg VAR31; reg VAR48; always@(posedge clk) begin if (reset) begin VAR49 <= 4'h0; VAR29 <= 32'h0; VAR31 <= 1'b0; VAR41 <= 16'h0; end else begin VAR49 <= VAR17; VAR29 <= VAR35; VAR31 <= VAR11; VAR41 <= VAR46; end end always@(posedge clk) begin if (reset) begin VAR48 <= 1'b0; end else begin if (VAR11) VAR48 <= 1'b1; end end reg VAR37,VAR21; VAR33 VAR34( .VAR26(VAR26), .VAR53(), .VAR44(VAR11), .VAR38(clk), .VAR18(VAR35), .VAR5() ); always@(VAR35) begin if (reset) begin VAR46 = 16'h0; VAR16 = 16'h0; end else begin case (VAR49) 4'b0000: begin VAR46 = 16'h0001; VAR16 = {15'b0,1'b0}; end 4'b0001: begin VAR46 = 16'h0002; VAR16 = {15'b0,VAR35[0]}; end 4'b0010: begin VAR46 = 16'h0004; VAR16 = {14'b0,VAR35[1:0]}; end 4'b0011: begin VAR46 = 16'h0008; VAR16 = {13'b0,VAR35[2:0]}; end 4'b0100: begin VAR46 = 16'h0010; VAR16 = {12'b0,VAR35[3:0]}; end 4'b0101: begin VAR46 = 16'h0020; VAR16 = {11'b0,VAR35[4:0]}; end 4'b0110: begin VAR46 = 16'h0040; VAR16 = {10'b0,VAR35[5:0]}; end 4'b0111: begin VAR46 = 16'h0080; VAR16 = {9'b0,VAR35[6:0]}; end 4'b1000: begin VAR46 = 16'h0100; VAR16 = {8'b0,VAR35[7:0]}; end 4'b1001: begin VAR46 = 16'h0200; VAR16 = {7'b0,VAR35[8:0]}; end 4'b1010: begin VAR46 = 16'h0400; VAR16 = {6'b0,VAR35[9:0]}; end 4'b1011: begin VAR46 = 16'h0800; VAR16 = {5'b0,VAR35[10:0]}; end 4'b1100: begin VAR46 = 16'h1000; VAR16 = {4'b0,VAR35[11:0]}; end 4'b1101: begin VAR46 = 16'h2000; VAR16 = {3'b0,VAR35[12:0]}; end 4'b1110: begin VAR46 = 16'h4000; VAR16 = {2'b0,VAR35[13:0]}; end 4'b1111: begin VAR46 = 16'h8000; VAR16 = {1'b0,VAR35[14:0]}; end endcase end end reg [2:0] VAR9; always @(VAR35) begin if (reset) begin VAR15 = 14'h0; VAR9 = 3'h1; end else begin case(VAR35[31:28]) 4'b0000: begin VAR15 = 14'h0; VAR9 = 3'h0; end 4'b0001: begin VAR15 = 14'h0; VAR9 = 3'h1; end 4'b0010: begin VAR15 = 14'h200; VAR9 = 3'h2; end 4'b0011: begin VAR15 = 14'h800; VAR9 = 3'h3; end default: begin VAR15 = 14'h0; end endcase end end reg [15:0] VAR8; reg [15:0] VAR2; reg VAR27; reg VAR1; always@(VAR46)begin if (reset) begin VAR8 = 16'h0; VAR1 = 1'b0; VAR27 = 1'b0; VAR2 = 16'b0; end else begin VAR2 = (VAR46|VAR35[15:0]); VAR8 = (VAR46&VAR35[15:0]); if(!VAR8) begin VAR27 = 1'b0; VAR1 = 1'b1; end else begin VAR27 = 1'b1; VAR1 = 1'b0; end end end reg VAR32,VAR52; reg [3:0] VAR30; always@ begin if(reset | VAR32 | VAR21) begin VAR42 = 14'h0; end else begin VAR42 = VAR15 + VAR35[27:16]*VAR9 + VAR30; end end reg VAR50,VAR51; reg [2:0] VAR19,VAR36; reg VAR25,VAR54; always@begin VAR3 = VAR39; VAR37 = VAR21; case(VAR39) 2'b00: begin if (VAR47)begin VAR37 = 1'b1; VAR3 = 2'b01; end else begin VAR37 = 1'b0; end end 2'b01: begin if(VAR11) begin VAR37 = 1'b0; VAR3 = 2'b00; end else VAR37 = 1'b1; end default: begin VAR37 = 1'b0; VAR3 = 2'b00; end endcase end always@(posedge clk) begin if(reset) begin VAR39 <= 2'b00; VAR21 <= 1'b0; end else begin VAR39 <= VAR3; VAR21 <= VAR37; end end assign VAR26 = VAR21 ? 14'h0 : VAR42; assign VAR12 = VAR50; assign VAR28 = VAR25; endmodule
mit
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/packet_buffer_bypass.v
3,854
module MODULE1( input clk, input reset, output [239:0] VAR48, input [63:0] VAR13, input [23:0] VAR25, input VAR33, output reg VAR24, input VAR20, output reg VAR41, output reg [63:0] VAR29, output reg [23:0] VAR9, output reg VAR14, output reg VAR17, input VAR47, output reg [1:0] VAR15, output reg VAR4, output reg VAR39, input VAR1, output reg VAR42 ); reg VAR30; reg VAR36; reg [8:0] VAR37; reg [8:0] VAR40; reg [31:0] VAR18; reg [31:0] VAR49; wire [31:0] VAR38; wire [31:0] VAR35; wire [3:0] VAR19; wire [3:0] VAR28; VAR10 VAR23( .VAR22 (VAR37), .VAR32 (VAR40), .VAR16 (clk), .VAR11 (clk), .VAR8 (VAR18), .VAR7 (VAR49), .VAR43 (VAR30), .VAR31 (VAR36), .VAR46 (VAR38), .VAR5 (VAR35) ); reg [7:0] VAR2; reg [7:0] VAR27; parameter VAR44 = 3'b001, VAR45 = 3'b010, VAR3 = 3'b100, VAR34 = 3'b101, VAR50 = 3'b110; wire VAR51; reg VAR21; reg VAR52; reg VAR6; reg [2:0] VAR26; reg [2:0] VAR12; always @(*) begin VAR12 = VAR26; VAR41 = 0; VAR17 = 0; VAR24 = 0; {VAR30, VAR36} = 2'b00; VAR14 = 0; VAR21 = 0; VAR52 = 0; case(VAR26) VAR44: begin VAR21 = 1; if(VAR20) begin VAR41 = 1; VAR12 = VAR45; end else begin VAR24 = 1; end end VAR45: begin VAR41 = 1; if(VAR33) begin {VAR30, VAR36} = 2'b11; {VAR18, VAR49} = VAR13; VAR37 = {VAR2, 1'b0}; VAR40 = {VAR2, 1'b1}; VAR15 = VAR25[1:0]; VAR42 = VAR25[5]; VAR9 = {3'b000, VAR25[23:3]}; end if(!VAR20) begin VAR12 = VAR3; end end VAR3: begin VAR17 = 1; if(VAR47) begin VAR12 = VAR34; end end VAR34: begin VAR17 = 1; VAR52 = 1; if(VAR1) begin if(VAR27 <= VAR2+1) begin VAR37 = {VAR27, 1'b0}; VAR40 = {VAR27, 1'b1}; VAR29 = {VAR38, VAR35}; VAR14 = (VAR27 < 1) ? 0 : (VAR27 > VAR2) ? 0 : 1; VAR4 = (VAR27 == 1) ? 1 : 0; VAR39 = (VAR27 == VAR2) ? 1 : 0; end end if(VAR51) begin VAR12 = VAR50; end end VAR50: begin VAR17 = 0; if(!VAR47) begin VAR12 = VAR44; end end default: begin VAR12 = VAR44; end endcase end always @(posedge clk) begin if(reset) begin VAR26 <= 0; end else begin VAR26 <= VAR12; end if(VAR21) begin VAR2 <= 0; VAR27 <= 0; end if(VAR33) begin VAR2 <= VAR2 + 1'b1; end if(VAR52 && VAR1) begin VAR27 <= VAR27 + 1'b1; end end assign VAR51 = (VAR27 == VAR2+1) ? 1 : 0; assign VAR48[63:0] = VAR13; assign VAR48[79:64] = VAR25; assign VAR48[80] = VAR33; assign VAR48[81] = VAR24; assign VAR48[82] = VAR20; assign VAR48[83] = VAR41; assign VAR48[147:84] = VAR29; assign VAR48[163:148] = VAR9; assign VAR48[164] = VAR14; assign VAR48[165] = VAR17; assign VAR48[166] = VAR47; assign VAR48[168:167] = VAR15; assign VAR48[169] = VAR4; assign VAR48[170] = VAR39; assign VAR48[171] = VAR1; assign VAR48[175:172] = VAR18[7:4]; assign VAR48[179:176] = VAR38[7:4]; assign VAR48[207:200] = VAR2; assign VAR48[217:210] = VAR27; assign VAR48[218] = VAR51; assign VAR48[219] = VAR21; assign VAR48[220] = VAR52; assign VAR48[232:230] = VAR26; endmodule
mit
ShepardSiegel/ocpi
coregen/dram_k7_mig12/mig_7series_v1_2/user_design/rtl/controller/arb_select.v
20,532
module MODULE1 # ( parameter VAR123 = 100, parameter VAR124 = "1T", parameter VAR96 = 11, parameter VAR104 = 3, parameter VAR129 = "8", parameter VAR125 = 4, parameter VAR99 = 5, parameter VAR17 = 31, parameter VAR140 = 8, parameter VAR43 = "VAR25", parameter VAR84 = "VAR63", parameter VAR91 = "VAR63", parameter VAR138 = 4, parameter VAR42 = 2, parameter VAR7 = 1, parameter VAR18 = 2, parameter VAR139 = 15, parameter VAR75 = 2, parameter VAR116 = 63, parameter VAR108 = 16, parameter VAR40 = "40", parameter VAR68 = "120", parameter VAR55 = 8'b00000101, parameter VAR137 = 8'b00001010 ) ( output wire VAR70, output wire [VAR75-1:0] VAR19, output wire [VAR104-1:0] VAR121, output wire [VAR108-1:0] VAR127, output wire VAR81, output wire VAR117, output wire VAR1, output wire [VAR108-1:0] VAR37, output wire [VAR140-1:0] VAR35, output wire [VAR140-1:0] VAR102, output wire [VAR42-1:0] VAR31, output wire [VAR42-1:0] VAR112, output wire [VAR42-1:0] VAR134, output wire [VAR42*VAR108-1:0] VAR33, output wire [VAR42*VAR104-1:0] VAR92, output wire [VAR125*VAR7*VAR42-1:0] VAR34, output wire [3:0] VAR130, output wire [3:0] VAR107, output [2:0] VAR60, output wire [5:0] VAR98, output wire [1:0] VAR41, output wire [VAR75:0] VAR53, input clk, input rst, input VAR30, input [VAR139:0] VAR49, input [VAR96:0] VAR48, input [VAR138-1:0] VAR114, input [VAR138-1:0] VAR45, input [VAR138-1:0] VAR109, input [VAR138-1:0] VAR50, input [VAR138-1:0] VAR86, input [VAR116:0] VAR51, input [VAR138-1:0] VAR28, input VAR80, input VAR77, input [VAR75-1:0] VAR52, input [VAR138-1:0] VAR85, input [VAR138-1:0] VAR90, input [VAR138-1:0] VAR61, input [VAR116:0] VAR120, input [VAR116:0] VAR103, input [VAR17:0] VAR20, input [VAR138-1:0] VAR27, input [VAR138-1:0] VAR36, input [5:0] VAR126, input [5:0] VAR62, input VAR122, input [VAR138-1:0] VAR67, input VAR12, input [7:0] VAR73, input [7:0] VAR88, input VAR16, input VAR47, input VAR9, input VAR79, input VAR119, input VAR38, input VAR106, input VAR110, input VAR97, input VAR57, input VAR46, input VAR8, input VAR22 ); localparam VAR44 = VAR75 + VAR104 + VAR108 + 1 + 1 + 1; reg VAR56; reg VAR101; assign VAR130[0] = 1'b0; assign VAR130[2] = 1'b0; assign VAR107 = 4'b0; assign VAR60[0] = VAR97; assign VAR60[1] = VAR97 & VAR56; assign VAR60[2] = ~VAR97; assign VAR98 = ~VAR97 ? 6'b0 : VAR56 ? VAR126 + VAR62 : VAR42 == 2 ? VAR99 - 2 + VAR62 : VAR99 + 2 + VAR62; assign VAR41 = VAR62[1:0]; integer VAR111; reg [VAR44-1:0] VAR141; generate begin : VAR113 reg [VAR44-1:0] VAR94 = {VAR44 {1'b0}}; wire [VAR44-1:0] VAR74 = {VAR52, VAR94[15+:(VAR104+VAR108-11)], 1'b0, VAR94[3+:10], (VAR77 ? 3'b110 : 3'b001) }; always @(VAR50 or VAR80 or VAR74 or VAR48 or VAR45 or VAR49 or VAR114 or VAR51 or VAR94 or VAR28 or rst) begin VAR141 = rst ? {VAR75{1'b0}} : VAR80 ? VAR74 : VAR94; for (VAR111=0; VAR111<VAR138; VAR111=VAR111+1) if (VAR50[VAR111]) VAR141 = {VAR49[(VAR75*VAR111)+:VAR75], VAR48[(VAR104*VAR111)+:VAR104], VAR51[(VAR108*VAR111)+:VAR108], VAR114[VAR111], VAR45[VAR111], VAR28[VAR111]}; end if (~((VAR42 == 2) && (VAR124 != "2T"))) end endgenerate reg [VAR44-1:0] VAR26; generate if((VAR42 == 4) && (VAR124 != "2T")) begin : VAR66 reg [VAR44-1:0] VAR133 = {VAR44 {1'b0}}; always @(VAR86 or VAR48 or VAR45 or VAR49 or VAR114 or VAR51 or VAR133 or VAR28 or rst) begin VAR26 = rst ? {VAR75{1'b0}} : VAR133; for (VAR111=0; VAR111<VAR138; VAR111=VAR111+1) if (VAR86[VAR111]) VAR26 = {VAR49[(VAR75*VAR111)+:VAR75], VAR48[(VAR104*VAR111)+:VAR104], VAR51[(VAR108*VAR111)+:VAR108], VAR114[VAR111], VAR45[VAR111], VAR28[VAR111]}; end end endgenerate reg [VAR44-1:0] VAR32; generate begin : VAR59 reg VAR10; reg VAR3; reg [VAR44-1:0] VAR128 = {VAR44 {1'b0}}; reg VAR15; reg VAR136; reg VAR78; reg VAR39; reg [VAR108-1:0] VAR65; reg [VAR108-1:0] VAR135; reg [VAR140-1:0] VAR93; reg [VAR140-1:0] VAR58; always @(VAR103 or VAR128 or VAR58 or VAR3 or VAR136 or VAR135 or VAR39 or VAR27 or VAR61 or VAR48 or VAR20 or VAR85 or VAR49 or VAR120 or VAR90 or VAR109 or rst or VAR101) begin VAR10 = ~rst && VAR3; VAR32 = {(rst ? {VAR75{1'b0}} : VAR128[(VAR44-1)-:VAR75]), ((rst && VAR91 != "VAR63") ? {VAR44-3-VAR75{1'b0}} : VAR128[3+:(VAR44-3-VAR75)]), (rst ? 3'b0 : VAR128[2:0])}; VAR15 = VAR136; VAR78 = rst ? 1'b0 : VAR39; VAR65 = VAR135; VAR56 = VAR101; VAR93 = VAR58; for (VAR111=0; VAR111<VAR138; VAR111=VAR111+1) if (VAR27[VAR111]) begin VAR10 = VAR85[VAR111]; VAR32 = {VAR49[(VAR75*VAR111)+:VAR75], VAR48[(VAR104*VAR111)+:VAR104], VAR103[(VAR108*VAR111)+:VAR108], 1'b1, 1'b0, VAR61[VAR111]}; VAR15 = VAR109[VAR111] && VAR61[VAR111]; VAR78 = VAR90[VAR111]; VAR65 = VAR120[(VAR108*VAR111)+:VAR108]; VAR56 = VAR61[VAR111]; VAR93 = VAR20[(VAR140*VAR111)+:VAR140]; end end if (VAR84 == "VAR63") begin : VAR11 assign VAR102 = VAR93; end else begin : VAR2 reg [VAR140-1:0] VAR72; reg [VAR140-1:0] VAR105; always @(VAR105 or VAR36 or VAR20) begin VAR72 = VAR105; for (VAR111=0; VAR111<VAR138; VAR111=VAR111+1) if (VAR36[VAR111]) VAR72 = VAR20[(VAR140*VAR111)+:VAR140]; end always @(posedge clk) VAR105 <= assign VAR102 = VAR72; end always @(posedge clk) VAR58 <= if (VAR91 != "VAR63") begin end assign VAR70 = VAR10; assign VAR19 = VAR32[3+VAR108+VAR104+:VAR75]; assign VAR121 = VAR32[3+VAR108+:VAR104]; assign VAR127 = VAR32[3+:VAR108]; assign VAR81 = VAR15; assign VAR117 = VAR56; assign VAR1 = VAR78; assign VAR37 = VAR65; assign VAR35 = VAR93; end endgenerate reg [VAR44-1:0] VAR29; always @(VAR32 or VAR141 or VAR106) begin VAR29 = VAR141; if (VAR106) VAR29 = VAR32; end reg [VAR44-1:0] VAR71 = {VAR44{1'b1}}; generate if ((VAR42 == 2) || (VAR42 == 4)) always @(VAR32 or VAR141 or VAR26 or VAR110 or VAR47) begin VAR71 = VAR32; if (VAR110) VAR71 = VAR141; if (VAR47) VAR71 = VAR26; end endgenerate reg [VAR44-1:0] VAR115 = {VAR44{1'b1}}; reg [VAR44-1:0] VAR54 = {VAR44{1'b1}}; generate if (VAR42 == 4) always @(VAR32 or VAR26 or VAR9 or VAR119) begin VAR115 = VAR26; VAR54 = {VAR44{1'b1}}; if (VAR9) VAR115 = VAR32; if (VAR119) VAR54 = VAR32; end endgenerate wire [VAR75-1:0] VAR13; assign {VAR13, VAR92[VAR104-1:0], VAR33[VAR108-1:0]} = VAR29[VAR44-1:3]; assign {VAR31[0], VAR112[0], VAR134[0]} = VAR57 ? VAR29[2:0] : 3'b111; wire [VAR75-1:0] VAR82; assign {VAR82, VAR92[2*VAR104-1:VAR104], VAR33[2*VAR108-1:VAR108]} = VAR71[VAR44-1:3]; assign {VAR31[1], VAR112[1], VAR134[1]} = VAR46 ? VAR71[2:0] : 3'b111; wire [VAR75-1:0] VAR118; wire [VAR75-1:0] VAR64; generate if(VAR42 == 4) begin assign {VAR118, VAR92[3*VAR104-1:2*VAR104], VAR33[3*VAR108-1:2*VAR108]} = VAR115[VAR44-1:3]; assign {VAR31[2], VAR112[2], VAR134[2]} = VAR8 ? VAR115[2:0] : 3'b111; assign {VAR64, VAR92[4*VAR104-1:3*VAR104], VAR33[4*VAR108-1:3*VAR108]} = VAR54[VAR44-1:3]; assign {VAR31[3], VAR112[3], VAR134[3]} = VAR22 ? VAR54[2:0] : 3'b111; end endgenerate localparam VAR6 = {VAR7{1'b1}}; wire [(VAR125*VAR7)-1:0] VAR24 = {{VAR125{1'b0}},VAR6}; assign VAR34[VAR125*VAR7 -1 :0 ] = {(~(VAR24 << (VAR7*VAR13)) | {VAR125*VAR7{~VAR57}})}; assign VAR34[2*VAR125*VAR7 -1 : VAR125*VAR7 ] = {(~(VAR24 << (VAR7*VAR82)) | {VAR125*VAR7{~VAR46}})}; generate if(VAR42 == 4) begin assign VAR34[3*VAR125*VAR7 -1 :2*VAR125*VAR7 ] = {(~(VAR24 << (VAR7*VAR118)) | {VAR125*VAR7{~VAR8}})}; assign VAR34[4*VAR125*VAR7 -1 :3*VAR125*VAR7 ] = {(~(VAR24 << (VAR7*VAR64)) | {VAR125*VAR7{~VAR22}})}; end endgenerate reg [VAR75:0] VAR4; reg [VAR75:0] VAR5; always @(VAR122 or VAR67 or VAR5 or VAR12 or VAR61 or VAR49 or rst) begin if (rst) VAR4 = {VAR75{1'b0}}; end else begin VAR4 = VAR5; if (VAR12) if (VAR122) VAR4 = {1'b0, VAR5[VAR75-1:0]}; end else for (VAR111=0; VAR111<VAR138; VAR111=VAR111+1) if (VAR67[VAR111]) VAR4 = {~VAR61[VAR111], VAR49[(VAR75*VAR111)+:VAR75]}; end end assign VAR53 = VAR4; wire [VAR125-1:0] VAR83 = VAR24 << VAR19; wire VAR100 = (VAR18 == 1) ? |(VAR83 & VAR73) : (VAR73[2] & VAR73[0]) ? |(VAR83[VAR125-1:0] & {VAR73[2], VAR73[0]}) : (VAR73[0])? VAR83[0] : 1'b0; wire VAR69 = VAR100 && VAR56; wire VAR95 = VAR100 && ~VAR56; reg [1:0] VAR89 = 2'b0; reg[1:0] VAR76; always @(VAR73) begin VAR76 = 2'b0; for (VAR111=0; VAR111<8; VAR111=VAR111+1) if (~VAR76[1]) if (VAR73[VAR111] == 1'b1) VAR76 = VAR76 + 2'b1; end wire VAR132 = (VAR43 == "VAR25") ? ~VAR69 : VAR95; assign VAR130[1] = VAR132 & VAR97; generate if (VAR18 > 1) begin : VAR131 wire VAR14 = (VAR88[3] & VAR88[1])? |({VAR83[VAR76+1], VAR83[VAR76]}) : (VAR88[1]) ? VAR83[VAR76] :1'b0; wire VAR21 = VAR14 && VAR56; wire VAR23 = VAR14 && ~VAR56; wire VAR87 = (VAR43 == "VAR25") ? ~VAR21 : VAR23; assign VAR130[3] = VAR87 & VAR97; end else begin assign VAR130[3] = 1'b0; end endgenerate endmodule
lgpl-3.0
Xilinx/PYNQ
boards/ip/mux_vector_1.0/mux_vector.v
1,725
module MODULE1 #(parameter VAR6 = 4 , VAR7 = 3, VAR12=2)( input wire [VAR6-1:0] VAR5, input wire [VAR6-1:0] VAR1, input wire [VAR6-1:0] VAR11, input wire [VAR6-1:0] VAR8, input wire [VAR6-1:0] VAR9, input wire [VAR6-1:0] VAR4, input wire [VAR6-1:0] VAR3, input wire [VAR6-1:0] VAR2, input wire [2:0] sel, output wire [VAR6-1:0] VAR13 ); reg [VAR6-1:0] VAR10; always @(*) begin case(VAR12) 2: begin case(sel) 1'b0 : VAR10[VAR6-1:0] <= VAR5[VAR6-1:0] ; 1'b1 : VAR10[VAR6-1:0] <= VAR1[VAR6-1:0] ; default : VAR10[VAR6-1:0] <= VAR5[VAR6-1:0] ; endcase end 4: begin case(sel) 2'b00 : VAR10[VAR6-1:0] <= VAR5[VAR6-1:0] ; 2'b01 : VAR10[VAR6-1:0] <= VAR1[VAR6-1:0] ; 2'b10 : VAR10[VAR6-1:0] <= VAR11[VAR6-1:0] ; 2'b11 : VAR10[VAR6-1:0] <= VAR8[VAR6-1:0] ; default : VAR10[VAR6-1:0] <= VAR5[VAR6-1:0] ; endcase end 8: begin case(sel) 3'b000 : VAR10[VAR6-1:0] <= VAR5[VAR6-1:0] ; 3'b001 : VAR10[VAR6-1:0] <= VAR1[VAR6-1:0] ; 3'b010 : VAR10[VAR6-1:0] <= VAR11[VAR6-1:0] ; 3'b011 : VAR10[VAR6-1:0] <= VAR8[VAR6-1:0] ; 3'b100 : VAR10[VAR6-1:0] <= VAR9[VAR6-1:0] ; 3'b101 : VAR10[VAR6-1:0] <= VAR4[VAR6-1:0] ; 3'b110 : VAR10[VAR6-1:0] <= VAR3[VAR6-1:0] ; 3'b111 : VAR10[VAR6-1:0] <= VAR2[VAR6-1:0] ; default : VAR10[VAR6-1:0] <= VAR5[VAR6-1:0] ; endcase end endcase end assign #VAR7 VAR13[VAR6-1:0] = VAR10[VAR6-1:0] ; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fill/sky130_fd_sc_ls__fill.functional.v
1,110
module MODULE1 (); supply1 VAR1; supply0 VAR2; supply1 VAR4 ; supply0 VAR3 ; endmodule
apache-2.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
Gaussian_Filter/ip/Gaussian_Filter/acl_int_div32u.v
4,762
module MODULE1 ( enable, VAR20, VAR11, VAR16, VAR13, VAR9); input enable; input VAR20; input [31:0] VAR11; input [31:0] VAR16; output [31:0] VAR13; output [31:0] VAR9; wire [31:0] VAR7; wire [31:0] VAR1; wire [31:0] VAR9 = VAR7[31:0]; wire [31:0] VAR13 = VAR1[31:0]; VAR2 VAR12 ( .VAR20 (VAR20), .VAR17 (enable), .VAR11 (VAR11), .VAR16 (VAR16), .VAR9 (VAR7), .VAR13 (VAR1), .VAR15 (1'b0)); VAR12.VAR21 = "VAR14", VAR12.VAR18 = "VAR22=VAR5", VAR12.VAR8 = "VAR14", VAR12.VAR10 = 32, VAR12.VAR4 = "VAR19", VAR12.VAR3 = 32, VAR12.VAR6 = 32; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a21oi/sky130_fd_sc_lp__a21oi_4.v
2,261
module MODULE2 ( VAR5 , VAR10 , VAR9 , VAR3 , VAR1, VAR8, VAR4 , VAR2 ); output VAR5 ; input VAR10 ; input VAR9 ; input VAR3 ; input VAR1; input VAR8; input VAR4 ; input VAR2 ; VAR6 VAR7 ( .VAR5(VAR5), .VAR10(VAR10), .VAR9(VAR9), .VAR3(VAR3), .VAR1(VAR1), .VAR8(VAR8), .VAR4(VAR4), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR5 , VAR10, VAR9, VAR3 ); output VAR5 ; input VAR10; input VAR9; input VAR3; supply1 VAR1; supply0 VAR8; supply1 VAR4 ; supply0 VAR2 ; VAR6 VAR7 ( .VAR5(VAR5), .VAR10(VAR10), .VAR9(VAR9), .VAR3(VAR3) ); endmodule
apache-2.0
rkrajnc/minimig-de1
rtl/or1200/or1200_reg2mem.v
4,372
module MODULE1(addr, VAR4, VAR9, VAR11); parameter VAR5 = VAR10; input [1:0] addr; input [VAR7-1:0] VAR4; input [VAR5-1:0] VAR9; output [VAR5-1:0] VAR11; reg [7:0] VAR13; reg [7:0] VAR3; reg [7:0] VAR12; reg [7:0] VAR6; assign VAR11 = {VAR13, VAR3, VAR12, VAR6}; always @(VAR4 or addr or VAR9) begin casex({VAR4, addr[1:0]}) {VAR1, 2'b00} : VAR13 = VAR9[7:0]; {VAR8, 2'b00} : VAR13 = VAR9[15:8]; default : VAR13 = VAR9[31:24]; endcase end always @(VAR4 or addr or VAR9) begin casex({VAR4, addr[1:0]}) {VAR2, 2'b00} : VAR3 = VAR9[23:16]; default : VAR3 = VAR9[7:0]; endcase end always @(VAR4 or addr or VAR9) begin casex({VAR4, addr[1:0]}) {VAR1, 2'b10} : VAR12 = VAR9[7:0]; default : VAR12 = VAR9[15:8]; endcase end always @(VAR9) VAR6 = VAR9[7:0]; endmodule
gpl-3.0
sonyxperiadev/CDB-Assist
Firmware-v3/CDBAssistNextGen.cydsn/DitherPWM/DitherPWM.v
10,266
module MODULE1 ( output VAR54, input VAR33, input reset ); localparam VAR57 = 2'd0; localparam VAR66 = 2'd1; localparam VAR21 = 2'd2; localparam VAR61 = 2'd3; localparam VAR46 = 8'h7; localparam VAR2 = 8'h1; localparam VAR62 = 8'h0; localparam VAR17 = VAR60; localparam VAR25 = VAR73; localparam VAR69 = VAR20; parameter VAR9 = { VAR17, VAR14, VAR25, VAR17, VAR14, VAR25, VAR17, VAR14, VAR25, VAR17, VAR14, VAR25, VAR17, VAR14, VAR25, VAR17, VAR14, VAR25, 8'hFF, 8'h00, 8'hFF, 8'hFF, 1'h0, VAR23, VAR47, 10'h00, VAR36,VAR28, }; wire VAR48; wire VAR58; wire VAR55; wire VAR1; reg VAR13; wire VAR53; reg VAR16; wire [7:0] VAR11; wire VAR31; wire [1:0] VAR22; wire VAR29; wire VAR38; reg [1:0] VAR59; wire VAR68; reg VAR3; wire [2:0] VAR65; VAR34 #(.VAR10(VAR64)) VAR30 ( .VAR71(VAR55), .VAR56(VAR33), .enable(1'b1) ); generate VAR44 #(.VAR24(VAR64), .VAR12(8'h00), .VAR49(8'hFF)) VAR70( .VAR33(VAR55), .VAR11(VAR11) ); assign VAR31 = VAR11[VAR46]; assign VAR22 = {VAR11[VAR2], VAR11[VAR62]}; endgenerate generate always @(posedge VAR55 or posedge reset) begin if(reset) VAR3 <= 1'b0; end else VAR3 <= VAR31; end assign VAR29 = VAR3; endgenerate always @(posedge VAR55 or posedge reset) begin if(reset) begin VAR59 <= 0; end else begin if(VAR1) begin VAR59 <= VAR59 + 1; end end end assign VAR38 = (VAR22[1:0] == VAR57) ? 1'b0 : (VAR22[1:0] == VAR66) ? ((VAR59 == 0) ? 1'b1 : 1'b0) : (VAR22[1:0] == VAR21) ? ((VAR59 == 0 || VAR59 == 2) ? 1'b1 : 1'b0) : ((VAR59 == 3) ? 1'b0 : 1'b1) ; generate assign VAR65 = {VAR1,VAR29,reset}; endgenerate generate VAR4 #(.VAR40(VAR9)) VAR5( .clk(VAR55), .VAR65(VAR65), .VAR26(1'b0), .VAR67(1'b0), .VAR51(1'b0), .VAR43(1'b0), .VAR27(1'b0), .VAR63(1'b0), .VAR8(VAR48), .VAR50(VAR58), .VAR37(VAR1), .VAR18(), .VAR19(), .VAR35(), .VAR39(), .VAR42(), .VAR15(), .VAR7(), .VAR32(), .VAR41(), .VAR52(), .VAR72(), .VAR45(), .VAR6() ); endgenerate always @(posedge VAR55) begin VAR16 <= VAR53 ; VAR13 <= VAR1 & VAR29; end assign VAR54 = VAR16; assign VAR53 = VAR68 & VAR29; assign VAR68 = (!VAR38) ? VAR58 : (VAR58 | VAR48); endmodule
gpl-3.0
ptracton/Picoblaze
library/uart_pb/uart_tx6.v
11,699
module MODULE1 ( input [7:0] VAR85, input VAR45, input VAR13, input VAR52, output VAR15, output VAR37, output VAR72, output VAR88, input clk ); wire [7:0] VAR4; wire [7:0] VAR46; wire [3:0] VAR69; wire [3:0] VAR74; wire VAR56; wire VAR38; wire VAR27; wire VAR78; wire VAR16; wire [3:0] VAR7; wire [3:0] VAR70; wire [3:0] VAR2; wire [3:0] VAR66; wire VAR77; wire VAR86; wire VAR73; wire VAR6; wire VAR42; wire VAR43; wire VAR8; wire VAR79; genvar VAR49; generate for (VAR49 = 0 ; VAR49 <= 7 ; VAR49 = VAR49+1) begin : VAR68 VAR20 #( .VAR64 (16'h0000)) VAR71 ( .VAR11 (VAR85[VAR49]), .VAR26 (VAR45), .VAR65 (clk), .VAR32 (VAR74[0]), .VAR10 (VAR74[1]), .VAR12 (VAR74[2]), .VAR47 (VAR74[3]), .VAR58 (VAR4[VAR49])); VAR59 VAR50( .VAR11 (VAR4[VAR49]), .VAR58 (VAR46[VAR49]), .VAR29 (clk)); end endgenerate VAR19 #( .VAR64 (64'hFF00FE00FF80FF00)) VAR60( .VAR1 (VAR74[0]), .VAR31 (VAR74[1]), .VAR82 (VAR74[2]), .VAR18 (VAR74[3]), .VAR63 (VAR45), .VAR3 (VAR79), .VAR87 (VAR69[3])); VAR89 VAR22( .VAR11 (VAR69[3]), .VAR58 (VAR74[3]), .VAR67 (VAR13), .VAR29 (clk)); VAR19 #( .VAR64 (64'hF0F0E1E0F878F0F0)) VAR30( .VAR1 (VAR74[0]), .VAR31 (VAR74[1]), .VAR82 (VAR74[2]), .VAR18 (VAR74[3]), .VAR63 (VAR45), .VAR3 (VAR79), .VAR87 (VAR69[2])); VAR89 VAR5( .VAR11 (VAR69[2]), .VAR58 (VAR74[2]), .VAR67 (VAR13), .VAR29 (clk)); VAR25 #( .VAR64 (64'hCC9060CCAA5050AA)) VAR21( .VAR1 (VAR74[0]), .VAR31 (VAR74[1]), .VAR82 (VAR56), .VAR18 (VAR45), .VAR63 (VAR79), .VAR3 (1'b1), .VAR40 (VAR69[0]), .VAR75 (VAR69[1])); VAR89 VAR41( .VAR11 (VAR69[1]), .VAR58 (VAR74[1]), .VAR67 (VAR13), .VAR29 (clk)); VAR89 VAR80( .VAR11 (VAR69[0]), .VAR58 (VAR74[0]), .VAR67 (VAR13), .VAR29 (clk)); VAR25 #( .VAR64 (64'hF4FCF4FC040004C0)) VAR14( .VAR1 (VAR38), .VAR31 (VAR16), .VAR82 (VAR45), .VAR18 (VAR79), .VAR63 (VAR27), .VAR3 (1'b1), .VAR40 (VAR56), .VAR75 (VAR78)); VAR89 VAR9( .VAR11 (VAR78), .VAR58 (VAR16), .VAR67 (VAR13), .VAR29 (clk)); VAR25 #( .VAR64 (64'h0001000080000000)) VAR51( .VAR1 (VAR74[0]), .VAR31 (VAR74[1]), .VAR82 (VAR74[2]), .VAR18 (VAR74[3]), .VAR63 (1'b1), .VAR3 (1'b1), .VAR40 (VAR27), .VAR75 (VAR38)); VAR19 #( .VAR64 (64'hFF00F0F0CCCCAAAA)) VAR23( .VAR1 (VAR46[0]), .VAR31 (VAR46[1]), .VAR82 (VAR46[2]), .VAR18 (VAR46[3]), .VAR63 (VAR70[0]), .VAR3 (VAR70[1]), .VAR87 (VAR77)); VAR19 #( .VAR64 (64'hFF00F0F0CCCCAAAA)) VAR17( .VAR1 (VAR46[4]), .VAR31 (VAR46[5]), .VAR82 (VAR46[6]), .VAR18 (VAR46[7]), .VAR63 (VAR70[0]), .VAR3 (VAR70[1]), .VAR87 (VAR86)); VAR25 #( .VAR64 (64'hCFAACC0F0FFFFFFF)) VAR81( .VAR1 (VAR77), .VAR31 (VAR86), .VAR82 (VAR70[1]), .VAR18 (VAR70[2]), .VAR63 (VAR70[3]), .VAR3 (1'b1), .VAR40 (VAR73), .VAR75 (VAR6)); VAR59 VAR39( .VAR11 (VAR6), .VAR58 (VAR15), .VAR29 (clk)); VAR19 #( .VAR64 (64'h85500000AAAAAAAA)) VAR84( .VAR1 (VAR70[0]), .VAR31 (VAR70[1]), .VAR82 (VAR70[2]), .VAR18 (VAR70[3]), .VAR63 (VAR16), .VAR3 (VAR43), .VAR87 (VAR7[0])); VAR59 VAR57( .VAR11 (VAR7[0]), .VAR58 (VAR70[0]), .VAR29 (clk)); VAR19 #( .VAR64 (64'h26610000CCCCCCCC)) VAR44( .VAR1 (VAR70[0]), .VAR31 (VAR70[1]), .VAR82 (VAR70[2]), .VAR18 (VAR70[3]), .VAR63 (VAR16), .VAR3 (VAR43), .VAR87 (VAR7[1])); VAR59 VAR54( .VAR11 (VAR7[1]), .VAR58 (VAR70[1]), .VAR29 (clk)); VAR19 #( .VAR64 (64'h88700000F0F0F0F0)) VAR53( .VAR1 (VAR70[0]), .VAR31 (VAR70[1]), .VAR82 (VAR70[2]), .VAR18 (VAR70[3]), .VAR63 (VAR16), .VAR3 (VAR43), .VAR87 (VAR7[2])); VAR59 VAR76( .VAR11 (VAR7[2]), .VAR58 (VAR70[2]), .VAR29 (clk)); VAR19 #( .VAR64 (64'h87440000FF00FF00)) VAR33( .VAR1 (VAR70[0]), .VAR31 (VAR70[1]), .VAR82 (VAR70[2]), .VAR18 (VAR70[3]), .VAR63 (VAR16), .VAR3 (VAR43), .VAR87 (VAR7[3])); VAR59 VAR28( .VAR11 (VAR7[3]), .VAR58 (VAR70[3]), .VAR29 (clk)); VAR25 #( .VAR64 (64'h6C0000005A000000)) VAR83( .VAR1 (VAR66[0]), .VAR31 (VAR66[1]), .VAR82 (VAR52), .VAR18 (1'b1), .VAR63 (1'b1), .VAR3 (1'b1), .VAR40 (VAR2[0]), .VAR75 (VAR2[1])); VAR59 VAR36( .VAR11 (VAR2[0]), .VAR58 (VAR66[0]), .VAR29 (clk)); VAR59 VAR48( .VAR11 (VAR2[1]), .VAR58 (VAR66[1]), .VAR29 (clk)); VAR25 #( .VAR64 (64'h7F80FF007878F0F0)) VAR62( .VAR1 (VAR66[0]), .VAR31 (VAR66[1]), .VAR82 (VAR66[2]), .VAR18 (VAR66[3]), .VAR63 (VAR52), .VAR3 (1'b1), .VAR40 (VAR2[2]), .VAR75 (VAR2[3])); VAR59 VAR24( .VAR11 (VAR2[2]), .VAR58 (VAR66[2]), .VAR29 (clk)); VAR59 VAR61( .VAR11 (VAR2[3]), .VAR58 (VAR66[3]), .VAR29 (clk)); VAR25 #( .VAR64 (64'h0000000080000000)) VAR34( .VAR1 (VAR66[0]), .VAR31 (VAR66[1]), .VAR82 (VAR66[2]), .VAR18 (VAR66[3]), .VAR63 (VAR52), .VAR3 (VAR73), .VAR40 (VAR42), .VAR75 (VAR8)); VAR59 VAR55( .VAR11 (VAR42), .VAR58 (VAR43), .VAR29 (clk)); VAR59 VAR35( .VAR11 (VAR8), .VAR58 (VAR79), .VAR29 (clk)); assign VAR88 = VAR27; assign VAR72 = VAR74[3]; assign VAR37 = VAR16; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/a21o/sky130_fd_sc_hvl__a21o.pp.blackbox.v
1,355
module MODULE1 ( VAR2 , VAR3 , VAR4 , VAR6 , VAR7, VAR5, VAR1 , VAR8 ); output VAR2 ; input VAR3 ; input VAR4 ; input VAR6 ; input VAR7; input VAR5; input VAR1 ; input VAR8 ; endmodule
apache-2.0
SeanZarzycki/openSPARC-FPU
dc_compiler/iscas_benchmarks/s349.v
8,966
module MODULE1 (VAR129,VAR188,VAR42); input VAR129,VAR42; output VAR188; wire VAR103,VAR328; trireg VAR89,VAR210; nmos VAR164 (VAR210,VAR42,VAR328); not VAR63 (VAR103,VAR210); nmos VAR350 (VAR89,VAR103,VAR129); not VAR253 (VAR188,VAR89); not VAR163 (VAR328,VAR129); endmodule module MODULE2(VAR36,VAR141,VAR129,VAR8,VAR288,VAR332,VAR43,VAR296,VAR280,VAR80,VAR135,VAR246,VAR70,VAR154,VAR163,VAR303,VAR63,VAR34, VAR253,VAR4,VAR99,VAR249,VAR175); input VAR36,VAR141,VAR129,VAR175,VAR296,VAR280,VAR80,VAR135,VAR8,VAR288,VAR332,VAR43; output VAR246,VAR70,VAR249,VAR154,VAR163,VAR303,VAR63,VAR34,VAR253,VAR4,VAR99; wire VAR20,VAR113,VAR325,VAR1,VAR45,VAR337,VAR318,VAR161,VAR172,VAR366, VAR290,VAR41,VAR219,VAR88,VAR203,VAR217,VAR322,VAR162,VAR169, VAR176,VAR18,VAR224,VAR37,VAR71,VAR250,VAR46,VAR248,VAR268,VAR15,VAR310,VAR112,VAR251, VAR53,VAR265,VAR338,VAR93,VAR342,VAR294,VAR126,VAR136,VAR193, VAR301,VAR305,VAR83,VAR14,VAR369,VAR173,VAR241,VAR109,VAR264, VAR258,VAR300,VAR3,VAR312,VAR57,VAR182,VAR121,VAR16,VAR23,VAR11,VAR333,VAR187,VAR244, VAR17,VAR314,VAR240,VAR122,VAR64,VAR153,VAR123,VAR326,VAR40,VAR69, VAR139,VAR38,VAR7,VAR209,VAR239,VAR118,VAR111,VAR27,VAR347,VAR94, VAR120,VAR363,VAR214,VAR143,VAR252,VAR368,VAR168,VAR39,VAR144,VAR259, VAR133,VAR357,VAR367,VAR91,VAR147,VAR304,VAR335,VAR223, VAR134,VAR254,VAR329,VAR199, VAR278,VAR200,VAR279,VAR114, VAR159,VAR186,VAR30,VAR189, VAR216,VAR54,VAR204,VAR85, VAR215,VAR73,VAR28,VAR184, VAR49,VAR242,VAR213,VAR61,VAR194, VAR235,VAR319,VAR293,VAR321,VAR35, VAR344,VAR5,VAR327,VAR372,VAR272, VAR364,VAR130,VAR190,VAR284,VAR110, VAR62,VAR22,VAR156,VAR138,VAR108, VAR185,VAR150,VAR76,VAR21,VAR306, VAR96,VAR178,VAR84,VAR222,VAR348, VAR285,VAR356,VAR101,VAR24,VAR358,VAR9,VAR87, VAR2,VAR128; MODULE1 VAR177(VAR129,VAR20,VAR113); MODULE1 VAR19(VAR129,VAR325,VAR1); MODULE1 VAR92(VAR129,VAR45,VAR337); MODULE1 VAR371(VAR129,VAR318,VAR161); MODULE1 VAR26(VAR129,VAR172,VAR366); MODULE1 VAR287(VAR129,VAR290,VAR41); MODULE1 VAR370(VAR129,VAR219,VAR88); MODULE1 VAR66(VAR129,VAR203,VAR217); MODULE1 VAR316(VAR129,VAR322,VAR162); MODULE1 VAR25(VAR129,VAR169,VAR176); MODULE1 VAR75(VAR129,VAR18,VAR224); MODULE1 VAR275(VAR129,VAR37,VAR71); MODULE1 VAR52(VAR129,VAR250,VAR46); MODULE1 VAR274(VAR129,VAR248,VAR268); MODULE1 VAR31(VAR129,VAR15,VAR310); not VAR225(VAR249,VAR112); not VAR234(VAR251,VAR325); not VAR221(VAR53,VAR20); not VAR257(VAR265,VAR325); not VAR353(VAR338,VAR93); not VAR125(VAR342,VAR45); not VAR56(VAR93,VAR45); not VAR362(VAR294,VAR249); not VAR227(VAR126,VAR136); not VAR195(VAR193,VAR301); not VAR361(VAR305,VAR83); not VAR59(VAR14,VAR369); not VAR191(VAR173,VAR241); not VAR207(VAR109,VAR264); not VAR65(VAR258,VAR300); not VAR331(VAR3,VAR312); not VAR104(VAR57,VAR182); not VAR277(VAR121,VAR16); not VAR340(VAR23,VAR11); not VAR336(VAR333,VAR187); not VAR79(VAR244,VAR175); not VAR137(VAR99,VAR318); not VAR160(VAR4,VAR172); not VAR55(VAR253,VAR290); not VAR13(VAR34,VAR219); not VAR308(VAR17,VAR314); not VAR68(VAR240,VAR122); not VAR212(VAR64,VAR314); not VAR229(VAR153,VAR123); not VAR256(VAR326,VAR314); not VAR261(VAR40,VAR69); not VAR330(VAR139,VAR314); not VAR142(VAR38,VAR7); not VAR148(VAR314,VAR209); not VAR208(VAR239,VAR209); not VAR266(VAR63,VAR203); not VAR198(VAR303,VAR322); not VAR282(VAR163,VAR169); not VAR238(VAR154,VAR18); not VAR81(VAR118,VAR111); not VAR12(VAR27,VAR347); not VAR311(VAR94,VAR111); not VAR117(VAR120,VAR363); not VAR260(VAR214,VAR111); not VAR273(VAR143,VAR252); not VAR50(VAR368,VAR111); not VAR90(VAR168,VAR39); not VAR201(VAR111,VAR112); not VAR10(VAR144,VAR259); not VAR291(VAR71,VAR133); not VAR269(VAR357,VAR259); not VAR98(VAR46,VAR367); not VAR105(VAR91,VAR259); not VAR127(VAR268,VAR147); not VAR352(VAR304,VAR259); not VAR170(VAR310,VAR335); not VAR167(VAR259,VAR223); and VAR149(VAR134,VAR14,VAR333,VAR99); and VAR106(VAR254,VAR329,VAR301); and VAR324(VAR199,VAR14,VAR278); and VAR281(VAR200,VAR333,VAR99); and VAR341(VAR279,VAR109,VAR23,VAR4); and VAR140(VAR114,VAR159,VAR369); and VAR334(VAR186,VAR109,VAR30); and VAR247(VAR189,VAR23,VAR4); and VAR226(VAR216,VAR258,VAR121,VAR253); and VAR174(VAR54,VAR204,VAR264); and VAR74(VAR85,VAR258,VAR215); and VAR196(VAR73,VAR121,VAR253); and VAR365(VAR28,VAR17,VAR193); and VAR307(VAR184,VAR314,VAR99); and VAR32(VAR49,VAR64,VAR126); and VAR181(VAR242,VAR314,VAR4); and VAR197(VAR213,VAR326,VAR305); and VAR115(VAR61,VAR314,VAR253); and VAR29(VAR194,VAR139,VAR173); and VAR255(VAR235,VAR314,VAR34); and VAR151(VAR319,VAR239,VAR27); and VAR317(VAR293,VAR209,VAR3); and VAR286(VAR321,VAR239,VAR120); and VAR171(VAR35,VAR209,VAR63); and VAR205(VAR344,VAR239,VAR143); and VAR354(VAR5,VAR209,VAR303); and VAR360(VAR327,VAR239,VAR168); and VAR263(VAR372,VAR209,VAR163); and VAR60(VAR272,VAR118,VAR135); and VAR78(VAR364,VAR111,VAR63); and VAR47(VAR130,VAR94,VAR80); and VAR77(VAR190,VAR111,VAR303); and VAR95(VAR284,VAR214,VAR280); and VAR116(VAR110,VAR111,VAR163); and VAR179(VAR62,VAR368,VAR296); and VAR131(VAR22,VAR111,VAR154); and VAR146(VAR156,VAR144,VAR43); and VAR166(VAR138,VAR259,VAR37); and VAR276(VAR108,VAR357,VAR332); and VAR145(VAR185,VAR259,VAR250); and VAR107(VAR150,VAR91,VAR288); and VAR292(VAR76,VAR259,VAR248); and VAR155(VAR21,VAR304,VAR8); and VAR158(VAR306,VAR259,VAR15); or VAR231(VAR96,VAR20,VAR178); or VAR218(VAR84,VAR325,VAR222); or VAR51(VAR348,VAR45,VAR294); or VAR44(VAR329,VAR14,VAR333,VAR99); or VAR58(VAR278,VAR333,VAR99); or VAR343(VAR159,VAR109,VAR23,VAR4); or VAR183(VAR30,VAR23,VAR4); or VAR102(VAR204,VAR258,VAR121,VAR253); or VAR6(VAR215,VAR121,VAR253); or VAR165(VAR285,VAR57,VAR34); nand VAR180(VAR112,VAR45,VAR251,VAR20); nand VAR320(VAR70,VAR20,VAR356); nand VAR124(VAR101,VAR96,VAR24); nand VAR302(VAR24,VAR20,VAR178); nand VAR232(VAR358,VAR325,VAR338); nand VAR206(VAR9,VAR84,VAR87); nand VAR86(VAR87,VAR325,VAR222); nand VAR339(VAR2,VAR348,VAR128); nand VAR270(VAR128,VAR45,VAR294); nand VAR262(VAR312,VAR285,VAR300); nand VAR72(VAR300,VAR57,VAR34); nand VAR211(VAR182,VAR154,VAR15); nand VAR192(VAR16,VAR154,VAR248); nand VAR298(VAR11,VAR154,VAR250); nand VAR315(VAR187,VAR154,VAR37); nand VAR295(VAR161,VAR244,VAR240); nand VAR48(VAR366,VAR244,VAR153); nand VAR220(VAR41,VAR244,VAR40); nand VAR283(VAR88,VAR244,VAR38); nor VAR243(VAR209,VAR249,VAR223); nor VAR309(VAR223,VAR45,VAR325,VAR20); nor VAR313(VAR246,VAR53,VAR358); nor VAR359(VAR113,VAR101,VAR175); nor VAR289(VAR178,VAR249,VAR358); nor VAR97(VAR356,VAR265,VAR342); nor VAR233(VAR1,VAR9,VAR175); nor VAR323(VAR222,VAR249,VAR342); nor VAR132(VAR337,VAR2,VAR175); nor VAR345(VAR136,VAR134,VAR254); nor VAR346(VAR301,VAR199,VAR200); nor VAR119(VAR83,VAR279,VAR114); nor VAR157(VAR369,VAR186,VAR189); nor VAR230(VAR241,VAR216,VAR54); nor VAR152(VAR264,VAR85,VAR73); nor VAR82(VAR122,VAR28,VAR184); nor VAR297(VAR123,VAR49,VAR242); nor VAR236(VAR69,VAR213,VAR61); nor VAR202(VAR7,VAR194,VAR235); nor VAR228(VAR217,VAR319,VAR293); nor VAR355(VAR162,VAR321,VAR35); nor VAR349(VAR176,VAR344,VAR5); nor VAR267(VAR224,VAR327,VAR372); nor VAR100(VAR347,VAR272,VAR364); nor VAR299(VAR363,VAR130,VAR190); nor VAR351(VAR252,VAR284,VAR110); nor VAR67(VAR39,VAR62,VAR22); nor VAR237(VAR133,VAR156,VAR138); nor VAR271(VAR367,VAR108,VAR185); nor VAR245(VAR147,VAR150,VAR76); nor VAR33(VAR335,VAR21,VAR306); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/a22o/sky130_fd_sc_hvl__a22o.pp.symbol.v
1,372
module MODULE1 ( input VAR3 , input VAR1 , input VAR9 , input VAR2 , output VAR8 , input VAR5 , input VAR6, input VAR7, input VAR4 ); endmodule
apache-2.0
hoglet67/CoPro6502
src/m32632/STEUER_MISC.v
47,175
module MODULE3 ( VAR58, VAR91, VAR51, VAR5, VAR152, VAR85, VAR100, VAR96, VAR31, VAR40, VAR174, VAR56, VAR144, VAR179, VAR82, VAR165, VAR43, VAR108, VAR129, VAR122, VAR12, VAR35); input VAR58,VAR91; input VAR51; input [3:0] VAR5; input VAR152; input [1:0] VAR85; input [2:0] VAR100; input [31:0] VAR96; input VAR31,VAR40,VAR174; input VAR56; input VAR144; output [55:0] VAR179; output [2:0] VAR82; output VAR165; output VAR43; output VAR108; output VAR129; output VAR122; output [2:0] VAR12; output VAR35; reg [55:0] VAR179; reg [2:0] VAR82; reg VAR165; reg VAR122; reg VAR99; reg [2:0] VAR12; reg [55:0] VAR10; reg VAR114; reg VAR148; reg VAR118; reg VAR171; reg VAR133; wire [2:0] VAR73; wire VAR83; wire VAR81,VAR92,VAR123; assign VAR81 = VAR5[3] | VAR5[1] | VAR152; assign VAR92 = VAR5[0]; always @(posedge VAR58 or negedge VAR91) if (!VAR91) VAR122 <= 1'b0; else VAR122 <= (VAR81 & ~(VAR83 | VAR148)) | (VAR122 & ~VAR43); always @(posedge VAR58) if (VAR81) VAR12 <= (VAR5[3] | VAR152) ? {VAR171,2'b11} : {VAR171,~VAR5[2],VAR5[2]}; always @(posedge VAR58) VAR171 <= VAR43 | (VAR171 & ~VAR92); always @(posedge VAR58) VAR99 <= VAR81; assign VAR123 = ~VAR165 | VAR92 | VAR99; assign VAR83 = VAR51 | VAR56; always @(posedge VAR58) VAR148 <= (VAR83 & ~VAR123) | (VAR148 & ~VAR123 & VAR91); assign VAR43 = (VAR83 | VAR148) & VAR123; always @(posedge VAR58) VAR118 <= VAR83 | VAR148 | (VAR118 & ~VAR123 & VAR91); always @(VAR100 or VAR179) case (VAR100) 3'b000 : VAR10 = VAR179; 3'b001 : VAR10 = { 8'VAR4, VAR179[55:8]}; 3'b010 : VAR10 = {16'VAR142,VAR179[55:16]}; 3'b011 : VAR10 = {24'VAR3,VAR179[55:24]}; 3'b100 : VAR10 = {32'VAR48,VAR179[55:32]}; 3'b101 : VAR10 = {40'VAR61,VAR179[55:40]}; 3'b110 : VAR10 = {48'VAR76,VAR179[55:48]}; 3'b111 : VAR10 = 56'VAR189; endcase assign VAR73 = VAR82 - VAR100; always @(posedge VAR58) casex ({VAR118,VAR92,VAR85,VAR73}) 7'VAR127 : VAR179 <= {24'VAR3,VAR96}; 7'VAR67 : VAR179 <= {32'VAR48,VAR96[31:8]}; 7'VAR53 : VAR179 <= {40'VAR61,VAR96[31:16]}; 7'VAR162 : VAR179 <= {48'VAR76,VAR96[31:24]}; 7'VAR78 : VAR179 <= VAR10; 7'VAR172 : VAR179 <= {24'VAR3,VAR96}; 7'VAR29 : VAR179 <= { 16'VAR142,VAR96, VAR10[7:0]}; 7'VAR70 : VAR179 <= { 8'VAR4,VAR96,VAR10[15:0]}; 7'VAR185 : VAR179 <= { VAR96,VAR10[23:0]}; 7'VAR39 : VAR179 <= VAR10; endcase always @(posedge VAR58 or negedge VAR91) if (!VAR91) VAR82 <= 3'b000; else casex ({VAR83,VAR118,VAR92,VAR73[2]}) 4'VAR135 : VAR82 <= 3'b000; 4'VAR150 : VAR82 <= 3'b000; 4'VAR199 : VAR82 <= VAR148 ? 3'b000 : 3'b100 - {1'b0,VAR85}; 4'VAR86 : VAR82 <= VAR73; 4'b0010 : VAR82 <= VAR73 + 3'b100; 4'b0011 : VAR82 <= VAR73; endcase assign VAR108 = VAR118 ? (VAR92 & ~VAR148) : (VAR92 & ~VAR73[2]); always @(posedge VAR58) VAR114 <= VAR31 | VAR40 | VAR174; assign VAR35 = VAR114 & ~VAR31 & ~VAR40; always @(posedge VAR58 or negedge VAR91) if (!VAR91) VAR133 <= 1'b0; else VAR133 <= VAR133 | VAR165; always @(posedge VAR58 or negedge VAR91) if (!VAR91) VAR165 <= 1'b0; else VAR165 <= (VAR165 & ~VAR81 & ~(VAR144 & VAR92)) | VAR43 | (VAR35 & ~VAR133); assign VAR129 = ~VAR83 & ~VAR118 & VAR92 & VAR73[2]; endmodule module MODULE4 ( VAR58, VAR91, VAR51, VAR160, VAR43, VAR108, VAR112, VAR143, VAR119, VAR100, VAR169, VAR55, VAR84, VAR46, VAR198, VAR9, VAR8, VAR85, VAR159); input VAR58,VAR91; input VAR51; input VAR160; input VAR43; input VAR108; input VAR112; input [31:0] VAR143; input [31:0] VAR119; input [2:0] VAR100; input VAR169; input VAR55; input VAR84; input VAR46; output [31:0] VAR198; output [31:0] VAR9; output [31:0] VAR8; output [1:0] VAR85; output VAR159; reg [31:0] VAR198; reg [31:0] VAR89; reg [31:0] VAR163; reg [31:0] VAR155; reg VAR159; wire [31:0] VAR106,VAR59,VAR170,VAR154; assign VAR8 = VAR89 + {29'h0,VAR100}; assign VAR106 = VAR198 + VAR143; assign VAR59 = VAR160 ? VAR119 : VAR106; assign VAR170 = VAR51 ? VAR59 : VAR8; always @(posedge VAR58 or negedge VAR91) if (!VAR91) VAR89 <= 32'h0; else VAR89 <= VAR170; always @(posedge VAR58) if (VAR84) VAR198 <= VAR155; else if (VAR112) VAR198 <= VAR89; always @(posedge VAR58) if (VAR55) VAR155 <= VAR198; always @(posedge VAR58 or negedge VAR91) if (!VAR91) VAR163 <= 32'h0; else VAR163 <= VAR154; assign VAR154 = VAR43 ? (VAR51 ? VAR59 : VAR89) : (VAR108 ? ({VAR163[31:2],2'b00} + 32'h00000004) : VAR163); assign VAR9 = {(VAR46 ? VAR119[31:4] : VAR154[31:4]),VAR154[3:0]}; assign VAR85 = VAR163[1:0]; always @(posedge VAR58 or negedge VAR91) if (!VAR91) VAR159 <= 1'b0; else if (VAR43) VAR159 <= VAR169; endmodule module MODULE2 ( VAR66, VAR117, VAR77, VAR180, VAR192); input [7:0] VAR66; input [2:0] VAR117; input VAR77; output [2:0] VAR180; output VAR192; reg [7:1] VAR1; wire [7:0] VAR32; wire [3:0] VAR196; wire [1:0] VAR6; always @(VAR117 or VAR66) case (VAR117) 3'd0 : VAR1 = VAR66[7:1]; 3'd1 : VAR1 = {VAR66[7:2],1'b0}; 3'd2 : VAR1 = {VAR66[7:3],2'b0}; 3'd3 : VAR1 = {VAR66[7:4],3'b0}; 3'd4 : VAR1 = {VAR66[7:5],4'b0}; 3'd5 : VAR1 = {VAR66[7:6],5'b0}; 3'd6 : VAR1 = {VAR66[7] ,6'b0}; 3'd7 : VAR1 = 7'b0; endcase assign VAR32 = VAR77 ? VAR66 : {VAR1,1'b0}; assign VAR180[2] = (VAR32[3:0] == 4'h0); assign VAR196 = VAR180[2] ? VAR32[7:4] : VAR32[3:0]; assign VAR180[1] = (VAR196[1:0] == 2'b00); assign VAR6 = VAR180[1] ? VAR196[3:2] : VAR196[1:0]; assign VAR180[0] = ~VAR6[0]; assign VAR192 = (VAR6 != 2'b00); endmodule module MODULE1 (VAR179, VAR82, VAR169, VAR187, VAR132, VAR49 ); input [23:0] VAR179; input [2:0] VAR82; input [3:1] VAR187; input VAR169; output reg VAR132; output VAR49; reg VAR75; reg VAR146; reg VAR38; wire [2:0] valid; wire VAR69,VAR147,VAR191,VAR161; wire VAR156,VAR136,VAR72,VAR63; wire VAR87; parameter VAR37 = 5'b10011; parameter VAR97 = 5'b10100; assign valid = {(VAR82[2] | (VAR82[1:0] == 2'b11)),(VAR82[2:1] != 2'b00),(VAR82 != 3'b000)}; assign VAR87 = (VAR179[1:0] == 2'b10); always @(VAR179 or VAR87 or valid or VAR169) casex ({valid[2:1],VAR179[13:2],VAR87}) 15'VAR27 : VAR132 = VAR169; 15'VAR140 : VAR132 = VAR169; 15'VAR186 : VAR132 = VAR169; 15'VAR34 : VAR132 = VAR169; 15'VAR183 : VAR132 = VAR169; 15'VAR41 : VAR132 = VAR169 & VAR179[0]; 15'VAR62 : VAR132 = VAR169; 15'VAR102 : VAR132 = VAR169; 15'VAR126 : VAR132 = VAR169; default : VAR132 = 1'b0; endcase always @(VAR179 or VAR87 or valid or VAR187) casex ({valid,VAR179[13:2],VAR87}) 16'VAR107 : VAR75 = 1'b1; 16'VAR195 : VAR75 = 1'b1; 16'VAR151 : VAR75 = 1'b1; 16'VAR15 : VAR75 = 1'b1; 16'VAR149 : VAR75 = 1'b1; 16'VAR57 : VAR75 = 1'b1; 16'VAR28 : VAR75 = ~VAR187[1]; 16'VAR116 : VAR75 = ~VAR187[1]; 16'VAR13 : VAR75 = 1'b1; 16'VAR124 : VAR75 = 1'b1; 16'VAR166 : VAR75 = 1'b1; 16'VAR182 : VAR75 = ~VAR187[2] | ~VAR179[18]; 16'VAR113 : VAR75 = ~VAR187[3]; 16'VAR42 : VAR75 = 1'b1; 16'VAR168 : VAR75 = 1'b1; 16'VAR68 : VAR75 = 1'b1; 16'VAR145 : VAR75 = 1'b1; 16'VAR98 : VAR75 = 1'b1; 16'VAR193 : VAR75 = 1'b1; 16'VAR17 : VAR75 = 1'b1; default : VAR75 = 1'b0; endcase assign VAR69 = (VAR179[15:11] == VAR37); assign VAR147 = (VAR179[10:6] == VAR37); assign VAR191 = (VAR179[23:19] == VAR37); assign VAR161 = (VAR179[18:14] == VAR37); always @(VAR179 or valid or VAR69 or VAR147 or VAR191 or VAR161) if (valid[2] && (VAR179[7:5] != 3'b000) && (VAR179[3:0] == 4'b1110)) VAR146 = VAR191 | VAR161; else VAR146 = valid[1] & VAR69 & (VAR179[1:0] != 2'b10) & ((VAR179[3:2] != 2'b11) & VAR147); assign VAR156 = (VAR179[15:11] == VAR97); assign VAR136 = (VAR179[10:6] == VAR97); assign VAR72 = (VAR179[23:19] == VAR97); assign VAR63 = (VAR179[18:14] == VAR97); always @ casex (VAR157) 5'b10000 : begin VAR64 = { VAR7,8'h67, 7'h01, 7'h02, 1'b0,VAR90,VAR153, 2'b00,2'b00,4'h0 }; VAR184 = VAR18; VAR104 = VAR18; VAR128 = VAR18; VAR88 = VAR18; VAR141 = VAR18; VAR16 = VAR18; VAR181 = VAR18; end 5'b10001 : begin VAR64 = { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR184 = { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR104 = { VAR197, VAR71,VAR101, VAR103, 1'b1,VAR134,VAR110, 2'b00,2'b00,VAR158 }; VAR128 = VAR18; VAR88 = VAR18; VAR141 = { VAR173, 8'h65, VAR175, VAR175, 1'b1,VAR24,VAR110, 2'b00,2'b00,4'b1110 }; VAR16 = { VAR7,8'h67, VAR111, VAR11, 1'b0,VAR90,VAR153, 2'b00,2'b00,4'h0 }; VAR181 = VAR18; end 5'b10010 : begin VAR64 = { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR184 = { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR104 = { VAR7,8'h66, VAR175, VAR175, 1'b1,VAR134,VAR110, 2'b00,2'b00,4'h0 }; VAR128 = VAR18; VAR88 = VAR18; VAR141 = VAR18; VAR16 = VAR18; VAR181 = VAR18; end 5'b11110 : begin VAR64 = { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR184 = { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR104 = { VAR7,8'h6B, VAR176, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR128 = VAR18; VAR88 = VAR18; VAR141 = VAR18; VAR16 = VAR18; VAR181 = VAR18; end 5'b11111 : begin VAR64 = { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR184 = { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR104 = { VAR7,8'h00, VAR175, VAR175, 1'b0,VAR90,VAR138, 2'b00,2'b10,4'h0 }; VAR128 = VAR18; VAR88 = VAR18; VAR141 = VAR18; VAR16 = VAR18; VAR181 = VAR18; end 5'b10011 : begin VAR64 = VAR130[3] ? { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR23, 8'h54, VAR131, VAR175, 1'b0,VAR90,VAR14, 2'b00,2'b00,4'h0 }; VAR184 = { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR104 = { VAR23, 8'h54, VAR176, VAR175, 1'b0,VAR90,VAR14, 2'b00,2'b00,4'h0 }; VAR128 = { VAR7,8'h66, VAR175, VAR175, 1'b1,VAR134,VAR110, 2'b00,2'b00,4'h0 }; VAR88 = VAR18; VAR141 = VAR18; VAR16 = VAR18; VAR181 = VAR18; end 5'b10100 : begin VAR64 = VAR130[3] ? { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR184 = VAR130[3] ? { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR104 = { VAR7,8'h54, VAR176, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR128 = { VAR197, VAR71,VAR101, VAR103, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR158 }; VAR88 = VAR18; VAR141 = { VAR194,8'h59, VAR111, VAR175, 1'b0,VAR90,VAR14, 2'b00,2'b00,4'h1 }; VAR16 = VAR190 ? { VAR7,8'h00, VAR175, VAR176, 1'b0,VAR90,VAR137, 2'b00,2'b10,4'h0 } : { VAR120, 8'h27, VAR175, VAR176, 1'b0,VAR90,VAR137, 2'b00,2'b10,4'h1 }; VAR181 = VAR18; end 5'b10101 : begin VAR64 = VAR130[3] ? { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR184 = VAR130[3] ? { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR104 = { VAR7,8'h54, VAR176, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR128 = VAR130[1] ? { VAR197, VAR71,VAR101, VAR103, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR158 } : { VAR7,8'h59, VAR175, VAR175, 1'b0,VAR90,VAR21, 2'b00,2'b00,4'h8 }; VAR88 = VAR18; VAR141 = { VAR7,8'h59, VAR175, VAR175, 1'b0,VAR90,VAR21, 2'b00,2'b00,4'h8 }; VAR16 = VAR130[1] ? { VAR121, 8'h27, VAR176, VAR111, 1'b0,VAR90,VAR137, 2'b00,2'b10,4'h1 } : { VAR7,8'h00, VAR176, VAR111, 1'b1,VAR188,VAR137, 2'b00,2'b00,4'h0 }; VAR181 = VAR18; end 5'b11010 : begin VAR64 = VAR130[3] ? { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR184 = VAR130[3] ? { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR104 = { VAR7,8'h55, VAR175, VAR175, 1'b0,VAR90,VAR21, 2'b00,2'b00,4'h0 }; VAR128 = VAR130[1] ? ( VAR130[3] ? {VAR7,8'h5A, VAR176, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 } :{VAR197, VAR71,VAR101, VAR103, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR158 } ) : { VAR7,8'h59, VAR22,(VAR130[3] ? VAR176 : VAR111), 1'b1,VAR134,VAR60, 2'b00,2'b00,4'hE }; VAR88 = { VAR177,8'h54, VAR22,VAR175, 1'b0,VAR90,VAR21, 2'b00,2'b00,4'h1 }; VAR141 = { VAR7,8'h59, VAR22,VAR111, 1'b1,VAR134,VAR60, 2'b00,2'b00,4'hE }; VAR16 = VAR130[1] ? { VAR121, 8'h27, VAR175, VAR111, 1'b0,VAR90,VAR137, 2'b00,2'b10,4'h1 } : { VAR7,8'h00, VAR175, VAR111, 1'b1,VAR188,VAR137, 2'b00,2'b00,4'h0 }; VAR181 = { VAR197, VAR71,VAR101, VAR103, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR158 }; end 5'b11011 : begin VAR64 = VAR130[3] ? { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR33, 2'b00,2'b00,4'h0 }; VAR184 = VAR130[3] ? { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR33, 2'b00,2'b00,4'h0 }; VAR104 = { VAR7,8'h54, VAR176, VAR175, 1'b1,VAR134,VAR33, 2'b00,2'b00,4'h0 }; VAR128 = VAR130[1] ? { VAR197, VAR71,VAR101, VAR103, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR158 } : { VAR7,8'h5A, VAR25, VAR175, 1'b1,VAR178,VAR21, 2'b00,2'b00,VAR125 }; VAR88 = { VAR177,8'h6A, VAR22,VAR175, 1'b0,VAR90,VAR21, 2'b00,2'b00,4'h1 }; VAR141 = { VAR7,8'h55, VAR175, VAR175, 1'b0,VAR90,VAR21, 2'b00,2'b00,4'h0 }; VAR16 = VAR130[1] ? { VAR120, 8'h27, VAR111, VAR65, 1'b0,VAR90,VAR137, 2'b00,2'b10,4'h1 } : { VAR7,8'h00, VAR111, VAR65, 1'b1,VAR188,VAR137, 2'b00,2'b00,4'h0 }; VAR181 = { VAR7,8'h68, VAR176, VAR175, 1'b1,VAR24,VAR139, 2'b00,2'b00,4'h0 }; end 5'b11101 : begin VAR64 = VAR130[3] ? { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR33, 2'b00,2'b00,4'h0 }; VAR184 = VAR130[3] ? { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR33, 2'b00,2'b00,4'h0 }; VAR104 = { VAR7,8'h54, VAR176, VAR175, 1'b1,VAR134,VAR33, 2'b00,2'b00,4'h0 }; VAR128 = VAR130[1] ? { VAR197, VAR71,VAR101, VAR103, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR158 } : { VAR7,8'h55, VAR25, VAR175, 1'b1,VAR178,VAR33, 2'b00,2'b00,4'h0 }; VAR88 = { VAR7,8'h5A, VAR22,VAR111, 1'b1,VAR134,VAR44, 2'b00,2'b00,4'h0 }; VAR141 = { VAR7,8'h55, VAR176, VAR175, 1'b1,VAR178,VAR33, 2'b00,2'b00,4'h0 }; VAR16 = { VAR20, 8'h60, VAR65, VAR175, 1'b0,VAR90,VAR21, 2'b00,2'b00,4'h0 }; VAR181 = { VAR105, 8'h59, VAR22,VAR111, 1'b0,VAR90,VAR21, 2'b00,2'b00,4'h0 }; end 5'b10111 : begin VAR64 = VAR130[3] ? { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR184 = VAR130[3] ? { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 } : { VAR7,8'h54, VAR131, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR104 = { VAR7,8'h54, VAR176, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR128 = VAR130[1] ? { VAR197, VAR71,VAR101, VAR103, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR158 } : { VAR7,8'h59, VAR111, VAR25, 1'b0,VAR90,VAR137, 2'b01,2'b00,4'h0 }; VAR88 = VAR18; VAR141 = VAR79 ? { VAR7,8'h59, VAR111, VAR176, 1'b0,VAR90,VAR137, 2'b01,2'b00,4'h0 } : { VAR7,8'h1F, VAR111, VAR176, 1'b0,VAR90,VAR137, 2'b11,2'b00,4'h0 }; VAR16 = { VAR7,8'h1F, VAR175, (VAR130[1] ? VAR176 : VAR45), ~VAR130[1],VAR188,VAR137, 2'b10,2'b00,4'h0 }; VAR181 = VAR18; end 5'b11000 : begin VAR64 = { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR184 = { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR104 = { VAR7,VAR54, VAR176, VAR175, 1'b1,VAR134,VAR21, 2'b00,2'b00,4'h0 }; VAR128 = VAR130[1] ? { VAR197, VAR71,VAR101, VAR103, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR158 } : ( VAR79 ? {VAR7,8'h5A, VAR25, VAR65, 1'b0,VAR90,VAR137, 2'b00,2'b10,4'h0 } : {VAR7,8'h00, VAR111, VAR25, 1'b1,VAR19,VAR137, 2'b00,2'b10,4'h0 } ); VAR88 = { VAR7,8'h54, VAR176, VAR175, 1'b1,VAR178,VAR21, 2'b00,2'b00,4'h0 }; VAR141 = VAR79 ? { VAR7,8'h59, VAR176, VAR175, 1'b1,VAR24,VAR21, 2'b00,2'b00,4'h0 } : { VAR7,8'h00, VAR111, VAR176, 1'b1,VAR19,VAR137, 2'b00,2'b10,4'h0 }; VAR16 = { VAR7,8'h5A, VAR11, VAR65, 1'b0,VAR90,VAR137, 2'b00,2'b10,4'h0 }; VAR181 = { VAR7,8'h00, VAR111, (VAR130[1] ? VAR11 : VAR25), 1'b1,VAR19,VAR137, 2'b00,2'b10,4'h0 }; end 5'b11100 : begin VAR64 = { VAR164, VAR80,VAR175, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR184 = { VAR164, VAR80,VAR47, VAR26, 1'b0,VAR90,VAR21, 2'b00,2'b00,VAR52 }; VAR104 = { VAR7,8'h54, VAR175, VAR175, 1'b1,VAR134,VAR110, 2'b00,2'b00,4'h0 }; VAR128 = { VAR95, 8'h73, VAR111, VAR22,1'b0,VAR90,VAR21, 2'b00,2'b00,4'h0 }; VAR88 = VAR18; VAR141 = VAR18; VAR16 = VAR18; VAR181 = VAR18; end default begin VAR64 = VAR18; VAR184 = VAR18; VAR104 = VAR18; VAR128 = VAR18; VAR88 = VAR18; VAR141 = VAR18; VAR16 = VAR18; VAR181 = VAR18; end endcase always @ casex (VAR167) 4'h0 : VAR50 = { VAR7,8'h00, VAR175, VAR175, 1'b1,VAR19,VAR110, 2'b00,2'b00,4'h0 }; 4'h1 : VAR50 = { VAR7,8'h62, VAR65, VAR109, 1'b1,VAR36,VAR94, 2'b10,2'b00,4'h0 }; 4'h2 : VAR50 = { VAR7,8'h00, VAR175, VAR175, 1'b0,VAR36,VAR94, 2'b00,2'b00,4'h0 }; 4'h3 : VAR50 = { VAR7,8'h00, VAR111, VAR109, 1'b1,VAR115, VAR74, 2'b00,2'b00,4'h0 }; 4'h4 : VAR50 = VAR130[1] ? { VAR197, VAR71,VAR101, VAR103, 1'b0,VAR134,VAR93, 2'b00,2'b00,VAR158 } : { VAR7,8'h59, VAR25, VAR111, 1'b0,VAR134,VAR94, 2'b01,2'b00,4'h0 }; 4'h5 : VAR50 = { VAR7,8'h59, VAR175, VAR175, 1'b1,VAR178,VAR30, 2'b00,2'b00,4'h0 }; 4'h6 : VAR50 = { VAR7,8'h01, VAR111, VAR175, 1'b0,VAR90,VAR21, 2'b00,2'b00,4'h0 }; 4'h7 : VAR50 = { VAR7,8'hC0, (VAR2[0] ? VAR65 : 7'h00), VAR175, 1'b0,VAR90,VAR137, 2'b00,2'b10,4'h0 }; 4'h8 : VAR50 = { VAR7,8'h69, VAR22,VAR111, 1'b1,VAR134,VAR60, 2'b00,2'b00,4'h0 }; 4'h9 : VAR50 = { VAR7,8'h59, VAR22,VAR11, 1'b0,VAR90,VAR60, 2'b00,2'b00,4'h0 }; 4'hA : VAR50 = { VAR7,8'h5A, VAR176, VAR175, 1'b1,VAR178,VAR21, 2'b00,2'b00,VAR125 }; 4'hB : VAR50 = { VAR7,8'h39, VAR176, VAR175, 1'b1,VAR178,VAR21, 2'b00,2'b00,4'h0 }; default : VAR50 = VAR18; endcase endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlymetal6s2s/sky130_fd_sc_lp__dlymetal6s2s.behavioral.pp.v
1,865
module MODULE1 ( VAR3 , VAR7 , VAR4, VAR1, VAR8 , VAR2 ); output VAR3 ; input VAR7 ; input VAR4; input VAR1; input VAR8 ; input VAR2 ; wire VAR10 ; wire VAR9; buf VAR12 (VAR10 , VAR7 ); VAR5 VAR6 (VAR9, VAR10, VAR4, VAR1); buf VAR11 (VAR3 , VAR9 ); endmodule
apache-2.0
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/tx_engine_ultrascale.v
12,340
module MODULE1 parameter VAR24 = 1, parameter VAR48 = 0, parameter VAR4 = 64) ( input VAR38, input VAR10, input VAR71, output VAR77, output VAR82, input [VAR2-1:0] VAR27, input VAR33, output VAR34, output VAR35, output [VAR45-1:0] VAR19, output [(VAR45/32)-1:0] VAR84, output [VAR62-1:0] VAR52, input VAR65, input [VAR45-1:0] VAR68, input VAR32, input [VAR81(VAR45/32)-1:0] VAR30, input VAR51, input [VAR81(VAR45/32)-1:0] VAR12, output VAR66, input VAR5, input [VAR50-1:0] VAR13, input [VAR78-1:0] VAR75, input [VAR17-1:0] VAR15, input [VAR43-1:0] VAR14, input [VAR61-1:0] VAR11, input [VAR8-1:0] VAR23, input [VAR70-1:0] VAR22, input [VAR74-1:0] VAR64, input [VAR72-1:0] VAR57, input [VAR67-1:0] VAR60, input VAR63, output VAR40, output VAR3, input VAR9, output VAR80, output VAR26, output [VAR45-1:0] VAR29, output [(VAR45/32)-1:0] VAR54, output [VAR53-1:0] VAR6, input VAR83, input [VAR45-1:0] VAR36, input VAR76, input [VAR81(VAR45/32)-1:0] VAR41, input VAR58, input [VAR81(VAR45/32)-1:0] VAR21, output VAR37, input VAR44, input [VAR50-1:0] VAR59, input [VAR78-1:0] VAR49, input [VAR47-1:0] VAR42, input [VAR61-1:0] VAR39, input [VAR70-1:0] VAR16, input [VAR72-1:0] VAR46, input [VAR67-1:0] VAR7, input [VAR43-1:0] VAR28, input VAR55, output VAR1, output VAR56 ); localparam VAR18 = 10; reg VAR69; reg VAR25; assign VAR3 = VAR69; assign VAR56 = VAR25; always @(posedge VAR38) begin VAR69 <= VAR35 & VAR34 & VAR33; VAR25 <= VAR26 & VAR80 & VAR9; end VAR79 .VAR45 (VAR45), .VAR24 (VAR24), .VAR48 (VAR48), .VAR18 (VAR18), .VAR4 (VAR4)) VAR20 ( .VAR82 (VAR82), .VAR80 (VAR80), .VAR26 (VAR26), .VAR29 (VAR29[VAR45-1:0]), .VAR54 (VAR54[(VAR45/32)-1:0]), .VAR6 (VAR6[VAR53-1:0]), .VAR37 (VAR37), .VAR1 (VAR1), .VAR38 (VAR38), .VAR10 (VAR10), .VAR71 (VAR71), .VAR27 (VAR27[VAR2-1:0]), .VAR9 (VAR9), .VAR83 (VAR83), .VAR36 (VAR36[VAR45-1:0]), .VAR76 (VAR76), .VAR41 (VAR41[VAR81(VAR45/32)-1:0]), .VAR58 (VAR58), .VAR21 (VAR21[VAR81(VAR45/32)-1:0]), .VAR44 (VAR44), .VAR59 (VAR59[VAR50-1:0]), .VAR49 (VAR49[VAR78-1:0]), .VAR42 (VAR42[VAR47-1:0]), .VAR39 (VAR39[VAR61-1:0]), .VAR16 (VAR16[VAR70-1:0]), .VAR46 (VAR46[VAR72-1:0]), .VAR7 (VAR7[VAR67-1:0]), .VAR28 (VAR28[VAR43-1:0]), .VAR55 (VAR55)); VAR31 .VAR45 (VAR45), .VAR24 (VAR24), .VAR48 (VAR48), .VAR18 (VAR18), .VAR4 (VAR4)) VAR73 ( .VAR77 (VAR77), .VAR34 (VAR34), .VAR35 (VAR35), .VAR19 (VAR19[VAR45-1:0]), .VAR84 (VAR84[(VAR45/32)-1:0]), .VAR52 (VAR52[VAR62-1:0]), .VAR66 (VAR66), .VAR40 (VAR40), .VAR38 (VAR38), .VAR10 (VAR10), .VAR71 (VAR71), .VAR27 (VAR27[VAR2-1:0]), .VAR33 (VAR33), .VAR65 (VAR65), .VAR68 (VAR68[VAR45-1:0]), .VAR32 (VAR32), .VAR30 (VAR30[VAR81(VAR45/32)-1:0]), .VAR51 (VAR51), .VAR12 (VAR12[VAR81(VAR45/32)-1:0]), .VAR5 (VAR5), .VAR13 (VAR13[VAR50-1:0]), .VAR75 (VAR75[VAR78-1:0]), .VAR15 (VAR15[VAR17-1:0]), .VAR14 (VAR14[VAR43-1:0]), .VAR11 (VAR11[VAR61-1:0]), .VAR23 (VAR23[VAR8-1:0]), .VAR22 (VAR22[VAR70-1:0]), .VAR64 (VAR64[VAR74-1:0]), .VAR57 (VAR57[VAR72-1:0]), .VAR60 (VAR60[VAR67-1:0]), .VAR63 (VAR63)); endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai211/gf180mcu_fd_sc_mcu7t5v0__oai211_2.functional.pp.v
1,469
module MODULE1( VAR18, VAR5, VAR10, VAR6, VAR2, VAR14, VAR16 ); input VAR10, VAR18, VAR6, VAR2; inout VAR14, VAR16; output VAR5; wire VAR12; not VAR11( VAR12, VAR10 ); wire VAR3; not VAR8( VAR3, VAR18 ); wire VAR7; and VAR9( VAR7, VAR12, VAR3 ); wire VAR4; not VAR1( VAR4, VAR6 ); wire VAR13; not VAR15( VAR13, VAR2 ); or VAR17( VAR5, VAR7, VAR4, VAR13 ); endmodule
apache-2.0
kactus2/ipxactexamplelib
tut.fi/communication.template/wb_master/1.0/wb_master.v
9,452
module MODULE1 #( parameter VAR28 = 16, parameter VAR31 = 8, parameter VAR24 = 32, parameter VAR30 = 'h0F00, parameter VAR18 = 0 ) ( input VAR32, input [VAR24-1:0] VAR20, input VAR2, output reg [VAR28-1:0] VAR11, output reg VAR14, output reg [VAR24-1:0] VAR10, output reg VAR8, output reg VAR22, input VAR13, input VAR1, input VAR15, output reg VAR23 ); localparam VAR16 = 8; localparam VAR17 = VAR24/VAR16; localparam VAR5 = 1000; reg [VAR24-1:0] VAR4 [VAR31-1:0]; reg [VAR26(VAR31)-1:0] VAR9; reg [2:0] state; integer VAR3; integer VAR7; parameter [2:0] VAR25 = 3'd0, VAR29 = 3'd1, VAR6 = 3'd2, VAR12 = 3'd3, VAR27 = 3'd4, VAR21 = 3'd5, VAR19 = 3'd6; always @(posedge VAR13 or posedge VAR1) begin if(VAR1 == 1'b1) begin for (VAR3 = 0; VAR3 < VAR31; VAR3 = VAR3 + 1) begin VAR4[VAR3] = {VAR17{VAR3[VAR16-1:0]}}; end state <= VAR25; VAR10 <= 0; VAR14 <= 0; VAR8 <= 0; VAR22 <= 0; VAR23 <= 0; VAR9 <= 0; VAR11 <= 0; VAR7 = 0; end else begin case(state) VAR25: begin if (VAR18) begin state <= VAR29; end else begin state <= VAR12; end VAR23 <= 0; VAR9 <= 0; end VAR29: begin VAR14 <= 1; VAR8 <= 1; VAR22 <= 1; VAR11 <= VAR30 - 10; state <= VAR6; VAR7 = 0; end VAR6: begin if (VAR2 == 1'b1) begin VAR14 <= 0; VAR8 <= 0; VAR22 <= 0; state <= VAR12; end if (VAR7 > VAR5) VAR7 = VAR7 + 1; end VAR12: begin VAR14 <= 1; VAR8 <= 1; VAR22 <= 1; VAR10 <= VAR4[VAR9]; VAR11 <= (VAR9 * VAR17) + VAR30; state <= VAR27; VAR7 = 0; end VAR27: begin if (VAR32 == 1'b1) begin VAR14 <= 0; VAR8 <= 0; VAR22 <= 0; state <= VAR21; end if (VAR7 > VAR5) VAR7 = VAR7 + 1; end VAR21: begin VAR14 <= 1; VAR8 <= 1; VAR22 <= 0; state <= VAR19; end VAR19: begin if (VAR32 == 1'b1) begin VAR14 <= 0; VAR8 <= 0; VAR22 <= 0; if (VAR4[VAR9] != VAR20) begin end if (VAR9 == VAR31-1) begin VAR23 <= 1; end else begin VAR9 <= VAR9 + 1; state <= VAR12; VAR7 = 0; end end if (VAR7 > VAR5) VAR7 = VAR7 + 1; end default: begin end endcase end end endmodule
mit
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeDE1SoC/Computer_System/synthesis/submodules/altera_up_video_dma_to_memory.v
4,698
module MODULE1 ( clk, reset, VAR9, VAR12, VAR6, VAR10, VAR16, VAR15, VAR7, VAR14, VAR13, VAR5, VAR4 ); parameter VAR1 = 15; parameter VAR2 = 0; parameter VAR11 = 15; input clk; input reset; input [VAR1: 0] VAR9; input VAR12; input VAR6; input [VAR2: 0] VAR10; input VAR16; input VAR15; output VAR7; output VAR14; output [VAR11:0] VAR13; output VAR5; output VAR4; reg [VAR1: 0] VAR8; reg VAR3; always @(posedge clk) begin if (reset & ~VAR15) begin VAR8 <= 'h0; VAR3 <= 1'b0; end else if (VAR7) begin VAR8 <= VAR9; VAR3 <= VAR16; end end assign VAR7 = ~reset & (~VAR3 | ~VAR15); assign VAR14 = VAR3; assign VAR13 = VAR8; assign VAR5 = VAR7 & VAR16; assign VAR4 = VAR5 & VAR12; endmodule
mit
alexforencich/verilog-ethernet
example/ZCU106/fpga/rtl/eth_xcvr_phy_wrapper.v
9,016
module MODULE1 # ( parameter VAR10 = 1, parameter VAR105 = 64, parameter VAR125 = (VAR105/8), parameter VAR93 = 2, parameter VAR119 = 0, parameter VAR43 = 0, parameter VAR121 = 0, parameter VAR4 = 1, parameter VAR38 = 8, parameter VAR131 = 125000/6.4 ) ( input wire VAR64, input wire VAR41, output wire VAR31, input wire VAR40, output wire VAR7, output wire VAR61, output wire VAR91, input wire VAR53, output wire VAR96, input wire VAR132, input wire VAR32, output wire VAR52, output wire VAR102, input wire VAR115, input wire VAR39, output wire VAR79, output wire VAR123, input wire [VAR105-1:0] VAR73, input wire [VAR125-1:0] VAR70, output wire VAR19, output wire VAR58, output wire [VAR105-1:0] VAR133, output wire [VAR125-1:0] VAR117, output wire VAR108, output wire [6:0] VAR72, output wire VAR24, output wire VAR45, output wire VAR98, output wire VAR95, input wire VAR54, input wire VAR99 ); wire VAR1; wire VAR6 = 1'b0; wire VAR113 = VAR1; wire VAR120; wire VAR83; wire [5:0] VAR57; wire [63:0] VAR13; wire VAR126; wire [5:0] VAR76; wire [1:0] VAR110; wire [63:0] VAR22; wire [1:0] VAR71; generate if (VAR10) begin : VAR16 VAR101 VAR34 ( .VAR50(VAR64), .VAR97(VAR41), .VAR47(VAR31), .VAR56(VAR40), .VAR77(VAR7), .VAR104(VAR61), .VAR122(VAR91), .VAR116(VAR52), .VAR107(VAR102), .VAR23(VAR115), .VAR124(VAR39), .VAR11(1'b0), .VAR94(), .VAR25(), .VAR89(VAR79), .VAR65(), .VAR112(1'b0), .VAR82(VAR6), .VAR69(VAR120), .VAR49(), .VAR9(), .VAR129(VAR13), .VAR75(VAR57), .VAR20(7'b0), .VAR60(1'b0), .VAR48(), .VAR109(), .VAR103(VAR19), .VAR55(), .VAR46(1'b0), .VAR67(VAR113), .VAR114(), .VAR81(VAR83), .VAR15(), .VAR28(), .VAR135(VAR126), .VAR68(VAR22), .VAR78(VAR71), .VAR100(VAR76), .VAR12(VAR110), .VAR33() ); end else begin : VAR16 VAR127 VAR128 ( .VAR50(VAR64), .VAR97(VAR41), .VAR47(VAR31), .VAR85(VAR53), .VAR30(VAR96), .VAR106(VAR132), .VAR66(VAR32), .VAR51(1'b0), .VAR44(1'b0), .VAR116(VAR52), .VAR107(VAR102), .VAR23(VAR115), .VAR124(VAR39), .VAR11(1'b0), .VAR94(), .VAR25(), .VAR89(VAR79), .VAR65(), .VAR112(1'b0), .VAR82(VAR6), .VAR69(VAR120), .VAR49(), .VAR9(), .VAR129(VAR13), .VAR75(VAR57), .VAR20(7'b0), .VAR60(1'b0), .VAR48(), .VAR109(), .VAR103(VAR19), .VAR55(), .VAR46(1'b0), .VAR67(VAR113), .VAR114(), .VAR81(VAR83), .VAR15(), .VAR28(), .VAR135(VAR126), .VAR68(VAR22), .VAR78(VAR71), .VAR100(VAR76), .VAR12(VAR110), .VAR33() ); end endgenerate VAR86 #( .VAR62(4) ) VAR42 ( .clk(VAR79), .rst(!VAR120), .out(VAR123) ); VAR86 #( .VAR62(4) ) VAR59 ( .clk(VAR19), .rst(!VAR83), .out(VAR58) ); VAR63 #( .VAR105(VAR105), .VAR125(VAR125), .VAR93(VAR93), .VAR21(1), .VAR111(0), .VAR119(VAR119), .VAR43(VAR43), .VAR121(VAR121), .VAR4(VAR4), .VAR38(VAR38), .VAR131(VAR131) ) VAR88 ( .VAR74(VAR79), .VAR134(VAR123), .VAR29(VAR19), .VAR37(VAR58), .VAR84(VAR73), .VAR3(VAR70), .VAR92(VAR133), .VAR26(VAR117), .VAR8(VAR13), .VAR17(VAR57), .VAR14(VAR22), .VAR5(VAR76), .VAR36(VAR126), .VAR90(VAR1), .VAR18(VAR108), .VAR80(VAR72), .VAR87(VAR24), .VAR2(VAR45), .VAR118(VAR98), .VAR27(VAR95), .VAR130(VAR54), .VAR35(VAR99) ); endmodule
mit
silent-observer/RCPU
CPU/source/StackRAM.v
7,341
module MODULE1 ( address, VAR56, VAR27, VAR6, VAR46, VAR10); input [9:0] address; input [1:0] VAR56; input VAR27; input [15:0] VAR6; input VAR46; output [15:0] VAR10; tri1 [1:0] VAR56; tri1 VAR27; wire [15:0] VAR38; wire [15:0] VAR10 = VAR38[15:0]; VAR40 VAR34 ( .VAR32 (address), .VAR26 (VAR56), .VAR42 (VAR27), .VAR55 (VAR6), .VAR28 (VAR46), .VAR2 (VAR38), .VAR39 (1'b0), .VAR53 (1'b0), .VAR8 (1'b1), .VAR1 (1'b0), .VAR35 (1'b0), .VAR19 (1'b1), .VAR23 (1'b1), .VAR47 (1'b1), .VAR44 (1'b1), .VAR49 (1'b1), .VAR50 (1'b1), .VAR57 (1'b1), .VAR11 (), .VAR22 (), .VAR17 (1'b1), .VAR20 (1'b1), .VAR16 (1'b0)); VAR34.VAR25 = 8, VAR34.VAR36 = "VAR15", VAR34.VAR52 = "VAR15", VAR34.VAR9 = "VAR51 VAR24 VAR41", VAR34.VAR18 = "VAR43=VAR31", VAR34.VAR13 = "VAR40", VAR34.VAR48 = 1024, VAR34.VAR3 = "VAR29", VAR34.VAR7 = "VAR45", VAR34.VAR5 = "VAR21", VAR34.VAR4 = "VAR54", VAR34.VAR14 = "VAR37", VAR34.VAR30 = 10, VAR34.VAR33 = 16, VAR34.VAR12 = 2; endmodule
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/new/led_controller.v
2,883
module MODULE1( input clk, input [7 : 0] VAR18, output reg [10 : 0] VAR19 = 0, output reg [7 : 0] VAR10 = 0, output reg VAR8 = 0, output VAR7, output VAR9, output VAR3 ); assign VAR3 = VAR17; reg VAR17 = 0; reg VAR15 = 0; reg VAR11 = 0; reg [15 : 0] VAR14 = 0; reg [7 : 0] VAR6 = 8'h0; reg VAR4 = 0; wire VAR2; VAR13 VAR1( .clk(clk), .VAR12(VAR6), .VAR16(VAR9), .VAR5(VAR7), .VAR4(VAR4), .VAR2(VAR2) ); always @ (posedge clk) begin if (!VAR17) begin if (VAR19 != 0) VAR19 = 0; end else if (VAR18[0] == 1) begin VAR17 = 1; VAR15 = 0; VAR14 = 0; end end if (VAR14 >= 9'h12C) begin if (VAR15 == 0) begin VAR19 = 9'h1; VAR8 = 1; VAR10 = 8'h1; VAR15 = 1; end else if (VAR15 == 1) begin VAR8 = 0; VAR15 = 0; VAR17 = 0; end end if (VAR17 && !VAR11) begin if (VAR15 == 0) begin VAR19 = 9'h10 + VAR14; VAR15 = 1; end else if (VAR15 == 1) begin VAR14 = VAR14 + 1; VAR11 = 1; VAR4 = 1; VAR6 = VAR18[7 : 0]; VAR15 = 0; end end if (VAR17 && VAR11) begin if (VAR2) begin VAR11 = 0; VAR4 = 0; end end end endmodule
mit
devinacker/sd2snes
verilog/sd2snes_obc1/dac.v
6,918
module MODULE1( input VAR28, input VAR33, input VAR43, input[10:0] VAR4, input[7:0] VAR19, input[7:0] VAR49, input VAR8, input [2:0] VAR17, input VAR25, input reset, input VAR14, output VAR6, output VAR35, output VAR48, output VAR1, output VAR51 ); reg[8:0] VAR10; reg[8:0] VAR32; wire[8:0] VAR45 = VAR32; wire[31:0] VAR40; assign VAR51 = VAR10[8]; reg[10:0] VAR3; reg[10:0] VAR37; reg[1:0] VAR7; reg VAR12; reg[2:0] VAR16; wire VAR44 = (VAR16[2:1] == 2'b01); always @(posedge VAR28) begin VAR16 <= {VAR16[1:0], VAR33}; end VAR34 VAR24 ( .VAR26(VAR28), .VAR39(~VAR43), .VAR22(VAR4), .VAR13(VAR19), .VAR21(VAR28), .VAR50(VAR45), .VAR18(VAR40)); reg [10:0] VAR46; reg [15:0] VAR2; reg [1:0] VAR36; reg [15:0] VAR15; wire VAR27 = VAR46[2]; wire VAR41 = VAR46[8]; wire VAR47 = VAR46[3]; reg [1:0] VAR5; reg [1:0] VAR29; reg [1:0] VAR31; assign VAR35 = VAR27; assign VAR48 = VAR41; assign VAR1 = VAR47; wire VAR11 = (VAR29[1:0] == 2'b01); wire VAR42 = (VAR29[1:0] == 2'b10); wire VAR23 = (VAR31[1:0] == 2'b01); wire VAR9 = (VAR31[1:0] == 2'b10); wire VAR20 = (VAR7[1:0] == 2'b01); reg VAR30; assign VAR6 = VAR30; reg VAR38;
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/tap/sky130_fd_sc_hd__tap.pp.blackbox.v
1,215
module MODULE1 ( VAR4, VAR1, VAR3 , VAR2 ); input VAR4; input VAR1; input VAR3 ; input VAR2 ; endmodule
apache-2.0
sergev/vak-opensource
hardware/s3esk-openrisc/tc_top.v
41,632
module MODULE1 ( VAR236, VAR256, VAR40, VAR42, VAR146, VAR187, VAR16, VAR79, VAR228, VAR66, VAR264, VAR41, VAR248, VAR206, VAR110, VAR50, VAR184, VAR203, VAR235, VAR78, VAR141, VAR220, VAR43, VAR273, VAR247, VAR152, VAR32, VAR194, VAR159, VAR6, VAR45, VAR227, VAR260, VAR281, VAR209, VAR154, VAR60, VAR10, VAR145, VAR37, VAR210, VAR76, VAR44, VAR179, VAR229, VAR157, VAR207, VAR237, VAR253, VAR77, VAR177, VAR241, VAR54, VAR174, VAR153, VAR242, VAR87, VAR263, VAR130, VAR100, VAR93, VAR158, VAR29, VAR144, VAR279, VAR289, VAR7, VAR107, VAR156, VAR234, VAR4, VAR64, VAR80, VAR231, VAR86, VAR180, VAR240, VAR122, VAR205, VAR12, VAR155, VAR191, VAR276, VAR250, VAR5, VAR75, VAR278, VAR166, VAR216, VAR163, VAR287, VAR114, VAR275, VAR46, VAR198, VAR97, VAR112, VAR126, VAR254, VAR69, VAR118, VAR292, VAR197, VAR34, VAR213, VAR291, VAR196, VAR147, VAR21, VAR121, VAR35, VAR151, VAR49, VAR138, VAR258, VAR25, VAR30, VAR96, VAR88, VAR23, VAR215, VAR212, VAR208, VAR238, VAR90, VAR193, VAR173, VAR148, VAR13, VAR261, VAR108, VAR71, VAR81, VAR24, VAR92, VAR246, VAR283, VAR89, VAR251, VAR106, VAR181, VAR186, VAR82, VAR116, VAR189, VAR218, VAR123, VAR133, VAR8, VAR188, VAR255, VAR19, VAR178, VAR161, VAR175, VAR277, VAR62, VAR52, VAR244, VAR225, VAR262, VAR252, VAR149, VAR119, VAR272, VAR127, VAR288, VAR282, VAR140, VAR117, VAR183, VAR217 ); parameter VAR102 = 4; parameter VAR33 = 4'd8; parameter VAR136 = 4; parameter VAR176 = 4'd0; parameter VAR233 = 4; parameter VAR58 = 4'd0; parameter VAR168 = 4; parameter VAR259 = 4'd1; parameter VAR201 = 4'd2; parameter VAR68 = 4'd3; parameter VAR27 = 4'd4; parameter VAR232 = 4'd5; parameter VAR280 = 4'd6; parameter VAR135 = 4'd7; input VAR236; input VAR256; input VAR40; input VAR42; input VAR146; input [VAR162-1:0] VAR187; input [VAR38-1:0] VAR16; input VAR79; input [VAR200-1:0] VAR228; output [VAR200-1:0] VAR66; output VAR264; output VAR41; input VAR248; input VAR206; input VAR110; input [VAR162-1:0] VAR50; input [VAR38-1:0] VAR184; input VAR203; input [VAR200-1:0] VAR235; output [VAR200-1:0] VAR78; output VAR141; output VAR220; input VAR43; input VAR273; input VAR247; input [VAR162-1:0] VAR152; input [VAR38-1:0] VAR32; input VAR194; input [VAR200-1:0] VAR159; output [VAR200-1:0] VAR6; output VAR45; output VAR227; input VAR260; input VAR281; input VAR209; input [VAR162-1:0] VAR154; input [VAR38-1:0] VAR60; input VAR10; input [VAR200-1:0] VAR145; output [VAR200-1:0] VAR37; output VAR210; output VAR76; input VAR44; input VAR179; input VAR229; input [VAR162-1:0] VAR157; input [VAR38-1:0] VAR207; input VAR237; input [VAR200-1:0] VAR253; output [VAR200-1:0] VAR77; output VAR177; output VAR241; input VAR54; input VAR174; input VAR153; input [VAR162-1:0] VAR242; input [VAR38-1:0] VAR87; input VAR263; input [VAR200-1:0] VAR130; output [VAR200-1:0] VAR100; output VAR93; output VAR158; input VAR29; input VAR144; input VAR279; input [VAR162-1:0] VAR289; input [VAR38-1:0] VAR7; input VAR107; input [VAR200-1:0] VAR156; output [VAR200-1:0] VAR234; output VAR4; output VAR64; input VAR80; input VAR231; input VAR86; input [VAR162-1:0] VAR180; input [VAR38-1:0] VAR240; input VAR122; input [VAR200-1:0] VAR205; output [VAR200-1:0] VAR12; output VAR155; output VAR191; output VAR276; output VAR250; output VAR5; output [VAR162-1:0] VAR75; output [VAR38-1:0] VAR278; output VAR166; output [VAR200-1:0] VAR216; input [VAR200-1:0] VAR163; input VAR287; input VAR114; output VAR275; output VAR46; output VAR198; output [VAR162-1:0] VAR97; output [VAR38-1:0] VAR112; output VAR126; output [VAR200-1:0] VAR254; input [VAR200-1:0] VAR69; input VAR118; input VAR292; output VAR197; output VAR34; output VAR213; output [VAR162-1:0] VAR291; output [VAR38-1:0] VAR196; output VAR147; output [VAR200-1:0] VAR21; input [VAR200-1:0] VAR121; input VAR35; input VAR151; output VAR49; output VAR138; output VAR258; output [VAR162-1:0] VAR25; output [VAR38-1:0] VAR30; output VAR96; output [VAR200-1:0] VAR88; input [VAR200-1:0] VAR23; input VAR215; input VAR212; output VAR208; output VAR238; output VAR90; output [VAR162-1:0] VAR193; output [VAR38-1:0] VAR173; output VAR148; output [VAR200-1:0] VAR13; input [VAR200-1:0] VAR261; input VAR108; input VAR71; output VAR81; output VAR24; output VAR92; output [VAR162-1:0] VAR246; output [VAR38-1:0] VAR283; output VAR89; output [VAR200-1:0] VAR251; input [VAR200-1:0] VAR106; input VAR181; input VAR186; output VAR82; output VAR116; output VAR189; output [VAR162-1:0] VAR218; output [VAR38-1:0] VAR123; output VAR133; output [VAR200-1:0] VAR8; input [VAR200-1:0] VAR188; input VAR255; input VAR19; output VAR178; output VAR161; output VAR175; output [VAR162-1:0] VAR277; output [VAR38-1:0] VAR62; output VAR52; output [VAR200-1:0] VAR244; input [VAR200-1:0] VAR225; input VAR262; input VAR252; output VAR149; output VAR119; output VAR272; output [VAR162-1:0] VAR127; output [VAR38-1:0] VAR288; output VAR282; output [VAR200-1:0] VAR140; input [VAR200-1:0] VAR117; input VAR183; input VAR217; wire [VAR200-1:0] VAR143; wire VAR266; wire VAR257; wire [VAR200-1:0] VAR83; wire VAR128; wire VAR99; wire [VAR200-1:0] VAR47; wire VAR160; wire VAR73; wire [VAR200-1:0] VAR105; wire VAR26; wire VAR192; wire [VAR200-1:0] VAR265; wire VAR268; wire VAR204; wire [VAR200-1:0] VAR129; wire VAR91; wire VAR274; wire [VAR200-1:0] VAR243; wire VAR226; wire VAR165; wire [VAR200-1:0] VAR53; wire VAR36; wire VAR84; wire [VAR200-1:0] VAR113; wire VAR270; wire VAR230; wire [VAR200-1:0] VAR15; wire VAR286; wire VAR285; wire [VAR200-1:0] VAR20; wire VAR63; wire VAR219; wire [VAR200-1:0] VAR195; wire VAR55; wire VAR172; wire [VAR200-1:0] VAR170; wire VAR51; wire VAR48; wire [VAR200-1:0] VAR115; wire VAR290; wire VAR103; wire [VAR200-1:0] VAR28; wire VAR211; wire VAR109; wire [VAR200-1:0] VAR2; wire VAR199; wire VAR222; wire VAR139; wire VAR3; wire VAR57; wire [VAR162-1:0] VAR271; wire [VAR38-1:0] VAR22; wire VAR142; wire [VAR200-1:0] VAR132; wire [VAR200-1:0] VAR120; wire VAR150; wire VAR190; assign VAR66 = VAR143 | VAR113; assign VAR264 = VAR266 | VAR270; assign VAR41 = VAR257 | VAR230; assign VAR78 = VAR83 | VAR15; assign VAR141 = VAR128 | VAR286; assign VAR220 = VAR99 | VAR285; assign VAR6 = VAR47 | VAR20; assign VAR45 = VAR160 | VAR63; assign VAR227 = VAR73 | VAR219; assign VAR37 = VAR105 | VAR195; assign VAR210 = VAR26 | VAR55; assign VAR76 = VAR192 | VAR172; assign VAR77 = VAR265 | VAR170; assign VAR177 = VAR268 | VAR51; assign VAR241 = VAR204 | VAR48; assign VAR100 = VAR129 | VAR115; assign VAR93 = VAR91 | VAR290; assign VAR158 = VAR274 | VAR103; assign VAR234 = VAR243 | VAR28; assign VAR4 = VAR226 | VAR211; assign VAR64 = VAR165 | VAR109; assign VAR12 = VAR53 | VAR2; assign VAR155 = VAR36 | VAR199; assign VAR191 = VAR84 | VAR222; MODULE2 #(VAR102, VAR33, 0, VAR102, VAR33) VAR31( .VAR236(VAR236), .VAR256(VAR256), .VAR40(VAR40), .VAR42(VAR42), .VAR146(VAR146), .VAR187(VAR187), .VAR16(VAR16), .VAR79(VAR79), .VAR228(VAR228), .VAR66(VAR143), .VAR264(VAR266), .VAR41(VAR257), .VAR248(VAR248), .VAR206(VAR206), .VAR110(VAR110), .VAR50(VAR50), .VAR184(VAR184), .VAR203(VAR203), .VAR235(VAR235), .VAR78(VAR83), .VAR141(VAR128), .VAR220(VAR99), .VAR43(VAR43), .VAR273(VAR273), .VAR247(VAR247), .VAR152(VAR152), .VAR32(VAR32), .VAR194(VAR194), .VAR159(VAR159), .VAR6(VAR47), .VAR45(VAR160), .VAR227(VAR73), .VAR260(VAR260), .VAR281(VAR281), .VAR209(VAR209), .VAR154(VAR154), .VAR60(VAR60), .VAR10(VAR10), .VAR145(VAR145), .VAR37(VAR105), .VAR210(VAR26), .VAR76(VAR192), .VAR44(VAR44), .VAR179(VAR179), .VAR229(VAR229), .VAR157(VAR157), .VAR207(VAR207), .VAR237(VAR237), .VAR253(VAR253), .VAR77(VAR265), .VAR177(VAR268), .VAR241(VAR204), .VAR54(VAR54), .VAR174(VAR174), .VAR153(VAR153), .VAR242(VAR242), .VAR87(VAR87), .VAR263(VAR263), .VAR130(VAR130), .VAR100(VAR129), .VAR93(VAR91), .VAR158(VAR274), .VAR29(VAR29), .VAR144(VAR144), .VAR279(VAR279), .VAR289(VAR289), .VAR7(VAR7), .VAR107(VAR107), .VAR156(VAR156), .VAR234(VAR243), .VAR4(VAR226), .VAR64(VAR165), .VAR80(VAR80), .VAR231(VAR231), .VAR86(VAR86), .VAR180(VAR180), .VAR240(VAR240), .VAR122(VAR122), .VAR205(VAR205), .VAR12(VAR53), .VAR155(VAR36), .VAR191(VAR84), .VAR276(VAR276), .VAR250(VAR250), .VAR5(VAR5), .VAR75(VAR75), .VAR278(VAR278), .VAR166(VAR166), .VAR216(VAR216), .VAR163(VAR163), .VAR287(VAR287), .VAR114(VAR114) ); MODULE2 #(VAR136, VAR176, 1, VAR233, VAR58) VAR137( .VAR236(VAR236), .VAR256(VAR256), .VAR40(VAR40), .VAR42(VAR42), .VAR146(VAR146), .VAR187(VAR187), .VAR16(VAR16), .VAR79(VAR79), .VAR228(VAR228), .VAR66(VAR113), .VAR264(VAR270), .VAR41(VAR230), .VAR248(VAR248), .VAR206(VAR206), .VAR110(VAR110), .VAR50(VAR50), .VAR184(VAR184), .VAR203(VAR203), .VAR235(VAR235), .VAR78(VAR15), .VAR141(VAR286), .VAR220(VAR285), .VAR43(VAR43), .VAR273(VAR273), .VAR247(VAR247), .VAR152(VAR152), .VAR32(VAR32), .VAR194(VAR194), .VAR159(VAR159), .VAR6(VAR20), .VAR45(VAR63), .VAR227(VAR219), .VAR260(VAR260), .VAR281(VAR281), .VAR209(VAR209), .VAR154(VAR154), .VAR60(VAR60), .VAR10(VAR10), .VAR145(VAR145), .VAR37(VAR195), .VAR210(VAR55), .VAR76(VAR172), .VAR44(VAR44), .VAR179(VAR179), .VAR229(VAR229), .VAR157(VAR157), .VAR207(VAR207), .VAR237(VAR237), .VAR253(VAR253), .VAR77(VAR170), .VAR177(VAR51), .VAR241(VAR48), .VAR54(VAR54), .VAR174(VAR174), .VAR153(VAR153), .VAR242(VAR242), .VAR87(VAR87), .VAR263(VAR263), .VAR130(VAR130), .VAR100(VAR115), .VAR93(VAR290), .VAR158(VAR103), .VAR29(VAR29), .VAR144(VAR144), .VAR279(VAR279), .VAR289(VAR289), .VAR7(VAR7), .VAR107(VAR107), .VAR156(VAR156), .VAR234(VAR28), .VAR4(VAR211), .VAR64(VAR109), .VAR80(VAR80), .VAR231(VAR231), .VAR86(VAR86), .VAR180(VAR180), .VAR240(VAR240), .VAR122(VAR122), .VAR205(VAR205), .VAR12(VAR2), .VAR155(VAR199), .VAR191(VAR222), .VAR276(VAR139), .VAR250(VAR3), .VAR5(VAR57), .VAR75(VAR271), .VAR278(VAR22), .VAR166(VAR142), .VAR216(VAR132), .VAR163(VAR120), .VAR287(VAR150), .VAR114(VAR190) ); MODULE3 #(VAR136, VAR176, VAR168, VAR259, VAR201, VAR68, VAR27, VAR232, VAR280, VAR135) VAR1( .VAR40(VAR139), .VAR42(VAR3), .VAR146(VAR57), .VAR187(VAR271), .VAR16(VAR22), .VAR79(VAR142), .VAR228(VAR132), .VAR66(VAR120), .VAR264(VAR150), .VAR41(VAR190), .VAR276(VAR275), .VAR250(VAR46), .VAR5(VAR198), .VAR75(VAR97), .VAR278(VAR112), .VAR166(VAR126), .VAR216(VAR254), .VAR163(VAR69), .VAR287(VAR118), .VAR114(VAR292), .VAR275(VAR197), .VAR46(VAR34), .VAR198(VAR213), .VAR97(VAR291), .VAR112(VAR196), .VAR126(VAR147), .VAR254(VAR21), .VAR69(VAR121), .VAR118(VAR35), .VAR292(VAR151), .VAR197(VAR49), .VAR34(VAR138), .VAR213(VAR258), .VAR291(VAR25), .VAR196(VAR30), .VAR147(VAR96), .VAR21(VAR88), .VAR121(VAR23), .VAR35(VAR215), .VAR151(VAR212), .VAR49(VAR208), .VAR138(VAR238), .VAR258(VAR90), .VAR25(VAR193), .VAR30(VAR173), .VAR96(VAR148), .VAR88(VAR13), .VAR23(VAR261), .VAR215(VAR108), .VAR212(VAR71), .VAR208(VAR81), .VAR238(VAR24), .VAR90(VAR92), .VAR193(VAR246), .VAR173(VAR283), .VAR148(VAR89), .VAR13(VAR251), .VAR261(VAR106), .VAR108(VAR181), .VAR71(VAR186), .VAR81(VAR82), .VAR24(VAR116), .VAR92(VAR189), .VAR246(VAR218), .VAR283(VAR123), .VAR89(VAR133), .VAR251(VAR8), .VAR106(VAR188), .VAR181(VAR255), .VAR186(VAR19), .VAR82(VAR178), .VAR116(VAR161), .VAR189(VAR175), .VAR218(VAR277), .VAR123(VAR62), .VAR133(VAR52), .VAR8(VAR244), .VAR188(VAR225), .VAR255(VAR262), .VAR19(VAR252), .VAR178(VAR149), .VAR161(VAR119), .VAR175(VAR272), .VAR277(VAR127), .VAR62(VAR288), .VAR52(VAR282), .VAR244(VAR140), .VAR225(VAR117), .VAR262(VAR183), .VAR252(VAR217) ); endmodule module MODULE2 ( VAR236, VAR256, VAR40, VAR42, VAR146, VAR187, VAR16, VAR79, VAR228, VAR66, VAR264, VAR41, VAR248, VAR206, VAR110, VAR50, VAR184, VAR203, VAR235, VAR78, VAR141, VAR220, VAR43, VAR273, VAR247, VAR152, VAR32, VAR194, VAR159, VAR6, VAR45, VAR227, VAR260, VAR281, VAR209, VAR154, VAR60, VAR10, VAR145, VAR37, VAR210, VAR76, VAR44, VAR179, VAR229, VAR157, VAR207, VAR237, VAR253, VAR77, VAR177, VAR241, VAR54, VAR174, VAR153, VAR242, VAR87, VAR263, VAR130, VAR100, VAR93, VAR158, VAR29, VAR144, VAR279, VAR289, VAR7, VAR107, VAR156, VAR234, VAR4, VAR64, VAR80, VAR231, VAR86, VAR180, VAR240, VAR122, VAR205, VAR12, VAR155, VAR191, VAR276, VAR250, VAR5, VAR75, VAR278, VAR166, VAR216, VAR163, VAR287, VAR114 ); parameter VAR102 = 2; parameter VAR33 = 2'b00; parameter VAR14 = 1'b0; parameter VAR9 = 2; parameter VAR131 = 2'b00; input VAR236; input VAR256; input VAR40; input VAR42; input VAR146; input [VAR162-1:0] VAR187; input [VAR38-1:0] VAR16; input VAR79; input [VAR200-1:0] VAR228; output [VAR200-1:0] VAR66; output VAR264; output VAR41; input VAR248; input VAR206; input VAR110; input [VAR162-1:0] VAR50; input [VAR38-1:0] VAR184; input VAR203; input [VAR200-1:0] VAR235; output [VAR200-1:0] VAR78; output VAR141; output VAR220; input VAR43; input VAR273; input VAR247; input [VAR162-1:0] VAR152; input [VAR38-1:0] VAR32; input VAR194; input [VAR200-1:0] VAR159; output [VAR200-1:0] VAR6; output VAR45; output VAR227; input VAR260; input VAR281; input VAR209; input [VAR162-1:0] VAR154; input [VAR38-1:0] VAR60; input VAR10; input [VAR200-1:0] VAR145; output [VAR200-1:0] VAR37; output VAR210; output VAR76; input VAR44; input VAR179; input VAR229; input [VAR162-1:0] VAR157; input [VAR38-1:0] VAR207; input VAR237; input [VAR200-1:0] VAR253; output [VAR200-1:0] VAR77; output VAR177; output VAR241; input VAR54; input VAR174; input VAR153; input [VAR162-1:0] VAR242; input [VAR38-1:0] VAR87; input VAR263; input [VAR200-1:0] VAR130; output [VAR200-1:0] VAR100; output VAR93; output VAR158; input VAR29; input VAR144; input VAR279; input [VAR162-1:0] VAR289; input [VAR38-1:0] VAR7; input VAR107; input [VAR200-1:0] VAR156; output [VAR200-1:0] VAR234; output VAR4; output VAR64; input VAR80; input VAR231; input VAR86; input [VAR162-1:0] VAR180; input [VAR38-1:0] VAR240; input VAR122; input [VAR200-1:0] VAR205; output [VAR200-1:0] VAR12; output VAR155; output VAR191; output VAR276; output VAR250; output VAR5; output [VAR162-1:0] VAR75; output [VAR38-1:0] VAR278; output VAR166; output [VAR200-1:0] VAR216; input [VAR200-1:0] VAR163; input VAR287; input VAR114; wire [VAR224-1:0] VAR249, VAR98, VAR239, VAR74, VAR134, VAR39, VAR11, VAR214; wire [VAR182-1:0] VAR185, VAR59, VAR221, VAR124, VAR284, VAR18, VAR267, VAR125; wire [VAR224-1:0] VAR269; wire [VAR182-1:0] VAR169; wire [7:0] VAR223; wire [2:0] VAR65; reg VAR202; reg [2:0] VAR101; assign VAR249 = {VAR40, VAR42, VAR146, VAR187, VAR16, VAR79, VAR228}; assign {VAR66, VAR264, VAR41} = VAR185; assign VAR98 = {VAR248, VAR206, VAR110, VAR50, VAR184, VAR203, VAR235}; assign {VAR78, VAR141, VAR220} = VAR59; assign VAR239 = {VAR43, VAR273, VAR247, VAR152, VAR32, VAR194, VAR159}; assign {VAR6, VAR45, VAR227} = VAR221; assign VAR74 = {VAR260, VAR281, VAR209, VAR154, VAR60, VAR10, VAR145}; assign {VAR37, VAR210, VAR76} = VAR124; assign VAR134 = {VAR44, VAR179, VAR229, VAR157, VAR207, VAR237, VAR253}; assign {VAR77, VAR177, VAR241} = VAR284; assign VAR39 = {VAR54, VAR174, VAR153, VAR242, VAR87, VAR263, VAR130}; assign {VAR100, VAR93, VAR158} = VAR18; assign VAR11 = {VAR29, VAR144, VAR279, VAR289, VAR7, VAR107, VAR156}; assign {VAR234, VAR4, VAR64} = VAR267; assign VAR214 = {VAR80, VAR231, VAR86, VAR180, VAR240, VAR122, VAR205}; assign {VAR12, VAR155, VAR191} = VAR125; assign {VAR276, VAR250, VAR5, VAR75, VAR278, VAR166, VAR216} = VAR269; assign VAR169 = {VAR163, VAR287, VAR114}; assign VAR185 = (VAR65 == 3'd0) ? VAR169 : {VAR182{1'b0}}; assign VAR59 = (VAR65 == 3'd1) ? VAR169 : {VAR182{1'b0}}; assign VAR221 = (VAR65 == 3'd2) ? VAR169 : {VAR182{1'b0}}; assign VAR124 = (VAR65 == 3'd3) ? VAR169 : {VAR182{1'b0}}; assign VAR284 = (VAR65 == 3'd4) ? VAR169 : {VAR182{1'b0}}; assign VAR18 = (VAR65 == 3'd5) ? VAR169 : {VAR182{1'b0}}; assign VAR267 = (VAR65 == 3'd6) ? VAR169 : {VAR182{1'b0}}; assign VAR125 = (VAR65 == 3'd7) ? VAR169 : {VAR182{1'b0}}; assign VAR269 = (VAR65 == 3'd0) ? VAR249 : (VAR65 == 3'd1) ? VAR98 : (VAR65 == 3'd2) ? VAR239 : (VAR65 == 3'd3) ? VAR74 : (VAR65 == 3'd4) ? VAR134 : (VAR65 == 3'd5) ? VAR39 : (VAR65 == 3'd6) ? VAR11 : (VAR65 == 3'd7) ? VAR214 : {VAR224{1'b0}}; assign VAR223[0] = VAR40 & ((VAR187[VAR162-1:VAR162-VAR102] == VAR33) | VAR14 & (VAR187[VAR162-1:VAR162-VAR9] == VAR131)); assign VAR223[1] = VAR248 & ((VAR50[VAR162-1:VAR162-VAR102] == VAR33) | VAR14 & (VAR50[VAR162-1:VAR162-VAR9] == VAR131)); assign VAR223[2] = VAR43 & ((VAR152[VAR162-1:VAR162-VAR102] == VAR33) | VAR14 & (VAR152[VAR162-1:VAR162-VAR9] == VAR131)); assign VAR223[3] = VAR260 & ((VAR154[VAR162-1:VAR162-VAR102] == VAR33) | VAR14 & (VAR154[VAR162-1:VAR162-VAR9] == VAR131)); assign VAR223[4] = VAR44 & ((VAR157[VAR162-1:VAR162-VAR102] == VAR33) | VAR14 & (VAR157[VAR162-1:VAR162-VAR9] == VAR131)); assign VAR223[5] = VAR54 & ((VAR242[VAR162-1:VAR162-VAR102] == VAR33) | VAR14 & (VAR242[VAR162-1:VAR162-VAR9] == VAR131)); assign VAR223[6] = VAR29 & ((VAR289[VAR162-1:VAR162-VAR102] == VAR33) | VAR14 & (VAR289[VAR162-1:VAR162-VAR9] == VAR131)); assign VAR223[7] = VAR80 & ((VAR180[VAR162-1:VAR162-VAR102] == VAR33) | VAR14 & (VAR180[VAR162-1:VAR162-VAR9] == VAR131)); assign VAR65 = VAR202 ? VAR101 : VAR223[0] ? 3'd0 : VAR223[1] ? 3'd1 : VAR223[2] ? 3'd2 : VAR223[3] ? 3'd3 : VAR223[4] ? 3'd4 : VAR223[5] ? 3'd5 : VAR223[6] ? 3'd6 : VAR223[7] ? 3'd7 : 3'd0; always @(VAR101 or VAR223) case (VAR101) 3'd0: VAR202 = VAR223[0]; 3'd1: VAR202 = VAR223[1]; 3'd2: VAR202 = VAR223[2]; 3'd3: VAR202 = VAR223[3]; 3'd4: VAR202 = VAR223[4]; 3'd5: VAR202 = VAR223[5]; 3'd6: VAR202 = VAR223[6]; 3'd7: VAR202 = VAR223[7]; endcase always @(posedge VAR236 or posedge VAR256) if (VAR256) VAR101 <= 3'd0; else VAR101 <= VAR65; endmodule module MODULE3 ( VAR40, VAR42, VAR146, VAR187, VAR16, VAR79, VAR228, VAR66, VAR264, VAR41, VAR276, VAR250, VAR5, VAR75, VAR278, VAR166, VAR216, VAR163, VAR287, VAR114, VAR275, VAR46, VAR198, VAR97, VAR112, VAR126, VAR254, VAR69, VAR118, VAR292, VAR197, VAR34, VAR213, VAR291, VAR196, VAR147, VAR21, VAR121, VAR35, VAR151, VAR49, VAR138, VAR258, VAR25, VAR30, VAR96, VAR88, VAR23, VAR215, VAR212, VAR208, VAR238, VAR90, VAR193, VAR173, VAR148, VAR13, VAR261, VAR108, VAR71, VAR81, VAR24, VAR92, VAR246, VAR283, VAR89, VAR251, VAR106, VAR181, VAR186, VAR82, VAR116, VAR189, VAR218, VAR123, VAR133, VAR8, VAR188, VAR255, VAR19, VAR178, VAR161, VAR175, VAR277, VAR62, VAR52, VAR244, VAR225, VAR262, VAR252 ); parameter VAR102 = 3; parameter VAR33 = 3'd0; parameter VAR9 = 3; parameter VAR176 = 3'd1; parameter VAR259 = 3'd2; parameter VAR201 = 3'd3; parameter VAR68 = 3'd4; parameter VAR27 = 3'd5; parameter VAR232 = 3'd6; parameter VAR280 = 3'd7; input VAR40; input VAR42; input VAR146; input [VAR162-1:0] VAR187; input [VAR38-1:0] VAR16; input VAR79; input [VAR200-1:0] VAR228; output [VAR200-1:0] VAR66; output VAR264; output VAR41; output VAR276; output VAR250; output VAR5; output [VAR162-1:0] VAR75; output [VAR38-1:0] VAR278; output VAR166; output [VAR200-1:0] VAR216; input [VAR200-1:0] VAR163; input VAR287; input VAR114; output VAR275; output VAR46; output VAR198; output [VAR162-1:0] VAR97; output [VAR38-1:0] VAR112; output VAR126; output [VAR200-1:0] VAR254; input [VAR200-1:0] VAR69; input VAR118; input VAR292; output VAR197; output VAR34; output VAR213; output [VAR162-1:0] VAR291; output [VAR38-1:0] VAR196; output VAR147; output [VAR200-1:0] VAR21; input [VAR200-1:0] VAR121; input VAR35; input VAR151; output VAR49; output VAR138; output VAR258; output [VAR162-1:0] VAR25; output [VAR38-1:0] VAR30; output VAR96; output [VAR200-1:0] VAR88; input [VAR200-1:0] VAR23; input VAR215; input VAR212; output VAR208; output VAR238; output VAR90; output [VAR162-1:0] VAR193; output [VAR38-1:0] VAR173; output VAR148; output [VAR200-1:0] VAR13; input [VAR200-1:0] VAR261; input VAR108; input VAR71; output VAR81; output VAR24; output VAR92; output [VAR162-1:0] VAR246; output [VAR38-1:0] VAR283; output VAR89; output [VAR200-1:0] VAR251; input [VAR200-1:0] VAR106; input VAR181; input VAR186; output VAR82; output VAR116; output VAR189; output [VAR162-1:0] VAR218; output [VAR38-1:0] VAR123; output VAR133; output [VAR200-1:0] VAR8; input [VAR200-1:0] VAR188; input VAR255; input VAR19; output VAR178; output VAR161; output VAR175; output [VAR162-1:0] VAR277; output [VAR38-1:0] VAR62; output VAR52; output [VAR200-1:0] VAR244; input [VAR200-1:0] VAR225; input VAR262; input VAR252; wire [VAR224-1:0] VAR249; wire [VAR182-1:0] VAR185; wire [VAR224-1:0] VAR269, VAR70, VAR245, VAR72, VAR85, VAR17, VAR171, VAR94; wire [VAR182-1:0] VAR169, VAR111, VAR95, VAR61, VAR56, VAR164, VAR67, VAR104; wire [7:0] VAR167; assign VAR249 = {VAR40, VAR42, VAR146, VAR187, VAR16, VAR79, VAR228}; assign {VAR66, VAR264, VAR41} = VAR185; assign {VAR276, VAR250, VAR5, VAR75, VAR278, VAR166, VAR216} = VAR269; assign VAR169 = {VAR163, VAR287, VAR114}; assign {VAR275, VAR46, VAR198, VAR97, VAR112, VAR126, VAR254} = VAR70; assign VAR111 = {VAR69, VAR118, VAR292}; assign {VAR197, VAR34, VAR213, VAR291, VAR196, VAR147, VAR21} = VAR245; assign VAR95 = {VAR121, VAR35, VAR151}; assign {VAR49, VAR138, VAR258, VAR25, VAR30, VAR96, VAR88} = VAR72; assign VAR61 = {VAR23, VAR215, VAR212}; assign {VAR208, VAR238, VAR90, VAR193, VAR173, VAR148, VAR13} = VAR85; assign VAR56 = {VAR261, VAR108, VAR71}; assign {VAR81, VAR24, VAR92, VAR246, VAR283, VAR89, VAR251} = VAR17; assign VAR164 = {VAR106, VAR181, VAR186}; assign {VAR82, VAR116, VAR189, VAR218, VAR123, VAR133, VAR8} = VAR171; assign VAR67 = {VAR188, VAR255, VAR19}; assign {VAR178, VAR161, VAR175, VAR277, VAR62, VAR52, VAR244} = VAR94; assign VAR104 = {VAR225, VAR262, VAR252}; assign VAR269 = VAR167[0] ? VAR249 : {VAR224{1'b0}}; assign VAR70 = VAR167[1] ? VAR249 : {VAR224{1'b0}}; assign VAR245 = VAR167[2] ? VAR249 : {VAR224{1'b0}}; assign VAR72 = VAR167[3] ? VAR249 : {VAR224{1'b0}}; assign VAR85 = VAR167[4] ? VAR249 : {VAR224{1'b0}}; assign VAR17 = VAR167[5] ? VAR249 : {VAR224{1'b0}}; assign VAR171 = VAR167[6] ? VAR249 : {VAR224{1'b0}}; assign VAR94 = VAR167[7] ? VAR249 : {VAR224{1'b0}}; assign VAR185 = VAR167[0] ? VAR169 : VAR167[1] ? VAR111 : VAR167[2] ? VAR95 : VAR167[3] ? VAR61 : VAR167[4] ? VAR56 : VAR167[5] ? VAR164 : VAR167[6] ? VAR67 : VAR167[7] ? VAR104 : {VAR182{1'b0}}; assign VAR167[0] = VAR40 & (VAR187[VAR162-1:VAR162-VAR102] == VAR33); assign VAR167[1] = VAR40 & (VAR187[VAR162-1:VAR162-VAR9] == VAR176); assign VAR167[2] = VAR40 & (VAR187[VAR162-1:VAR162-VAR9] == VAR259); assign VAR167[3] = VAR40 & (VAR187[VAR162-1:VAR162-VAR9] == VAR201); assign VAR167[4] = VAR40 & (VAR187[VAR162-1:VAR162-VAR9] == VAR68); assign VAR167[5] = VAR40 & (VAR187[VAR162-1:VAR162-VAR9] == VAR27); assign VAR167[6] = VAR40 & (VAR187[VAR162-1:VAR162-VAR9] == VAR232); assign VAR167[7] = VAR40 & (VAR187[VAR162-1:VAR162-VAR9] == VAR280); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfrbp/sky130_fd_sc_hdll__sdfrbp.pp.symbol.v
1,553
module MODULE1 ( input VAR8 , output VAR11 , output VAR10 , input VAR2, input VAR3 , input VAR5 , input VAR1 , input VAR4 , input VAR7 , input VAR9 , input VAR6 ); endmodule
apache-2.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/db/ip/niosII_system/submodules/niosII_system_video_character_buffer_with_dma_0.v
13,415
module MODULE1 ( clk, reset, VAR52, VAR97, VAR101, VAR100, VAR69, VAR92, VAR7, VAR21, VAR98, VAR10, VAR36, VAR95, VAR99, VAR76, VAR31, VAR60, VAR87, VAR26, VAR57, VAR8, VAR28 ); parameter VAR68 = 8; parameter VAR35 = 0; parameter VAR56 = 13; parameter VAR33 = 8192; parameter VAR5 = 640; parameter VAR96 = 480; input clk; input reset; input VAR52; input [ 3: 0] VAR97; input VAR101; input VAR100; input VAR69; input [31: 0] VAR92; input [(VAR56-1): 0] VAR7; input VAR21; input VAR98; input VAR10; input VAR36; input [ 7: 0] VAR95; input VAR99; output reg [31: 0] VAR76; output reg [ 7: 0] VAR31; output VAR60; output [29: 0] VAR87; output VAR26; output VAR57; output [ 1: 0] VAR8; output VAR28; wire [VAR68: 1] VAR43; wire [VAR68: 1] VAR63; wire [VAR56: 1] VAR44; wire [15: 0] VAR19; wire VAR58; wire [ 9: 0] VAR90; wire [ 9: 0] VAR93; wire [ 9: 0] VAR17; reg [31: 0] VAR2; reg [ 1: 0] VAR6; reg VAR12; reg [ 9: 0] VAR3; reg [ 8: 0] VAR71; reg [ 5: 0] VAR32; reg [ 5: 0] VAR1; reg [ 3: 0] VAR82; reg [ 3: 0] VAR65; always @(posedge clk) begin if (reset) VAR76 <= 32'h00000000; end else if (VAR101 & VAR100 & VAR52) VAR76 <= {16'd60, 16'd80}; else if (VAR101 & VAR100) VAR76 <= VAR2; end always @(posedge clk) begin if (reset) VAR31 <= 8'h00; end else if (VAR98 & VAR10) VAR31 <= {1'b0, VAR63[7:1]}; end always @(posedge clk) begin if (reset) VAR2 <= 32'h00010000; end else if (VAR101 & VAR69 & ~VAR52) begin if (VAR97[0]) VAR2[ 7: 0] <= VAR92[ 7: 0]; if (VAR97[1]) VAR2[15: 8] <= VAR92[15: 8]; if (VAR97[2]) VAR2[23:16] <= VAR92[23:16]; if (VAR97[3]) VAR2[31:24] <= VAR92[31:24]; end else if (VAR12 & VAR99 & (VAR3 == (VAR5 - 1)) && (VAR71 == (VAR96 - 1))) VAR2[16] <= 1'b0; end always @(posedge clk) begin if (reset) VAR6 <= 2'h0; end else if (VAR98 & VAR10) VAR6 <= {VAR6[0], 1'b1}; else VAR6 <= 2'h0; end always @(posedge clk) begin if (reset) VAR12 <= 1'b1; end else if (~(VAR2[16])) VAR12 <= 1'b0; else if ((VAR3 == 10'h000) && (VAR71 == 9'h000)) VAR12 <= 1'b1; end always @(posedge clk) begin if (reset) VAR3 <= 10'h000; end else if (VAR99) begin if (VAR3 == (VAR5 - 1)) VAR3 <= 10'h000; end else VAR3 <= VAR3 + 10'h001; end end always @(posedge clk) begin if (reset) VAR71 <= 9'h000; end else if (VAR99 && (VAR3 == (VAR5 - 1))) begin if (VAR71 == (VAR96 - 1)) VAR71 <= 9'h000; end else VAR71 <= VAR71 + 9'h001; end end always @(posedge clk) begin if (reset) begin VAR32 <= 6'h00; VAR1 <= 6'h00; end else if (VAR99) begin VAR32 <= {VAR32[2:0], VAR3[(VAR35+2):VAR35]}; VAR1 <= {VAR1[2:0], VAR71[(VAR35+2):VAR35]}; end end always @(posedge clk) begin if (reset) VAR82 <= 4'h0; end else if (VAR99) begin VAR82[3:1] <= VAR82[2:0]; if ((VAR3 == 10'h000) && (VAR71 == 9'h000)) VAR82[0] <= 1'b1; end else VAR82[0] <= 1'b0; end end always @(posedge clk) begin if (reset) VAR65 <= 4'h0; end else if (VAR99) begin VAR65[3:1] <= VAR65[2:0]; if ((VAR3 == (VAR5 - 1)) && (VAR71 == (VAR96 - 1))) VAR65[0] <= 1'b1; end else VAR65[0] <= 1'b0; end end assign VAR60 = (VAR98 & VAR10) & ~VAR6[1]; assign VAR87[29: 0] = {VAR90, VAR93, VAR17}; assign VAR26 = VAR82[3]; assign VAR57 = VAR65[3]; assign VAR8 = 2'h0; assign VAR28 = 1'b1; assign VAR43 = {VAR2[(VAR68-8):0], VAR95[6:0]}; assign VAR44 = {VAR71[8:(3 + VAR35)], VAR3[9:(3 + VAR35)]}; assign VAR90 = {10{VAR58}}; assign VAR93 = {10{VAR58}}; assign VAR17 = {10{VAR58}}; VAR49 VAR108 ( .VAR104 (clk), .VAR75 (VAR7), .VAR110 (VAR21 & VAR98 & VAR36), .VAR73 (VAR43), .VAR29 (clk), .VAR18 (VAR99), .VAR94 (VAR44), .VAR70 (VAR12), .VAR47 ({{(VAR68 - 7){1'b0}}, 7'h20}), .VAR61 (VAR63), .VAR24 (VAR19), .VAR22 (1'b1), .VAR109 (1'b0), .VAR4 (1'b0), .VAR77 (1'b1), .VAR105 (1'b1), .VAR107 (1'b1), .VAR51 (1'b1), .VAR102 (1'b1), .VAR89 (1'b1), .VAR106 (1'b0), .VAR80 (1'b0) ); VAR108.VAR83 = "VAR11", VAR108.VAR50 = "VAR25 VAR85", VAR108.VAR15 = "VAR49", VAR108.VAR74 = "VAR111", VAR108.VAR79 = "VAR72", VAR108.VAR37 = "VAR91", VAR108.VAR62 = "VAR20", VAR108.VAR27 = "VAR20", VAR108.VAR81 = "VAR20", VAR108.VAR14 = "VAR40", VAR108.VAR30 = "VAR41", VAR108.VAR78 = "VAR40", VAR108.VAR84 = "VAR41", VAR108.VAR39 = VAR33, VAR108.VAR13 = VAR33, VAR108.VAR23 = "VAR59", VAR108.VAR9 = "VAR59", VAR108.VAR34 = "VAR45", VAR108.VAR88 = "VAR20", VAR108.VAR38 = VAR56, VAR108.VAR86 = VAR56, VAR108.VAR66 = VAR68, VAR108.VAR103 = VAR68, VAR108.VAR54 = 1, VAR108.VAR64 = 1; VAR16 VAR42 ( .clk (clk), .VAR55 (VAR99), .VAR48 (VAR19[ 6: 0]), .VAR53 (VAR32[ 5: 3]), .VAR46 (VAR1[ 5: 3]), .VAR67 (VAR58) ); endmodule
gpl-2.0
nikhilghanathe/HLS-for-EMTF
verilog/sp_zones.v
13,266
module MODULE1 ( VAR123, VAR13, VAR173, VAR176, VAR12, VAR164, VAR174, VAR177, VAR71, VAR121, VAR101, VAR77, VAR99, VAR5, VAR153, VAR180, VAR126, VAR100, VAR51, VAR9, VAR8, VAR152, VAR139, VAR129, VAR81, VAR14, VAR90, VAR105, VAR165, VAR179, VAR117, VAR18, VAR158, VAR145, VAR111, VAR150, VAR43, VAR192, VAR191, VAR6, VAR17, VAR15, VAR86, VAR96, VAR122, VAR54, VAR25, VAR34, VAR56, VAR75, VAR182, VAR29, VAR115, VAR40, VAR39, VAR31, VAR135, VAR162, VAR106, VAR131, VAR187, VAR91, VAR85, VAR112, VAR7, VAR88, VAR141, VAR52, VAR107, VAR50, VAR62, VAR108, VAR130, VAR38, VAR183, VAR116, VAR147, VAR80, VAR200, VAR193, VAR149, VAR160, VAR26, VAR120, VAR83, VAR46, VAR198, VAR78, VAR30, VAR19, VAR169, VAR3, VAR155, VAR27, VAR119, VAR157, VAR134, VAR137, VAR10 ); input [2:0] VAR123; input [2:0] VAR13; input [2:0] VAR173; input [2:0] VAR176; input [2:0] VAR12; input [2:0] VAR164; input [2:0] VAR174; input [2:0] VAR177; input [2:0] VAR71; input [2:0] VAR121; input [2:0] VAR101; input [2:0] VAR77; input [2:0] VAR99; input [2:0] VAR5; input [2:0] VAR153; input [2:0] VAR180; input [2:0] VAR126; input [2:0] VAR100; input [2:0] VAR51; input [2:0] VAR9; input [2:0] VAR8; input [2:0] VAR152; input [2:0] VAR139; input [2:0] VAR129; input [2:0] VAR81; input [2:0] VAR14; input [2:0] VAR90; input [2:0] VAR105; input [2:0] VAR165; input [2:0] VAR179; input [2:0] VAR117; input [2:0] VAR18; input [2:0] VAR158; input [2:0] VAR145; input [2:0] VAR111; input [2:0] VAR150; input [2:0] VAR43; input [2:0] VAR192; input [2:0] VAR191; input [43:0] VAR6; input [43:0] VAR17; input [43:0] VAR15; input [43:0] VAR86; input [43:0] VAR96; input [43:0] VAR122; input [43:0] VAR54; input [43:0] VAR25; input [43:0] VAR34; input [43:0] VAR56; input [43:0] VAR75; input [43:0] VAR182; input [43:0] VAR29; input [43:0] VAR115; input [43:0] VAR40; input [43:0] VAR39; input [43:0] VAR31; input [43:0] VAR135; input [43:0] VAR162; input [43:0] VAR106; input [43:0] VAR131; input [43:0] VAR187; input [43:0] VAR91; input [43:0] VAR85; input [43:0] VAR112; input [43:0] VAR7; input [43:0] VAR88; input [43:0] VAR141; input [43:0] VAR52; input [43:0] VAR107; input [43:0] VAR50; input [43:0] VAR62; input [43:0] VAR108; input [43:0] VAR130; input [43:0] VAR38; input [43:0] VAR183; input [43:0] VAR116; input [43:0] VAR147; input [43:0] VAR80; input [43:0] VAR200; input [43:0] VAR193; input [43:0] VAR149; input [43:0] VAR160; input [43:0] VAR26; input [43:0] VAR120; output [121:0] VAR83; output [121:0] VAR46; output [121:0] VAR198; output [121:0] VAR78; output [121:0] VAR30; output [121:0] VAR19; output [121:0] VAR169; output [121:0] VAR3; output [121:0] VAR155; output [121:0] VAR27; output [121:0] VAR119; output [121:0] VAR157; output [121:0] VAR134; output [121:0] VAR137; output [121:0] VAR10; wire [121:0] VAR104; wire [121:0] VAR98; wire [121:0] VAR199; wire [121:0] VAR114; wire [121:0] VAR72; wire [121:0] VAR148; wire [121:0] VAR58; wire [121:0] VAR103; wire [121:0] VAR67; wire [121:0] VAR45; wire [121:0] VAR167; wire [121:0] VAR142; wire [121:0] VAR166; wire [121:0] VAR175; wire [121:0] VAR181; VAR194 VAR136( .VAR61(VAR123), .VAR32(VAR13), .VAR37(VAR173), .VAR64(VAR176), .VAR11(VAR12), .VAR16(VAR164), .VAR28(VAR174), .VAR76(VAR177), .VAR195(VAR71), .VAR168(VAR121), .VAR128(VAR101), .VAR82(VAR77), .VAR89(VAR99), .VAR143(VAR5), .VAR189(VAR153), .VAR113(VAR180), .VAR124(VAR126), .VAR1(VAR100), .VAR94(VAR51), .VAR171(VAR9), .VAR186(VAR8), .VAR60(VAR152), .VAR118(VAR139), .VAR196(VAR129), .VAR65(VAR81), .VAR44(VAR14), .VAR68(VAR90), .VAR21(VAR105), .VAR57(VAR165), .VAR97(VAR179), .VAR125(VAR117), .VAR170(VAR18), .VAR133(VAR158), .VAR35(VAR145), .VAR161(VAR111), .VAR24(VAR150), .VAR172(VAR43), .VAR66(VAR192), .VAR151(VAR191), .VAR110(VAR6), .VAR127(VAR17), .VAR48(VAR15), .VAR59(VAR86), .VAR185(VAR96), .VAR84(VAR122), .VAR132(VAR54), .VAR41(VAR25), .VAR190(VAR34), .VAR154(VAR56), .VAR140(VAR75), .VAR87(VAR182), .VAR92(VAR29), .VAR69(VAR115), .VAR70(VAR40), .VAR159(VAR39), .VAR33(VAR31), .VAR95(VAR135), .VAR2(VAR162), .VAR74(VAR106), .VAR73(VAR131), .VAR4(VAR187), .VAR23(VAR91), .VAR93(VAR85), .VAR163(VAR112), .VAR146(VAR7), .VAR184(VAR88), .VAR144(VAR141), .VAR178(VAR52), .VAR47(VAR107), .VAR156(VAR50), .VAR138(VAR62), .VAR63(VAR108), .VAR53(VAR130), .VAR42(VAR38), .VAR79(VAR183), .VAR102(VAR116), .VAR197(VAR147), .VAR36(VAR80), .VAR188(VAR200), .VAR49(VAR193), .VAR20(VAR149), .VAR55(VAR160), .VAR22(VAR26), .VAR109(VAR120), .VAR83(VAR104), .VAR46(VAR98), .VAR198(VAR199), .VAR78(VAR114), .VAR30(VAR72), .VAR19(VAR148), .VAR169(VAR58), .VAR3(VAR103), .VAR155(VAR67), .VAR27(VAR45), .VAR119(VAR167), .VAR157(VAR142), .VAR134(VAR166), .VAR137(VAR175), .VAR10(VAR181) ); assign VAR83 = VAR104; assign VAR46 = VAR98; assign VAR119 = VAR167; assign VAR157 = VAR142; assign VAR134 = VAR166; assign VAR137 = VAR175; assign VAR10 = VAR181; assign VAR198 = VAR199; assign VAR78 = VAR114; assign VAR30 = VAR72; assign VAR19 = VAR148; assign VAR169 = VAR58; assign VAR3 = VAR103; assign VAR155 = VAR67; assign VAR27 = VAR45; endmodule
apache-2.0