repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
cr88192/bgbtech_bjx1core
bjx1core32/MemAlu.v
1,092
module MODULE1( clk, VAR1, VAR6, VAR11, VAR12, VAR7 ); input clk; input[2:0] VAR1; input[31:0] VAR6; input[31:0] VAR11; input[31:0] VAR12; output[31:0] VAR7; reg[31:0] VAR13; reg[31:0] VAR10; parameter[2:0] VAR8 = 3'b000; parameter[2:0] VAR15 = 3'b001; parameter[2:0] VAR4 = 3'b010; parameter[2:0] VAR14 = 3'b011; parameter[2:0] VAR3 = 3'b100; parameter[2:0] VAR16 = 3'b101; parameter[2:0] VAR5 = 3'b111; parameter[31:0] VAR2 = 32'h00000000; parameter[31:0] VAR9 = 32'h00000000; always @ (VAR1) begin VAR13 = VAR11+VAR12; case(VAR1) VAR15: begin VAR10 = VAR6+VAR13; end VAR4: begin VAR10 = VAR6+VAR13*2; end VAR14: begin VAR10 = VAR6+VAR13*4; end VAR3: begin VAR10 = VAR6+VAR13*8; end VAR16: begin VAR10 = VAR6+VAR13*16; end VAR5: begin VAR10 = VAR6; end default: begin VAR10 = VAR2; end endcase VAR7 = VAR10; end endmodule
mit
masc-ucsc/cmpe220fall16
rtl/fflop_understand.v
2,316
module MODULE1 ( input clk, input reset, input [15:0] VAR11, input VAR35, output VAR15, output [15:0] VAR23, output VAR29, input VAR1 ); logic [15:0] VAR2; logic VAR12; logic VAR25; logic [15:0] VAR17; logic VAR19; logic VAR7; assign VAR2 = VAR11; assign VAR12 = VAR35; assign VAR15 = VAR25; logic [15:0] VAR26; logic VAR39; logic VAR32; logic [15:0] VAR10; logic VAR27; logic VAR36; assign VAR26 = VAR17; assign VAR39 = VAR19; assign VAR7 = VAR32; logic [15:0] VAR9; logic VAR31; logic VAR24; logic [15:0] VAR28; logic VAR34; logic VAR14; assign VAR9 = VAR10; assign VAR31 = VAR27; assign VAR36 = VAR24; logic [15:0] VAR13; logic VAR37; logic VAR33; assign VAR13 = VAR28; assign VAR37 = VAR34; assign VAR14 = VAR33; VAR8 #(.VAR38(VAR18(VAR11))) VAR3 ( .clk (clk), .reset (reset), .din (VAR2), .VAR16 (VAR12), .VAR6 (VAR25), .VAR21 (VAR17), .VAR20 (VAR19), .VAR4 (VAR7) ); VAR8 #(.VAR38(VAR18(VAR11))) VAR30 ( .clk (clk), .reset (reset), .din (VAR26), .VAR16 (VAR39), .VAR6 (VAR32), .VAR21 (VAR10), .VAR20 (VAR27), .VAR4 (VAR36) ); VAR8 #(.VAR38(VAR18(VAR11))) VAR22 ( .clk (clk), .reset (reset), .din (VAR9), .VAR16 (VAR31), .VAR6 (VAR24), .VAR21 (VAR28), .VAR20 (VAR34), .VAR4 (VAR14) ); VAR8 #(.VAR38(VAR18(VAR11))) VAR5 ( .clk (clk), .reset (reset), .din (VAR13), .VAR16 (VAR37), .VAR6 (VAR33), .VAR21 (VAR23), .VAR20 (VAR29), .VAR4 (VAR1) ); endmodule
apache-2.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ip/design_1_xbar_1/synth/design_1_xbar_1.v
15,860
module MODULE1 ( VAR111, VAR88, VAR41, VAR100, VAR85, VAR10, VAR40, VAR15, VAR7, VAR92, VAR93, VAR74, VAR1, VAR95, VAR16, VAR48, VAR12, VAR91, VAR37, VAR128, VAR26, VAR90, VAR51, VAR132, VAR42, VAR103, VAR98, VAR62, VAR78, VAR55, VAR120, VAR76, VAR35, VAR80, VAR22, VAR122, VAR27, VAR9, VAR101, VAR79 ); input wire VAR111; input wire VAR88; input wire [31 : 0] VAR41; input wire [2 : 0] VAR100; input wire [0 : 0] VAR85; output wire [0 : 0] VAR10; input wire [31 : 0] VAR40; input wire [3 : 0] VAR15; input wire [0 : 0] VAR7; output wire [0 : 0] VAR92; output wire [1 : 0] VAR93; output wire [0 : 0] VAR74; input wire [0 : 0] VAR1; input wire [31 : 0] VAR95; input wire [2 : 0] VAR16; input wire [0 : 0] VAR48; output wire [0 : 0] VAR12; output wire [31 : 0] VAR91; output wire [1 : 0] VAR37; output wire [0 : 0] VAR128; input wire [0 : 0] VAR26; output wire [127 : 0] VAR90; output wire [11 : 0] VAR51; output wire [3 : 0] VAR132; input wire [3 : 0] VAR42; output wire [127 : 0] VAR103; output wire [15 : 0] VAR98; output wire [3 : 0] VAR62; input wire [3 : 0] VAR78; input wire [7 : 0] VAR55; input wire [3 : 0] VAR120; output wire [3 : 0] VAR76; output wire [127 : 0] VAR35; output wire [11 : 0] VAR80; output wire [3 : 0] VAR22; input wire [3 : 0] VAR122; input wire [127 : 0] VAR27; input wire [7 : 0] VAR9; input wire [3 : 0] VAR101; output wire [3 : 0] VAR79; VAR20 #( .VAR97("VAR32"), .VAR119(1), .VAR69(4), .VAR59(1), .VAR57(32), .VAR24(32), .VAR112(2), .VAR58(1), .VAR56(256'VAR52), .VAR8(128'VAR17), .VAR102(32'VAR115), .VAR3(32'VAR115), .VAR68(0), .VAR99(1), .VAR54(1), .VAR73(1), .VAR2(1), .VAR44(1), .VAR75(128'VAR21), .VAR47(128'VAR21), .VAR65(1), .VAR94(32'VAR86), .VAR31(32'VAR86), .VAR11(32'VAR86), .VAR39(128'VAR21), .VAR104(128'VAR21), .VAR81(32'VAR115), .VAR89(128'VAR126), .VAR60(0) ) VAR70 ( .VAR111(VAR111), .VAR88(VAR88), .VAR23(1'VAR84), .VAR41(VAR41), .VAR82(8'VAR124), .VAR127(3'VAR84), .VAR64(2'VAR84), .VAR131(1'VAR84), .VAR36(4'VAR84), .VAR100(VAR100), .VAR13(4'VAR84), .VAR53(1'VAR84), .VAR85(VAR85), .VAR10(VAR10), .VAR110(1'VAR84), .VAR40(VAR40), .VAR15(VAR15), .VAR38(1'VAR106), .VAR108(1'VAR84), .VAR7(VAR7), .VAR92(VAR92), .VAR34(), .VAR93(VAR93), .VAR19(), .VAR74(VAR74), .VAR1(VAR1), .VAR29(1'VAR84), .VAR95(VAR95), .VAR45(8'VAR124), .VAR49(3'VAR84), .VAR61(2'VAR84), .VAR28(1'VAR84), .VAR46(4'VAR84), .VAR16(VAR16), .VAR96(4'VAR84), .VAR105(1'VAR84), .VAR48(VAR48), .VAR12(VAR12), .VAR33(), .VAR91(VAR91), .VAR37(VAR37), .VAR67(), .VAR109(), .VAR128(VAR128), .VAR26(VAR26), .VAR71(), .VAR90(VAR90), .VAR6(), .VAR50(), .VAR83(), .VAR30(), .VAR117(), .VAR51(VAR51), .VAR66(), .VAR107(), .VAR43(), .VAR132(VAR132), .VAR42(VAR42), .VAR125(), .VAR103(VAR103), .VAR98(VAR98), .VAR113(), .VAR77(), .VAR62(VAR62), .VAR78(VAR78), .VAR118(4'VAR84), .VAR55(VAR55), .VAR14(4'VAR84), .VAR120(VAR120), .VAR76(VAR76), .VAR130(), .VAR35(VAR35), .VAR123(), .VAR116(), .VAR5(), .VAR25(), .VAR72(), .VAR80(VAR80), .VAR18(), .VAR63(), .VAR114(), .VAR22(VAR22), .VAR122(VAR122), .VAR4(4'VAR84), .VAR27(VAR27), .VAR9(VAR9), .VAR87(4'VAR121), .VAR129(4'VAR84), .VAR101(VAR101), .VAR79(VAR79) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a21bo/sky130_fd_sc_hd__a21bo.symbol.v
1,388
module MODULE1 ( input VAR7 , input VAR6 , input VAR2, output VAR4 ); supply1 VAR5; supply0 VAR3; supply1 VAR8 ; supply0 VAR1 ; endmodule
apache-2.0
csturton/wirepatch
system/hardware/cores/uart16550/rtl/verilog/uart_sync_flops.v
5,666
module MODULE1 ( VAR6, VAR8, VAR2, VAR3, VAR9, VAR10 ); parameter VAR5 = 1; parameter VAR7 = 1; parameter VAR4 = 1'b0; input VAR6; input VAR8; input VAR2; input VAR3; input [VAR7-1:0] VAR9; output [VAR7-1:0] VAR10; reg [VAR7-1:0] VAR10; reg [VAR7-1:0] VAR1; always @ (posedge VAR8 or posedge VAR6) begin if (VAR6) VAR1 <= #VAR5 {VAR7{VAR4}}; end else VAR1 <= #VAR5 VAR9; end always @ (posedge VAR8 or posedge VAR6) begin if (VAR6) VAR10 <= #VAR5 {VAR7{VAR4}}; end else if (VAR2) VAR10 <= #VAR5 {VAR7{VAR4}}; else if (VAR3) VAR10 <= #VAR5 VAR1; end endmodule
mit
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v
2,308
if (VAR8 == VAR6 && VAR15 == VAR33) \ begin: VAR22 \ VAR3 VAR11 \ ( \ .VAR13 (VAR18 ) \ ,.VAR10 (VAR24) \ ,.VAR9(~(~VAR1&VAR23)) \ ,.VAR31 (VAR4) \ \ ,.VAR28(VAR18 ) \ ,.VAR36(~(VAR1&VAR23)) \ ,.VAR26(~VAR20) \ ,.VAR35 (VAR24) \ ,.VAR12 (VAR27) \ ); \ end module MODULE1 #(parameter VAR30(VAR15) , parameter VAR30(VAR8) , parameter VAR21=VAR16(VAR8)) (input VAR18 , input VAR7 , input [VAR15-1:0] VAR27 , input [VAR21-1:0] VAR24 , input VAR23 , input [VAR15-1:0] VAR20 , input VAR1 , output [VAR15-1:0] VAR4 ); VAR34 ,.VAR8(VAR8) ) VAR14 (.*); VAR29 @(posedge VAR18) if (VAR23) assert (VAR24 < VAR8) else ("VAR17 address %VAR37 VAR2 %VAR25 VAR32 VAR19 %VAR37\VAR5", VAR24, VAR8); begin
bsd-3-clause
VitorCBSB/hw-verilog
C++/Verilog/circ_gen/main.v
2,870
module MODULE1(VAR59, VAR5, VAR73, VAR12, VAR27, VAR75); input VAR59; input VAR5[3:0]; output [17:0] VAR73; input [17:0] VAR12; input VAR27; output VAR75; integer VAR37; reg [7:0] VAR57, VAR4; reg [10:0] VAR62[8:0]; reg [3:0] VAR52[1:0]; wire [31:0] VAR78, VAR54; wire [7:0] VAR64, VAR36; wire VAR71, VAR26, VAR30, VAR47, VAR53, VAR72, VAR42, VAR51, VAR77, VAR16, VAR39, VAR67, VAR23, VAR25; wire [15:0] VAR69, VAR19; wire [7:0] VAR18[199:0]; assign VAR73[7:0] = VAR57; assign VAR73[17:10] = VAR36; assign VAR78 = {24'b0, VAR64}; always @(posedge VAR59) begin if (VAR16) VAR57 <= VAR18[1]; if (VAR67) VAR4 <= VAR57; if (VAR25) begin for (VAR37 = 0; VAR37 < 9; VAR37 = VAR37 + 1) begin VAR62[VAR37][10:8] <= VAR18[(VAR37 * 3) + 1][2:0]; VAR62[VAR37][7:4] <= VAR18[(VAR37 * 3) + 2][3:0]; VAR62[VAR37][3:0] <= VAR18[(VAR37 * 3) + 3][3:0]; end for (VAR37 = 0; VAR37 < 2; VAR37 = VAR37 + 1) begin VAR52[VAR37] <= VAR18[VAR37 + 27 + 1][3:0]; end end end VAR43 VAR43( .VAR17(VAR59), .VAR45(~VAR5[1]), .VAR74(VAR47), .VAR60(VAR69), .VAR22(VAR42) ); VAR70 VAR70( .VAR17(VAR59), .VAR45(~VAR5[1]), .VAR1(VAR26), .VAR74(VAR53), .VAR60(VAR19), .VAR22(VAR51), .VAR29(VAR30) ); VAR28 fsm( .VAR17(VAR59), .VAR45(~VAR5[1]), .VAR20(VAR23), .VAR10(VAR18), .VAR21(VAR42), .VAR33(VAR51), .VAR44(VAR72), .VAR40(VAR77), .VAR11(VAR47), .VAR2(VAR53), .VAR31(VAR16), .VAR15(VAR25), .VAR68(VAR67), .VAR6(VAR39) ); VAR8 VAR8( .address(VAR77 ? VAR19 : VAR69), .VAR55(VAR59), .VAR63(VAR36), .VAR50(VAR72), .VAR41(VAR64) ); VAR14 VAR14( .VAR17(VAR59), .VAR45(~VAR5[1]), .VAR7(VAR71), .VAR10(VAR54), .VAR9(VAR18), .VAR66(VAR23) ); VAR24 VAR13( .VAR58(VAR59), .VAR49(~VAR5[1] | VAR39), .VAR38(14'b0), .VAR65(VAR30), .VAR3(VAR78), .VAR76(VAR54), .VAR61(VAR71), .VAR56(VAR26), .VAR46(VAR27), .VAR34(VAR75) ); VAR35 VAR35( .VAR32(VAR62), .VAR48(VAR52), .in(VAR4), .out(VAR36) ); endmodule
mit
olofk/oh
elink/hdl/esaxi.v
17,092
module MODULE1 ( VAR49, VAR27, VAR18, VAR11, VAR67, VAR107, VAR78, VAR41, VAR70, VAR28, VAR64, VAR77, VAR69, VAR98, VAR54, VAR4, VAR87, VAR50, VAR32, VAR57, VAR75, VAR85, VAR72, VAR81, VAR6, VAR86, VAR37, VAR100, VAR44, VAR91, VAR99, VAR1, VAR35, VAR2, VAR73, VAR48, VAR39, VAR102, VAR40, VAR3, VAR8, VAR9, VAR56, VAR97, VAR66, VAR19, VAR62, VAR24, VAR74 ); parameter [11:0] VAR59 = 12'h810; parameter VAR93 = 12; parameter VAR92 = 104; parameter [15:0] VAR26 = {VAR59,VAR10}; parameter VAR21 = 32; parameter VAR51 = 32; output VAR49; output [VAR92-1:0] VAR27; input VAR87; output VAR18; output [VAR92-1:0] VAR11; input VAR50; input VAR32; input [VAR92-1:0] VAR57; output VAR67; input VAR75; input VAR85; input [VAR93-1:0] VAR72; input [31:0] VAR81; input [1:0] VAR6; input [3:0] VAR86; input [1:0] VAR37; input [7:0] VAR100; input [2:0] VAR44; input [3:0] VAR91; output VAR107; input [2:0] VAR99; input VAR1; input [VAR93-1:0] VAR35; input [31:0] VAR2; input [1:0] VAR73; input [3:0] VAR48; input [1:0] VAR39; input [7:0] VAR102; input [2:0] VAR40; input [3:0] VAR3; input [2:0] VAR8; input VAR9; output VAR78; output [VAR93-1:0] VAR41; output [1:0] VAR70; output VAR28; input VAR56; output [VAR93-1:0] VAR64; output [31:0] VAR77; output VAR69; output [1:0] VAR98; output VAR54; input VAR97; input [VAR93-1:0] VAR66; input [31:0] VAR19; input VAR62; input [3:0] VAR24; input VAR74; output VAR4; reg VAR78; reg VAR4; reg VAR28; reg [1:0] VAR70; reg VAR107; reg [31:0] VAR38; reg [1:0] VAR76; reg [2:0] VAR34; reg [VAR93-1:0] VAR14; reg [31:0] VAR60; reg [7:0] VAR95; reg [1:0] VAR36; reg [2:0] VAR52; reg [31:0] VAR77; reg [1:0] VAR98; reg VAR69; reg VAR54; reg [VAR93-1:0] VAR64; reg VAR33; reg [31:0] VAR96; reg VAR65; reg VAR31; reg VAR49; reg [1:0] VAR43; reg [31:0] VAR42; reg [31:0] VAR84; reg [31:0] VAR20; reg [31:0] VAR17; reg [1:0] VAR16; reg VAR18; reg [1:0] VAR94; reg [31:0] VAR30; reg [31:0] VAR90; reg VAR22; reg VAR68; reg VAR89; wire VAR45; wire VAR63; wire [31:0] VAR101; wire [VAR51-1:0] VAR71; VAR88 VAR15 ( .VAR80 (VAR27[VAR92-1:0]), .VAR103 (VAR49), .VAR55 (1'b1), .VAR12 (VAR43[1:0]), .VAR79 (4'b0), .VAR46 (VAR42[VAR21-1:0]), .VAR5 (VAR84[VAR51-1:0]), .VAR83 (32'b0) ); VAR88 VAR13 ( .VAR80 (VAR11[VAR92-1:0]), .VAR103 (VAR18), .VAR55 (VAR61), .VAR12 (VAR94[1:0]), .VAR79 (4'b0), .VAR46 (VAR30[VAR21-1:0]), .VAR5 (32'b0), .VAR83 (VAR90[VAR21-1:0]) ); VAR104 VAR25 ( .VAR105 (), .VAR23 (), .VAR47 (), .VAR58 (), .VAR106 (), .VAR82 (VAR71[VAR51-1:0]), .VAR29 (), .VAR53 (VAR57[VAR92-1:0]) ); assign VAR45 = VAR4 & VAR74 & VAR62; always @(posedge VAR75 ) begin if(~VAR85) begin VAR78 <= 1'b1; VAR65 <= 1'b0; end else begin if( ~VAR78 & ~VAR65 & ~VAR31 ) VAR78 <= 1'b1; end else if( VAR9 ) VAR78 <= 1'b0; if( VAR78 & VAR9 ) VAR65 <= 1'b1; end else if( VAR45 ) VAR65 <= 1'b0; end end always @( posedge VAR75 ) if (~VAR85) begin VAR14[VAR93-1:0] <= 'd0; VAR38[31:0] <= 32'd0; VAR34[2:0] <= 3'd0; VAR76[1:0] <= 2'd0; end else begin if( VAR78 & VAR9 ) begin VAR14[VAR93-1:0] <= VAR35[VAR93-1:0]; VAR38[31:0] <= VAR2[31:0]; VAR34[2:0] <= VAR8[2:0]; VAR76[1:0] <= VAR73[1:0]; end else if( VAR74 & VAR4 ) if( VAR76 == 2'b01 ) begin VAR38[31:2] <= VAR38[31:2] + 30'd1; VAR38[1:0] <= 2'b0; end end assign VAR41 = VAR14; always @ (posedge VAR75) if(~VAR85) VAR4 <= 1'b0; else begin if( VAR45 ) VAR4 <= 1'b0; end else if( VAR65 ) VAR4 <= ~VAR87; end always @( posedge VAR75 ) if (~VAR85) begin VAR28 <= 1'b0; VAR70[1:0] <= 2'b0; VAR31 <= 1'b0; end else begin if( VAR45 ) begin VAR28 <= 1'b1; VAR70[1:0] <= 2'b0; VAR31 <= ~VAR56; end else if (VAR56 & VAR28) begin VAR28 <= 1'b0; VAR31 <= 1'b0; end end assign VAR63 = VAR54 & VAR69 & VAR97; always @( posedge VAR75 ) if (~VAR85) begin VAR107 <= 1'b0; VAR33 <= 1'b0; end else begin if( ~VAR107 & ~VAR33 ) VAR107 <= 1'b1; end else if( VAR1 ) VAR107 <= 1'b0; if( VAR107 & VAR1 ) VAR33 <= 1'b1; else if( VAR63 ) VAR33 <= 1'b0; end always @( posedge VAR75 ) if (~VAR85) begin VAR60[31:0] <= 0; VAR95 <= 8'd0; VAR36 <= 2'd0; VAR52[2:0] <= 3'b0; VAR69 <= 1'b0; VAR64[VAR93-1:0] <= 'd0; end else begin if( VAR107 & VAR1 ) begin VAR60[31:0] <= VAR81[31:0]; VAR95[7:0] <= VAR100[7:0]; VAR36 <= VAR6; VAR52 <= VAR99; VAR69 <= ~(|VAR100[7:0]); VAR64[VAR93-1:0] <= VAR72[VAR93-1:0]; end else if( VAR54 & VAR97) begin VAR95[7:0] <= VAR95[7:0] - 1; if(VAR95[7:0] == 8'd1) VAR69 <= 1'b1; if( VAR6 == 2'b01) begin VAR60[31:2] <= VAR60[31:2] + 1; VAR60[1:0] <= 2'b0; end end end assign VAR7 = 1'b1; always @( posedge VAR75 ) if (~VAR85) begin VAR20[31:0] <= 32'd0; VAR17[31:0] <= 32'd0; VAR16[1:0] <= 2'd0; VAR49 <= 1'b0; VAR22 <= 1'b0; end else begin VAR22 <= VAR4 & VAR74; VAR49 <= VAR22; VAR16[1:0] <= VAR34[1:0]; VAR17[31:2] <= VAR38[31:2]; if(VAR24[0] | (VAR34[1:0]==2'b10)) begin VAR20[31:0] <= VAR19[31:0]; VAR17[1:0] <= 2'd0; end else if(VAR24[1]) begin VAR20[31:0] <= {8'd0, VAR19[31:8]}; VAR17[1:0] <= 2'd1; end else if(VAR24[2]) begin VAR20[31:0] <= {16'd0, VAR19[31:16]}; VAR17[1:0] <= 2'd2; end else begin VAR20[31:0] <= {24'd0, VAR19[31:24]}; VAR17[1:0] <= 2'd3; end end always @( posedge VAR75 ) begin VAR84[31:0] <= VAR20[31:0]; VAR42[31:0] <= VAR17[31:0]; VAR43[1:0] <= VAR16[1:0]; end assign VAR61 = 1'b0; always @( posedge VAR75 ) if (~VAR85) begin VAR18 <= 1'b0; VAR94[1:0] <= 2'd0; VAR30[31:0] <= 32'd0; VAR90[31:0] <= 32'd0; VAR68 <= 1'b0; VAR89 <= 1'b0; end else begin VAR68 <= VAR33; VAR89 <= VAR54 & VAR97 & ~VAR69; VAR18 <= ( ~VAR68 & VAR33 ) | VAR89; VAR94[1:0] <= VAR52[1:0]; VAR30[31:0] <= VAR60[31:0]; VAR90[31:0] <= {VAR26, 16'd0}; end assign VAR67 = 1'b0; always @( posedge VAR75 ) if (~VAR85) begin VAR54 <= 1'b0; VAR77[31:0] <= 32'd0; VAR98 <= 2'd0; end else begin if( VAR32 ) begin VAR54 <= 1'b1; VAR98 <= 2'd0; case( VAR52[1:0] ) 2'b00: VAR77[31:0] <= {4{VAR71[7:0]}}; 2'b01: VAR77[31:0] <= {2{VAR71[15:0]}}; default: VAR77[31:0] <= VAR71[31:0]; endcase end else if( VAR97 ) VAR54 <= 1'b0; end endmodule
gpl-3.0
ByronPhung/hardware-accelerated-dna-matching-and-variation-detection
Hardware/Verilog/Search.v
1,721
module MODULE1( input VAR3, input reset, input [511:0] VAR19, input [511:0] VAR20, output reg VAR2 ); reg [511:0] VAR16; reg [510:0] VAR22; reg [509:0] VAR8; reg [508:0] VAR12; wire [63:0] VAR11, VAR14, VAR18, VAR17; reg VAR4, VAR15, VAR9, VAR10; reg VAR1 = 113; reg [6:0] counter; VAR13 VAR6 ( .VAR3(VAR3), .VAR19(VAR16), .VAR20(VAR11), .VAR2(VAR4) ); VAR13 VAR21 ( .VAR3(VAR3), .VAR19(VAR22), .VAR20(VAR14), .VAR2(VAR15) ); VAR13 VAR7 ( .VAR3(VAR3), .VAR19(VAR8), .VAR20(VAR18), .VAR2(VAR9) ); VAR13 VAR5 ( .VAR3(VAR3), .VAR19(VAR12), .VAR20(VAR17), .VAR2(VAR10) ); always @(posedge VAR3, posedge reset) begin if (reset) begin counter <= 0; VAR16 <= VAR19; VAR22 <= VAR19[511:1]; VAR8 <= VAR19[511:2]; VAR12 <= VAR19[511:3]; end else begin if (counter == VAR1) begin counter <= 0; VAR16 <= VAR19; VAR22 <= VAR19[511:1]; VAR8 <= VAR19[511:2]; VAR12 <= VAR19[511:3]; end else begin counter <= counter + 1; VAR16 <= VAR16 << 1; VAR22 <= VAR22 << 1; VAR8 <= VAR8 << 1; VAR12 <= VAR12 << 1; end if (VAR4 || VAR15 || VAR9 || VAR10) VAR2 <= 1; end else VAR2 <= 0; end end endmodule
apache-2.0
hsnuonly/PikachuVolleyFPGA
VGA.srcs/sources_1/ip/KeyboardCtrl_0/src/Ps2Interface.v
23,076
module MODULE1#( parameter VAR35 = 100000000 )( VAR56, VAR53, clk, rst, VAR18, VAR51, VAR4, VAR26, VAR2, VAR1 ); inout VAR56, VAR53; input clk, rst; input [7:0] VAR18; input VAR51; output reg [7:0] VAR4; output reg VAR26; output VAR2; output reg VAR1; parameter VAR33 = (100*1000) / (1000000000/VAR35); parameter VAR3 = (20*1000) / (1000000000/VAR35); parameter VAR43 = 15; parameter VAR48 = 11; parameter [0:0] VAR7 [0:255] = { 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b1,1'b0,1'b0,1'b1,1'b0,1'b1,1'b1,1'b0, 1'b0,1'b1,1'b1,1'b0,1'b1,1'b0,1'b0,1'b1 }; parameter VAR28 = 4'd0; parameter VAR37 = 4'd1; parameter VAR6 = 4'd2; parameter VAR9 = 4'd3; parameter VAR60 = 4'd4; parameter VAR27 = 4'd5; parameter VAR11 = 4'd6; parameter VAR25 = 4'd7; parameter VAR58 = 4'd8; parameter VAR8 = 4'd9; parameter VAR59 = 4'd10; parameter VAR57 = 4'd11; parameter VAR29 = 4'd12; parameter VAR17 = 4'd13; parameter VAR42 = 4'd14; reg [10:0] VAR24; wire VAR22; wire VAR36, VAR31; reg VAR34, VAR10, VAR21, VAR39; reg [3:0] VAR45, VAR38; reg VAR5, VAR49, VAR13, VAR47; reg VAR40, VAR12, VAR19, VAR14; reg VAR15; reg [3:0] state, VAR16; reg VAR46; reg [3:0] VAR32; reg [13:0] counter, VAR30; VAR20 VAR54( .VAR50(VAR36), .VAR44(VAR56), .VAR52(VAR40), .VAR55(~VAR5) ); VAR20 VAR41( .VAR50(VAR31), .VAR44(VAR53), .VAR52(VAR19), .VAR55(~VAR13) ); assign VAR2 = (state==VAR28)?1'b0:1'b1; always @ (posedge clk, posedge rst)begin if(rst)begin VAR4 <= 0; VAR26 <= 1'b0; end else if(VAR46==1'b1)begin VAR4 <= VAR24[8:1]; VAR26 <= 1'b1; end else begin VAR4 <= VAR4; VAR26 <= 1'b0; end end assign VAR22 = VAR7[VAR24[8:1]]; assign VAR23 = VAR7[VAR18]; always @ (posedge clk, posedge rst)begin if(rst) VAR24 <= 0; end else if(VAR51==1'b1 && state==VAR28) begin VAR24[0] <= 1'b0; VAR24[8:1] <= VAR18; VAR24[9] <= VAR23; VAR24[10] <= 1'b1; end else if(state==VAR37 || state==VAR58) VAR24 <= {VAR39, VAR24[10:1]}; end else VAR24 <= VAR24; end always @ (posedge clk, posedge rst) begin if(rst)begin VAR10 <= 1'b1; VAR34 <= 1'b1; VAR45 <= 0; end else if(VAR36 != VAR34)begin VAR10 <= VAR10; VAR34 <= VAR36; VAR45 <= 0; end else if(VAR45 == VAR43) begin VAR10 <= VAR34; VAR34 <= VAR34; VAR45 <= VAR45; end else begin VAR10 <= VAR10; VAR34 <= VAR34; VAR45 <= VAR45 + 1'b1; end end always @ (posedge clk, posedge rst) begin if(rst)begin VAR39 <= 1'b1; VAR21 <= 1'b1; VAR38 <= 0; end else if(VAR31 != VAR21)begin VAR39 <= VAR39; VAR21 <= VAR31; VAR38 <= 0; end else if(VAR38 == VAR43) begin VAR39 <= VAR21; VAR21 <= VAR21; VAR38 <= VAR38; end else begin VAR39 <= VAR39; VAR21 <= VAR21; VAR38 <= VAR38 + 1'b1; end end always @ (posedge clk, posedge rst)begin if(rst)begin state <= VAR28; VAR5 <= 1'b0; VAR40 <= 1'b0; VAR13 <= 1'b0; VAR19 <= 1'b0; VAR1 <= 1'b0; counter <= 0; end else begin state <= VAR16; VAR5 <= VAR49; VAR40 <= VAR12; VAR13 <= VAR47; VAR19 <= VAR14; VAR1 <= VAR15; counter <= VAR30; end end always @ * begin VAR16 = VAR28; VAR49 = 1'b0; VAR12 = 1'b1; VAR47 = 1'b0; VAR14 = 1'b1; VAR15 = 1'b0; VAR46 = 1'b0; VAR30 = 0; case(state) VAR28:begin if(VAR51 == 1'b1)begin VAR16 = VAR60; end else if(VAR10 == 1'b0)begin VAR16 = VAR37; end else begin VAR16 = VAR28; end end VAR37:begin VAR16 = VAR6; end VAR6:begin if(VAR10 == 1'b1)begin VAR16 = VAR9; end else begin VAR16 = VAR6; end end VAR9:begin if(VAR32 == VAR48)begin if(VAR22 != VAR24[9])begin VAR15 = 1'b1; VAR16 = VAR28; end else begin VAR46 = 1'b1; VAR16 = VAR28; end end else if(VAR10 == 1'b0)begin VAR16 = VAR37; end else begin VAR16 = VAR9; end end VAR60:begin VAR49 = 1'b1; VAR12 = 1'b0; if(counter == VAR33)begin VAR16 = VAR27; VAR30 = 0; end else begin VAR16 = VAR60; VAR30 = counter + 1'b1; end end VAR27:begin VAR49 = 1'b1; VAR12 = 1'b0; VAR47 = 1'b1; VAR14 = 1'b0; if(counter == VAR3)begin VAR16 = VAR11; VAR30 = 0; end else begin VAR16 = VAR27; VAR30 = counter + 1'b1; end end VAR11:begin VAR49 = 1'b0; VAR47 = 1'b1; VAR14 = 1'b0; VAR16 = VAR25; end VAR25:begin VAR47 = 1'b1; VAR14 = 1'b0; if(counter == 14'd63)begin if(VAR10 == 1'b0)begin VAR16 = VAR58; VAR30 = 0; end else begin VAR16 = VAR25; VAR30 = counter; end end else begin VAR16 = VAR25; VAR30 = counter + 1'b1; end end VAR58:begin VAR47 = 1'b1; VAR14 = VAR24[0]; VAR16 = VAR8; end VAR8:begin VAR47 = 1'b1; VAR14 = VAR24[0]; if(VAR32 == VAR48-1)begin VAR47 = 1'b0; VAR16 = VAR57; end else if(VAR10 == 1'b1)begin VAR16 = VAR59; end else begin VAR16 = VAR8; end end VAR59:begin VAR47 = 1'b1; VAR14 = VAR24[0]; if(VAR10 == 1'b0)begin VAR16 = VAR58; end else begin VAR16 = VAR59; end end VAR57:begin if(VAR10 == 1'b1)begin VAR16 = VAR29; end else begin VAR16 = VAR57; end end VAR29:begin if(VAR10 == 1'b0)begin if(VAR39 == 1'b0) begin VAR16 = VAR17; end else begin VAR16 = VAR42; end end else begin VAR16 = VAR29; end end VAR17:begin if(VAR10 == 1'b1 && VAR10 == 1'b1)begin VAR16 = VAR28; end else begin VAR16 = VAR17; end end VAR42:begin if(VAR10 == 1'b1 && VAR10 == 1'b1)begin VAR15 = 1'b1; VAR16 = VAR28; end else begin VAR16 = VAR42; end end default:begin VAR15 = 1'b1; VAR16 = VAR28; end endcase end always @ (posedge clk, posedge rst)begin if(rst) VAR32 <= 0; end else if(state==VAR28) VAR32 <= 0; end else if(state==VAR37 || state==VAR58) VAR32 <= VAR32 + 1'b1; end else VAR32 <= VAR32; end endmodule
gpl-3.0
velizarefremov/MIPS
Part 3/Verilog Code/Program Counter/signex_param.v
1,100
module MODULE1 parameter VAR1 = 16, parameter VAR6 = 0) (output [VAR3-1:0] VAR2, input [VAR1-1:0] in ); localparam VAR4 = 0, VAR7 = 1; generate if(VAR6 == VAR4) begin :VAR8 assign VAR2[VAR3-1:0] = { {(VAR3-VAR1){in[VAR1-1]}}, in[VAR1-1:0]}; end endgenerate generate if(VAR6 == VAR7) begin :VAR5 assign VAR2[VAR3-1:0] = { {(VAR3-VAR1){1'b0}}, in[VAR1-1:0]}; end endgenerate endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nand3/sky130_fd_sc_hvl__nand3.behavioral.v
1,391
module MODULE1 ( VAR11, VAR2, VAR8, VAR1 ); output VAR11; input VAR2; input VAR8; input VAR1; supply1 VAR10; supply0 VAR3; supply1 VAR5 ; supply0 VAR9 ; wire VAR7; nand VAR4 (VAR7, VAR8, VAR2, VAR1 ); buf VAR6 (VAR11 , VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a21boi/sky130_fd_sc_ms__a21boi.pp.symbol.v
1,394
module MODULE1 ( input VAR4 , input VAR8 , input VAR6, output VAR2 , input VAR3 , input VAR7, input VAR1, input VAR5 ); endmodule
apache-2.0
hydai/Verilog-Practice
HardwareLab/Upload/101062124_戴宏穎_Lab8/KeyBoard_ctrl.v
2,999
module MODULE1(VAR11, VAR17, VAR1, VAR13, VAR16); input VAR13; input VAR16; input [3:0] VAR1; output [3:0] VAR11; output [3:0] VAR17; reg [3:0] VAR11; reg [3:0] VAR9; reg [3:0] VAR4; reg [3:0] VAR6; reg [3:0] VAR2; reg [3:0] VAR10; reg [3:0] VAR3; reg [3:0] VAR12; reg [14:0] VAR14; reg VAR7; wire VAR8; wire VAR15; wire VAR5; always @(posedge VAR13 or negedge VAR16) begin if (!VAR16) VAR14 <= {12'h000,2'b00}; end else VAR14 <= VAR14 + 1; end assign VAR15 = VAR14[14]; assign VAR5 = VAR14[14]; always @(posedge VAR13 or negedge VAR16) begin if (!VAR16) VAR4 <= 4'h0; end else if (VAR7) VAR4 <= VAR4 + 1; end always @(VAR4,VAR1) begin case (VAR4[3:2]) 2'b00 : VAR11 = 4'b1110; 2'b01 : VAR11 = 4'b1101; 2'b10 : VAR11 = 4'b1011; 2'b11 : VAR11 = 4'b0111; endcase case (VAR4[1:0]) 2'b00 : VAR7 = VAR1[0]; 2'b01 : VAR7 = VAR1[1]; 2'b10 : VAR7 = VAR1[2]; 2'b11 : VAR7 = VAR1[3]; endcase end always @(posedge VAR15 or negedge VAR16) begin if (!VAR16) VAR9 <= 4'h0; end else if (VAR7) VAR9 <= 4'h0; else if (VAR9 <= 4'hE) VAR9 <= VAR9 + 1; end assign VAR8 = (VAR9 == 4'hD)? 1'b1 : 1'b0; always @(VAR4) begin case (VAR4) 4'b0000 : VAR6 = 4'hF; 4'b0001 : VAR6 = 4'hE; 4'b0010 : VAR6 = 4'hD; 4'b0011 : VAR6 = 4'hC; 4'b0100 : VAR6 = 4'hB; 4'b0101 : VAR6 = 4'h3; 4'b0110 : VAR6 = 4'h6; 4'b0111 : VAR6 = 4'h9; 4'b1000 : VAR6 = 4'hA; 4'b1001 : VAR6 = 4'h2; 4'b1010 : VAR6 = 4'h5; 4'b1011 : VAR6 = 4'h8; 4'b1100 : VAR6 = 4'h0; 4'b1101 : VAR6 = 4'h1; 4'b1110 : VAR6 = 4'h4; 4'b1111 : VAR6 = 4'h7; endcase end always @(negedge VAR15 or negedge VAR16) begin if (!VAR16) VAR12 <= 4'h0; end else if (VAR8) VAR12 <= VAR6; end assign VAR17 = VAR12; endmodule
mit
eda-globetrotter/PicenoDecoders
zhiyang_and_andrew/syn/src/spd.v
5,474
module MODULE1 (VAR63, VAR41, VAR79, VAR45, VAR84, VAR17, VAR65, VAR50, out, clk, reset); output out; input VAR63, VAR41, VAR79, VAR45; input [3:0] VAR84, VAR17, VAR65, VAR50; input clk, reset; wire out; wire VAR75, VAR68; wire VAR32, VAR74, VAR8, VAR49; wire VAR51, VAR31, VAR78, VAR87; wire VAR72, VAR33, VAR6, VAR64; wire VAR34, VAR81, VAR85, VAR43; wire VAR62, VAR37, VAR25, VAR29; wire VAR20, VAR80, VAR15, VAR58; wire VAR21, VAR67, VAR30, VAR36; wire VAR9, VAR7, VAR82, VAR40; wire VAR2, VAR24, VAR70, VAR89; wire VAR23, VAR76, VAR27, VAR39; wire VAR11, VAR83, VAR35, VAR12; wire VAR5, VAR42, VAR16, VAR1; wire VAR69, VAR14, VAR48, VAR10; wire VAR53, VAR71, VAR22, VAR57; wire VAR52, VAR59, VAR44, VAR26; VAR73 VAR18(1'b0, 1'b0, 1'b1, 1'b1, VAR63, VAR41, VAR79, VAR45, VAR32, VAR74, VAR8, VAR49, clk, reset); VAR73 VAR66(VAR32, VAR74, VAR8, VAR49, VAR63, VAR41, VAR79, VAR45, VAR51, VAR31, VAR78, VAR87, clk, reset); VAR73 VAR54(VAR51, VAR31, VAR78, VAR87, VAR63, VAR41, VAR79, VAR45, VAR72, VAR33, VAR6, VAR64, clk, reset); VAR73 VAR56(VAR72, VAR33, VAR6, VAR64, VAR63, VAR41, VAR79, VAR45, VAR34, VAR81, VAR85, VAR43, clk, reset); VAR73 VAR88(VAR34, VAR81, VAR85, VAR43, VAR63, VAR41, VAR79, VAR45, VAR62, VAR37, VAR25, VAR29, clk, reset); VAR73 VAR55(VAR62, VAR37, VAR25, VAR29, VAR63, VAR41, VAR79, VAR45, VAR20, VAR80, VAR15, VAR58, clk, reset); VAR73 VAR77(VAR20, VAR80, VAR15, VAR58, VAR63, VAR41, VAR79, VAR45, VAR21, VAR67, VAR30, VAR36, clk, reset); VAR73 VAR86(VAR21, VAR67, VAR30, VAR36, VAR63, VAR41, VAR79, VAR45, VAR9, VAR7, VAR82, VAR40, clk, reset); VAR73 VAR38(VAR9, VAR7, VAR82, VAR40, VAR63, VAR41, VAR79, VAR45, VAR2, VAR24, VAR70, VAR89, clk, reset); VAR73 VAR28(VAR2, VAR24, VAR70, VAR89, VAR63, VAR41, VAR79, VAR45, VAR23, VAR76, VAR27, VAR39, clk, reset); VAR73 VAR47(VAR23, VAR76, VAR27, VAR39, VAR63, VAR41, VAR79, VAR45, VAR11, VAR83, VAR35, VAR12, clk, reset); VAR73 VAR4(VAR11, VAR83, VAR35, VAR12, VAR63, VAR41, VAR79, VAR45, VAR5, VAR42, VAR16, VAR1, clk, reset); VAR73 VAR13(VAR5, VAR42, VAR16, VAR1, VAR63, VAR41, VAR79, VAR45, VAR69, VAR14, VAR48, VAR10, clk, reset); VAR73 VAR61(VAR69, VAR14, VAR48, VAR10, VAR63, VAR41, VAR79, VAR45, VAR53, VAR71, VAR22, VAR57, clk, reset); VAR73 VAR46(VAR53, VAR71, VAR22, VAR57, VAR63, VAR41, VAR79, VAR45, VAR52, VAR59, VAR44, VAR26, clk, reset); VAR3 VAR60 (VAR84, VAR17, VAR65, VAR50, VAR75, VAR68); demux VAR19 (VAR52, VAR59, VAR44, VAR26, VAR75, VAR68, out); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/tapmet1/sky130_fd_sc_hs__tapmet1_2.v
1,787
module MODULE2 ( VAR4, VAR2 ); input VAR4; input VAR2; VAR3 VAR1 ( .VAR4(VAR4), .VAR2(VAR2) ); endmodule module MODULE2 (); supply1 VAR4; supply0 VAR2; VAR3 VAR1 (); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dlrtn/sky130_fd_sc_hdll__dlrtn_2.v
2,374
module MODULE2 ( VAR5 , VAR6, VAR8 , VAR2 , VAR1 , VAR7 , VAR9 , VAR3 ); output VAR5 ; input VAR6; input VAR8 ; input VAR2 ; input VAR1 ; input VAR7 ; input VAR9 ; input VAR3 ; VAR4 VAR10 ( .VAR5(VAR5), .VAR6(VAR6), .VAR8(VAR8), .VAR2(VAR2), .VAR1(VAR1), .VAR7(VAR7), .VAR9(VAR9), .VAR3(VAR3) ); endmodule module MODULE2 ( VAR5 , VAR6, VAR8 , VAR2 ); output VAR5 ; input VAR6; input VAR8 ; input VAR2 ; supply1 VAR1; supply0 VAR7; supply1 VAR9 ; supply0 VAR3 ; VAR4 VAR10 ( .VAR5(VAR5), .VAR6(VAR6), .VAR8(VAR8), .VAR2(VAR2) ); endmodule
apache-2.0
SymbiFlow/fpga-tool-perf
third_party/daisho-usb3/usb3_top.v
18,298
module MODULE1 ( input wire VAR212, input wire VAR248, input wire VAR24, input wire VAR275, input wire VAR106, input wire [31:0] VAR56, input wire [3:0] VAR200, input wire [1:0] VAR215, output wire [31:0] VAR186, output wire [3:0] VAR105, output wire VAR80, output wire VAR224, output wire VAR50, output wire VAR238, output wire VAR188, inout wire VAR14, input wire [5:0] VAR190, output wire [1:0] VAR229, input wire [1:0] VAR71, output wire VAR182, input wire VAR9, output wire VAR197, output wire [1:0] VAR51, output wire [2:0] VAR221, output wire VAR60, output wire VAR136, output wire VAR52, output wire VAR142, output wire VAR123, input wire [8:0] VAR247, input wire [31:0] VAR184, input wire VAR38, output wire VAR61, output wire VAR274, input wire VAR119, input wire [10:0] VAR82, output wire VAR240, input wire [8:0] VAR239, output wire [31:0] VAR96, output wire [10:0] VAR191, output wire VAR29, input wire VAR161, output wire VAR213, output wire VAR103, output wire [7:0] VAR208, output wire [15:0] VAR47, output wire [5:0] VAR219, output wire [4:0] VAR140 ); reg VAR94, VAR196; wire VAR83 = VAR248 & VAR9; always @(posedge VAR24 ) begin {VAR196, VAR94} <= {VAR94, VAR83}; end assign VAR80 = VAR248; assign VAR50 = VAR248 & VAR9; assign VAR224 = 1'b1; wire [1:0] VAR117; wire VAR209; parameter VAR30 = 1'b0; parameter VAR228 = 1'b1; parameter [2:0] VAR4 = 2'b11; parameter [2:0] VAR236 = 2'b00; parameter VAR277 = 1'b0; VAR122 VAR121(.VAR78(VAR30), .VAR260(VAR196), .VAR118(VAR14)); assign VAR209 = VAR196 ? 1'VAR75 : VAR30; assign VAR221 = VAR196 ? VAR117 : VAR4; VAR122 VAR128(.VAR78(VAR277), .VAR260(VAR196), .VAR118(VAR182)); wire VAR222; VAR288 VAR263 ( .VAR55 ( VAR106 ), .VAR127 ( VAR24 ), .VAR262 ( VAR275 ), .VAR248 ( VAR196 ), .VAR222 ( VAR222 ), .VAR56 ( VAR56 ), .VAR200 ( VAR200 ), .VAR215 ( VAR215 ), .VAR186 ( VAR186 ), .VAR105 ( VAR105 ), .VAR238 ( VAR238 ), .VAR188 ( VAR188 ), .VAR14 ( VAR14 ), .VAR190 ( VAR190 ), .VAR229 ( VAR229 ), .VAR19 ( VAR71 ), .VAR9 ( VAR9 ), .VAR197 ( VAR197 ), .VAR51 ( VAR51 ), .VAR221 ( VAR117 ), .VAR60 ( VAR60 ), .VAR136 ( VAR136 ), .VAR52 ( VAR52 ), .VAR142 ( VAR142 ), .VAR123 ( VAR123 ), .VAR99 ( VAR99 ), .VAR198 ( VAR198 ), .VAR92 ( VAR92 ), .VAR54 ( VAR54 ), .VAR86 ( VAR86 ), .VAR201 ( VAR201 ), .VAR27 ( VAR27 ), .VAR244 ( VAR244 ), .VAR217 ( VAR217 ), .VAR278 ( VAR278 ), .VAR42 ( VAR251 ), .VAR101 ( VAR3 ), .VAR289 ( VAR273 ), .VAR116 ( VAR126 ), .VAR31 ( VAR193 ), .VAR290 ( VAR290 ), .VAR25 ( VAR25 ), .VAR85 ( VAR85 ), .VAR202 ( VAR202 ), .VAR111 ( VAR111 ), .VAR109 ( VAR109 ), .VAR192 ( VAR192 ), .VAR176 ( VAR176 ), .VAR271 ( VAR271 ), .VAR185 ( VAR185 ), .VAR255 ( VAR255 ), .VAR276 ( VAR276 ), .VAR203 ( VAR203 ), .VAR66 ( VAR66 ), .VAR279 ( VAR279 ), .VAR114 ( VAR114 ), .VAR89 ( VAR89 ), .VAR91 ( VAR219 ) ); wire [4:0] VAR25; wire VAR149; wire VAR251; wire VAR3; wire [1:0] VAR273; wire VAR126; wire VAR193; wire VAR158; wire VAR290; wire VAR85; wire VAR202; wire VAR111; wire VAR109; wire VAR192; wire VAR176; wire VAR271; wire VAR185; wire VAR255; wire VAR244; wire VAR217; wire VAR278; wire VAR276; wire VAR203; wire VAR66; wire VAR279; wire VAR114; wire VAR89; wire VAR64; VAR211 VAR256 ( .VAR55 ( VAR106 ), .VAR127 ( VAR24 ), .VAR248 ( VAR222 ), .VAR23 ( VAR9 ), .VAR187 ( VAR215 ), .VAR8 ( VAR209 ), .VAR217 ( VAR217 ), .VAR278 ( VAR278 ), .VAR11 ( VAR229 ), .VAR193 ( VAR193 ), .VAR158 ( VAR158 ), .VAR67 ( VAR111 ), .VAR131 ( VAR255 ), .VAR2 ( VAR192 ), .VAR153 ( VAR176 ), .VAR113 ( VAR257 ), .VAR194 ( VAR45 ), .VAR57 ( VAR104 ), .VAR232 ( VAR290 ), .VAR25 ( VAR25 ), .VAR149 ( VAR149 ), .VAR251 ( VAR251 ), .VAR3 ( VAR3 ), .VAR273 ( VAR273 ), .VAR126 ( VAR126 ), .VAR244 ( VAR244 ), .VAR125 ( VAR85 ), .VAR129 ( VAR202 ), .VAR223 ( VAR109 ), .VAR108 ( VAR271 ), .VAR189 ( VAR185 ), .VAR276 ( VAR276 ), .VAR203 ( VAR203 ), .VAR66 ( VAR66 ), .VAR279 ( VAR279 ), .VAR114 ( VAR114 ), .VAR89 ( VAR89 ), .VAR7 ( VAR64 ), .VAR91 ( VAR140 ) ); wire [31:0] VAR99; wire [3:0] VAR198; wire VAR92; wire [31:0] VAR54; wire [3:0] VAR86; wire VAR201; wire VAR27; wire VAR257; wire VAR45; wire [2:0] VAR104; VAR270 VAR22 ( .VAR127 ( VAR24 ), .VAR248 ( VAR196 ), .VAR25 ( VAR25 ), .VAR290 ( VAR290 ), .VAR257 ( VAR257 ), .VAR45 ( VAR45 ), .VAR104 ( VAR104), .VAR258 ( VAR99 ), .VAR102 ( VAR198 ), .VAR65 ( VAR92 ), .VAR43 ( VAR54 ), .VAR21 ( VAR86 ), .VAR145 ( VAR201 ), .VAR162 ( VAR27 ), .VAR216 ( VAR241 ), .VAR175 ( VAR259 ), .VAR13 ( VAR13 ), .VAR154 ( VAR154 ), .VAR183 ( VAR183 ), .VAR49 ( VAR49 ), .VAR174 ( VAR174 ), .VAR41 ( VAR41 ), .VAR81 ( VAR81 ), .VAR265 ( VAR265 ), .VAR6 ( VAR6 ), .VAR281 ( VAR281 ), .VAR246 ( VAR246 ), .VAR112 ( VAR112 ), .VAR58 ( VAR58 ), .VAR146 ( VAR146 ), .VAR98 ( VAR98 ), .VAR150 ( VAR150 ), .VAR287 ( VAR287 ), .VAR231 ( VAR231 ), .VAR79 ( VAR79 ), .VAR159 ( VAR159 ), .VAR48 ( VAR48 ), .VAR155 ( VAR155 ), .VAR62 ( VAR62 ), .VAR26 ( VAR26 ), .VAR220 ( VAR220 ), .VAR18 ( VAR18 ), .VAR37 ( VAR37 ), .VAR173 ( VAR173 ), .VAR77 ( VAR77 ), .VAR254 ( VAR254 ), .VAR68 ( VAR68 ), .VAR95 ( VAR95 ), .VAR180 ( VAR180 ), .VAR207 ( VAR207 ), .VAR284 ( VAR284 ), .VAR252 ( VAR252 ), .VAR5 ( VAR5 ), .VAR171 ( VAR171 ), .VAR10 ( VAR10 ), .VAR283 ( VAR283 ), .VAR172 ( VAR172 ), .VAR178 ( VAR178 ), .VAR44 ( VAR44 ), .VAR32 ( VAR32 ), .VAR218 ( VAR218 ), .VAR157 ( VAR157 ), .VAR134 ( VAR134 ), .VAR234 ( VAR234 ), .VAR268 ( VAR268 ), .VAR63 ( VAR63 ), .VAR135 ( VAR135 ), .VAR93 ( VAR93 ), .VAR143 ( VAR143 ), .VAR84 ( VAR84 ), .VAR247 ( VAR152 ), .VAR184 ( VAR34 ), .VAR38 ( VAR76 ), .VAR274 ( VAR195 ), .VAR119 ( VAR115 ), .VAR82 ( VAR269 ), .VAR240 ( VAR40 ), .VAR239 ( VAR15 ), .VAR96 ( VAR107 ), .VAR191 ( VAR36 ), .VAR29 ( VAR46 ), .VAR161 ( VAR285 ), .VAR213 ( VAR139 ), .VAR165 ( VAR230 ) ); wire [1:0] VAR241; wire [1:0] VAR259; wire [6:0] VAR230; wire VAR179; wire VAR13; wire VAR154; wire VAR183; wire VAR49; wire [3:0] VAR174; wire [3:0] VAR41; wire [4:0] VAR81; wire [4:0] VAR265; wire [15:0] VAR6; wire VAR281; wire VAR246; wire VAR112; wire VAR58; wire [3:0] VAR146; wire [4:0] VAR98; wire [15:0] VAR150; wire VAR287; wire VAR231; wire VAR79; wire VAR159; wire VAR48; wire VAR155; wire [3:0] VAR62; wire [3:0] VAR26; wire [4:0] VAR220; wire [4:0] VAR18; wire [15:0] VAR37; wire VAR173; wire VAR77; wire VAR254; wire VAR68; wire [3:0] VAR95; wire [3:0] VAR180; wire [4:0] VAR207; wire [4:0] VAR284; wire [15:0] VAR252; wire VAR5; wire VAR171; wire VAR10; wire VAR283; wire [3:0] VAR172; wire [3:0] VAR178; wire [4:0] VAR44; wire [4:0] VAR32; wire [15:0] VAR218; wire VAR157; wire VAR134; wire VAR234; wire VAR268; wire [3:0] VAR63; wire [4:0] VAR135; wire [15:0] VAR93; wire VAR143; wire VAR84; wire [8:0] VAR152; wire [31:0] VAR34; wire VAR76; wire VAR195; wire VAR115; wire [10:0] VAR269; wire VAR40; wire [8:0] VAR15; wire [31:0] VAR107; wire [10:0] VAR36; wire VAR46; wire VAR285; wire VAR139; VAR12 VAR272 ( .VAR127 ( VAR24 ), .VAR55 ( VAR106 ), .VAR212 ( VAR212 ), .VAR248 ( VAR196 | ~VAR64), .VAR25 ( VAR25 ), .VAR216 ( VAR241 ), .VAR175 ( VAR259 ), .VAR282 ( VAR13 ), .VAR148 ( VAR154 ), .VAR227 ( VAR183 ), .VAR70 ( VAR49 ), .VAR74 ( VAR174 ), .VAR250 ( VAR41 ), .VAR242 ( VAR81 ), .VAR141 ( VAR265 ), .VAR204 ( VAR6 ), .VAR253 ( VAR281 ), .VAR100 ( VAR246 ), .VAR164 ( VAR112 ), .VAR264 ( VAR58 ), .VAR133 ( VAR146 ), .VAR144 ( VAR98 ), .VAR124 ( VAR150 ), .VAR214 ( VAR287 ), .VAR88 ( VAR231 ), .VAR168 ( VAR79 ), .VAR59 ( VAR159 ), .VAR225 ( VAR48 ), .VAR233 ( VAR155 ), .VAR210 ( VAR62 ), .VAR132 ( VAR26 ), .VAR280 ( VAR220 ), .VAR39 ( VAR18 ), .VAR156 ( VAR37 ), .VAR235 ( VAR173 ), .VAR90 ( VAR77 ), .VAR226 ( VAR254 ), .VAR206 ( VAR68 ), .VAR35 ( VAR95 ), .VAR120 ( VAR180 ), .VAR69 ( VAR207 ), .VAR167 ( VAR284 ), .VAR138 ( VAR252 ), .VAR87 ( VAR5 ), .VAR177 ( VAR171 ), .VAR166 ( VAR10 ), .VAR137 ( VAR283 ), .VAR266 ( VAR172 ), .VAR237 ( VAR178 ), .VAR243 ( VAR44 ), .VAR1 ( VAR32 ), .VAR261 ( VAR218 ), .VAR20 ( VAR157 ), .VAR33 ( VAR134 ), .VAR181 ( VAR234 ), .VAR169 ( VAR268 ), .VAR97 ( VAR63 ), .VAR205 ( VAR135 ), .VAR199 ( VAR93 ), .VAR72 ( VAR143 ), .VAR53 ( VAR84 ), .VAR247 ( VAR152 ), .VAR184 ( VAR34 ), .VAR38 ( VAR76 ), .VAR274 ( VAR195 ), .VAR119 ( VAR115 ), .VAR82 ( VAR269 ), .VAR240 ( VAR40 ), .VAR239 ( VAR15 ), .VAR96 ( VAR107 ), .VAR191 ( VAR36 ), .VAR29 ( VAR46 ), .VAR161 ( VAR285 ), .VAR213 ( VAR139 ), .VAR130 ( VAR247 ), .VAR170 ( VAR184 ), .VAR16 ( VAR38 ), .VAR286 ( VAR61 ), .VAR151 ( VAR274 ), .VAR17 ( VAR119 ), .VAR163 ( VAR82 ), .VAR245 ( VAR240 ), .VAR110 ( VAR239 ), .VAR147 ( VAR96 ), .VAR267 ( VAR191 ), .VAR160 ( VAR29 ), .VAR28 ( VAR161 ), .VAR73 ( VAR213 ), .VAR103 ( VAR103 ), .VAR208 ( VAR208 ), .VAR47 ( VAR47 ), .VAR165 ( VAR230 ), .VAR249 ( VAR179 ) ); endmodule
isc
cr88192/bgbtech_bjx1core
bjx1c32b/FpuFpD_Mul.v
1,447
module MODULE1( clk, enable, VAR15, VAR10, VAR5 ); input clk; input enable; input[63:0] VAR15; input[63:0] VAR10; output[63:0] VAR5; reg VAR1; reg VAR4; reg VAR6; reg[12:0] VAR11; reg[12:0] VAR7; reg[12:0] VAR3; reg[105:0] VAR9; reg[105:0] VAR12; reg[105:0] VAR14; reg[63:0] VAR2; reg[63:0] VAR9; reg[63:0] VAR12; reg[63:0] VAR2; reg[51:0] VAR8; reg[63:0] VAR13; assign VAR5 = VAR13; always @ (clk && enable) begin VAR1=VAR15[63]; VAR4=VAR10[63]; VAR11[10:0]=VAR15[62:52]; VAR7[10:0]=VAR10[62:52]; VAR11[12:11]=0; VAR7[12:11]=0; VAR6=VAR1^VAR4; VAR9[105:52]=1; VAR12[105:52]=1; VAR9[63:52]=1; VAR12[63:52]=1; VAR9[51:0]=VAR15[51:0]; VAR12[51:0]=VAR10[51:0]; VAR14=VAR9*VAR12; VAR2=VAR14[105:42]+64'h3FF; VAR2= {21'h0, VAR9[63:21]} * {21'h0, VAR12[63:21]}; if(VAR2[63]) begin VAR8[51:0]=VAR2[62:11]; VAR3=VAR11+VAR7-1022; end else begin VAR8[51:0]=VAR2[61:10]; VAR3=VAR11+VAR7-1023; end if(VAR3[12]) begin VAR13=0; end else if(VAR3[11]) begin VAR13[63]=VAR6; VAR13[62:0]=63'h7FF0000000000000; end else begin VAR13[63]=VAR6; VAR13[62:52]=VAR3[10:0]; VAR13[51: 0]=VAR8[51:0]; end end endmodule
mit
ShepardSiegel/ocpi
coregen/dram_v5_mig34/mig_v3_4/user_design/rtl/mig_v3_4.v
26,408
module MODULE1 # ( parameter VAR81 = 2, parameter VAR134 = 1, parameter VAR94 = 2, parameter VAR90 = 10, parameter VAR122 = 1, parameter VAR78 = 2, parameter VAR92 = 0, parameter VAR27 = 4, parameter VAR114 = 32, parameter VAR10 = 8, parameter VAR39 = 4, parameter VAR129 = 5, parameter VAR22 = 2, parameter VAR89 = 2, parameter VAR124 = 13, parameter VAR77 = 0, parameter VAR49 = 8, parameter VAR69 = 0, parameter VAR115 = 5, parameter VAR145 = 0, parameter VAR119 = 64, parameter VAR83 = 1, parameter VAR104 = 0, parameter VAR84 = 3, parameter VAR159 = 0, parameter VAR52 = 0, parameter VAR97 = 7800, parameter VAR82 = 40000, parameter VAR57 = 15000, parameter VAR35 = 105000, parameter VAR137 = 15000, parameter VAR158 = 7500, parameter VAR144 = 15000, parameter VAR88 = 7500, parameter VAR75 = "VAR33", parameter VAR107 = 0, parameter VAR121 = 1, parameter VAR53 = 3333, parameter VAR146 = "VAR139", parameter VAR152 = "VAR103", parameter VAR68 = 0, parameter VAR91 = 1 ) ( inout [VAR114-1:0] VAR79, output [VAR124-1:0] VAR64, output [VAR81-1:0] VAR162, output VAR153, output VAR13, output VAR9, output [VAR78-1:0] VAR40, output [VAR89-1:0] VAR93, output [VAR134-1:0] VAR65, output [VAR27-1:0] VAR14, input VAR85, input VAR32, input VAR43, output VAR150, output VAR102, output VAR73, output VAR42, output VAR72, output VAR59, input VAR142, input VAR151, input [30:0] VAR15, input [2:0] VAR7, output [(VAR119)-1:0] VAR127, input [(VAR119)-1:0] VAR48, input [(VAR119/8)-1:0] VAR41, inout [VAR39-1:0] VAR123, inout [VAR39-1:0] VAR135, output [VAR94-1:0] VAR126, output [VAR94-1:0] VAR24 ); localparam VAR20 = "VAR31"; wire VAR67; wire VAR113; wire VAR54; wire VAR138; wire VAR96; wire VAR29; wire VAR60; wire VAR55; wire VAR58; wire VAR5; wire VAR76; wire VAR30; wire VAR46; wire [3:0] VAR118; wire [3:0] VAR18; wire [(6*VAR114)-1:0] VAR110; wire [(6*VAR39)-1:0] VAR148; wire [(6*VAR39)-1:0] VAR160; wire [VAR39-1:0] VAR108; wire [(5*VAR39)-1:0] VAR16; wire [(5*VAR39)-1:0] VAR44; wire VAR143; wire VAR4; wire VAR74; wire VAR112; wire VAR120; wire VAR98; wire VAR50; wire VAR3; wire [VAR129-1:0] VAR17; wire VAR100; wire [VAR22:0] VAR132; wire VAR87; wire [VAR22:0] VAR99; wire VAR71; wire [35:0] VAR23; wire [35:0] VAR117; wire [35:0] VAR56; wire [35:0] VAR47; wire [191:0] VAR105; wire [95:0] VAR86; wire [99:0] VAR19; wire [31:0] VAR63; assign VAR102 = VAR96; assign VAR73 = VAR58; assign VAR67 = 1'b1; assign VAR113 = 1'b0; assign VAR54 = 1'b1; assign VAR138 = 1'b0; VAR12 # ( .VAR20 (VAR20) ) VAR1 ( .VAR55 (VAR55), .VAR30 (VAR30), .VAR46 (VAR46) ); VAR8 # ( .VAR53 (VAR53), .VAR146 (VAR146), .VAR152 (VAR152), .VAR68 (VAR68), .VAR91 (VAR91) ) VAR6 ( .VAR67 (VAR67), .VAR113 (VAR113), .VAR85 (VAR85), .VAR54 (VAR54), .VAR138 (VAR138), .VAR32 (VAR32), .VAR43 (VAR43), .VAR96 (VAR96), .VAR29 (VAR29), .VAR60 (VAR60), .VAR55 (VAR55), .VAR58 (VAR58), .VAR5 (VAR5), .VAR76 (VAR76), .VAR30 (VAR30), .VAR46 (VAR46) ); VAR11 # ( .VAR81 (VAR81), .VAR134 (VAR134), .VAR94 (VAR94), .VAR90 (VAR90), .VAR122 (VAR122), .VAR78 (VAR78), .VAR92 (VAR92), .VAR27 (VAR27), .VAR114 (VAR114), .VAR10 (VAR10), .VAR39 (VAR39), .VAR129 (VAR129), .VAR22 (VAR22), .VAR89 (VAR89), .VAR124 (VAR124), .VAR77 (VAR77), .VAR49 (VAR49), .VAR69 (VAR69), .VAR115 (VAR115), .VAR145 (VAR145), .VAR119 (VAR119), .VAR83 (VAR83), .VAR104 (VAR104), .VAR84 (VAR84), .VAR159 (VAR159), .VAR52 (VAR52), .VAR97 (VAR97), .VAR82 (VAR82), .VAR57 (VAR57), .VAR35 (VAR35), .VAR137 (VAR137), .VAR158 (VAR158), .VAR144 (VAR144), .VAR88 (VAR88), .VAR75 (VAR75), .VAR20 (VAR20), .VAR107 (VAR107), .VAR121 (VAR121), .VAR109 (2), .VAR149 (1), .VAR53 (VAR53) ) VAR155 ( .VAR79 (VAR79), .VAR64 (VAR64), .VAR162 (VAR162), .VAR153 (VAR153), .VAR13 (VAR13), .VAR9 (VAR9), .VAR40 (VAR40), .VAR93 (VAR93), .VAR65 (VAR65), .VAR14 (VAR14), .VAR150 (VAR150), .VAR96 (VAR96), .VAR29 (VAR29), .VAR60 (VAR60), .VAR58 (VAR58), .VAR5 (VAR5), .VAR76 (VAR76), .VAR42 (VAR42), .VAR72 (VAR72), .VAR59 (VAR59), .VAR142 (VAR142), .VAR151 (VAR151), .VAR15 (VAR15), .VAR7 (VAR7), .VAR127 (VAR127), .VAR48 (VAR48), .VAR41 (VAR41), .VAR123 (VAR123), .VAR135 (VAR135), .VAR126 (VAR126), .VAR130 (), .VAR24 (VAR24), .VAR118 (VAR118), .VAR18 (VAR18), .VAR110 (VAR110), .VAR148 (VAR148), .VAR160 (VAR160), .VAR108 (VAR108), .VAR16 (VAR16), .VAR44 (VAR44), .VAR143 (VAR143), .VAR4 (VAR4), .VAR74 (VAR74), .VAR112 (VAR112), .VAR120 (VAR120), .VAR98 (VAR98), .VAR50 (VAR50), .VAR3 (VAR3), .VAR17 (VAR17), .VAR100 (VAR100), .VAR132 (VAR132), .VAR87 (VAR87), .VAR99 (VAR99), .VAR71 (VAR71) ); generate if (VAR121 == 0) begin: VAR21 assign VAR143 = 'b0; assign VAR4 = 'b0; assign VAR74 = 'b0; assign VAR112 = 'b0; assign VAR120 = 'b0; assign VAR98 = 'b0; assign VAR50 = 'b0; assign VAR3 = 'b0; assign VAR17 = 'b0; assign VAR100 = 'b0; assign VAR132 = 'b0; assign VAR87 = 'b0; assign VAR99 = 'b0; assign VAR71 = 'b0; end else begin: VAR36 VAR38 VAR70 ( .VAR141 (VAR23), .VAR125 (VAR117), .VAR51 (VAR56), .VAR101 (VAR47) ); VAR133 VAR34 ( .VAR25 (VAR23), .VAR136 (VAR105) ); VAR37 VAR128 ( .VAR25 (VAR117), .VAR136 (VAR86) ); VAR45 VAR66 ( .VAR25 (VAR56), .VAR136 (VAR19) ); VAR156 VAR95 ( .VAR25 (VAR47), .clk (VAR76), .VAR61 (VAR63) ); if (VAR114 <= 32) begin: VAR116 assign VAR105[(6*VAR114)-1:0] = VAR110[(6*VAR114)-1:0]; end else begin: VAR26 assign VAR105 = VAR110[191:0]; end if (VAR39 <= 8) begin: VAR80 assign VAR86[(6*VAR39)-1:0] = VAR148[(6*VAR39)-1:0]; assign VAR86[(12*VAR39)-1:(6*VAR39)] = VAR160[(6*VAR39)-1:0]; end else begin: VAR28 assign VAR86[47:0] = VAR148[47:0]; assign VAR86[95:48] = VAR160[47:0]; end if (VAR39 <= 8) begin: VAR111 assign VAR19[(VAR39)+7:8] = VAR108[(VAR39)-1:0]; end else begin: VAR131 assign VAR19[15:8] = VAR108[7:0]; end if (VAR39 <= 8) begin: VAR62 assign VAR19[(5*VAR39)+19:20] = VAR16[(5*VAR39)-1:0]; end else begin: VAR164 assign VAR19[59:20] = VAR16[39:0]; end if (VAR39 <= 8) begin: VAR2 assign VAR19[(5*VAR39)+59:60] = VAR44[(5*VAR39)-1:0]; end else begin: VAR163 assign VAR19[99:60] = VAR44[39:0]; end if (VAR129 <= 5) begin: VAR106 assign VAR17[VAR129-1:0] = VAR63[VAR129+7:8]; end else begin: VAR161 assign VAR17[4:0] = VAR63[12:8]; end if (VAR22 <= 3) begin: VAR154 assign VAR132[VAR22:0] = VAR63[(VAR22+16):16]; end else begin: VAR157 assign VAR132[3:0] = VAR63[19:16]; end if (VAR22 <= 3) begin: VAR140 assign VAR99[VAR22:0] = VAR63[(VAR22+21):21]; end else begin: VAR147 assign VAR99[3:0] = VAR63[24:21]; end assign VAR19[3:0] = VAR118; assign VAR19[7:4] = VAR18; assign VAR143 = VAR63[0]; assign VAR4 = VAR63[1]; assign VAR74 = VAR63[2]; assign VAR112 = VAR63[3]; assign VAR120 = VAR63[4]; assign VAR98 = VAR63[5]; assign VAR50 = VAR63[6]; assign VAR3 = VAR63[7]; assign VAR100 = VAR63[15]; assign VAR87 = VAR63[20]; assign VAR71 = VAR63[25]; end endgenerate endmodule
lgpl-3.0
CospanDesign/nysa-verilog
verilog/wishbone/slave/wb_sf_camera/rtl/sf_camera_controller.v
2,283
module MODULE1 ( input clk, input rst, output VAR11, output VAR9, input VAR2, output VAR12, input VAR14, input VAR15, input VAR3, input VAR4, output VAR6, output VAR5, output VAR1, output VAR10 ); VAR7 VAR16( .clk (clk ), .rst (rst ), .VAR8 (VAR6 ), .VAR13 (VAR12 ) ); assign VAR9 = (VAR14) ? VAR2: VAR15; assign VAR11 = VAR4; endmodule
mit
johan92/altera_opencl_sandbox
vector_add/bin_vector_add/system/synthesis/submodules/acl_toggle_detect.v
4,134
module MODULE1 parameter VAR7=13, parameter VAR3=10 ) ( input logic clk, input logic VAR9, input logic valid, input logic [VAR7-1:0] VAR2, output logic [VAR3-1:0] VAR6[VAR7+1] ); logic [VAR7-1:0] VAR10; logic [VAR7-1:0] VAR11; logic VAR8; always@(posedge clk or negedge VAR9) if (!VAR9) VAR10<={VAR7{1'b0}}; else if (valid) VAR10<=VAR2; always@(posedge clk or negedge VAR9) if (!VAR9) VAR11<={VAR7{1'b0}}; else if (valid) VAR11<=VAR2^VAR10; else VAR11<={VAR7{1'b0}}; genvar VAR5; generate for (VAR5 = 0; VAR5 < VAR7; VAR5 = VAR5 + 1) begin:VAR1 always@(posedge clk or negedge VAR9) if (!VAR9) VAR6[VAR5] <= {VAR3{1'b0}}; end else if (VAR11[VAR5] && VAR8) VAR6[VAR5] <= (VAR6[VAR5] + 2'b1) >> 1; else if (VAR11[VAR5]) VAR6[VAR5] <= VAR6[VAR5] + 2'b1; else if (VAR8) VAR6[VAR5] <= VAR6[VAR5] >> 1; end endgenerate always@(posedge clk or negedge VAR9) if (!VAR9) VAR6[VAR7] <= 1'b0; else if (VAR8 && VAR6[VAR7]!={VAR3{1'b1}}) VAR6[VAR7] <= VAR6[VAR7] + 2'b1; integer VAR4; always@(posedge clk or negedge VAR9) if (!VAR9) VAR8 <= 1'b0; else if (VAR8) VAR8 <= 1'b0; else for (VAR4 = 0; VAR4 < VAR7; VAR4 = VAR4 + 1) if (&VAR6[VAR4][VAR3-1:VAR3-3]) VAR8 <= 1'b1; endmodule
mit
osrf/wandrr
firmware/motor_controller/fpga/foc_cmd.v
5,007
module MODULE1 (input VAR56, input VAR76, input [31:0] VAR15, input [31:0] VAR47, input VAR73, input [31:0] VAR39, input [31:0] VAR52, input VAR7, input VAR45, input [7:0] VAR1, input VAR59, input VAR55, output [31:0] VAR29, output [31:0] VAR20, output [31:0] VAR71, output VAR2, output VAR30); wire [7:0] VAR49; wire [31:0] VAR21; wire [31:0] VAR3; wire [31:0] VAR9; wire VAR37; VAR4 VAR22 (.VAR56(VAR56), .VAR1(VAR1), .VAR59(VAR59), .VAR55(VAR55), .VAR68(VAR49), .VAR71(VAR71), .VAR14(VAR3), .VAR19(VAR9), .VAR16(VAR37)); wire VAR24; VAR27 VAR63 (.VAR56(VAR56), .VAR17(1'b1), .VAR62(VAR24), .rst(VAR76), .en(VAR37)); wire VAR64, VAR25; d1 VAR12(.VAR56(VAR56), .VAR17(VAR76), .VAR62(VAR64)); d1 VAR5(.VAR56(VAR56), .VAR17(VAR64), .VAR62(VAR25)); localparam [31:0] VAR72 = 32'h42d20000; wire VAR54; VAR31 VAR67 (.VAR34(VAR56), .VAR61(VAR54), .VAR41(VAR39), .VAR69(VAR72)); wire VAR65; wire VAR23 = VAR65 & |VAR52; VAR31 VAR53 (.VAR34(VAR56), .VAR61(VAR65), .VAR41(VAR39), .VAR69(VAR52)); wire [23:0] VAR33; VAR27 #(24) VAR10 (.VAR56(VAR56), .rst(VAR7), .en(1'b1), .VAR17((VAR54 | VAR23) ? VAR33 + 1'b1 : (|VAR33 ? VAR33 - 1'b1 : VAR33)), .VAR62(VAR33)); localparam VAR74 = 24'd100000; localparam VAR50 = 24'd001000; localparam VAR35 = 24'd000100; localparam VAR74 = 24'd10000000; localparam VAR50 = 24'd10000000; localparam VAR35 = 24'd01000000; VAR6 localparam VAR18 = 4'd0; localparam VAR40 = 4'd1; localparam VAR66 = 4'd2; localparam VAR43 = 4'd3; localparam VAR48=4, VAR13=4; reg [VAR13+VAR48-1:0] VAR26; wire [VAR48-1:0] state; wire [VAR48-1:0] VAR44 = VAR26[VAR48+VAR13-1:VAR13]; VAR27 #(VAR48) VAR60 (.VAR56(VAR56), .rst(1'b0), .en(1'b1), .VAR17(VAR44), .VAR62(state)); wire VAR75 = VAR26[0]; wire [17:0] VAR38; VAR27 #(18) VAR57 (.VAR56(VAR56), .rst(VAR75), .en(1'b1), .VAR17(VAR38+1'b1), .VAR62(VAR38)); always @* begin case (state) VAR18: if (VAR25 | VAR37) VAR26 = { VAR40 , 4'b0000 }; end else VAR26 = { VAR18 , 4'b0000 }; VAR40: if (VAR33 > VAR35) VAR26 = { VAR66, 4'b0010 }; else if (timeout) VAR26 = { VAR66, 4'b0001 }; else VAR26 = { VAR40 , 4'b0000 }; VAR66: if ((VAR25 | VAR37) & ~VAR46) VAR26 = { VAR40 , 4'b0000 }; else if (VAR38 == VAR50) if (VAR46) VAR26 = { VAR43 , 4'b0000 }; else VAR26 = { VAR18 , 4'b0000 }; else VAR26 = { VAR66, 4'b0000 }; VAR43: if (~VAR46) VAR26 = { VAR18 , 4'b0000 }; else VAR26 = { VAR43 , 4'b0000 }; default: VAR26 = { VAR18 , 4'b0000 }; endcase end wire VAR46; VAR27 VAR70 (.VAR56(VAR56), .rst(VAR45), .en(VAR26[1]), .VAR17(1'b1), .VAR62(VAR46)); wire [23:0] VAR42; VAR27 #(24) VAR32 (.VAR56(VAR56), .rst(VAR76 | VAR37), .en(1'b1), .VAR17(VAR42+1'b1), .VAR62(VAR42)); wire timeout = VAR42 == VAR74; wire [31:0] VAR36; d1 #(32) VAR11 (.VAR56(VAR56), .VAR17(VAR24 ? VAR3 : VAR15), .VAR62(VAR36)); wire [31:0] VAR51; d1 #(32) VAR58 (.VAR56(VAR56), .VAR17(VAR24 ? VAR9 : VAR47), .VAR62(VAR51)); VAR27 #(32) VAR8 (.VAR56(VAR56), .rst(1'b0), .en(1'b1), .VAR17(state == VAR40 ? VAR36 : 32'h0), .VAR62(VAR29)); VAR27 #(32) VAR28 (.VAR56(VAR56), .rst(1'b0), .en(1'b1), .VAR17(state == VAR40 ? VAR51 : 32'h0), .VAR62(VAR20)); assign VAR30 = state == VAR18 | state == VAR43; assign VAR2 = VAR73 & (state == VAR40 | state == VAR66); endmodule
apache-2.0
zKarp/Karpentium-Processor
src/verilog/Karpentium_Processor_III.v
1,239
module MODULE1(clk,VAR39,in,out,en); input clk,VAR39,en; input [15:0]in; output [15:0]out; reg [15:0]VAR10; reg [15:0]out; wire [2:0]VAR4; wire [3:0]VAR16; wire [5:0]VAR19,VAR31,VAR9; wire [1:0]VAR35,VAR15; wire VAR7,VAR5,VAR3; wire [15:0]VAR38,VAR37; wire [15:0]VAR33; VAR23 VAR41(clk,VAR39,VAR16,VAR35,VAR7,VAR5,VAR3,VAR15,VAR28,VAR22,VAR26,VAR20,VAR27,VAR24,VAR14,VAR32,VAR4,VAR6); VAR34 VAR18(clk,VAR33[5:0],VAR19,VAR35,VAR39); VAR2 #(6,16) VAR30(clk,VAR19,VAR33,VAR20); VAR29 VAR11 (clk,VAR33,VAR33,VAR16,VAR3,VAR24,VAR39); VAR8 VAR25(clk,VAR31,VAR9,VAR5); VAR36 VAR1 (clk,VAR37,VAR33,VAR15,VAR14,VAR39); VAR12 VAR21 (clk,VAR33,VAR33,VAR4,VAR27); VAR40 #(6,16) VAR17(clk,VAR9,VAR37,VAR32,VAR39,VAR7); always @(posedge clk) begin out = VAR28 ? VAR33 : 16'VAR13; VAR10 = in; end assign VAR31 = (VAR6) ? VAR33[5:0] : VAR33[5:0]; endmodule
gpl-2.0
secworks/blake2
src/rtl/blake2.v
8,099
module MODULE1( input wire clk, input wire VAR12, input wire VAR20, input wire VAR19, input wire [7 : 0] address, input wire [31 : 0] VAR22, output wire [31 : 0] VAR17 ); localparam VAR32 = 8'h00; localparam VAR43 = 8'h01; localparam VAR10 = 8'h02; localparam VAR28 = 8'h08; localparam VAR24 = 0; localparam VAR39 = 1; localparam VAR13 = 2; localparam VAR29 = 8'h09; localparam VAR26 = 0; localparam VAR50 = 8'h10; localparam VAR14 = 8'h2f; localparam VAR15 = 8'h80; localparam VAR11 = 8'h8f; localparam VAR33 = 32'h626c616b; localparam VAR46 = 32'h65322020; localparam VAR9 = 32'h302e3130; reg VAR37; reg VAR3; reg VAR42; reg VAR21; reg VAR49; reg VAR44; reg VAR16; reg VAR31; reg [31 : 0] VAR36 [0 : 31]; reg VAR8; wire [7 : 0] VAR51; wire [7 : 0] VAR27; wire VAR18; wire [1023 : 0] VAR25; wire [511 : 0] VAR1; wire VAR48; reg [31 : 0] VAR38; assign VAR51 = 8'h0; assign VAR27 = 8'h0; assign VAR25 = {VAR36[00], VAR36[01], VAR36[02], VAR36[03], VAR36[04], VAR36[05], VAR36[06], VAR36[07], VAR36[08], VAR36[09], VAR36[10], VAR36[11], VAR36[12], VAR36[13], VAR36[14], VAR36[15], VAR36[16], VAR36[17], VAR36[18], VAR36[19], VAR36[20], VAR36[21], VAR36[22], VAR36[23], VAR36[24], VAR36[25], VAR36[26], VAR36[27], VAR36[28], VAR36[29], VAR36[30], VAR36[31]}; assign VAR17 = VAR38; VAR5 VAR4 ( .clk(clk), .VAR12(VAR12), .VAR47(VAR37), .VAR6(VAR42), .VAR40(VAR49), .VAR30(VAR51), .VAR7(VAR27), .VAR45(VAR25), .ready(VAR18), .VAR23(VAR1), .VAR35(VAR48) ); always @ (posedge clk) begin : VAR2 integer VAR41; if (!VAR12) begin for (VAR41 = 0 ; VAR41 < 32 ; VAR41 = VAR41 + 1) VAR36[VAR41] <= 32'h0; VAR37 <= 1'h0; VAR42 <= 1'h0; VAR16 <= 1'h0; VAR31 <= 1'h0; end else begin VAR37 <= VAR3; VAR42 <= VAR21; VAR49 <= VAR44; VAR16 <= VAR18; VAR31 <= VAR48; if (VAR8) VAR36[address[4 : 0]] <= VAR22; end end always @* begin : VAR34 VAR3 = 1'h0; VAR21 = 1'h0; VAR44 = 1'h0; VAR8 = 1'h0; VAR38 = 32'h0; if (VAR20) begin if (VAR19) begin if (address == VAR28) begin VAR3 = VAR22[VAR24]; VAR21 = VAR22[VAR39]; VAR44 = VAR22[VAR13]; end if ((address >= VAR50) && (address <= VAR14)) begin VAR8 = 1; end end else begin if ((address >= VAR15) && (address <= VAR11)) VAR38 = VAR1[(15 - (address - VAR15)) * 32 +: 32]; case (address) VAR32: VAR38 = VAR33; VAR43: VAR38 = VAR46; VAR10: VAR38 = VAR9; VAR28: VAR38 = {29'h0, VAR49, VAR42, VAR37}; VAR29: VAR38 = {30'h0, VAR31, VAR16}; default: begin end endcase end end end endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o22a/sky130_fd_sc_ms__o22a.pp.symbol.v
1,368
module MODULE1 ( input VAR6 , input VAR3 , input VAR4 , input VAR5 , output VAR1 , input VAR8 , input VAR7, input VAR9, input VAR2 ); endmodule
apache-2.0
donnaware/ZBC---The-Zero-Board-Computer
rtl/ver1/rtl/vdu.v
13,699
module MODULE2 ( input VAR88, input VAR68, input [15:0] VAR78, output reg [15:0] VAR79, input [19:1] VAR42, input VAR22, input VAR23, input [ 1:0] VAR34, input VAR65, input VAR77, output VAR90, output reg [ 1:0] VAR18, output reg [ 1:0] VAR86, output reg [ 1:0] VAR4, output reg VAR54, output reg VAR17 ); parameter VAR51 = 10'd639; parameter VAR56 = 10'd655; parameter VAR7 = 10'd751; parameter VAR103 = 10'd799; parameter VAR5 = 80; parameter VAR67 = 10'd7; parameter VAR72 = 10'd647; parameter VAR102 = 9'd400; parameter VAR31 = 9'd411; parameter VAR36 = 9'd413; parameter VAR82 = 9'd448; parameter VAR93 = 5'd25; reg VAR16; reg VAR6; reg VAR38; reg VAR37; reg [9:0] VAR97; reg [8:0] VAR43; reg [22:0] VAR74; wire VAR30; wire VAR15; wire [11:0] VAR52; wire [7:0] VAR48; wire [7:0] VAR41; reg [3:0] VAR2; reg [6:0] VAR14; reg [4:0] VAR60; reg [3:0] VAR10; reg [3:0] VAR105; wire VAR13; wire VAR50; wire write; wire VAR44; wire VAR64; wire VAR27; wire VAR83; reg [7:0] VAR84; reg [2:0] VAR26; reg [2:0] VAR49; reg VAR40; wire VAR53; reg VAR57; wire VAR33; reg [6:0] VAR45; reg [4:0] VAR29; reg [6:0] VAR63; reg [4:0] VAR69; reg [6:0] VAR46; reg [6:0] VAR96; reg VAR8; reg VAR3, VAR11, VAR85, VAR75, VAR70, VAR19; reg VAR73; reg VAR95; reg VAR20; reg [7:0] VAR71; reg VAR47; reg [7:0] VAR92; reg [10:0] VAR39; reg [10:0] VAR98; reg VAR87; reg [10:0] VAR100; reg VAR89; reg [10:0] VAR28; reg VAR12; wire VAR25; wire [7:0] VAR61; wire [7:0] VAR101; wire [10:0] VAR99; wire [15:0] VAR106; wire VAR81; wire VAR1; wire VAR32; wire VAR9; wire [15:0] VAR58; wire VAR91; wire VAR55; MODULE1 MODULE1 ( .clk (VAR88), .addr (VAR52), .VAR24 (VAR41) ); MODULE3 MODULE2 ( .clk (VAR88), .rst (VAR68), .VAR62 (VAR25), .VAR76 (VAR20), .addr (VAR39), .VAR80 (VAR71), .VAR94 (VAR61) ); MODULE3 MODULE3 ( .clk (VAR88), .rst (VAR68), .VAR62 (VAR25), .VAR76 (VAR47), .addr (VAR28), .VAR80 (VAR92), .VAR94 (VAR101) ); assign VAR33 = VAR37 && VAR38; assign VAR53 = VAR6 && VAR16; assign VAR30 = 1'b1; assign VAR15 = 1'b0; assign VAR48 = 8'b0; assign VAR52 = { VAR61, VAR43[3:0] }; assign VAR99 = { 4'b0, VAR46} + { VAR96, 4'b0 }; assign VAR106 = {VAR101, VAR61}; assign VAR25 = 1'b1; assign VAR1 = VAR65 && VAR77; assign VAR81 = VAR84[7] ^ VAR40; assign VAR9 = (VAR26==3'd6) && !VAR12; assign VAR32 = (VAR49==3'd6); assign write = VAR23 & VAR65 & VAR77 & VAR22; assign VAR13 = write & VAR34[0]; assign VAR50 = write & VAR34[1]; assign VAR44 = VAR50 & (VAR2==4'hf); assign VAR64 = VAR50 & (VAR2==4'he); assign VAR27 = VAR50 & (VAR2==4'ha); assign VAR83 = VAR50 & (VAR2==4'hb); assign VAR55 = !VAR38; assign VAR91 = VAR55 | !VAR37; assign VAR58 = { 11'b0, VAR55, 3'b0, VAR91 }; assign VAR90 = VAR23 ? VAR1 : VAR19; always @(posedge VAR88) if(VAR68) begin VAR98 <= 11'b0; VAR87 <= 1'b0; VAR92 <= 8'h0; VAR100 <= 11'b0; VAR89 <= 1'b0; VAR71 <= 8'h0; end else begin if(VAR1 && !VAR23) begin VAR98 <= VAR42[11:1]; VAR100 <= VAR42[11:1]; VAR87 <= VAR22 & VAR34[1]; VAR89 <= VAR22 & VAR34[0]; VAR92 <= VAR78[15:8]; VAR71 <= VAR78[7:0]; end end always @(posedge VAR88) VAR79 <= VAR68 ? 16'h0 : (VAR23 ? VAR58 : (VAR70 ? VAR106 : VAR79)); always @(posedge VAR88) VAR2 <= VAR68 ? 4'h0 : (VAR13 ? VAR78[3:0] : VAR2); always @(posedge VAR88) VAR14 <= VAR68 ? 7'h0 : (VAR44 ? VAR78[14:8] : VAR14); always @(posedge VAR88) VAR60 <= VAR68 ? 5'h0 : (VAR64 ? VAR78[12:8] : VAR60); always @(posedge VAR88) VAR10 <= VAR68 ? 4'he : (VAR27 ? VAR78[11:8] : VAR10); always @(posedge VAR88) VAR105 <= VAR68 ? 4'hf : (VAR83 ? VAR78[11:8] : VAR105); always @(posedge VAR88) if(VAR68) begin VAR97 <= 10'b0; VAR54 <= 1'b1; VAR43 <= 9'b0; VAR17 <= 1'b1; VAR37 <= 1'b1; VAR38 <= 1'b1; VAR6 <= 1'b0; VAR16 <= 1'b0; VAR74 <= 22'b0; end else begin VAR97 <= (VAR97==VAR103) ? 10'b0 : VAR97 + 10'b1; VAR54 <= (VAR97==VAR56) ? 1'b0 : ((VAR97==VAR7) ? 1'b1 : VAR54); VAR43 <= (VAR43==VAR82 && VAR97==VAR103) ? 9'b0 : ((VAR97==VAR7) ? VAR43 + 9'b1 : VAR43); VAR17 <= (VAR43==VAR31) ? 1'b0 : ((VAR43==VAR36) ? 1'b1 : VAR17); VAR37 <= (VAR97==VAR67) ? 1'b1 : ((VAR97==VAR72) ? 1'b0 : VAR37); VAR38 <= (VAR43==9'h0) ? 1'b1 : ((VAR43==VAR102) ? 1'b0 : VAR38); VAR6 <= (VAR97[9:3] == VAR14[6:0]); VAR16 <= (VAR43[8:4] == VAR60[4:0]) && (VAR43[3:0] >= VAR10) && (VAR43[3:0] <= VAR105); VAR74 <= VAR74 + 22'd1; end always @(posedge VAR88) if(VAR68) begin VAR8 <= 1'b0; VAR3 <= 1'b1; VAR29 <= 5'b0; VAR45 <= 7'b0; VAR73 <= 1'b0; VAR11 <= 1'b1; VAR69 <= 5'b0; VAR63 <= 7'b0; VAR95 <= 1'b0; VAR85 <= 1'b0; VAR75 <= 1'b0; VAR70 <= 1'b0; VAR19 <= 1'b0; VAR96 <= 7'b0; VAR46 <= 7'b0; VAR39 <= 10'b0; VAR28 <= 10'b0; VAR20 <= 1'b0; VAR47 <= 1'b0; end else begin case (VAR97[2:0]) 3'b000: begin VAR8 <= VAR22; VAR3 <= VAR1; end default: begin VAR8 <= 1'b0; VAR3 <= 1'b0; VAR45 <= VAR97[9:3]; VAR29 <= VAR43[8:4]; end endcase VAR73 <= VAR8; VAR11 <= VAR3; VAR69 <= (VAR29 < VAR93) ? VAR29 : VAR29 - VAR93; VAR63 <= VAR45; VAR95 <= VAR73; VAR85 <= VAR11; VAR96 <= { 2'b00, VAR69 } + { VAR69, 2'b00 }; VAR46 <= VAR63; VAR39 <= VAR85 ? VAR100 : VAR99; VAR28 <= VAR85 ? VAR98 : VAR99; VAR20 <= VAR85 ? (VAR89 & VAR95) : 1'b0; VAR47 <= VAR85 ? (VAR87 & VAR95) : 1'b0; VAR75 <= VAR85; VAR70 <= VAR75; VAR19 <= VAR70; end always @(posedge VAR88) if(VAR68) begin VAR57 <= 1'b0; VAR40 <= 1'b0; VAR49 <= 3'b000; VAR26 <= 3'b111; VAR84 <= 8'b00000000; VAR18 <= 1'b0; VAR86 <= 1'b0; VAR4 <= 1'b0; end else begin if(VAR97[2:0] == 3'b000) begin VAR57 <= VAR33; VAR40 <= (VAR53 | VAR101[7]) & VAR74[22]; VAR26 <= VAR101[2:0]; VAR49 <= VAR101[6:4]; VAR12 <= VAR101[3]; VAR84 <= VAR41; end else VAR84 <= { VAR84[6:0], 1'b0 }; VAR4 <= VAR57 ? (VAR81 ? { VAR26[0], VAR12 } : { VAR49[0], 1'b0 }) : 2'b0; VAR86 <= VAR57 ? (VAR81 ? (VAR9 ? 2'b01 : { VAR26[1], VAR12 }) : (VAR32 ? 2'b01 : { VAR49[1], 1'b0 })) : 2'b0; VAR18 <= VAR57 ? (VAR81 ? { VAR26[2], VAR12 } : { VAR49[2], 1'b0 }) : 2'b0; end endmodule module MODULE3 ( input clk, input rst, input VAR62, input VAR76, input [10:0] addr, output reg [ 7:0] VAR94, input [ 7:0] VAR80 ); reg [7:0] VAR35[0:2047]; always @(posedge clk) VAR94 <= rst ? 8'h0 : VAR35[addr]; always @(posedge clk) if (VAR76 && VAR62) VAR35[addr] <= VAR80; endmodule module MODULE1 ( input clk, input [11:0] addr, output reg [ 7:0] VAR24 ); reg [7:0] VAR59[0:4095]; always @(posedge clk) VAR24 <= VAR59[addr];
gpl-3.0
timofonic/fpga_nes
hw/src/wram.v
2,352
module MODULE1 ( input wire VAR10, input wire VAR6, input wire VAR9, input wire [10:0] VAR13, input wire [ 7:0] din, output wire [ 7:0] dout ); wire VAR3; wire [7:0] VAR7; VAR8 #(.VAR1(11), .VAR14(8)) VAR11( .clk(VAR10), .VAR4(VAR3), .VAR12(VAR13), .VAR5(din), .VAR2(VAR7) ); assign VAR3 = (VAR6) ? ~VAR9 : 1'b0; assign dout = (VAR6) ? VAR7 : 8'h00; endmodule
bsd-2-clause
xcthulhu/periphondemand
src/library/components/uart16550/hdl/uart_sync_flops.v
5,761
module MODULE1 ( VAR9, VAR4, VAR8, VAR6, VAR3, VAR1 ); parameter VAR5 = 1; parameter VAR2 = 1; parameter VAR7 = 1'b0; input VAR9; input VAR4; input VAR8; input VAR6; input [VAR2-1:0] VAR3; output [VAR2-1:0] VAR1; reg [VAR2-1:0] VAR1; reg [VAR2-1:0] VAR10; always @ (posedge VAR4 or posedge VAR9) begin if (VAR9) VAR10 <= #VAR5 {VAR2{VAR7}}; end else VAR10 <= #VAR5 VAR3; end always @ (posedge VAR4 or posedge VAR9) begin if (VAR9) VAR1 <= #VAR5 {VAR2{VAR7}}; end else if (VAR8) VAR1 <= #VAR5 {VAR2{VAR7}}; else if (VAR6) VAR1 <= #VAR5 VAR10; end endmodule
lgpl-2.1
mzakharo/usb-de2-fpga
support/DE2_NIOS_DEVICE_LED/HW/Audio_0.v
1,569
module MODULE1 ( input wire VAR3, output wire VAR10, output wire VAR4, output wire VAR13, output wire VAR14, input wire VAR7, input wire [15:0] VAR8, input wire VAR6, output wire [15:0] VAR15, input wire VAR12 ); VAR2 #( .VAR9 (18432000), .VAR11 (48000), .VAR1 (16), .VAR5 (2) ) VAR16 ( .VAR3 (VAR3), .VAR10 (VAR10), .VAR4 (VAR4), .VAR13 (VAR13), .VAR14 (VAR14), .VAR7 (VAR7), .VAR8 (VAR8), .VAR6 (VAR6), .VAR15 (VAR15), .VAR12 (VAR12) ); endmodule
gpl-3.0
drichmond/riffa
fpga/xilinx/kc705/KC705_Gen1x8If64/hdl/KC705_Gen1x8If64.v
20,969
module MODULE1 parameter VAR124 = 8, parameter VAR178 = 64, parameter VAR184 = 256, parameter VAR17 = 5 ) (output [(VAR124 - 1) : 0] VAR102, output [(VAR124 - 1) : 0] VAR29, input [(VAR124 - 1) : 0] VAR63, input [(VAR124 - 1) : 0] VAR171, output [3:0] VAR93, input VAR123, input VAR127, input VAR179 ); wire VAR49; wire VAR83; wire VAR106; wire VAR26; wire VAR19; wire VAR96; wire VAR134; wire [VAR178-1 : 0] VAR24; wire [(VAR178/8)-1 : 0] VAR111; wire VAR139; wire VAR9; wire [VAR90 : 0] VAR45; wire [VAR178-1 : 0] VAR104; wire [(VAR178/8)-1 : 0] VAR53; wire VAR163; wire VAR186; wire VAR170; wire [VAR103 - 1 : 0] VAR47; wire VAR183; wire VAR43; wire VAR28; wire VAR154; wire VAR141; wire VAR125; wire VAR46; wire VAR180; wire [1:0] VAR37; wire VAR75; wire [63:0] VAR172; wire [11 : 0] VAR54; wire [7 : 0] VAR137; wire [11 : 0] VAR138; wire [7 : 0] VAR79; wire [11 : 0] VAR14; wire [7 : 0] VAR149; wire [2 : 0] VAR72; wire [15 : 0] VAR185; wire [15 : 0] VAR81; wire [15 : 0] VAR105; wire [15 : 0] VAR161; wire [15 : 0] VAR39; wire [15 : 0] VAR116; wire [15 : 0] VAR25; wire [2 : 0] VAR10; wire VAR61; wire [1 : 0] VAR177; wire VAR101; wire VAR135; wire [4 : 0] VAR95; wire VAR166; wire [7 : 0] VAR62; wire [4 : 0] VAR110; wire [2 : 0] VAR60; wire VAR67; wire VAR126; wire VAR31; wire [7 : 0] VAR157; wire [7 : 0] VAR64; wire [2 : 0] VAR11; wire VAR82; wire VAR65; wire VAR74; wire VAR144; wire VAR51; wire [VAR168-1:0] VAR15; wire [VAR168-1:0] VAR175; wire [VAR168-1:0] VAR48; wire [VAR168-1:0] VAR71; wire [(VAR168*VAR156)-1:0] VAR73; wire [(VAR168*VAR2)-1:0] VAR33; wire [(VAR168*VAR178)-1:0] VAR18; wire [VAR168-1:0] VAR12; wire [VAR168-1:0] VAR89; wire [VAR168-1:0] VAR78; wire [VAR168-1:0] VAR6; wire [VAR168-1:0] VAR13; wire [VAR168-1:0] VAR151; wire [(VAR168*VAR156)-1:0] VAR38; wire [(VAR168*VAR2)-1:0] VAR86; wire [(VAR168*VAR178)-1:0] VAR181; wire [VAR168-1:0] VAR150; wire [VAR168-1:0] VAR131; genvar VAR128; assign VAR154 = 0; assign VAR141 = 0; assign VAR125 = 0; assign VAR46 = 0; assign VAR180 = 0; assign VAR37 = 0; assign VAR172 = 0; assign VAR31 = 0; assign VAR157 = 0; assign VAR144 = 0; assign VAR95 = 0; assign VAR154 = 0; assign VAR75 = 0; VAR117 VAR32 (.VAR115(VAR83), .VAR146(VAR179)); VAR167 VAR20 (.VAR115(VAR49), .VAR158(), .VAR146(VAR123), .VAR142(1'b0), .VAR27(VAR127)); VAR4 VAR7 ( .VAR44 ( VAR29 ), .VAR41 ( VAR102 ), .VAR107 ( VAR171 ), .VAR50 ( VAR63 ), .VAR100 ( VAR106 ), .VAR121 ( VAR26 ), .VAR19 ( VAR19 ), .VAR96 ( VAR96 ), .VAR134 ( VAR134 ), .VAR24 ( VAR24 ), .VAR111 ( VAR111 ), .VAR45 ( VAR45 ), .VAR139 ( VAR139 ), .VAR9 ( VAR9 ), .VAR104 ( VAR104 ), .VAR53 ( VAR53 ), .VAR163 ( VAR163 ), .VAR186 ( VAR186 ), .VAR170 ( VAR170 ), .VAR47 ( VAR47 ), .VAR183 ( VAR183 ), .VAR43 ( VAR43 ), .VAR28 ( VAR28 ), .VAR141 ( VAR141 ), .VAR125 ( VAR125 ), .VAR46 ( VAR46 ), .VAR180 ( VAR180 ), .VAR37 ( VAR37 ), .VAR172 ( VAR172 ), .VAR154 ( VAR154 ), .VAR75 ( VAR75 ), .VAR68 ( 1'b0 ), .VAR66 ( 8'b0 ), .VAR56 ( 5'b0 ), .VAR76 ( 3'b0 ), .VAR54 ( VAR54 ), .VAR137 ( VAR137 ), .VAR138 ( VAR138 ), .VAR79 ( VAR79 ), .VAR14 ( VAR14 ), .VAR149 ( VAR149 ), .VAR72 ( VAR72 ), .VAR110 ( VAR110 ), .VAR25 ( VAR25 ), .VAR101 ( VAR101 ), .VAR185 ( VAR185 ), .VAR166 ( VAR166 ), .VAR135 ( VAR135 ), .VAR161 ( VAR161 ), .VAR62 ( VAR62 ), .VAR60 ( VAR60 ), .VAR81 ( VAR81 ), .VAR105 ( VAR105 ), .VAR39 ( VAR39 ), .VAR10 ( VAR10 ), .VAR116 ( VAR116 ), .VAR61 ( VAR61 ), .VAR177 ( VAR177 ), .VAR67 ( VAR67 ), .VAR126 ( VAR126 ), .VAR31 ( VAR31 ), .VAR157 ( VAR157 ), .VAR64 ( VAR64 ), .VAR11 ( VAR11 ), .VAR82 ( VAR82 ), .VAR65 ( VAR65 ), .VAR74 ( VAR74 ), .VAR144 ( VAR144 ), .VAR95 ( VAR95 ), .VAR55 ( VAR49 ), .VAR36 ( VAR83 ) ); VAR52 .VAR17 (VAR17), .VAR168 (VAR168), .VAR178 (VAR178), .VAR184 (VAR184)) VAR30 ( .VAR165 (VAR67), .VAR169 (VAR170), .VAR94 (VAR24[VAR178-1:0]), .VAR85 (VAR111[(VAR178/8)-1:0]), .VAR113 (VAR139), .VAR1 (VAR9), .VAR148 (VAR45[VAR90-1:0]), .VAR42 (VAR72[VAR140-1:0]), .VAR91 (VAR51), .VAR98 (VAR175[VAR168-1:0]), .VAR108 (VAR71[VAR168-1:0]), .VAR3 (VAR73[(VAR168*VAR156)-1:0]), .VAR145 (VAR33[(VAR168*VAR2)-1:0]), .VAR58 (VAR18[(VAR168*VAR178)-1:0]), .VAR136 (VAR12[VAR168-1:0]), .VAR176 (VAR13[VAR168-1:0]), .VAR84 (VAR131[VAR168-1:0]), .VAR88 (VAR104[VAR178-1:0]), .VAR114 (VAR53[(VAR178/8)-1:0]), .VAR164 (VAR163), .VAR153 (VAR186), .VAR8 (VAR47[VAR103-1:0]), .VAR112 (VAR134), .VAR159 (VAR62[VAR97-1:0]), .VAR133 (VAR110[VAR5-1:0]), .VAR147 (VAR60[VAR77-1:0]), .VAR40 (VAR81[VAR162-1:0]), .VAR87 (VAR161[VAR162-1:0]), .VAR16 (VAR39[VAR162-1:0]), .VAR22 (VAR116[VAR162-1:0]), .VAR174 (VAR54[VAR119-1:0]), .VAR120 (VAR137[VAR99-1:0]), .VAR35 (VAR82), .VAR155 (VAR126), .VAR132 (VAR106), .VAR129 (VAR26), .VAR59 (VAR15[VAR168-1:0]), .VAR118 (VAR48[VAR168-1:0]), .VAR130 (VAR89[VAR168-1:0]), .VAR160 (VAR78[VAR168-1:0]), .VAR143 (VAR6[VAR168-1:0]), .VAR182 (VAR151[VAR168-1:0]), .VAR92 (VAR38[(VAR168*VAR156)-1:0]), .VAR57 (VAR86[(VAR168*VAR2)-1:0]), .VAR152 (VAR181[(VAR168*VAR178)-1:0]), .VAR21 (VAR150[VAR168-1:0]), .VAR70 (VAR43), .VAR122 (VAR183), .VAR34 (VAR28) ); generate for (VAR128 = 0; VAR128 < VAR168; VAR128 = VAR128 + 1) begin : VAR23 VAR80 .VAR178(VAR178) ) VAR173 (.VAR69(VAR106), .VAR109(VAR51), .VAR59(VAR15[VAR128]), .VAR98(VAR175[VAR128]), .VAR118(VAR48[VAR128]), .VAR108(VAR71[VAR128]), .VAR3(VAR73[32*VAR128 +:32]), .VAR145(VAR33[31*VAR128 +:31]), .VAR58(VAR18[VAR178*VAR128 +:VAR178]), .VAR136(VAR12[VAR128]), .VAR130(VAR89[VAR128]), .VAR160(VAR78[VAR128]), .VAR143(VAR6[VAR128]), .VAR176(VAR13[VAR128]), .VAR182(VAR151[VAR128]), .VAR92(VAR38[32*VAR128 +:32]), .VAR57(VAR86[31*VAR128 +:31]), .VAR152(VAR181[VAR178*VAR128 +:VAR178]), .VAR21(VAR150[VAR128]), .VAR84(VAR131[VAR128]) ); end endgenerate endmodule
bsd-3-clause
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/nor2/gf180mcu_fd_sc_mcu9t5v0__nor2_4.functional.pp.v
1,066
module MODULE1( VAR3, VAR2, VAR8, VAR9, VAR7 ); input VAR8, VAR2; inout VAR9, VAR7; output VAR3; wire VAR10; not VAR1( VAR10, VAR8 ); wire VAR4; not VAR5( VAR4, VAR2 ); and VAR6( VAR3, VAR10, VAR4 ); endmodule
apache-2.0
zhaishaomin/ring_network-based-multicore-
communication_assist/FSM_upload_flit.v
7,853
module MODULE1( clk, rst, VAR36, VAR31, VAR26, VAR39, VAR37, VAR42, VAR28, VAR35, VAR17, VAR10, VAR11, VAR16, VAR15, VAR23, VAR22, VAR5, VAR18, VAR38, VAR13, VAR27 ); input clk; input rst; input VAR31; input VAR36; input VAR26; input VAR39; input [15:0] VAR37; input [3:0] VAR42; input [1:0] VAR28; input VAR35; output VAR17; output VAR10; output VAR11; output VAR16; output [1:0] VAR15; output VAR23; output VAR22; output VAR5; output VAR18; output VAR38; output [1:0] VAR13; output VAR27; parameter VAR40=5'b00000; parameter VAR21=5'b00001; parameter VAR3=5'b00010; parameter VAR29=5'b00110; parameter VAR2=5'b00011; parameter VAR32=5'b00100; parameter VAR33=5'b00101; parameter VAR30=5'b00110; parameter VAR20=5'b10000; parameter VAR43=5'b10001; parameter VAR34=5'b10010; parameter VAR25=5'b10011; parameter VAR1=5'b11000; parameter VAR41=5'b11001; parameter VAR12=5'b11010; parameter VAR6=5'b11100; parameter VAR14=5'b10100; parameter VAR8=5'b11011; parameter VAR24=2'b00; parameter VAR19=2'b01; parameter VAR7=2'b10; reg [1:0] VAR4; reg [1:0] VAR9; always@(posedge clk) begin if(rst) VAR4<=2'b00; end else VAR4<=VAR9; end assign VAR13=VAR4; reg VAR17; reg VAR10; reg VAR11; reg VAR16; reg [1:0] VAR15; reg VAR23; reg VAR22; reg VAR5; reg VAR18; reg VAR38; reg VAR27; always@(*) begin VAR9=VAR24; VAR17=1'b0; VAR10=1'b0; VAR11=1'b0; VAR16=1'b0; VAR15=2'b00; VAR23=1'b0; VAR22=1'b0; VAR5=1'b0; VAR18=1'b0; VAR38=1'b0; VAR27=1'b0; case(VAR4) VAR24: begin if(VAR36&&(VAR37[9:5]==VAR32||VAR37[9:5]==VAR30)) begin VAR9=VAR19; VAR17=1'b1; end if(VAR36&&(VAR37[9:5]==VAR2||VAR37[9:5]==VAR33)) VAR9=VAR7; VAR10=1'b1; end VAR19: begin if(VAR31==1'b0) begin VAR9=VAR19; end else begin VAR27=1'b1; if(VAR42[VAR28]==1'b0) VAR11=1'b1; end else begin if(VAR26==1'b1) begin if(VAR39==1'b1) begin VAR15=2'b11; VAR23=1'b1; VAR22=1'b1; VAR5=1'b1; VAR18=1'b1; VAR9=VAR24; end else begin VAR9=VAR19; VAR16=1'b1; if(VAR35) begin VAR15=2'b01; VAR38=1'b0; end else begin VAR15=2'b10; end end end else begin VAR9=VAR19; if(VAR39) begin VAR11=1'b1; VAR18=1'b1; end else begin VAR16=1'b1; if(VAR35) begin VAR15=2'b01; VAR38=1'b0; end else begin VAR15=2'b10; end end end end end end VAR7: begin if(VAR31==1'b0) begin VAR9=VAR7; end else begin VAR27=1'b1; if(VAR39) begin VAR9=VAR24; VAR18=1'b1; VAR23=1'b1; VAR15=2'b11; end else begin VAR9=VAR7; VAR16=1'b1; if(VAR35) begin VAR15=2'b01; VAR38=1'b1; end else begin VAR15=2'b10; end end end end endcase end endmodule
apache-2.0
jas0n1ee/THU-DSD
FB/ip/Binary_VGA_Controller/hdl/VGA_NIOS_CTRL.v
3,547
module MODULE1 ( VAR24, VAR57, VAR70, VAR26, VAR33, VAR62, VAR47, VAR49, VAR13, VAR6, VAR29, VAR48, VAR41, VAR23, VAR30, VAR60, VAR43 ); parameter VAR46 = 19'h4B000; output [15:0] VAR57; input [15:0] VAR24; input [18:0] VAR70; input VAR26,VAR33,VAR62; input VAR49,VAR47; reg [15:0] VAR57; output [9:0] VAR13; output [9:0] VAR6; output [9:0] VAR29; output VAR48; output VAR41; output VAR23; output VAR30; output VAR60; input VAR43; reg [3:0] VAR7; reg [9:0] VAR68; reg [9:0] VAR52; reg [9:0] VAR15; reg [9:0] VAR66; reg [9:0] VAR9; reg [9:0] VAR64; reg [9:0] VAR67; reg [9:0] VAR34; reg [9:0] VAR21; reg [9:0] VAR38; reg [9:0] VAR14; wire [18:0] VAR27; wire [9:0] VAR8; wire [9:0] VAR37; wire [9:0] VAR56; always@(posedge VAR49 or negedge VAR47) begin if(!VAR47) begin VAR7 <= 0; VAR68 <= 0; VAR52 <= 0; VAR15 <= 0; VAR66 <= 0; VAR9 <= 0; VAR64 <= 0; VAR67 <= 0; VAR34 <= 0; VAR21 <= 0; VAR38 <= 0; VAR14 <= 0; VAR57 <= 0; end else begin if(VAR62) begin if(VAR26) begin case(VAR70) VAR46+0 : VAR7 <= VAR24; VAR46+1 : VAR68 <= VAR24; VAR46+2 : VAR52 <= VAR24; VAR46+3 : VAR15 <= VAR24; VAR46+4 : VAR66 <= VAR24; VAR46+5 : VAR9 <= VAR24; VAR46+6 : VAR64 <= VAR24; VAR46+7 : VAR67 <= VAR24; VAR46+8 : VAR34 <= VAR24; VAR46+9 : VAR21 <= VAR24; VAR46+10: VAR38 <= VAR24; VAR46+11: VAR14 <= VAR24; endcase end else if(VAR33) begin case(VAR70) VAR46+0 : VAR57 <= VAR7 ; VAR46+1 : VAR57 <= VAR68 ; VAR46+2 : VAR57 <= VAR52 ; VAR46+3 : VAR57 <= VAR15 ; VAR46+4 : VAR57 <= VAR66 ; VAR46+5 : VAR57 <= VAR9 ; VAR46+6 : VAR57 <= VAR64 ; VAR46+7 : VAR57 <= VAR67 ; VAR46+8 : VAR57 <= VAR34 ; VAR46+9 : VAR57 <= VAR21 ; VAR46+10: VAR57 <= VAR38 ; VAR46+11: VAR57 <= VAR14 ; endcase end end end end VAR11 VAR5 ( .VAR32(VAR7), .VAR50(VAR68), .VAR19(VAR52), .VAR55(VAR15), .VAR63(VAR66), .VAR58(VAR9), .VAR28(VAR27), .VAR31 (VAR8), .VAR39 (VAR37), .VAR12 (VAR56), .VAR35(VAR13), .VAR53(VAR6), .VAR44(VAR29), .VAR20(VAR48), .VAR69(VAR41), .VAR22(VAR23), .VAR4(VAR30), .VAR45(VAR60), .VAR43(VAR43), .VAR47(VAR47) ); VAR71 VAR17 ( .VAR1(VAR8), .VAR59(VAR37), .VAR2(VAR56), .VAR61(VAR27), .VAR36(VAR60), .VAR10(VAR24), .VAR25(VAR70), .VAR40(VAR26 && (VAR70 < VAR46) && VAR62), .VAR18(VAR49), .VAR16(VAR64), .VAR51(VAR67), .VAR54(VAR34), .VAR42(VAR21), .VAR65(VAR38), .VAR3(VAR14), .VAR47(VAR47) ); endmodule
mit
oceanborn-mx/sirius
src.verilog/Multiplicacion_Matricial_Hipercubica/Multiplicacion_Matricial_Hipercubica/src/hipercubo.v
2,930
module MODULE1 ( input VAR8, input VAR52, input[3:0] VAR84,VAR85, input[3:0] VAR24,VAR37, input[3:0] VAR72,VAR104, input[3:0] VAR10,VAR90, input[7:0] VAR45, input[7:0] VAR106, input[7:0] VAR87, input[7:0] VAR74, output[7:0] VAR102,VAR27, output[7:0] VAR71,VAR32 ); wire[3:0] VAR48,VAR2,VAR91,VAR98; wire[3:0] VAR107,VAR28,VAR100,VAR49; wire[3:0] VAR12,VAR6,VAR75,VAR44; wire[3:0] VAR25,VAR36,VAR64,VAR61; wire[7:0] VAR95,VAR53,VAR38,VAR42; wire[7:0] VAR46,VAR40,VAR15,VAR67; wire[3:0] VAR78,VAR63,VAR97,VAR20; wire[3:0] VAR47,VAR81,VAR66,VAR70; wire[7:0] VAR101,VAR69,VAR62,VAR16; wire[7:0] VAR34,VAR5,VAR41,VAR31; VAR1 VAR19(VAR8,VAR52,VAR45[0],VAR84,VAR48); VAR1 VAR80(VAR8,VAR52,VAR106[0],VAR72,VAR12); VAR23 VAR3(VAR48,VAR12,VAR101); VAR57 VAR30(VAR8,VAR52,VAR87[0],VAR101,VAR95); VAR77 VAR58(VAR85,VAR48,VAR74[0],VAR78); VAR1 VAR96(VAR8,VAR52,VAR45[1],VAR78,VAR2); VAR1 VAR7(VAR8,VAR52,VAR106[1],VAR104,VAR6); VAR23 VAR60(VAR2,VAR6,VAR69); VAR57 VAR13(VAR8,VAR52,VAR87[1],VAR69,VAR53); VAR1 VAR93(VAR8,VAR52,VAR45[2],VAR24,VAR91); VAR77 VAR18(VAR10,VAR12,VAR74[1],VAR47); VAR1 VAR92(VAR8,VAR52,VAR106[2],VAR47,VAR75); VAR23 VAR14(VAR91,VAR75,VAR62); VAR57 VAR17(VAR8,VAR52,VAR87[2],VAR62,VAR38); VAR77 VAR65(VAR37,VAR91,VAR74[2],VAR63); VAR1 VAR50(VAR8,VAR52,VAR45[3],VAR63,VAR98); VAR77 VAR86(VAR90,VAR6,VAR74[3],VAR81); VAR1 VAR29(VAR8,VAR52,VAR106[3],VAR81,VAR44); VAR23 VAR21(VAR98,VAR44,VAR16); VAR57 VAR94(VAR8,VAR52,VAR87[3],VAR16,VAR42); VAR77 VAR39(VAR48,VAR28,VAR74[4],VAR97); VAR1 VAR59(VAR8,VAR52,VAR45[4],VAR97,VAR107); VAR77 VAR33(VAR12,VAR64,VAR74[5],VAR66); VAR1 VAR76(VAR8,VAR52,VAR106[4],VAR66,VAR25); VAR23 VAR43(VAR107,VAR25,VAR34); VAR57 VAR22(VAR8,VAR52,VAR87[4],VAR34,VAR46); VAR1 VAR54(VAR8,VAR52,VAR45[5],VAR2,VAR28); VAR77 VAR51(VAR6,VAR61,VAR74[6],VAR70); VAR1 VAR4(VAR8,VAR52,VAR106[5],VAR70,VAR36); VAR23 VAR35(VAR28,VAR36,VAR5); VAR57 VAR68(VAR8,VAR52,VAR87[5],VAR5,VAR40); VAR77 VAR89(VAR91,VAR49,VAR74[7],VAR20); VAR1 VAR82(VAR8,VAR52,VAR45[6],VAR20,VAR100); VAR1 VAR9(VAR8,VAR52,VAR106[6],VAR75,VAR64); VAR23 VAR26(VAR100,VAR64,VAR41); VAR57 VAR11(VAR8,VAR52,VAR87[6],VAR41,VAR15); VAR1 VAR105(VAR8,VAR52,VAR45[7],VAR98,VAR49); VAR1 VAR55(VAR8,VAR52,VAR106[7],VAR44,VAR61); VAR23 VAR103(VAR49,VAR61,VAR31); VAR57 VAR56(VAR8,VAR52,VAR87[7],VAR31,VAR67); VAR73 VAR83(VAR95,VAR46,VAR102); VAR73 VAR88(VAR53,VAR40,VAR27); VAR73 VAR99(VAR38,VAR15,VAR71); VAR73 VAR79(VAR42,VAR67,VAR32); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a311oi/sky130_fd_sc_hs__a311oi.behavioral.pp.v
1,977
module MODULE1 ( VAR12, VAR9, VAR8 , VAR10 , VAR13 , VAR16 , VAR14 , VAR1 ); input VAR12; input VAR9; output VAR8 ; input VAR10 ; input VAR13 ; input VAR16 ; input VAR14 ; input VAR1 ; wire VAR14 VAR2 ; wire VAR3 ; wire VAR7; and VAR5 (VAR2 , VAR16, VAR10, VAR13 ); nor VAR15 (VAR3 , VAR2, VAR14, VAR1 ); VAR6 VAR4 (VAR7, VAR3, VAR12, VAR9); buf VAR11 (VAR8 , VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/a22o/sky130_fd_sc_hvl__a22o.functional.pp.v
2,162
module MODULE1 ( VAR8 , VAR5 , VAR1 , VAR2 , VAR10 , VAR11, VAR17, VAR12 , VAR6 ); output VAR8 ; input VAR5 ; input VAR1 ; input VAR2 ; input VAR10 ; input VAR11; input VAR17; input VAR12 ; input VAR6 ; wire VAR13 ; wire VAR14 ; wire VAR18 ; wire VAR7; and VAR4 (VAR13 , VAR2, VAR10 ); and VAR15 (VAR14 , VAR5, VAR1 ); or VAR3 (VAR18 , VAR14, VAR13 ); VAR19 VAR16 (VAR7, VAR18, VAR11, VAR17); buf VAR9 (VAR8 , VAR7 ); endmodule
apache-2.0
puroh/Procesador_monociclo
control.v
1,482
module MODULE1(VAR5,VAR7,clk,VAR11,VAR10,VAR4,VAR1,VAR8,VAR3,VAR2,VAR6); input [5:0]VAR7; input clk; output wire VAR11; output wire VAR10; output wire VAR4; output wire VAR1; output wire [1:0]VAR8; output wire VAR3; output wire VAR2; output wire VAR6; output wire VAR5; reg [9:0]VAR12; always @ (*) begin case(VAR7) 6'b000000: VAR12=10'b0100100010; 6'b100011: VAR12=10'b0011110000; 6'b101011: VAR12=10'VAR9; 6'b000100: VAR12=10'VAR13; 6'b111111: VAR12=10'b0010100000; 6'b111110: VAR12=10'b0000000101; default: VAR12=10'b0010100000; endcase end assign VAR5 = VAR12[9]; assign VAR11 = VAR12[8]; assign VAR2 = VAR12[7];assign VAR1 = VAR12[6]; assign VAR6 = VAR12[5]; assign VAR4 = VAR12[4];assign VAR3 = VAR12[3]; assign VAR10 = VAR12[2]; assign VAR8 = VAR12[1:0]; endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/and3/gf180mcu_fd_sc_mcu7t5v0__and3_4.behavioral.pp.v
1,316
module MODULE1( VAR3, VAR2, VAR5, VAR9, VAR8, VAR7 ); input VAR5, VAR2, VAR3; inout VAR8, VAR7; output VAR9; VAR6 VAR4(.VAR3(VAR3),.VAR2(VAR2),.VAR5(VAR5),.VAR9(VAR9),.VAR8(VAR8),.VAR7(VAR7)); VAR6 VAR1(.VAR3(VAR3),.VAR2(VAR2),.VAR5(VAR5),.VAR9(VAR9),.VAR8(VAR8),.VAR7(VAR7));
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o21bai/sky130_fd_sc_ms__o21bai.behavioral.v
1,654
module MODULE1 ( VAR6 , VAR12 , VAR8 , VAR13 ); output VAR6 ; input VAR12 ; input VAR8 ; input VAR13; supply1 VAR4; supply0 VAR9; supply1 VAR10 ; supply0 VAR15 ; wire VAR2 ; wire VAR5 ; wire VAR14; not VAR3 (VAR2 , VAR13 ); or VAR7 (VAR5 , VAR8, VAR12 ); nand VAR11 (VAR14, VAR2, VAR5 ); buf VAR1 (VAR6 , VAR14 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o2bb2a/sky130_fd_sc_hd__o2bb2a.symbol.v
1,386
module MODULE1 ( input VAR8, input VAR2, input VAR4 , input VAR6 , output VAR1 ); supply1 VAR3; supply0 VAR9; supply1 VAR7 ; supply0 VAR5 ; endmodule
apache-2.0
tdaede/daala_zynq
daala_zynq.srcs/sources_1/bd/daala_zynq/ip/daala_zynq_axis_dwidth_converter_1_1/axis_infrastructure_v1_1/hdl/verilog/axis_infrastructure_v1_1_mux_enc.v
9,826
module MODULE1 # ( parameter VAR22 = "VAR37", parameter integer VAR13 = 4, parameter integer VAR1 = 2, parameter integer VAR18 = 1 ) ( input wire [VAR1-1:0] VAR9, input wire [VAR13*VAR18-1:0] VAR39, output wire [VAR18-1:0] VAR4, input wire VAR17 ); wire [VAR18-1:0] VAR19; genvar VAR10; function [VAR18-1:0] VAR15 ( input [VAR1-1:0] VAR34, input [VAR13*VAR18-1:0] VAR35 ); integer VAR6; reg [VAR13*VAR18-1:0] VAR29; begin VAR29[VAR18-1:0] = {VAR18{(VAR34==0)?1'b1:1'b0}} & VAR35[VAR18-1:0]; for (VAR6=1;VAR6<VAR13;VAR6=VAR6+1) begin : VAR14 VAR29[VAR6*VAR18 +: VAR18] = VAR29[(VAR6-1)*VAR18 +: VAR18] | ({VAR18{(VAR34==VAR6)?1'b1:1'b0}} & VAR35[VAR6*VAR18 +: VAR18]); end VAR15 = VAR29[VAR18*VAR13-1:VAR18*(VAR13-1)]; end endfunction function [VAR18-1:0] VAR12 ( input [1:0] VAR34, input [4*VAR18-1:0] VAR35 ); integer VAR6; reg [4*VAR18-1:0] VAR29; begin VAR29[VAR18-1:0] = {VAR18{(VAR34==0)?1'b1:1'b0}} & VAR35[VAR18-1:0]; for (VAR6=1;VAR6<4;VAR6=VAR6+1) begin : VAR14 VAR29[VAR6*VAR18 +: VAR18] = VAR29[(VAR6-1)*VAR18 +: VAR18] | ({VAR18{(VAR34==VAR6)?1'b1:1'b0}} & VAR35[VAR6*VAR18 +: VAR18]); end VAR12 = VAR29[VAR18*4-1:VAR18*3]; end endfunction assign VAR4 = VAR19 & {VAR18{VAR17}}; generate if ( VAR13 < 2 ) begin : VAR30 assign VAR19 = VAR39; end else if ( VAR22 == "VAR37" || VAR13 < 5 ) begin : VAR16 assign VAR19 = VAR15(VAR9, VAR39); end else begin : VAR36 wire [VAR18-1:0] VAR32; wire [VAR18-1:0] VAR8; wire [VAR18-1:0] VAR28; wire [VAR18-1:0] VAR23; wire [VAR18-1:0] VAR31; wire [VAR18-1:0] VAR33; case (VAR13) 1, 5, 9, 13: assign VAR33 = VAR39[(VAR13-1)*VAR18 +: VAR18]; 2, 6, 10, 14: assign VAR33 = VAR9[0] ? VAR39[(VAR13-1)*VAR18 +: VAR18] : VAR39[(VAR13-2)*VAR18 +: VAR18] ; 3, 7, 11, 15: assign VAR33 = VAR9[1] ? VAR39[(VAR13-1)*VAR18 +: VAR18] : (VAR9[0] ? VAR39[(VAR13-2)*VAR18 +: VAR18] : VAR39[(VAR13-3)*VAR18 +: VAR18] ); 4, 8, 12, 16: assign VAR33 = VAR9[1] ? (VAR9[0] ? VAR39[(VAR13-1)*VAR18 +: VAR18] : VAR39[(VAR13-2)*VAR18 +: VAR18] ) : (VAR9[0] ? VAR39[(VAR13-3)*VAR18 +: VAR18] : VAR39[(VAR13-4)*VAR18 +: VAR18] ); 17: assign VAR33 = VAR9[1] ? (VAR9[0] ? VAR39[15*VAR18 +: VAR18] : VAR39[14*VAR18 +: VAR18] ) : (VAR9[0] ? VAR39[13*VAR18 +: VAR18] : VAR39[12*VAR18 +: VAR18] ); default: assign VAR33 = 0; endcase case (VAR13) 5, 6, 7, 8: begin assign VAR32 = VAR12(VAR9[1:0], VAR39[0 +: 4*VAR18]); for (VAR10 = 0; VAR10 < VAR18 ; VAR10 = VAR10 + 1) begin : VAR5 VAR21 VAR3 ( .VAR24 (VAR32[VAR10]), .VAR27 (VAR33[VAR10]), .VAR9 (VAR9[2]), .VAR4 (VAR19[VAR10]) ); end end 9, 10, 11, 12: begin assign VAR28 = VAR12(VAR9[1:0], VAR39[0 +: 4*VAR18]); assign VAR23 = VAR12(VAR9[1:0], VAR39[4*VAR18 +: 4*VAR18]); for (VAR10 = 0; VAR10 < VAR18 ; VAR10 = VAR10 + 1) begin : VAR26 VAR21 VAR11 ( .VAR24 (VAR28[VAR10]), .VAR27 (VAR23[VAR10]), .VAR9 (VAR9[2]), .VAR4 (VAR32[VAR10]) ); VAR7 VAR25 ( .VAR24 (VAR32[VAR10]), .VAR27 (VAR33[VAR10]), .VAR9 (VAR9[3]), .VAR4 (VAR19[VAR10]) ); end end 13,14,15,16: begin assign VAR28 = VAR12(VAR9[1:0], VAR39[0 +: 4*VAR18]); assign VAR23 = VAR12(VAR9[1:0], VAR39[4*VAR18 +: 4*VAR18]); assign VAR31 = VAR12(VAR9[1:0], VAR39[8*VAR18 +: 4*VAR18]); for (VAR10 = 0; VAR10 < VAR18 ; VAR10 = VAR10 + 1) begin : VAR38 VAR21 VAR11 ( .VAR24 (VAR28[VAR10]), .VAR27 (VAR23[VAR10]), .VAR9 (VAR9[2]), .VAR4 (VAR32[VAR10]) ); VAR21 VAR2 ( .VAR24 (VAR31[VAR10]), .VAR27 (VAR33[VAR10]), .VAR9 (VAR9[2]), .VAR4 (VAR8[VAR10]) ); VAR7 VAR25 ( .VAR24 (VAR32[VAR10]), .VAR27 (VAR8[VAR10]), .VAR9 (VAR9[3]), .VAR4 (VAR19[VAR10]) ); end end 17: begin assign VAR28 = VAR9[4] ? VAR39[16*VAR18 +: VAR18] : VAR12(VAR9[1:0], VAR39[0 +: 4*VAR18]); assign VAR23 = VAR12(VAR9[1:0], VAR39[4*VAR18 +: 4*VAR18]); assign VAR31 = VAR12(VAR9[1:0], VAR39[8*VAR18 +: 4*VAR18]); for (VAR10 = 0; VAR10 < VAR18 ; VAR10 = VAR10 + 1) begin : VAR20 VAR21 VAR11 ( .VAR24 (VAR28[VAR10]), .VAR27 (VAR23[VAR10]), .VAR9 (VAR9[2]), .VAR4 (VAR32[VAR10]) ); VAR21 VAR2 ( .VAR24 (VAR31[VAR10]), .VAR27 (VAR33[VAR10]), .VAR9 (VAR9[2]), .VAR4 (VAR8[VAR10]) ); VAR7 VAR25 ( .VAR24 (VAR32[VAR10]), .VAR27 (VAR8[VAR10]), .VAR9 (VAR9[3]), .VAR4 (VAR19[VAR10]) ); end end default: assign VAR19 = VAR15(VAR9, VAR39); endcase end endgenerate endmodule
bsd-2-clause
vipinkmenon/scas
hw/fpga/source/enet_if/reset_sync.v
3,571
module MODULE1 #( parameter VAR3 = 2'b11 ) ( input VAR14, input clk, input enable, output VAR8 ); wire VAR7; wire VAR5; VAR2 #( .VAR6 (VAR3[0]) ) VAR12 ( .VAR13 (clk), .VAR1 (enable), .VAR10(VAR14), .VAR9 (1'b0), .VAR11 (VAR7) ); VAR2 #( .VAR6 (VAR3[1]) ) VAR4 ( .VAR13 (clk), .VAR1 (enable), .VAR10(VAR14), .VAR9 (VAR7), .VAR11 (VAR5) ); assign VAR8 = VAR5; endmodule
mit
toyoshim/tvcl
sample/LED2_spartan-3-starterkit.v
4,306
module MODULE1( VAR23, VAR27, VAR7, VAR55, VAR11, VAR66, VAR25, VAR69, VAR3, VAR15, VAR14, VAR42, VAR57, VAR34, VAR60, VAR49, VAR24, VAR18, VAR47, VAR44, VAR67, VAR65, VAR22, VAR59, VAR30, VAR13, VAR38, VAR9, VAR5, VAR56, VAR1, VAR32, VAR16, VAR46, VAR52, VAR28, VAR41, VAR21, VAR8); input VAR23; input VAR27; output [17:0] VAR7; output VAR55; output VAR11; inout [15:0] VAR66; output VAR25; output VAR69; output VAR3; inout [15:0] VAR15; output VAR14; output VAR42; output VAR57; output [ 3:0] VAR34; output VAR60; output VAR49; output VAR24; output VAR18; output VAR47; output VAR44; output VAR67; output VAR65; input [ 7:0] VAR22; input [ 3:0] VAR59; output [ 7:0] VAR30; output VAR13; output VAR38; output VAR9; output VAR5; output VAR56; input VAR1; input VAR32; input VAR16; output VAR46; input VAR52; output VAR28; input VAR41; output VAR21; output VAR8; wire clk; wire VAR29; wire [ 3:0] VAR45; wire [ 3:0] VAR12; wire [ 3:0] VAR35; wire [ 3:0] VAR4; wire VAR2; wire VAR58; wire VAR50; wire VAR6; wire VAR64; wire VAR48; reg [24:0] VAR20; reg [ 3:0] VAR53; assign VAR7 = 18'h00000; assign VAR55 = 1'b0; assign VAR11 = 1'b1; assign VAR66 = 16'hffff; assign VAR25 = 1'b1; assign VAR69 = 1'b1; assign VAR3 = 1'b1; assign VAR15 = 16'hffff; assign VAR14 = 1'b1; assign VAR42 = 1'b1; assign VAR57 = 1'b1; assign VAR30 = VAR22 | { 1'b0, VAR59, VAR32, VAR1, VAR27 }; assign VAR13 = 1'b0; assign VAR38 = 1'b0; assign VAR9 = 1'b0; assign VAR5 = 1'b1; assign VAR56 = 1'b1; assign VAR46 = VAR16; assign VAR28 = VAR52; assign VAR21 = VAR41; assign VAR8 = VAR41; assign clk = VAR23; assign VAR29 = !VAR59[3]; assign VAR45 = VAR53; assign VAR12 = VAR53 + 4'h1; assign VAR35 = VAR53 + 4'h2; assign VAR4 = VAR53 + 4'h3; assign VAR2 = VAR53[1:0] != 2'b00; assign VAR58 = VAR53[1:0] != 2'b01; assign VAR50 = VAR53[1:0] != 2'b10; assign VAR6 = VAR53[1:0] != 2'b11; assign VAR64 = VAR20[24]; assign VAR48 = VAR20[9]; always @ (posedge clk or negedge VAR29) begin if (!VAR29) begin VAR20 <= 25'h0000000; end else begin VAR20 <= VAR20 + 25'h0000001; end end always @ (posedge VAR64 or negedge VAR29) begin if (!VAR29) begin VAR53 <= 4'h0; end else begin VAR53 <= VAR53 + 4'h1; end end VAR33 VAR63( .clk (VAR48), .VAR29 (VAR29 ), .VAR37 (VAR4 ), .VAR62 (VAR35 ), .VAR26 (VAR12 ), .VAR71 (VAR45 ), .VAR40 (VAR6 ), .VAR19 (VAR50 ), .VAR68 (VAR58 ), .VAR51 (VAR2 ), .VAR10 (VAR60 ), .VAR70 (VAR49 ), .VAR43 (VAR24 ), .VAR54 (VAR18 ), .VAR17 (VAR47 ), .VAR61 (VAR44 ), .VAR31 (VAR67 ), .VAR39 (VAR65 ), .VAR36(VAR34 )); endmodule
bsd-3-clause
keith-epidev/VHDL-lib
top/lab_2/part_2/ip/clk_video/clk_video_stub.v
1,178
module MODULE1(VAR3, VAR2, VAR1) ; input VAR3; output VAR2; output VAR1; endmodule
gpl-2.0
rkrajnc/minimig-mist
rtl/soc/minimig_de1_top.v
24,645
module MODULE1 ( input wire [ 2-1:0] VAR74, input wire [ 2-1:0] VAR65, input wire VAR79, input wire VAR320, input wire VAR325, input wire VAR242, input wire VAR265, output wire VAR2, input wire [ 4-1:0] VAR204, input wire [ 10-1:0] VAR352, output wire [ 7-1:0] VAR267, output wire [ 7-1:0] VAR274, output wire [ 7-1:0] VAR236, output wire [ 7-1:0] VAR264, output wire [ 8-1:0] VAR203, output wire [ 10-1:0] VAR60, output wire VAR61, input wire VAR234, inout wire VAR87, output wire VAR233, inout wire VAR40, inout wire VAR179, inout wire VAR218, inout wire VAR134, output wire VAR367, output wire VAR345, output wire [ 4-1:0] VAR237, output wire [ 4-1:0] VAR378, output wire [ 4-1:0] VAR149, inout wire VAR53, input wire VAR249, inout wire VAR83, output wire VAR366, inout wire VAR46, output wire VAR176, input wire VAR201, output wire VAR33, output wire VAR294, output wire VAR125, inout wire [ 16-1:0] VAR146, output wire [ 18-1:0] VAR1, output wire VAR312, output wire VAR322, output wire VAR232, output wire VAR282, output wire VAR139, inout wire [ 16-1:0] VAR114, output wire [ 12-1:0] VAR168, output wire VAR29, output wire VAR324, output wire VAR269, output wire VAR334, output wire VAR360, output wire VAR115, output wire VAR86, output wire VAR277, output wire VAR98, output wire VAR155, inout wire [ 8-1:0] VAR161, output wire [ 22-1:0] VAR167, output wire VAR321, output wire VAR47, output wire VAR93, output wire VAR253, input wire [ 6-1:0] VAR260, input wire [ 6-1:0] VAR246, output wire VAR24, output wire VAR159 ); wire VAR263; wire VAR49; wire VAR216; wire VAR171; wire VAR373; wire VAR196; wire VAR369; wire VAR110; wire VAR273; wire VAR359; wire [ 10-1:0] VAR240; wire VAR376; wire VAR17; wire VAR94; wire VAR186; wire VAR96; wire VAR333; wire VAR368; wire VAR77; wire VAR291; wire VAR41; wire VAR26; wire VAR197; wire [ 22-1:0] VAR256; wire VAR361; wire VAR48; wire [ 4-1:0] VAR286; wire [ 32-1:0] VAR76; wire [ 32-1:0] VAR299; wire VAR181; wire VAR152; wire [ 22-1:0] VAR71; wire VAR351; wire VAR123; wire [ 2-1:0] VAR222; wire [ 16-1:0] VAR300; wire [ 16-1:0] VAR12; wire VAR213; wire VAR346; wire VAR362; wire [ 16-1:0] VAR81; wire [ 16-1:0] VAR145; wire [ 32-1:0] VAR126; wire [ 3-1:0] VAR173; wire VAR92; wire VAR255; wire VAR191; wire VAR131; wire VAR189; wire VAR170; wire VAR374; wire VAR128; wire [ 16-1:0] VAR285; wire VAR205; wire [ 4-1:0] VAR16; wire [ 6-1:0] VAR304; wire VAR198; wire [ 32-1:0] VAR30; wire [ 6-1:0] VAR226; wire VAR18; wire VAR332; wire VAR313; wire [ 32-1:0] VAR143; wire VAR278; wire [ 16-1:0] VAR178; wire [ 16-1:0] VAR272; wire [ 22-1:1] VAR224; wire VAR4; wire VAR157; wire VAR296; wire VAR221; wire 15khz; wire VAR194; wire [ 15-1:0] VAR75; wire [ 15-1:0] VAR318; wire VAR241; wire VAR290; wire VAR32; wire VAR248; wire VAR107; wire VAR185; wire VAR270; wire VAR214; wire VAR133; wire [ 24-1:0] VAR252; wire VAR153; wire [ 2-1:0] VAR25; wire [ 16-1:0] VAR109; wire [ 16-1:0] VAR105; wire VAR230; wire VAR13; wire [ 4-1:0] VAR180; wire [ 2-1:0] VAR117; wire [ 2-1:0] VAR327; wire VAR99; wire VAR165; wire [ 16-1:0] VAR59; wire [ 16-1:0] VAR279; wire [ 8-1:0] VAR154; wire [ 8-1:0] VAR162; wire [ 4-1:0] VAR51; wire VAR298; wire VAR228; wire [ 4-1:0] VAR58; wire [ 4-1:0] VAR341; wire [ 4-1:0] VAR177; wire [ 8-1:0] VAR31; wire VAR44; wire VAR90, VAR38, VAR84, VAR188, VAR314, VAR62, VAR6, VAR122, VAR262; wire VAR11, VAR268, VAR306, VAR112; VAR192 #(.VAR305(4)) VAR310 ( .clk (VAR216), .VAR375 ({VAR352[9], VAR352[8], VAR352[7], VAR352[6]}), .VAR206 ({VAR90, VAR38, VAR84, VAR188}) ); VAR192 #(.VAR305(4)) VAR335 ( .clk (VAR216), .VAR375 ({VAR204[3], VAR204[2], VAR204[1], VAR204[0]}), .VAR206 ({VAR11, VAR268, VAR306, VAR112}) ); VAR192 #(.VAR305(5)) VAR164 ( .clk (VAR376), .VAR375 ({VAR352[5], VAR352[4], VAR352[3], VAR352[2], VAR352[1]}), .VAR206 ({VAR314, VAR62, VAR6, VAR122, VAR262}) ); VAR192 #(.VAR305(4)) VAR156 ( .clk (VAR376), .VAR375 ({VAR198, ~VAR362, VAR278, ~VAR13}), .VAR206 (VAR177) ); wire [3-1:0] VAR20; VAR192 #(.VAR305(3)) VAR370 ( .clk (VAR216), .VAR375 ({VAR352[3], VAR352[2], VAR352[1]}), .VAR206 (VAR20) ); assign VAR2 = 1'b1; assign VAR44 = VAR314; assign VAR61 = VAR44 ? VAR26 : VAR270; assign VAR197 = VAR44 ? VAR234 : 1'b1; assign VAR214 = VAR44 ? 1'b1 : VAR234; assign VAR33 = VAR51[0]; assign VAR146 = VAR139 ? VAR59 : 16'VAR247; assign VAR279 = VAR146; assign VAR155 = 1'b1; assign VAR98 = VAR171; assign VAR115 = VAR180[0]; assign VAR29 = VAR117[0]; assign VAR324 = VAR117[1]; assign VAR86 = VAR327[0]; assign VAR277 = VAR327[1]; assign VAR161 = VAR93 ? VAR154 : 8'VAR36; assign VAR162 = VAR161; assign VAR24 = VAR241; assign VAR159 = VAR290; assign VAR24 = 1'b0; assign VAR159 = 1'b0; assign VAR298 = !VAR51[0] ? VAR201 : VAR194; assign VAR228 = !VAR204[0]; assign VAR58 = {VAR62, VAR6, VAR122, VAR262}; assign VAR263 = VAR65[0]; assign VAR17 = !VAR352[0]; assign VAR94 = VAR373 & VAR352[0]; assign VAR99 = VAR84; assign VAR165 = VAR188; assign 15khz = VAR90; VAR80 VAR80 ( .VAR229 (VAR79 ), .VAR228 (VAR228 ), .VAR280 (VAR376 ), .VAR116 (VAR186 ), .VAR96 (VAR96 ), .VAR333 (VAR333 ), .VAR97 (1'b0 ), .VAR58 (VAR58 ), .VAR368 (VAR368 ), .VAR77 (VAR77 ), .VAR291 (VAR291 ), .VAR41 (VAR41 ), .VAR341 (VAR341 ), .VAR177 (VAR177 ), .VAR239 (VAR1 ), .VAR266 (VAR282 ), .VAR211 (VAR232 ), .VAR212 (VAR312 ), .VAR102 (VAR322 ), .VAR295 (VAR139 ), .VAR121 (VAR59 ), .VAR287 (VAR279 ), .VAR166 (VAR167 ), .VAR140 (VAR253 ), .VAR200 (VAR321 ), .VAR9 (VAR93 ), .VAR8 (VAR47 ), .VAR331 (VAR154 ), .VAR377 (VAR162 ), .VAR256 (VAR256 ), .VAR361 (VAR361 ), .VAR48 (VAR48 ), .VAR286 (VAR286 ), .VAR76 (VAR76 ), .VAR299 (VAR299 ), .VAR181 (VAR181 ), .VAR152 (VAR152 ), .VAR21 (VAR26 ), .VAR243 (VAR197 ), .VAR355 (VAR51 ), .VAR55 (VAR125 ), .VAR148 (VAR294 ), .VAR101 (VAR298 ) ); VAR328 #( .VAR301 (22), .VAR308 (4 ), .VAR34 (32), .VAR64 (22), .VAR303 (2 ), .VAR138 (16) ) VAR328 ( .VAR195 (VAR376 ), .VAR330 (VAR256 ), .VAR350 (VAR361 ), .VAR187 (VAR48 ), .VAR10 (VAR286 ), .VAR343 (VAR76 ), .VAR88 (VAR299 ), .VAR344 (VAR181 ), .VAR209 (VAR152 ), .VAR43 (VAR196 ), .VAR100 (VAR71 ), .VAR135 (VAR351 ), .VAR217 (VAR123 ), .VAR127 (VAR222 ), .VAR124 (VAR300 ), .VAR111 (VAR12 ), .VAR258 (VAR213 ), .VAR371 (VAR346 ) ); assign VAR181 = 1'b1; assign VAR152 = 1'b0; assign VAR71 = 22'VAR50; assign VAR351 = 1'b0; assign VAR123 = 1'VAR183; assign VAR222 = 2'VAR372; assign VAR300 = 16'VAR141; wire VAR106; VAR319 VAR319( .clk (VAR196 ), .rst (~VAR373 ), .VAR31 (VAR31 ), .VAR199 (VAR32 ), .VAR250 (VAR248 ), .VAR354 (VAR107 ), .VAR326 (VAR185 ), .VAR82 ({VAR368, VAR77, VAR291, VAR41}), .VAR341 (VAR341 ), .VAR177 (VAR177 ), .VAR106 (VAR106), .VAR251 (VAR267 ), .VAR190 (VAR274 ), .VAR45 (VAR236 ), .VAR365 (VAR264 ), .VAR261 (VAR203 ), .VAR225 (VAR60 ) ); VAR244 VAR244 ( .rst (VAR17 ), .VAR229 (VAR263 ), .VAR49 (VAR49 ), .VAR171 (VAR171 ), .VAR216 (VAR216 ), .VAR196 (VAR196 ), .VAR369 (VAR369 ), .VAR110 (VAR110 ), .VAR273 (VAR273 ), .VAR359 (VAR359 ), .VAR240 (VAR240 ), .VAR63 (VAR373 ) ); VAR210 VAR210 ( .clk (VAR216 ), .VAR337 (VAR13 ), .VAR27 (VAR99 ), .VAR137 (VAR165 ), .VAR318 (VAR318 ), .VAR75 (VAR75 ), .VAR56 (VAR46 ), .VAR202 (VAR83 ), .VAR235 (VAR366 ), .VAR14 (VAR176 ), .VAR19 (VAR233 ), .VAR297 (VAR87 ) ); VAR271 VAR158 ( .clk (VAR49 ), .reset (VAR362 ), .VAR169 (1'b1 ), .VAR307 (VAR173 ), .VAR160 (VAR92 ), .VAR356 (1'b1 ), .VAR15 (1'b1 ), .addr (VAR126 ), .VAR174 (VAR81 ), .VAR284 (VAR145 ), .VAR257 (VAR255 ), .VAR28 (VAR191 ), .VAR281 (VAR131 ), .VAR104 (VAR189 ), .VAR329 ( ), .VAR323 ( ), .VAR54 ( ), .VAR275 (VAR170 ), .VAR289 (VAR374 ), .VAR67 (VAR128 ), .VAR288 (VAR285 ), .VAR3 (VAR205 ), .VAR292 (VAR16[1:0] ), .VAR304 (VAR304 ), .VAR69 (VAR30 ), .VAR144 (VAR226 ), .VAR220 ( ), .VAR78 ( ), .VAR184 (VAR18 ), .VAR119 (VAR332 ), .VAR150 (VAR313 ), .VAR132 (VAR143 ) ); VAR358 VAR118 ( .VAR163 (VAR49 ), .VAR231 (VAR196 ), .VAR182 (VAR94 ), .VAR5 (VAR362 ), .VAR13 (VAR13 ), .VAR353 (VAR20[0] ), .VAR340 (VAR168 ), .VAR42 (VAR180 ), .VAR349 (VAR327 ), .VAR364 (VAR269 ), .VAR215 (VAR360 ), .VAR23 (VAR334 ), .VAR103 (VAR117 ), .VAR70 (VAR114 ), .VAR133 (VAR351 ), .VAR252 ({2'b00, VAR71}), .VAR153 (VAR123 ), .VAR25 (VAR222 ), .VAR109 (VAR300 ), .VAR105 (VAR12 ), .VAR230 (VAR213 ), .VAR317 ({2'b00, VAR224[21:1]}), .VAR91 (VAR157 ), .VAR302 (VAR4 ), .VAR130 (VAR296 ), .VAR227 (VAR221 ), .VAR89 (VAR178 ), .VAR52 (VAR272 ), .VAR293 (VAR30[24:1] ), .VAR144 (VAR226 ), .VAR283 (VAR332 ), .VAR315 (VAR313 ), .VAR208 (VAR18 ), .VAR142 (VAR145 ), .VAR238 (VAR285 ), .VAR67 (VAR128 ), .VAR275 (VAR170 ), .VAR289 (VAR374 ), .VAR57 (VAR205 ) ); VAR136 VAR151 ( .VAR311 (VAR126[23:1] ), .VAR223 (VAR81 ), .VAR336 (VAR145 ), .VAR68 (VAR173 ), .VAR7 (VAR255 ), .VAR316 (VAR191 ), .VAR108 (VAR131 ), .VAR120 (VAR189 ), .VAR95 (VAR92 ), .VAR348 (VAR362 ), .VAR113 (VAR196 ), .VAR276 (VAR143 ), .VAR178 (VAR178 ), .VAR272 (VAR272 ), .VAR224 (VAR224[21:1]), .VAR4 (VAR4 ), .VAR157 (VAR157 ), .VAR296 (VAR296 ), .VAR221 (VAR221 ), .VAR228 (VAR96 ), .VAR116 (VAR278 ), .VAR193 (VAR216 ), .clk (VAR196 ), .VAR369 (VAR369 ), .VAR110 (VAR110 ), .VAR273 (VAR273 ), .VAR359 (VAR359 ), .VAR240 (VAR240 ), .VAR22 (VAR214 ), .VAR172 (VAR270 ), .VAR347 (1'b0 ), .VAR342 ( ), .VAR339 (VAR260 ), .VAR207 (VAR246 ), .VAR39 (VAR11 ), .VAR259 (VAR268 ), .15khz (15khz ), .VAR66 (VAR218 ), .VAR357 (VAR134 ), .VAR245 (VAR40 ), .VAR309 (VAR179 ), .VAR135 (VAR51[3:1] ), .VAR363 (VAR201 ), .VAR219 (VAR294 ), .VAR194 (VAR194 ), .VAR37 (VAR125 ), .VAR175 (VAR367 ), .VAR198 (VAR345 ), .VAR129 (VAR237 ), .VAR254 (VAR378 ), .VAR85 (VAR149 ), .VAR147 (VAR241 ), .VAR338 (VAR290 ), .VAR75 (VAR75 ), .VAR318 (VAR318 ), .VAR16 (VAR16 ), .VAR304 (VAR304 ), .VAR35 (VAR198 ), .VAR106 (VAR106 ), .VAR72 (VAR31 ), .VAR73 ( ), .VAR32 (VAR32 ), .VAR248 (VAR248 ), .VAR107 (VAR107 ), .VAR185 (VAR185 ) ); endmodule
gpl-3.0
dimitdim/pineapple
veriloge/ShiftRegister.v
3,188
module MODULE1(clk, VAR3, VAR6, VAR4, VAR1, VAR7, VAR12,VAR9); parameter VAR10 = 8; input clk; input VAR3; input VAR9; input VAR6; output[VAR10-1:0] VAR7; output VAR12; input[VAR10-1:0] VAR4; input VAR1; reg[VAR10-1:0] VAR5; assign VAR12=VAR5[VAR10-1]; assign VAR7=VAR5; always @(posedge VAR3) begin VAR5 <= {VAR5[VAR10-2:0],VAR1}; if(VAR6) VAR5 <= VAR4; end endmodule module MODULE2; parameter VAR10 = 8; reg clk; reg VAR3; reg VAR6; wire[VAR10-1:0] VAR7; wire VAR12; reg[VAR10-1:0] VAR4; reg VAR1; reg [4:0] VAR11; VAR8 #(VAR10) VAR13(clk, VAR3, VAR6, VAR4, VAR1, VAR7, VAR12); VAR2 begin clk=0; VAR11=0; end always clk=!clk; VAR2 VAR4=16'hA5; always begin VAR11=VAR11+1; VAR11=VAR11 % 10; if (VAR11==1) VAR3=1; end else VAR3=0; end
gpl-2.0
sehugg/8bitworkshop
presets/verilog/framebuf_vpu.v
4,066
module MODULE1(clk, reset, VAR40, VAR44, VAR6, VAR23, VAR10, VAR17, VAR25, VAR37 , output [7:0] VAR21 , output [7:0] VAR26 , output [7:0] VAR27 , output VAR16 , output VAR14 ,VAR7 ); input clk, reset; input VAR6, VAR23; output VAR40, VAR44; wire VAR39; wire [8:0] VAR13; wire [8:0] VAR34; assign VAR27 = VAR11.VAR27; assign VAR21 = VAR11.VAR21; assign VAR26 = VAR11.VAR26; assign VAR16 = VAR11.VAR16; assign VAR14 = VAR11.VAR14; output [3:0] VAR7; parameter VAR46 = 8'b01000000; parameter VAR22 = 8'b01000001; parameter VAR41 = 8'b01000010; parameter VAR31 = 8'b01000011; reg [7:0] VAR4[0:63]; reg [7:0] VAR3[0:127]; output wire [7:0] VAR10; output reg [7:0] VAR17; output wire [7:0] VAR25; output wire VAR37; VAR2 VAR11(.clk(clk), .reset(reset), .address(VAR10), .VAR42(VAR17), .VAR9(VAR25), .write(VAR37)); always @(posedge clk) if (VAR37) begin casez (VAR10) 8'b0001000: begin VAR32[15:8] <= VAR25; end 8'b0001001: begin VAR32[7:0] <= VAR25; end 8'b0001010: begin VAR35[VAR32] <= VAR25[7:4]; VAR35[VAR32+1] <= VAR25[3:0]; VAR32 <= VAR32 + 2; end 8'b0001011: begin VAR32 <= { VAR32[15:8] + { {4{VAR25[7]}}, VAR25[7:4] }, VAR32[7:0] + { {4{VAR25[3]}}, VAR25[3:0] } }; end default: VAR4[VAR10[5:0]] <= VAR25; endcase end always @(*) casez (VAR10) 8'b00??????: VAR17 = VAR4[VAR10[5:0]]; VAR46: VAR17 = VAR13[7:0]; VAR22: VAR17 = VAR34[7:0]; VAR41: VAR17 = {3'b0, VAR44, VAR40, VAR23, VAR6, VAR39}; VAR31: VAR17 = {VAR35[VAR32], VAR35[VAR32+1]}; 8'b1???????: VAR17 = VAR3[VAR10[7:0] + 128]; default: ; endcase VAR1 VAR8( .clk(clk), .reset(0), .VAR40(VAR40), .VAR44(VAR44), .VAR39(VAR39), .VAR13(VAR13), .VAR34(VAR34) ); reg [3:0] VAR35[0:65535]; reg [15:0] VAR19; reg [15:0] VAR32; reg [3:0] VAR7; always @(posedge clk) begin if (!VAR13[8] && !VAR34[8]) begin VAR7 <= VAR35[VAR19]; VAR19 <= VAR19 + 1; end else begin VAR7 <= 0; if (VAR34[8]) VAR19 <= 0; end end \end{VAR38} \begin{VAR38}[VAR47=VAR28]
gpl-3.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/db/ip/Video_System/submodules/altera_up_video_dma_control_slave.v
7,795
module MODULE1 ( clk, reset, address, VAR9, read, write, VAR6, VAR11, VAR12, VAR8 ); parameter VAR5 = 32'h00000000; parameter VAR10 = 32'h00000000; parameter VAR13 = 640; parameter VAR14 = 480; parameter VAR7 = 16'h0809; parameter VAR16 = 4'h7; parameter VAR2 = 2'h2; parameter VAR4 = 1'b1; input clk; input reset; input [ 1: 0] address; input [ 3: 0] VAR9; input read; input write; input [31: 0] VAR6; input VAR11; output reg [31: 0] VAR12; output [31: 0] VAR8; reg [31: 0] VAR15; reg [31: 0] VAR1; reg VAR3; always @(posedge clk) begin if (reset) VAR12 <= 32'h00000000; end else if (read & (address == 2'h0)) VAR12 <= VAR15; else if (read & (address == 2'h1)) VAR12 <= VAR1; else if (read & (address == 2'h2)) begin VAR12[31:16] <= VAR14; VAR12[15: 0] <= VAR13; end else if (read) begin VAR12[31:16] <= VAR7; VAR12[15:12] <= 4'h0; VAR12[11: 8] <= VAR16; VAR12[ 7: 6] <= VAR2; VAR12[ 5: 2] <= 4'h0; VAR12[ 1] <= VAR4; VAR12[ 0] <= VAR3; end end always @(posedge clk) begin if (reset) begin VAR15 <= VAR5; VAR1 <= VAR10; end else if (write & (address == 2'h1)) begin if (VAR9[0]) VAR1[ 7: 0] <= VAR6[ 7: 0]; if (VAR9[1]) VAR1[15: 8] <= VAR6[15: 8]; if (VAR9[2]) VAR1[23:16] <= VAR6[23:16]; if (VAR9[3]) VAR1[31:24] <= VAR6[31:24]; end else if (VAR3 & VAR11) begin VAR15 <= VAR1; VAR1 <= VAR15; end end always @(posedge clk) begin if (reset) VAR3 <= 1'b0; end else if (write & (address == 2'h0)) VAR3 <= 1'b1; else if (VAR11) VAR3 <= 1'b0; end assign VAR8 = VAR15; endmodule
gpl-2.0
siamumar/TinyGarbled
circuit_synthesis/a23/a23_mem.v
6,029
module MODULE1 ( parameter VAR4 = 64 , parameter VAR17 = 64 , parameter VAR19 = 64 , parameter VAR15 = 64 , parameter VAR21 = 64 ) ( input VAR24, input VAR2, input [VAR4*32-1:0] VAR5, input [VAR17 *32-1:0] VAR27, input [VAR19 *32-1:0] VAR13, output [VAR15 *32-1:0] VAR11, input [31:0] VAR26, input [31:0] VAR8, input VAR6, input [3:0] VAR25, output [31:0] VAR7 ); reg [7:0] VAR28 [4*VAR4-1:0]; reg [7:0] VAR29 [4*VAR17-1:0]; reg [7:0] VAR3 [4*VAR19-1:0]; reg [7:0] VAR31 [4*VAR15-1:0]; reg [7:0] VAR1 [4*VAR21-1:0]; genvar VAR20; wire [7:0] VAR10 [4*VAR4-1:0]; wire [7:0] VAR16 [4*VAR17-1:0]; wire [7:0] VAR12 [4*VAR19-1:0]; generate for (VAR20 = 0; VAR20 < 4*VAR4; VAR20 = VAR20 + 1) begin:VAR9 assign VAR10[VAR20] = VAR5[8*(VAR20+1)-1:8*VAR20]; end for (VAR20 = 0; VAR20 < 4*VAR17; VAR20 = VAR20 + 1)begin: VAR30 assign VAR16[VAR20] = VAR27[8*(VAR20+1)-1:8*VAR20]; end for (VAR20 = 0; VAR20 < 4*VAR19; VAR20 = VAR20 + 1)begin: VAR23 assign VAR12[VAR20] = VAR13[8*(VAR20+1)-1:8*VAR20]; end for (VAR20 = 0; VAR20 < 4*VAR15; VAR20 = VAR20 + 1) begin:VAR22 assign VAR11[8*(VAR20+1)-1:8*VAR20] = VAR31[VAR20]; end endgenerate wire [23:0] VAR14; assign VAR14 = {VAR26[23:2], 2'b0}; assign VAR7 = (VAR26[31:24] == 8'h00) ? {VAR28[VAR14+3], VAR28[VAR14+2], VAR28[VAR14+1], VAR28[VAR14]} : (VAR26[31:24] == 8'h01) ? {VAR29[VAR14+3], VAR29[VAR14+2], VAR29[VAR14+1], VAR29[VAR14]} : (VAR26[31:24] == 8'h02) ? {VAR3[VAR14+3], VAR3[VAR14+2], VAR3[VAR14+1], VAR3[VAR14]} : (VAR26[31:24] == 8'h03) ? {VAR31[VAR14+3], VAR31[VAR14+2], VAR31[VAR14+1], VAR31[VAR14]} : (VAR26[31:24] == 8'h04) ? {VAR1[VAR14+3], VAR1[VAR14+2], VAR1[VAR14+1], VAR1[VAR14]} : 32'b0; integer VAR18; always @(posedge VAR24 or posedge VAR2) begin if (VAR2) begin for(VAR18=0;VAR18<4*VAR4;VAR18=VAR18+1) begin VAR28[VAR18] <= VAR10[VAR18]; end for(VAR18=0;VAR18<4*VAR17;VAR18=VAR18+1) begin VAR29[VAR18] <= VAR16[VAR18]; end for(VAR18=0;VAR18<4*VAR19;VAR18=VAR18+1) begin VAR3[VAR18] <= VAR12[VAR18]; end for(VAR18=0;VAR18<4*VAR15;VAR18=VAR18+1) begin VAR31[VAR18] <= 8'b0; end for(VAR18=0;VAR18<4*VAR21;VAR18=VAR18+1) begin VAR1[VAR18] <= 8'b0; end end else begin for(VAR18=0;VAR18<4*VAR4;VAR18=VAR18+1) begin VAR28[VAR18] <= VAR28[VAR18]; end for(VAR18=0;VAR18<4*VAR17;VAR18=VAR18+1) begin VAR29[VAR18] <= VAR29[VAR18]; end for(VAR18=0;VAR18<4*VAR19;VAR18=VAR18+1) begin VAR3[VAR18] <= VAR3[VAR18]; end for(VAR18=0;VAR18<4*VAR15;VAR18=VAR18+1) begin VAR31[VAR18] <= VAR31[VAR18]; end for(VAR18=0;VAR18<4*VAR21;VAR18=VAR18+1) begin VAR1[VAR18] <= VAR1[VAR18]; end if (VAR6) begin if(VAR26[31:24] == 8'h00) begin case(VAR25) 4'b1111: begin VAR28[VAR14+3] <= VAR8[31:24]; VAR28[VAR14+2] <= VAR8[23:16]; VAR28[VAR14+1] <= VAR8[15:8]; VAR28[VAR14+0] <= VAR8[7:0]; end 4'b0001: begin VAR28[VAR14+0] <= VAR8[7:0]; end 4'b0010: begin VAR28[VAR14+1] <= VAR8[7:0]; end 4'b0100: begin VAR28[VAR14+2] <= VAR8[7:0]; end 4'b1000: begin VAR28[VAR14+3] <= VAR8[7:0]; end endcase end else if(VAR26[31:24] == 8'h03) begin case(VAR25) 4'b1111: begin VAR31[VAR14+3] <= VAR8[31:24]; VAR31[VAR14+2] <= VAR8[23:16]; VAR31[VAR14+1] <= VAR8[15:8]; VAR31[VAR14+0] <= VAR8[7:0]; end 4'b0001: begin VAR31[VAR14+0] <= VAR8[7:0]; end 4'b0010: begin VAR31[VAR14+1] <= VAR8[7:0]; end 4'b0100: begin VAR31[VAR14+2] <= VAR8[7:0]; end 4'b1000: begin VAR31[VAR14+3] <= VAR8[7:0]; end endcase end else if (VAR26[31:24] == 8'h04) begin case(VAR25) 4'b1111: begin VAR1[VAR14+3] <= VAR8[31:24]; VAR1[VAR14+2] <= VAR8[23:16]; VAR1[VAR14+1] <= VAR8[15:8]; VAR1[VAR14+0] <= VAR8[7:0]; end 4'b0001: begin VAR1[VAR14+0] <= VAR8[7:0]; end 4'b0010: begin VAR1[VAR14+1] <= VAR8[7:0]; end 4'b0100: begin VAR1[VAR14+2] <= VAR8[7:0]; end 4'b1000: begin VAR1[VAR14+3] <= VAR8[7:0]; end endcase end end end end endmodule
gpl-3.0
darrylring/SDRdrum
fpga/rtl/framer.v
10,192
module MODULE1 ( input wire VAR25, input wire VAR18, input wire [255:0] VAR28, input wire VAR26, output wire VAR11, output wire [31:0] VAR9, output wire VAR20, input wire VAR13, output wire [31:0] VAR30, output wire [3:0] VAR35, output wire VAR29, input wire VAR27, input wire [1:0] VAR5, input wire VAR4, output wire VAR44, output wire [31:0] VAR46, output wire VAR32, input wire VAR3, input wire [31:0] VAR6, input wire [1:0] VAR34, input wire VAR1, output wire VAR36 ); localparam [2:0] VAR19 = 3'd0, VAR14 = 3'd1, VAR37 = 3'd2, VAR7 = 3'd3, VAR15 = 3'd4; reg [255:0] VAR22; reg [255:0] VAR38; reg [2:0] state; reg [2:0] VAR16; reg VAR39; reg [31:0] VAR8; reg VAR23; reg [31:0] VAR2; reg VAR42; reg [31:0] VAR33; reg VAR12; reg VAR24; reg VAR45; reg [31:0] VAR10; reg VAR31; reg [31:0] VAR40; reg VAR43; reg [31:0] VAR17; reg VAR21; reg VAR41; always @* begin VAR16 = VAR14; VAR38 = VAR22; VAR45 = VAR39; VAR10 = VAR8; VAR31 = VAR23; VAR40 = VAR2; VAR43 = VAR42; VAR17 = VAR33; VAR21 = VAR12; VAR41 = VAR24; case (state) VAR19: begin VAR10 = 32'h40E007f4; VAR40 = 32'h0062; if (VAR13) begin if (VAR20) begin VAR31 = 1'b0; VAR43 = 1'b1; end end else begin if (!VAR29) VAR31 = 1'b1; end if (VAR27) begin if (VAR29) begin VAR10 = 32'h40E00000; VAR31 = 1'b0; VAR43 = 1'b0; VAR40 = 32'b0; VAR16 = VAR14; end else begin VAR16 = VAR19; end end else begin VAR16 = VAR19; end end VAR14: begin if (VAR11 & VAR26) begin VAR38 = VAR28; VAR45 = 1'b0; VAR10 = 32'h40E00000; VAR31 = 1'b1; VAR41 = 1'b0; VAR16 = VAR37; end else begin VAR45 = 1'b1; VAR41 = 1'b1; VAR16 = VAR14; end end VAR37: begin VAR16 = VAR37; case (VAR9[12:0]) 13'h00: VAR40 = 32'hFFFFFFFF; 13'h04: VAR40 = 32'h1800FFFF; 13'h08: VAR40 = 32'h6b4d023e; 13'h0c: VAR40 = 32'h00450008; 13'h10: VAR40 = 32'h00005400; 13'h14: VAR40 = 32'h11ff0000; 13'h18: VAR40 = 32'h0000eff0; 13'h1c: VAR40 = 32'ha8c00000; 13'h20: VAR40 = 32'hc507010a; 13'h24: VAR40 = 32'h4000c507; 13'h28: VAR40 = 32'h722f0000; 13'h2c: VAR40 = 32'h6f696461; 13'h30: VAR40 = 32'h6d757264; 13'h34: VAR40 = 32'h692c0000; 13'h38: VAR40 = 32'h69696969; 13'h3c: VAR40 = 32'h00696969; 13'h40: VAR40 = {VAR22[23:16], VAR22[31:24], 16'h0000}; 13'h44: VAR40 = {VAR22[55:48], VAR22[63:56], VAR22[7:0], VAR22[15:8]}; 13'h48: VAR40 = {VAR22[87:80], VAR22[95:88], VAR22[39:32], VAR22[47:40]}; 13'h4c: VAR40 = {VAR22[119:112], VAR22[127:120], VAR22[71:64], VAR22[79:72]}; 13'h50: VAR40 = {VAR22[151:144], VAR22[159:152], VAR22[103:96], VAR22[111:104]}; 13'h54: VAR40 = {VAR22[183:176], VAR22[191:184], VAR22[135:128], VAR22[143:136]}; 13'h58: VAR40 = {VAR22[215:208], VAR22[223:216], VAR22[167:160], VAR22[175:168]}; 13'h5c: VAR40 = {VAR22[247:240], VAR22[255:248], VAR22[199:192], VAR22[207:200]}; 13'h60: VAR40 = {16'h0000, VAR22[231:224], VAR22[239:232]}; endcase if (VAR13) begin if (VAR20) begin VAR31 = 1'b0; VAR43 = 1'b1; end end if (VAR27) begin if (VAR9 <= 32'h40E00060) begin VAR10 = VAR8 + 32'h4; VAR31 = 1'b1; VAR43 = 1'b0; end else begin VAR10 = 32'h40E007fc; VAR31 = 1'b1; VAR43 = 1'b0; VAR16 = VAR7; end end else begin VAR43 = 1'b1; end end VAR7: begin VAR40 = 32'h0009; if (VAR13) begin if (VAR20) begin VAR31 = 1'b0; VAR43 = 1'b1; end end else begin if (!VAR29) VAR31 = 1'b1; end if (VAR27) begin if (VAR29) begin VAR10 = 32'h40E00000; VAR31 = 1'b0; VAR43 = 1'b0; VAR40 = 32'b0; VAR16 = VAR15; end else begin VAR16 = VAR7; end end else begin VAR16 = VAR7; end end VAR15: begin if (VAR1) begin if (VAR6 == 32'h08) begin VAR21 = 1'b0; VAR16 = VAR14; end else begin VAR16 = VAR15; end end else begin VAR17 = 32'h40E007fc; VAR21 = 1'b1; VAR41 = 1'b1; VAR16 = VAR15; end end endcase end always @(posedge VAR25) begin if (~VAR18) begin state <= VAR19; VAR22 <= 64'b0; VAR39 <= 1'b0; VAR8 <= 32'b0; VAR23 <= 1'b0; VAR2 <= 32'b0; VAR42 <= 1'b0; VAR33 <= 32'b0; VAR12 <= 1'b0; VAR24 <= 1'b0; end else begin state <= VAR16; VAR22 <= VAR38; VAR39 <= VAR45; VAR8 <= VAR10; VAR23 <= VAR31; VAR2 <= VAR40; VAR42 <= VAR43; VAR33 <= VAR17; VAR12 <= VAR21; VAR24 <= VAR41; end end assign VAR11 = VAR39; assign VAR9 = VAR8; assign VAR20 = VAR23; assign VAR30 = VAR2; assign VAR29 = VAR42; assign VAR35 = 4'hF; assign VAR44 = 1'b1; assign VAR46 = VAR33; assign VAR32 = VAR12; assign VAR36 = VAR24; endmodule
gpl-3.0
jodfedlet/TrabalhosDeSistemas
TrabalhosDeSistemas/triangulo.v
2,197
module MODULE2( input [11:0] VAR2, input [11:0] VAR10, input [11:0] VAR22, input [11:0] VAR29, input [11:0] VAR26, input [11:0] VAR8, output VAR28 ); wire signed [11:0] VAR7; wire signed [11:0] VAR1; wire signed [11:0] VAR20; wire signed [11:0] VAR5; wire signed [22:0] VAR14; wire signed [22:0] VAR19; wire signed [22:0] VAR30; assign VAR7 = VAR2 - VAR26; assign VAR1 = VAR29 - VAR8; assign VAR20 = VAR22 - VAR26; assign VAR5 = VAR10 - VAR8; assign VAR19 = VAR7 * VAR1; assign VAR30 = VAR20 * VAR5; assign VAR14 = VAR19 - VAR30; assign VAR28 = (VAR14 >= 0) ? 1 : 0; endmodule module MODULE1 ( input [11:0] VAR17, input [11:0] VAR18, input [11:0] VAR3, input [11:0] VAR27, input [11:0] VAR31, input [11:0] VAR4, input [11:0] VAR13, input [11:0] VAR25, output VAR21 ); wire VAR16; wire VAR12; wire VAR23; assign VAR21 = (VAR16 == 1 && VAR12 == 1 && VAR23 == 1) ? 1:0; VAR32 VAR11(VAR17, VAR18, VAR3, VAR27, VAR13, VAR25, VAR16); VAR32 VAR9(VAR3, VAR27, VAR31, VAR4, VAR13, VAR25, VAR12); VAR32 VAR15(VAR31, VAR4, VAR17, VAR18, VAR13, VAR25, VAR23); endmodule module MODULE3; reg [11:0] VAR17; reg [11:0] VAR18; reg [11:0] VAR3; reg [11:0] VAR27; reg [11:0] VAR31; reg [11:0] VAR4; reg [11:0] VAR13; reg [11:0] VAR25; wire VAR6; MODULE1 VAR24(VAR17, VAR18, VAR3, VAR27, VAR31, VAR4, VAR13, VAR25, VAR6); begin
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand3b/sky130_fd_sc_lp__nand3b.functional.pp.v
1,971
module MODULE1 ( VAR14 , VAR5 , VAR6 , VAR12 , VAR11, VAR1, VAR10 , VAR9 ); output VAR14 ; input VAR5 ; input VAR6 ; input VAR12 ; input VAR11; input VAR1; input VAR10 ; input VAR9 ; wire VAR4 ; wire VAR7 ; wire VAR16; not VAR15 (VAR4 , VAR5 ); nand VAR2 (VAR7 , VAR6, VAR4, VAR12 ); VAR3 VAR13 (VAR16, VAR7, VAR11, VAR1); buf VAR8 (VAR14 , VAR16 ); endmodule
apache-2.0
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/txc_engine_ultrascale.v
24,775
module MODULE2 parameter VAR82 = 1, parameter VAR57 = 1, parameter VAR79 = 10, parameter VAR21 = 256) ( input VAR118, input VAR60, input VAR18, output VAR48, input [VAR172-1:0] VAR4, input VAR158, output VAR182, output VAR91, output [VAR113-1:0] VAR101, output [(VAR113/32)-1:0] VAR90, output [VAR70-1:0] VAR99, input VAR83, input [VAR113-1:0] VAR109, input VAR66, input [VAR141(VAR113/32)-1:0] VAR59, input VAR146, input [VAR141(VAR113/32)-1:0] VAR12, output VAR7, input VAR190, input [VAR63-1:0] VAR164, input [VAR80-1:0] VAR39, input [VAR130-1:0] VAR5, input [VAR94-1:0] VAR68, input [VAR178-1:0] VAR95, input [VAR6-1:0] VAR140, input [VAR102-1:0] VAR71, input [VAR143-1:0] VAR132, input [VAR24-1:0] VAR96, input [VAR75-1:0] VAR121, input VAR61, output VAR62 ); localparam VAR123 = "VAR36"; localparam VAR9 = VAR113; localparam VAR149 = 128; localparam VAR129 = VAR149/32; localparam VAR174 = 0; localparam VAR139 = VAR129 + VAR174; localparam VAR153 = VAR82; localparam VAR42 = 1; localparam VAR51 = 1 + VAR153; localparam VAR76 = 10; wire VAR157; wire VAR168; wire VAR37; wire [VAR149-1:0] VAR50; wire [VAR40-1:0] VAR187; wire [VAR58-1:0] VAR161; wire [VAR178-1:0] VAR189; wire VAR8; wire VAR31; wire [VAR113-1:0] VAR181; wire [VAR141(VAR113/32)-1:0] VAR136; wire VAR125; wire [(VAR113/32)-1:0] VAR142; wire [(VAR113/32)-1:0] VAR159; wire [(VAR113/32)-1:0] VAR165; wire [VAR113-1:0] VAR160; wire VAR107; wire [VAR141(VAR113/32)-1:0] VAR151; wire VAR69; wire [VAR141(VAR113/32)-1:0] VAR115; wire VAR64; wire VAR41; wire VAR28; wire VAR177; wire VAR14; wire VAR29; wire [VAR76:0] VAR179; assign VAR48 = VAR28 & VAR14; assign VAR29 = VAR179[VAR76-3]; assign VAR14 = ~VAR179[VAR76]; VAR47 .VAR33 (1), .VAR25 (1) ) VAR54 ( .VAR162 (VAR179), .VAR122 (VAR60), .VAR15 (VAR177), .VAR118 (VAR118)); MODULE1 .VAR82 (VAR153), .VAR113 (VAR113), .VAR149 (VAR149)) VAR56 ( .VAR30 (VAR37), .VAR11 (VAR50[VAR149-1:0]), .VAR112 (VAR8), .VAR3 (VAR189[VAR178-1:0]), .VAR104 (VAR187[VAR40-1:0]), .VAR188 (VAR161[VAR58-1:0]), .VAR17 (VAR168), .VAR122 (VAR29), .VAR62 (VAR62), .VAR118 (VAR118), .VAR4 (VAR4[VAR172-1:0]), .VAR190 (VAR190), .VAR164 (VAR164[VAR63-1:0]), .VAR39 (VAR39[VAR80-1:0]), .VAR5 (VAR5[VAR130-1:0]), .VAR95 (VAR95[VAR178-1:0]), .VAR68 (VAR68[VAR94-1:0]), .VAR140 (VAR140[VAR6-1:0]), .VAR71 (VAR71[VAR102-1:0]), .VAR132 (VAR132[VAR143-1:0]), .VAR96 (VAR96[VAR24-1:0]), .VAR121 (VAR121[VAR75-1:0]), .VAR61 (VAR61)); VAR89 .VAR79 (VAR79), .VAR82 (VAR82), .VAR57 (VAR57), .VAR51 (VAR51), .VAR149 (VAR149), .VAR21 (VAR21), .VAR123 (VAR123)) VAR148 ( .VAR17 (VAR168), .VAR27 (VAR7), .VAR108 (VAR160[VAR9-1:0]), .VAR127 (VAR69), .VAR1 (VAR115[VAR141(VAR9/32)-1:0]), .VAR135 (VAR107), .VAR133 (VAR151[VAR141(VAR9/32)-1:0]), .VAR45 (VAR64), .VAR30 (VAR37), .VAR11 (VAR50[VAR149-1:0]), .VAR112 (VAR8), .VAR3 (VAR189[VAR178-1:0]), .VAR104 (VAR187[VAR40-1:0]), .VAR188 (VAR161[VAR58-1:0]), .VAR73 (VAR83), .VAR124 (VAR109[VAR9-1:0]), .VAR85 (VAR66), .VAR35 (VAR59[VAR141(VAR9/32)-1:0]), .VAR152 (VAR146), .VAR84 (VAR12[VAR141(VAR9/32)-1:0]), .VAR53 (VAR41), .VAR122 (VAR29), .VAR118 (VAR118)); MODULE3 .VAR113 (VAR113), .VAR82 (VAR82)) VAR176 ( .VAR2 (VAR41), .VAR117 (VAR28), .VAR157 (VAR177), .VAR144 (VAR160), .VAR97 (VAR64), .VAR43 (VAR69), .VAR13 (VAR115), .VAR114 (VAR107), .VAR147 (VAR151), .VAR182 (VAR182), .VAR91 (VAR91), .VAR101 (VAR101[VAR113-1:0]), .VAR90 (VAR90[(VAR113/32)-1:0]), .VAR99 (VAR99[VAR70-1:0]), .VAR118 (VAR118), .VAR60 (VAR60), .VAR18 (VAR18), .VAR158 (VAR158)); endmodule module MODULE1 parameter VAR113 = 128, parameter VAR82 = 1, parameter VAR57 = 1, parameter VAR149 = VAR65 ) ( input VAR118, input VAR122, input [VAR172-1:0] VAR4, input VAR190, input [VAR63-1:0] VAR164, input [VAR80-1:0] VAR39, input [VAR130-1:0] VAR5, input [VAR178-1:0] VAR95, input [VAR94-1:0] VAR68, input [VAR6-1:0] VAR140, input [VAR102-1:0] VAR71, input [VAR143-1:0] VAR132, input [VAR24-1:0] VAR96, input [VAR75-1:0] VAR121, input VAR61, output VAR62, output VAR30, output [VAR149-1:0] VAR11, output [VAR178-1:0] VAR3, output [VAR40-1:0] VAR104, output [VAR58-1:0] VAR188, output VAR112, input VAR17 ); wire [VAR65-1:0] VAR169; wire VAR168; wire VAR37; wire [VAR149-1:0] VAR50; wire [VAR94-1:0] VAR126; wire [VAR40-1:0] VAR187; wire [VAR58-1:0] VAR161; wire [VAR178-1:0] VAR189; wire VAR8; assign VAR169[VAR72] = VAR5; assign VAR169[VAR46] = VAR81'd0; assign VAR169[VAR16] = VAR105'd0; assign VAR169[VAR150] = VAR163'd0; assign VAR169[VAR19] = {1'b0,VAR140}; assign VAR169[VAR23] = VAR77'd0; assign VAR169[VAR38] = VAR87'd0; assign VAR169[VAR106] = {1'b0, VAR95}; assign VAR169[VAR55] = VAR155'd0; assign VAR169[VAR173] = VAR61; assign VAR169[VAR186] = VAR137'd0; assign VAR169[VAR74] = VAR132; assign VAR169[VAR119] = VAR71; assign VAR169[VAR170] = VAR4; assign VAR169[VAR22] = 1'b0; assign VAR169[VAR111] = VAR96; assign VAR169[VAR131] = VAR121; assign VAR169[VAR183] = VAR93'd0; assign VAR8 = ~VAR126[VAR26]; assign VAR187 = 3; assign VAR189 = VAR8 ? 0 : VAR50[VAR52 +: VAR178]; assign VAR161 = VAR189 + VAR187; VAR49 .VAR20 (VAR82?1:0), .VAR33 (VAR149 + VAR94), .VAR180 (0) ) VAR138 ( .VAR120 (VAR62), .VAR162 ({VAR50,VAR126}), .VAR103 (VAR37), .VAR15 ({32'b0,VAR169,VAR68}), .VAR88 (VAR190), .VAR44 (VAR168), .VAR118 (VAR118), .VAR122 (VAR122)); VAR49 .VAR20 (VAR57?1:0), .VAR33 (VAR149+ 1 + VAR58 + VAR178 + VAR40), .VAR180 (0) ) VAR86 ( .VAR120 (VAR168), .VAR162 ({VAR11,VAR112,VAR188,VAR3,VAR104}), .VAR103 (VAR30), .VAR15 ({VAR50,VAR8,VAR161,VAR189,VAR187}), .VAR88 (VAR37), .VAR44 (VAR17), .VAR118 (VAR118), .VAR122 (VAR122)); endmodule module MODULE3 parameter VAR82 = 1) ( input VAR118, input VAR60, input VAR18, output VAR117, output VAR157, output VAR2, input [VAR113-1:0] VAR144, input VAR97, input VAR43, input [VAR141(VAR113/32)-1:0] VAR13, input VAR114, input [VAR141(VAR113/32)-1:0] VAR147, input VAR158, output VAR182, output VAR91, output [VAR113-1:0] VAR101, output [(VAR113/32)-1:0] VAR90, output [VAR70-1:0] VAR99 ); localparam VAR128 = VAR82 != 0? 1:0; localparam VAR166 = 1; localparam VAR76 = 10; wire VAR41; wire [VAR113-1:0] VAR160; wire VAR64; wire VAR69; wire [VAR141(VAR113/32)-1:0] VAR115; wire VAR107; wire [VAR141(VAR113/32)-1:0] VAR151; wire VAR171; wire VAR134; wire VAR154; wire [VAR113-1:0] VAR32; wire [(VAR113/32)-1:0] VAR67; wire [VAR70-1:0] VAR10; wire VAR29; wire VAR185; assign VAR41 = VAR171; assign VAR134 = VAR64; assign VAR154 = VAR107; assign VAR32 = VAR160; assign VAR99 = VAR70'd0; assign VAR157 = VAR29; VAR145 .VAR76 (VAR76)) VAR78 ( .VAR157 (VAR29), .VAR92 (VAR185), .VAR122 (VAR60), .VAR100 (VAR18), .VAR116 (VAR182), .VAR110 (VAR158 & VAR91), .VAR117 (VAR117), .VAR118 (VAR118)); VAR49 .VAR33 (VAR113 + 2*(1+VAR141(VAR113/32))), .VAR180 (0) ) VAR138 ( .VAR120 (VAR2), .VAR162 ({VAR160,VAR69,VAR115,VAR107,VAR151}), .VAR103 (VAR64), .VAR15 ({VAR144,VAR43,VAR13, VAR114,VAR147}), .VAR88 (VAR97), .VAR44 (VAR41), .VAR122 (VAR29), .VAR118 (VAR118)); VAR34 .VAR167 (VAR113/32) ) VAR184 ( .VAR175 (VAR67), .VAR98 (VAR107), .VAR156 (VAR151) ); VAR49 .VAR33 (VAR113 + 1 + (VAR113/32)), .VAR180 (0) ) VAR86 ( .VAR120 (VAR171), .VAR162 ({VAR101,VAR91,VAR90}), .VAR103 (VAR182), .VAR15 ({VAR32,VAR154,VAR67}), .VAR88 (VAR134 & ~VAR185), .VAR44 (VAR158), .VAR122 (VAR29), .VAR118 (VAR118)); endmodule
gpl-3.0
jhennessy/parallella-hw-old
boards/archive/gen1.1/fpga/hdl/ewrapper_link_rxi.v
22,651
module MODULE1 ( VAR28, VAR118, VAR106, VAR115, VAR120, VAR91, VAR52, VAR98, reset, VAR7, VAR30, VAR69, VAR117, VAR51 ); input reset; input [63:0] VAR7; input VAR30; input [7:0] VAR69; input VAR117; input VAR51; output VAR28; output VAR118; output VAR106; output [1:0] VAR115; output [3:0] VAR120; output [31:0] VAR91; output [31:0] VAR52; output [31:0] VAR98; reg VAR127; reg [7:0] VAR80; reg [3:0] VAR61; reg [3:0] VAR46; reg [7:0] VAR21; reg [3:0] VAR102; reg [31:0] VAR107; reg [1:0] VAR87; reg VAR97; reg VAR31; reg [15:0] VAR128; reg [3:0] VAR5; reg VAR125; reg [7:0] VAR60; reg [3:0] VAR63; reg [31:0] VAR4; reg [1:0] VAR95; reg VAR47; reg VAR74; reg [31:0] VAR83; reg [31:0] VAR2; reg [3:0] VAR78; reg VAR11; reg VAR111; reg VAR16; reg VAR119; reg [63:0] VAR14; reg [63:0] VAR75; reg [103:0] VAR44; reg VAR18; reg [103:0] VAR23; reg VAR118; reg VAR28; reg VAR126; reg [31:0] VAR104; wire VAR82; wire VAR89; wire VAR25; wire VAR38; wire VAR92; wire VAR77; wire VAR15; wire VAR45; wire VAR59; wire VAR48; wire [7:0] VAR17; wire [63:0] VAR33; wire [3:0] VAR40; wire [3:0] VAR72; wire [7:0] VAR6; wire [7:0] VAR67; wire [7:0] VAR19; wire [7:0] VAR71; wire [7:0] VAR116; wire [7:0] VAR1; wire [7:0] VAR110; wire [7:0] VAR55; wire [63:0] VAR35; wire [7:0] VAR81; wire [7:0] VAR24; wire [7:0] VAR90; wire [7:0] VAR34; wire [7:0] VAR57; wire [7:0] VAR8; wire [7:0] VAR99; wire [7:0] VAR58; wire [7:0] VAR20; wire [3:0] VAR122; wire [31:0] VAR70; wire [1:0] VAR22; wire VAR84; wire VAR27; wire VAR66; wire [31:0] VAR114; wire [1:0] VAR105; wire VAR65; wire VAR42; wire [31:0] VAR101; wire [31:0] VAR79; wire [31:0] VAR94; wire VAR121; wire VAR29; wire VAR113; wire VAR32; wire VAR62; wire VAR37; wire VAR96; wire VAR76; wire [63:0] VAR39; wire VAR68; wire [31:0] VAR103; wire [3:0] VAR123; wire [31:0] VAR54; wire [1:0] VAR86; wire VAR3; wire VAR10; wire [31:0] VAR43; wire [31:0] VAR36; wire [103:0] VAR93; wire VAR53; wire [107:0] VAR124; wire VAR109; wire VAR49; wire VAR9; wire VAR26; assign VAR82 = ~VAR69[7] & VAR69[6]; assign VAR89 = ~VAR69[5] & VAR69[4]; assign VAR25 = ~VAR69[3] & VAR69[2]; assign VAR38 = ~VAR69[1] & VAR69[0]; assign VAR92 = VAR82 | VAR89 | VAR25 | VAR38; assign VAR77 = ~VAR127 & VAR69[7]; assign VAR15 = ~VAR69[6] & VAR69[5]; assign VAR45 = ~VAR69[4] & VAR69[3]; assign VAR59 = ~VAR69[2] & VAR69[1]; assign VAR48 = VAR77 | VAR15 | VAR45 | VAR59; always @ (posedge VAR30 or posedge reset) if(reset) VAR126 <= 1'b0; else if(VAR92) VAR126 <= 1'b1; else if(VAR48) VAR126 <= 1'b0; always @ (posedge VAR30 or posedge reset) if(reset) VAR127 <= 1'b0; else VAR127 <= VAR69[0]; assign VAR17[7:0] = (VAR92 | VAR126) ? {VAR127,VAR69[7:1]} : VAR69[7:0]; assign VAR55[7:0] ={VAR7[63],VAR7[55],VAR7[47],VAR7[39], VAR7[31],VAR7[23],VAR7[15],VAR7[7]}; assign VAR110[7:0] ={VAR7[62],VAR7[54],VAR7[46],VAR7[38], VAR7[30],VAR7[22],VAR7[14],VAR7[6]}; assign VAR1[7:0] ={VAR7[61],VAR7[53],VAR7[45],VAR7[37], VAR7[29],VAR7[21],VAR7[13],VAR7[5]}; assign VAR116[7:0] ={VAR7[60],VAR7[52],VAR7[44],VAR7[36], VAR7[28],VAR7[20],VAR7[12],VAR7[4]}; assign VAR71[7:0] ={VAR7[59],VAR7[51],VAR7[43],VAR7[35], VAR7[27],VAR7[19],VAR7[11],VAR7[3]}; assign VAR19[7:0] ={VAR7[58],VAR7[50],VAR7[42],VAR7[34], VAR7[26],VAR7[18],VAR7[10],VAR7[2]}; assign VAR67[7:0] ={VAR7[57],VAR7[49],VAR7[41],VAR7[33], VAR7[25],VAR7[17],VAR7[9], VAR7[1]}; assign VAR6[7:0] ={VAR7[56],VAR7[48],VAR7[40],VAR7[32], VAR7[24],VAR7[16],VAR7[8], VAR7[0]}; assign VAR35[63:0] = {VAR55[7:0],VAR110[7:0], VAR1[7:0],VAR116[7:0], VAR71[7:0],VAR19[7:0], VAR67[7:0],VAR6[7:0]}; always @ (posedge VAR30) VAR80[7:0] <= VAR6[7:0]; assign VAR33[63:0] = (VAR92 | VAR126) ? {VAR80[7:0],VAR35[63:8]} : VAR35[63:0]; always @ (posedge VAR30 or posedge reset) if(reset) begin VAR61[3:0] <= 4'b0000; VAR46[3:0] <= 4'b0000; end else begin VAR61[3:0] <= {VAR17[7],VAR17[5], VAR17[3],VAR17[1]}; VAR46[3:0] <= VAR61[3:0]; end always @ (posedge VAR30) VAR14[63:0] <= VAR33[63:0]; assign VAR81[7:0] = VAR14[7:0]; assign VAR24[7:0] = VAR14[15:8]; assign VAR90[7:0] = VAR14[23:16]; assign VAR34[7:0] = VAR14[31:24]; assign VAR57[7:0] = VAR14[39:32]; assign VAR8[7:0] = VAR14[47:40]; assign VAR99[7:0] = VAR14[55:48]; assign VAR58[7:0] = VAR14[63:56]; assign VAR40[3] = VAR61[3] & ~VAR46[0]; assign VAR40[2] = VAR61[2] & ~VAR61[3]; assign VAR40[1] = VAR61[1] & ~VAR61[2]; assign VAR40[0] = VAR61[0] & ~VAR61[1]; assign VAR9 = ~(VAR20[7] ^ VAR51); assign VAR72[3:0] =VAR40[3:0] & {(4){VAR9}}; assign VAR66 = |(VAR72[3:0]); assign VAR20[7:0] = VAR40[3] ? VAR58[7:0] : VAR40[2] ? VAR8[7:0] : VAR40[1] ? VAR34[7:0] : VAR24[7:0]; assign VAR122[3:0] = VAR72[3] ? VAR99[7:4] : VAR72[2] ? VAR57[7:4] : VAR72[1] ? VAR90[7:4] : VAR81[7:4]; assign VAR70[31:28] = VAR72[3] ? VAR99[3:0] : VAR72[2] ? VAR57[3:0] : VAR72[1] ? VAR90[3:0] : VAR81[3:0]; assign VAR70[27:20] = VAR72[3] ? VAR8[7:0] : VAR72[2] ? VAR34[7:0] : VAR24[7:0]; assign VAR70[19:12] = VAR72[3] ? VAR57[7:0] : VAR72[2] ? VAR90[7:0] : VAR81[7:0]; assign VAR70[11:4] = VAR72[3] ? VAR34[7:0] : VAR24[7:0]; assign VAR70[3:0] = VAR72[3] ? VAR90[7:4] : VAR81[7:4]; assign VAR22[1:0] = VAR72[3] ? VAR90[3:2] : VAR81[3:2]; assign VAR84 = VAR72[3] ? VAR90[1] : VAR81[1]; assign VAR27 = VAR72[3] ? VAR90[0] : VAR81[0]; always @ (posedge VAR30) if (VAR66) begin VAR21[7:0] <= VAR20[7:0]; VAR102[3:0] <= VAR122[3:0]; VAR107[31:0] <= VAR70[31:0]; VAR87[1:0] <= VAR22[1:0]; VAR97 <= VAR84; VAR31 <= VAR27; VAR128[15:0] <= {VAR24[7:0],VAR81[7:0]}; end always @ (posedge VAR30 or posedge reset) if (reset) begin VAR5[3:0] <= 4'b0000; VAR125 <= 1'b0; end else begin VAR5[3:0] <= VAR72[3:0]; VAR125 <= VAR66; end assign VAR114[31:28] = VAR107[31:28]; assign VAR114[27:12] = VAR5[0] ? {VAR58[7:0],VAR99[7:0]} : VAR107[27:12]; assign VAR114[11:4] = VAR5[1] ? VAR58[7:0] : VAR5[0] ? VAR8[7:0] : VAR107[11:4]; assign VAR114[3:0] = VAR5[1] ? VAR99[7:4] : VAR5[0] ? VAR57[7:4] : VAR107[3:0]; assign VAR105[1:0] = VAR5[1] ? VAR99[3:2] : VAR5[0] ? VAR57[3:2] : VAR87[1:0]; assign VAR65 = VAR5[1] ? VAR99[1] : VAR5[0] ? VAR57[1] : VAR97; assign VAR42 = VAR5[1] ? VAR99[0] : VAR5[0] ? VAR57[0] : VAR31; assign VAR101[31:24] = VAR5[2] ? VAR58[7:0] : VAR5[1] ? VAR8[7:0] : VAR5[0] ? VAR34[7:0] : VAR128[15:8]; assign VAR101[23:16] = VAR5[2] ? VAR99[7:0] : VAR5[1] ? VAR57[7:0] : VAR5[0] ? VAR90[7:0] : VAR128[7:0]; assign VAR101[15:8] = VAR5[3] ? VAR58[7:0] : VAR5[2] ? VAR8[7:0] : VAR5[1] ? VAR34[7:0] : VAR24[7:0]; assign VAR101[7:0] = VAR5[3] ? VAR99[7:0] : VAR5[2] ? VAR57[7:0] : VAR5[1] ? VAR90[7:0] : VAR81[7:0]; assign VAR79[31:24] = VAR5[3] ? VAR8[7:0]: VAR5[2] ? VAR34[7:0]: VAR24[7:0]; assign VAR79[23:16] = VAR5[3] ? VAR57[7:0]: VAR5[2] ? VAR90[7:0]: VAR81[7:0]; assign VAR79[15:8] = VAR5[3] ? VAR34[7:0] : VAR24[7:0]; assign VAR79[7:0] = VAR5[3] ? VAR90[7:0] : VAR81[7:0]; always @ (posedge VAR30) if (VAR125) begin VAR60[7:0] <= VAR21[7:0]; VAR63[3:0] <= VAR102[3:0]; VAR4[31:0] <= VAR114[31:0]; VAR95[1:0] <= VAR105[1:0]; VAR47 <= VAR65; VAR74 <= VAR42; VAR83[31:0] <= VAR101[31:0]; VAR2[31:0] <= VAR79[31:0]; end always @ (posedge VAR30 or posedge reset) if (reset) VAR78[3:0] <= 4'b0000; else VAR78[3:0] <= VAR5[3:0]; assign VAR94[31:16] = VAR78[0] ? {VAR58[7:0],VAR99[7:0]} : VAR2[31:16]; assign VAR94[15:8] = VAR78[1] ? VAR58[7:0]: VAR78[0] ? VAR8[7:0]: VAR2[15:8]; assign VAR94[7:0] = VAR78[1] ? VAR99[7:0]: VAR78[0] ? VAR57[7:0]: VAR2[7:0]; assign VAR121 = VAR5[3] & VAR61[0]; assign VAR29 = VAR78[2] & VAR61[3]; assign VAR113 = VAR78[1] & VAR61[2]; assign VAR32 = VAR78[0] & VAR61[1]; assign VAR62 = ~VAR61[0] & VAR46[0]; assign VAR37 = ~VAR61[3] & VAR46[3]; assign VAR96 = ~VAR61[2] & VAR46[2]; assign VAR76 = ~VAR61[1] & VAR46[1]; always @ (posedge VAR30 or posedge reset) if (reset) VAR11 <= 1'b0; else if(VAR121) VAR11 <= 1'b1; else if(VAR62) VAR11 <= 1'b0; always @ (posedge VAR30 or posedge reset) if (reset) VAR111 <= 1'b0; else if(VAR29) VAR111 <= 1'b1; else if(VAR37) VAR111 <= 1'b0; always @ (posedge VAR30 or posedge reset) if (reset) VAR16 <= 1'b0; else if(VAR113) VAR16 <= 1'b1; else if(VAR96) VAR16 <= 1'b0; always @ (posedge VAR30 or posedge reset) if (reset) VAR119 <= 1'b0; else if(VAR32) VAR119 <= 1'b1; else if(VAR76) VAR119 <= 1'b0; always @ (posedge VAR30) VAR75[63:0] <= VAR14[63:0]; assign VAR39[63:0] = VAR11 ? {VAR75[15:0],VAR14[63:16]} : VAR111 ? VAR75[63:0] : VAR16 ? {VAR75[47:0],VAR14[63:48]} : {VAR75[31:0],VAR14[63:32]}; always @ (posedge VAR30) if (VAR53) VAR104[31:0] <= VAR54[31:0]; assign VAR68 = ~VAR60[2]; assign VAR103[31:0] = VAR104[31:0] + {{(28){1'b0}},VAR68,3'b000}; assign VAR26 = |(VAR78[2:0]); assign VAR123[3:0] = VAR5[3] ? VAR102[3:0] : VAR63[3:0]; assign VAR86[1:0] = VAR5[3] ? VAR105[1:0]: VAR95[1:0]; assign VAR3 = VAR5[3] ? VAR65 : VAR47; assign VAR10 = VAR5[3] ? VAR42 : VAR74; assign VAR36[31:0] = VAR5[3] ? VAR79[31:0]: VAR26 ? VAR94[31:0]: VAR39[31:0]; assign VAR43[31:0] = VAR5[3] ? VAR101[31:0]: VAR26 ? VAR83[31:0]: VAR39[63:32]; assign VAR54[31:0] = VAR5[3] ? VAR114[31:0]: VAR26 ? VAR4[31:0]: VAR103[31:0]; assign VAR93[103:0] = {VAR36[31:0], VAR43[31:0], VAR54[31:0], VAR123[3:0], VAR86[1:0], VAR3, VAR10}; assign VAR53 = VAR5[3] | VAR26 | VAR11 | VAR111 | VAR16 | VAR119; always @ (posedge VAR30) VAR44[103:0] <= VAR93[103:0]; always @ (posedge VAR30 or posedge reset) if (reset) VAR18 <= 1'b0; else VAR18 <= VAR53; always @ (posedge VAR30 or posedge reset) if (reset) VAR28 <= 1'b0; else if (VAR117) VAR28 <= 1'b1; else if (VAR49) VAR28 <= 1'b0; assign VAR52[31:0] = VAR23[103:72]; assign VAR98[31:0] = VAR23[71:40]; assign VAR91[31:0] = VAR23[39:8]; assign VAR120[3:0] = VAR23[7:4]; assign VAR115[1:0] = VAR23[3:2]; assign VAR106 = VAR23[1]; always @ (posedge VAR30 or posedge reset) if (reset) VAR118 <= 1'b0; else if (~VAR117) VAR118 <= VAR109; always @ (posedge VAR30) if (~VAR117) VAR23[103:0] <= VAR124[103:0]; assign VAR109 = ~(VAR49 | VAR117); VAR13 #(.VAR73(104), .VAR56(5)) VAR64( .VAR12 (VAR124[103:0]), .VAR112 (VAR49), .VAR50 (), .reset (reset), .VAR100 (VAR30), .VAR88 (VAR30), .VAR85 (VAR18), .VAR108 (VAR44[103:0]), .VAR41 (VAR109)); endmodule
gpl-3.0
hcabrera-/lancetfish
RTL/shared/verif/packet_generator.v
12,113
module MODULE1 #( parameter VAR53 = VAR29, parameter VAR52 = 5, parameter VAR9 = 1, parameter VAR15 = 1, parameter VAR49 = 2, parameter VAR45 = 2 )(); reg VAR10 VAR17; reg [2:0] VAR23 = 3'b000; reg [2:0] VAR4 = 3'b000; reg [2:0] VAR3 = 3'b000; reg [2:0] VAR40 = 3'b000; integer VAR39 = 0; reg [7:0] VAR28; task VAR18; input [2 :0] VAR19 = 0; input [2 :0] VAR6 = 0; input [2 :0] VAR37 = 0; input [2 :0] VAR25 = 0; input [17:0] VAR38 = 0; begin: VAR8 VAR17 VAR16 = 1'b1; VAR17 VAR30 = 1'b0; VAR17 VAR46 = {VAR19, VAR6}; VAR17 VAR56 = {VAR37, VAR25}; VAR17 VAR54 = VAR38; if (VAR53 == VAR29) VAR17 VAR2 = "VAR36+ "; end else if (VAR53 == VAR57) VAR17 VAR2 = "VAR13+ "; else if (VAR53 == VAR11) VAR17 VAR2 = "VAR36- "; else if (VAR53 == VAR59) VAR17 VAR2 = "VAR13- "; else if (VAR53 == VAR32) VAR17 VAR2 = "VAR24 "; VAR60(VAR37); VAR17 VAR44 = {"VAR36 =",VAR28}; VAR60(VAR25); VAR17 VAR33 = {"VAR13 =",VAR28}; VAR17 VAR1 = "VAR27"; end endtask task VAR5; input [11:0] VAR20 = 0; input [31:0] VAR12 = 0; begin: VAR21 if (VAR53 == VAR29) begin VAR23 = 1 + ({(VAR12)}%(VAR49)); while(VAR23 > VAR9) VAR23 = 1 + ({(VAR12)}%(VAR49)); end else if (VAR53 == VAR11) begin VAR23 = 1 + ({(VAR12)}%(VAR49)); while(VAR23 < VAR9) VAR23 = 1 + ({(VAR12)}%(VAR49)); end else VAR23 = 1 + ({(VAR12)}%(VAR49)); if (VAR53 == VAR57) begin VAR4 = 1 + ({(VAR12)}%(VAR45)); while(VAR4 > VAR15) VAR4 = 1 + ({(VAR12)}%(VAR45)); end else if (VAR53 == VAR59) begin VAR4 = 1 + ({(VAR12)}%(VAR45)); while(VAR4 < VAR15) VAR4 = 1 + ({(VAR12)}%(VAR45)); end else VAR4 = 1 + ({(VAR12)}%(VAR45)); VAR39 = {(VAR12)} % 4; if (VAR39 == VAR29) begin VAR3 = VAR49 + 1; VAR40 = 1 + ({(VAR12)}%(VAR45)); end else if (VAR39 == VAR57) begin VAR3 = 1 + ({(VAR12)}%(VAR49)); VAR40 = VAR45 + 1; end else if (VAR39 == VAR11) begin VAR3 = 0; VAR40 = 1 + ({(VAR12)}%(VAR45)); end else begin VAR3 = 1 + ({(VAR12)}%(VAR49)); VAR40 = 0; end VAR17 VAR16 = 1'b1; if (((VAR12))%10 < VAR52) begin VAR17 VAR30 = 1'b0; VAR17 VAR1 = "VAR27"; end else begin VAR17 VAR30 = 1'b1; VAR17 VAR1 = "VAR48 "; end VAR17 VAR46 = {VAR23, VAR4}; VAR17 VAR56 = {VAR3, VAR40}; VAR17 VAR7 = {6{1'b0}}; VAR17 VAR42 = VAR20; if (VAR53 == VAR29) VAR17 VAR2 = "VAR36+ "; end else if (VAR53 == VAR57) VAR17 VAR2 = "VAR13+ "; else if (VAR53 == VAR11) VAR17 VAR2 = "VAR36- "; else if (VAR53 == VAR59) VAR17 VAR2 = "VAR13- "; else if (VAR53 == VAR32) VAR17 VAR2 = "VAR24 "; VAR60(VAR23); VAR17 VAR44 = {"VAR36 =",VAR28}; VAR60(VAR4); VAR17 VAR33 = {"VAR13 =",VAR28}; end endtask : VAR5 task VAR22; input [17:0] VAR20 = 0; input [31:0] VAR12 = 0; begin: VAR31 if (VAR53 == VAR29) VAR23 = 0; end else if (VAR53 == VAR11) VAR23 = VAR49 + 1; else VAR23 = 1 + ({(VAR12)}%(VAR49)); if (VAR53 == VAR57) VAR4 = 0; else if (VAR53 == VAR59) VAR4 = VAR45 + 1; else VAR4 = 1 + ({(VAR12)}%(VAR45)); VAR39 = {(VAR12)} % 10; if (VAR39 < 6) begin VAR3 = 0; VAR40 = 1 + ({(VAR12)}%(VAR45)); end else begin VAR3 = 1 + ({(VAR12)}%(VAR49)); VAR40 = VAR45 + 1; end VAR17 VAR16 = 1'b1; VAR17 VAR30 = 1'b0; VAR17 VAR1 = "VAR27"; VAR17 VAR46 = {VAR23, VAR4}; VAR17 VAR56 = {VAR3, VAR40}; VAR17 VAR7 = VAR20[17:12]; VAR17 VAR42 = VAR20[11:0]; if (VAR53 == VAR29) VAR17 VAR2 = "VAR36+ "; else if (VAR53 == VAR57) VAR17 VAR2 = "VAR13+ "; else if (VAR53 == VAR11) VAR17 VAR2 = "VAR36- "; else if (VAR53 == VAR59) VAR17 VAR2 = "VAR13- "; else if (VAR53 == VAR32) VAR17 VAR2 = "VAR24 "; VAR60(VAR23); VAR17 VAR44 = {"VAR36 =",VAR28}; VAR60(VAR4); VAR17 VAR33 = {"VAR13 =",VAR28}; end endtask : VAR22 task VAR47; input VAR51; input [5:0] VAR58; input [5:0] VAR26; input [11:0] VAR20; input [31:0] VAR35; input [31:0] VAR41; input [31:0] VAR50; input [31:0] VAR55; begin VAR17 VAR16 = 1'b1; VAR17 VAR30 = VAR51; VAR17 VAR46 = VAR58; VAR17 VAR56 = VAR26; VAR17 VAR7 = {6{1'b0}}; VAR17 VAR42 = VAR20; VAR17 VAR2 = VAR35; VAR17 VAR44 = VAR41; VAR17 VAR33 = VAR50; VAR17 VAR1 = VAR55; end endtask : VAR47 task VAR43; begin VAR17 VAR16 = 1'b0; VAR17 VAR30 = 1'b0; VAR17 VAR46 = {6{1'b0}}; VAR17 VAR56 = {6{1'b0}}; VAR17 VAR7 = {6{1'b0}}; VAR17 VAR42 = 11'b00000000000; VAR17 VAR2 = "VAR34"; VAR17 VAR44 = "VAR34"; VAR17 VAR33 = "VAR34"; VAR17 VAR1 = "VAR34"; end endtask : VAR43 task VAR60; input [2:0] VAR14; begin if(VAR14 == 3'b000) VAR28 = "0"; end else if(VAR14 == 3'b001) VAR28 = "1"; else if(VAR14 == 3'b010) VAR28 = "2"; else if(VAR14 == 3'b011) VAR28 = "3"; else if(VAR14 == 3'b100) VAR28 = "4"; else if(VAR14 == 3'b101) VAR28 = "5"; else if(VAR14 == 3'b110) VAR28 = "6"; else VAR28 = "7"; end endtask : VAR60 endmodule
gpl-3.0
takeshineshiro/fpga_linear_128
DIV27_19_bb.v
3,729
module MODULE1 ( VAR5, VAR4, VAR1, VAR3, VAR2); input VAR5; input [34:0] VAR4; input [42:0] VAR1; output [42:0] VAR3; output [34:0] VAR2; endmodule
mit
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_dipsw_pio/altera_avalon_pio_171/synth/ghrd_10as066n2_dipsw_pio_altera_avalon_pio_171_67u3hiq.v
4,794
module MODULE1 ( address, VAR8, clk, VAR10, VAR9, VAR13, VAR7, irq, VAR6 ) ; output irq; output [ 31: 0] VAR6; input [ 1: 0] address; input VAR8; input clk; input [ 3: 0] VAR10; input VAR9; input VAR13; input [ 31: 0] VAR7; wire VAR14; reg [ 3: 0] VAR1; reg [ 3: 0] VAR4; wire [ 3: 0] VAR2; reg [ 3: 0] VAR15; wire VAR5; wire [ 3: 0] VAR3; wire irq; reg [ 3: 0] VAR11; wire [ 3: 0] VAR12; reg [ 31: 0] VAR6; assign VAR14 = 1; assign VAR12 = ({4 {(address == 0)}} & VAR2) | ({4 {(address == 2)}} & VAR11) | ({4 {(address == 3)}} & VAR15); always @(posedge clk or negedge VAR9) begin if (VAR9 == 0) VAR6 <= 0; end else if (VAR14) VAR6 <= {32'b0 | VAR12}; end assign VAR2 = VAR10; always @(posedge clk or negedge VAR9) begin if (VAR9 == 0) VAR11 <= 0; end else if (VAR8 && ~VAR13 && (address == 2)) VAR11 <= VAR7[3 : 0]; end assign irq = |(VAR15 & VAR11); assign VAR5 = VAR8 && ~VAR13 && (address == 3); always @(posedge clk or negedge VAR9) begin if (VAR9 == 0) VAR15[0] <= 0; end else if (VAR14) if (VAR5 && VAR7[0]) VAR15[0] <= 0; else if (VAR3[0]) VAR15[0] <= -1; end always @(posedge clk or negedge VAR9) begin if (VAR9 == 0) VAR15[1] <= 0; end else if (VAR14) if (VAR5 && VAR7[1]) VAR15[1] <= 0; else if (VAR3[1]) VAR15[1] <= -1; end always @(posedge clk or negedge VAR9) begin if (VAR9 == 0) VAR15[2] <= 0; end else if (VAR14) if (VAR5 && VAR7[2]) VAR15[2] <= 0; else if (VAR3[2]) VAR15[2] <= -1; end always @(posedge clk or negedge VAR9) begin if (VAR9 == 0) VAR15[3] <= 0; end else if (VAR14) if (VAR5 && VAR7[3]) VAR15[3] <= 0; else if (VAR3[3]) VAR15[3] <= -1; end always @(posedge clk or negedge VAR9) begin if (VAR9 == 0) begin VAR1 <= 0; VAR4 <= 0; end else if (VAR14) begin VAR1 <= VAR2; VAR4 <= VAR1; end end assign VAR3 = VAR1 ^ VAR4; endmodule
mit
tmolteno/TART
hardware/FPGA/tart_spi/verilog/acquire/dram_prefetch.v
3,578
module MODULE1 parameter VAR16 = VAR12-1, parameter VAR9 = 3) ( input VAR19, input VAR13, input VAR11, output reg VAR5 = 1'b0, input [VAR16:0] VAR18, input VAR14, output reg [VAR16:0] VAR8 = {VAR12{1'b0}} ); reg [23:0] VAR4 = 24'b0; reg [1:0] VAR2 = VAR10; always @(posedge VAR19) if (VAR13) VAR2 <= VAR10; else case (VAR2) !VAR11 && VAR14 ? VAR10 : VAR2 ; endcase always @(posedge VAR19) if (VAR11) begin if (!VAR14 && VAR2 == VAR10) VAR8 <= VAR18; end else if (VAR14 && VAR2 == VAR15) VAR8 <= VAR18; else if (!VAR14 && VAR2 == VAR15) VAR8 <= VAR4; else ("%5t: VAR6 VAR3 while in VAR17 VAR1 state.", ); end else if (VAR14 && VAR2 == VAR7) VAR8 <= VAR4; always @(posedge VAR19) if (VAR11) VAR4 <= VAR18; always @(posedge VAR19) if (VAR13 || VAR5) VAR5 <= 0; else if (VAR2 == VAR10 && VAR11) VAR5 <= 1; else if (VAR2 == VAR7 && VAR14) VAR5 <= 1; endmodule
lgpl-3.0
tgiv014/ECE441_Proj3
clock_divider.v
1,834
module MODULE1(clk, VAR7, VAR8, VAR4); parameter VAR3 = 26; parameter VAR1 = 26'd50000000; parameter VAR9 = 15; parameter VAR2 = 15'd25000; input clk, VAR7; output reg VAR8, VAR4; reg [VAR3-1:0] VAR5; reg [VAR9-1:0] VAR6; always @ (posedge clk or negedge VAR7) begin if(~VAR7) begin VAR5 <= 0; VAR6 <= 0; VAR8 <= 0; VAR4 <= 0; end else begin if(VAR5>=VAR1-1) begin VAR8 <= ~VAR8; VAR5 <= 0; end else begin VAR5 <= VAR5 + 1; end if(VAR6>=VAR2-1) begin VAR4 <= ~VAR4; VAR6 <= 0; end else begin VAR6 <= VAR6 + 1; end end end endmodule
mit
tmatsuya/milkymist-ml401
cores/pfpu/rtl/pfpu_i2f.v
1,562
module MODULE1( input VAR10, input VAR19, input [31:0] VAR2, input VAR12, output [31:0] VAR5, output VAR18 ); reg VAR16; reg VAR8; reg [30:0] VAR11; reg VAR15; always @(posedge VAR10) begin if(VAR19) VAR16 <= 1'b0; end else VAR16 <= VAR12; VAR8 <= VAR2[31]; if(VAR2[31]) VAR11 <= 31'd0 - VAR2[30:0]; else VAR11 <= VAR2[30:0]; VAR15 <= VAR2 == 32'd0; end wire [4:0] VAR17; VAR9 VAR20( .VAR13({VAR11, 1'VAR14}), .VAR3(VAR17) ); reg VAR1; reg VAR7; reg [7:0] VAR4; reg [30:0] VAR6; always @(posedge VAR10) begin if(VAR19) VAR1 <= 1'b0; end else VAR1 <= VAR16; VAR7 <= VAR8; VAR6 <= VAR11 << VAR17; if(VAR15) VAR4 <= 8'd0; else VAR4 <= 8'd157 - {4'd0, VAR17}; end assign VAR5 = {VAR7, VAR4, VAR6[29:7]}; assign VAR18 = VAR1; endmodule
lgpl-3.0
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/rxr_engine_ultrascale.v
21,869
module MODULE1 parameter VAR45=10) ( input VAR51, input VAR93, input VAR42, output VAR17, input VAR58, input VAR115, input [VAR81-1:0] VAR75, input [(VAR81/32)-1:0] VAR104, input [VAR25-1:0] VAR66, output VAR112, output [VAR81-1:0] VAR26, output VAR41, output [(VAR81/32)-1:0] VAR129, output VAR30, output [VAR13(VAR81/32)-1:0] VAR34, output VAR108, output [VAR13(VAR81/32)-1:0] VAR61, output [VAR134-1:0] VAR14, output [VAR68-1:0] VAR16, output [VAR147-1:0] VAR144, output [VAR69-1:0] VAR32, output [VAR55-1:0] VAR46, output [VAR22-1:0] VAR133, output [VAR67-1:0] VAR99, output [VAR28-1:0] VAR57, output [VAR85-1:0] VAR91, output [VAR77-1:0] VAR107, output VAR139 ); localparam VAR137 = (VAR134 + VAR68); localparam VAR118 = 0; localparam VAR1 = 2; localparam VAR141 = 1; localparam VAR148 = VAR141 + VAR1 + VAR118; localparam VAR111 = (VAR6/VAR81) + VAR118; localparam VAR89 = (VAR114/VAR81) + VAR118; localparam VAR120 = (VAR116/VAR81) + VAR118; localparam VAR119 = (VAR87/VAR81) + VAR118; localparam VAR92 = (VAR3/VAR81) + VAR118; localparam VAR82 = VAR118; localparam VAR122 = VAR81*VAR118 + (VAR6%VAR81); localparam VAR11 = VAR81*VAR118 + (VAR114%VAR81); localparam VAR124 = VAR81*VAR118 + (VAR116%VAR81); localparam VAR33 = VAR81*VAR118 + (VAR87%VAR81); localparam VAR19 = VAR81*VAR118; localparam VAR60 = VAR13(VAR81/32); wire VAR64; wire VAR79; wire [VAR45:0] VAR100; wire [VAR45:0] VAR117; wire [VAR45:0] VAR126; wire [(VAR45+1)*VAR137-1:0] VAR24; wire [(VAR45+1)*VAR81-1:0] VAR102; wire VAR8; wire VAR18; wire VAR140; wire [VAR60-1:0] VAR110; wire VAR123; wire [VAR60-1:0] VAR15; wire [(VAR81/32)-1:0] VAR101; wire [127:0] VAR53; wire [VAR22-1:0] VAR63; wire [VAR134-1:0] VAR4; wire [VAR68-1:0] VAR23; wire [VAR137-1:0] VAR37; wire [VAR28-1:0] VAR95; wire [127:0] VAR142; wire VAR127; wire VAR43; wire VAR127; wire [VAR60-1:0] VAR132; wire [(VAR81/32)-1:0] VAR146; wire VAR94; wire VAR94; wire [1:0] VAR44; wire [(VAR81/32)-1:0] VAR29; wire [VAR60-1:0] VAR5; wire [VAR137-1:0] VAR86; wire [VAR28-1:0] VAR109; wire VAR70; wire [VAR22-1:0] VAR130; reg VAR103,VAR103; reg VAR35; assign VAR17 = ~VAR35; assign VAR64 = VAR66[VAR80]; assign VAR79 = VAR115; assign VAR109 = (8'b00000001 << VAR142[VAR65]); assign VAR127 = VAR117[VAR118 + VAR141]; assign VAR43 = VAR117[VAR118 + VAR141 + 1]; assign VAR5 = 4; assign VAR132 = VAR142[VAR138 +: VAR60] + ((VAR39-32)/32); assign VAR139 = VAR53[VAR131]; assign VAR107 = VAR53[VAR138+:VAR77]; assign VAR32 = VAR53[VAR2]; assign VAR144 = VAR53[VAR128]; assign VAR133 = VAR63; assign VAR91 = VAR53[VAR121]; assign VAR46 = VAR53[VAR98]; assign VAR14 = VAR4; assign VAR16 = VAR23; assign VAR99 = {VAR53[VAR74],2'b0}; assign VAR30 = VAR123; assign VAR34 = 0; assign VAR108 = VAR140; assign VAR61 = VAR132; assign VAR57 = VAR95; assign VAR41 = VAR8; assign VAR26 = VAR102[(VAR148)*VAR81 +: VAR81]; assign VAR112 = 1'b1; assign VAR130 = VAR21({VAR142[VAR48], VAR142[VAR135] != 0}); assign VAR127 = VAR117[VAR118]; assign VAR127 = VAR117[VAR118+1]; assign VAR94 = VAR44 != 0; assign VAR70 = ~VAR130[VAR96]; assign VAR29 = {VAR81/32{1'b1}} << ({VAR60{VAR94}}& VAR5[VAR60-1:0]); generate if(VAR81 == 64) begin assign VAR44[1] = VAR100[VAR118 + 2] & ~VAR103; assign VAR44[0] = VAR100[VAR118 + 1] & VAR117[VAR118]; end else if (VAR81 == 128) begin assign VAR44[1] = VAR100[VAR118 + 1] & ~VAR103; assign VAR44[0] = VAR100[VAR118] & VAR117[VAR118]; end else begin assign VAR44[1] = 0; assign VAR44[0] = VAR100[VAR118]; end endgenerate always @(*) begin VAR103 = VAR103; if(VAR94) begin VAR103 = 1'b1; end else if (VAR127) begin VAR103 = 1'b0; end end always @(posedge VAR51) begin if(VAR35) begin VAR103 <= 1'b0; end else begin VAR103 <= VAR103; end end always @(posedge VAR51) begin VAR35 <= VAR93 | VAR42; end register .VAR47 (0) ) VAR7 ( .VAR143 (VAR142[127:96]), .VAR105 (0), .VAR59 (VAR102[VAR33 +: 32]), .VAR56 (VAR100[VAR119]), .VAR51 (VAR51)); register .VAR47 (0) ) VAR72 ( .VAR143 (VAR142[95:64]), .VAR105 (0), .VAR59 (VAR102[VAR124 +: 32]), .VAR56 (VAR100[VAR120]), .VAR51 (VAR51)); register .VAR47 (0) ) VAR88 ( .VAR143 (VAR142[63:32]), .VAR105 (0), .VAR59 (VAR102[VAR11 +: 32]), .VAR56 (VAR100[VAR89]), .VAR51 (VAR51)); register .VAR47 (0) ) VAR9 ( .VAR143 (VAR142[31:0]), .VAR105 (0), .VAR59 (VAR102[VAR122 +: 32]), .VAR56 (VAR100[VAR111]), .VAR51 (VAR51)); register .VAR47 (0) ) VAR36 ( .VAR143 (VAR86), .VAR105 (0), .VAR59 (VAR24[VAR19 +: VAR137]), .VAR56 (VAR100[VAR82]), .VAR51 (VAR51)); VAR54 .VAR62 (VAR81), .VAR47 (0) ) VAR40 ( .VAR143 (VAR102), .VAR59 (VAR75), .VAR105 (0), .VAR51 (VAR51)); VAR54 .VAR62 (1'b1), .VAR47 (0) ) VAR20 ( .VAR143 (VAR100), .VAR59 (VAR64 & VAR58), .VAR105 (0), .VAR51 (VAR51)); VAR54 .VAR62 (1'b1), .VAR47 (0) ) VAR83 ( .VAR143 (VAR117), .VAR59 (VAR79), .VAR105 (0), .VAR51 (VAR51)); VAR54 .VAR62 (1'b1), .VAR47 (0) ) VAR90 ( .VAR143 (VAR126), .VAR59 (VAR58), .VAR105 (VAR49), .VAR51 (VAR51)); VAR54 .VAR62 (VAR137), .VAR47 (0) ) VAR145 ( .VAR143 (VAR24), .VAR59 (VAR66[VAR136]), .VAR105 (0), .VAR51 (VAR51)); register .VAR47 (1'b0) ) VAR10 ( .VAR143 (VAR94), .VAR59 (VAR94), .VAR56 (1), .VAR105 (0), .VAR51 (VAR51)); VAR78 .VAR84 (VAR81/32) ) VAR71 ( .VAR97 (VAR146), .VAR73 (VAR127), .VAR50 (VAR132) ); generate if(VAR1 == 0) begin assign VAR129 = {VAR146 & VAR29} & {VAR81/32{~VAR103 | VAR70}}; end else begin register .VAR47 (0) ) VAR113 ( .VAR143 (VAR101), .VAR105 (~VAR103 | VAR70), .VAR59 (VAR146 & VAR29), .VAR56 (1), .VAR51 (VAR51)); VAR52 .VAR62 (VAR81/32), .VAR31 (0) ) VAR27 ( .VAR125 (), .VAR143 (VAR129), .VAR76 (), .VAR59 (VAR101), .VAR106 (1), .VAR38 (1'b1), .VAR105 (0), .VAR51 (VAR51)); end endgenerate VAR52 .VAR62 (VAR39 + 2*(1 + VAR60) + .VAR31 (0) ) VAR12 ( .VAR125 (), .VAR143 ({VAR53,VAR95,VAR63,VAR123,VAR15,VAR140,VAR110,VAR23,VAR4}), .VAR76 (VAR8), .VAR59 ({VAR142,VAR109,VAR130,VAR94,VAR5[VAR60-1:0],VAR127,VAR132[VAR60-1:0],VAR86}), .VAR106 (VAR103), .VAR38 (1'b1), .VAR105 (VAR35), .VAR51 (VAR51)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a41oi/sky130_fd_sc_ms__a41oi_4.v
2,439
module MODULE1 ( VAR1 , VAR12 , VAR6 , VAR8 , VAR11 , VAR5 , VAR10, VAR9, VAR7 , VAR4 ); output VAR1 ; input VAR12 ; input VAR6 ; input VAR8 ; input VAR11 ; input VAR5 ; input VAR10; input VAR9; input VAR7 ; input VAR4 ; VAR3 VAR2 ( .VAR1(VAR1), .VAR12(VAR12), .VAR6(VAR6), .VAR8(VAR8), .VAR11(VAR11), .VAR5(VAR5), .VAR10(VAR10), .VAR9(VAR9), .VAR7(VAR7), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR1 , VAR12, VAR6, VAR8, VAR11, VAR5 ); output VAR1 ; input VAR12; input VAR6; input VAR8; input VAR11; input VAR5; supply1 VAR10; supply0 VAR9; supply1 VAR7 ; supply0 VAR4 ; VAR3 VAR2 ( .VAR1(VAR1), .VAR12(VAR12), .VAR6(VAR6), .VAR8(VAR8), .VAR11(VAR11), .VAR5(VAR5) ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/dffnq/gf180mcu_fd_sc_mcu9t5v0__dffnq_4.behavioral.v
2,148
module MODULE1( VAR1, VAR8, VAR11 ); input VAR1, VAR8; output VAR11; reg VAR4; VAR10 VAR2(.VAR1(VAR1),.VAR8(VAR8),.VAR11(VAR11),.VAR4(VAR4)); VAR10 VAR3(.VAR1(VAR1),.VAR8(VAR8),.VAR11(VAR11),.VAR4(VAR4)); not VAR5(VAR7,VAR8); buf VAR6(VAR9,VAR8);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/dfxbp/sky130_fd_sc_hvl__dfxbp.pp.blackbox.v
1,318
module MODULE1 ( VAR7 , VAR6 , VAR1 , VAR2 , VAR3, VAR5, VAR4 , VAR8 ); output VAR7 ; output VAR6 ; input VAR1 ; input VAR2 ; input VAR3; input VAR5; input VAR4 ; input VAR8 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o21ai/sky130_fd_sc_hs__o21ai_4.v
2,134
module MODULE2 ( VAR6 , VAR7 , VAR3 , VAR2 , VAR5, VAR1 ); output VAR6 ; input VAR7 ; input VAR3 ; input VAR2 ; input VAR5; input VAR1; VAR8 VAR4 ( .VAR6(VAR6), .VAR7(VAR7), .VAR3(VAR3), .VAR2(VAR2), .VAR5(VAR5), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR6 , VAR7, VAR3, VAR2 ); output VAR6 ; input VAR7; input VAR3; input VAR2; supply1 VAR5; supply0 VAR1; VAR8 VAR4 ( .VAR6(VAR6), .VAR7(VAR7), .VAR3(VAR3), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and3/sky130_fd_sc_lp__and3.behavioral.v
1,371
module MODULE1 ( VAR8, VAR6, VAR11, VAR7 ); output VAR8; input VAR6; input VAR11; input VAR7; supply1 VAR4; supply0 VAR3; supply1 VAR5 ; supply0 VAR2 ; wire VAR9; and VAR1 (VAR9, VAR7, VAR6, VAR11 ); buf VAR10 (VAR8 , VAR9 ); endmodule
apache-2.0
tmatsuya/milkymist-ml401
cores/minimac/rtl/minimac_ctlif.v
5,949
module MODULE1 #( parameter VAR38 = 4'h0 ) ( input VAR37, input VAR29, input [13:0] VAR3, input VAR32, input [31:0] VAR40, output reg [31:0] VAR11, output reg VAR47, output reg VAR21, output reg VAR17, output reg VAR46, output VAR25, output [29:0] VAR34, input VAR41, input VAR27, input VAR9, input VAR4, output VAR22, output reg [29:0] VAR12, output reg [1:0] VAR6, input VAR42, output reg VAR33, inout VAR28 ); reg VAR2; reg VAR45; assign VAR28 = VAR2 ? VAR45 : 1'VAR19; reg VAR18; reg VAR24; always @(posedge VAR37) begin VAR18 <= VAR28; VAR24 <= VAR18; end reg [1:0] VAR15; reg [29:0] VAR1; reg [10:0] VAR5; reg [1:0] VAR26; reg [29:0] VAR14; reg [10:0] VAR39; reg [1:0] VAR31; reg [29:0] VAR44; reg [10:0] VAR16; reg [1:0] VAR20; reg [29:0] VAR35; reg [10:0] VAR13; wire VAR10 = VAR15[0]; wire VAR43 = VAR26[0] & ~VAR15[0]; wire VAR7 = VAR31[0] & ~VAR26[0] & ~VAR15[0]; wire VAR30 = VAR20[0] & ~VAR31[0] & ~VAR26[0] & ~VAR15[0]; assign VAR25 = VAR15[0] | VAR26[0] | VAR31[0] | VAR20[0]; assign VAR34 = {30{VAR10}} & VAR1 |{30{VAR43}} & VAR14 |{30{VAR7}} & VAR44 |{30{VAR30}} & VAR35; reg [10:0] VAR36; assign VAR22 = |VAR36; wire VAR8 = VAR3[13:10] == VAR38; always @(posedge VAR37) begin if(VAR29) begin VAR11 <= 32'd0; VAR17 <= 1'b1; VAR46 <= 1'b1; VAR2 <= 1'b0; VAR45 <= 1'b0; VAR33 <= 1'b0; VAR15 <= 2'b00; VAR1 <= 30'd0; VAR5 <= 11'd0; VAR26 <= 2'b00; VAR14 <= 30'd0; VAR39 <= 11'd0; VAR31 <= 2'b00; VAR44 <= 30'd0; VAR16 <= 11'd0; VAR20 <= 2'b00; VAR35 <= 30'd0; VAR13 <= 11'd0; VAR36 <= 11'd0; VAR12 <= 30'd0; VAR6 <= 2'd0; end else begin VAR11 <= 32'd0; if(VAR8) begin if(VAR32) begin case(VAR3[3:0]) 4'd0 : begin VAR46 <= VAR40[1]; VAR17 <= VAR40[0]; end 4'd1 : begin VAR33 <= VAR40[3]; VAR2 <= VAR40[2]; VAR45 <= VAR40[0]; end 4'd2 : begin VAR15 <= VAR40[1:0]; VAR5 <= 11'd0; end 4'd3 : VAR1 <= VAR40[31:2]; 4'd5 : begin VAR26 <= VAR40[1:0]; VAR39 <= 11'd0; end 4'd6 : VAR14 <= VAR40[31:2]; 4'd8 : begin VAR31 <= VAR40[1:0]; VAR16 <= 11'd0; end 4'd9 : VAR44 <= VAR40[31:2]; 4'd11: begin VAR20 <= VAR40[1:0]; VAR13 <= 11'd0; end 4'd12: VAR35 <= VAR40[31:2]; 4'd14: VAR12 <= VAR40[31:2]; 4'd15: begin VAR36 <= VAR40[10:0]; VAR6 <= 2'd0; end endcase end case(VAR3[3:0]) 4'd0 : VAR11 <= {VAR46, VAR17}; 4'd1 : VAR11 <= {VAR33, VAR2, VAR24, VAR45}; 4'd2 : VAR11 <= VAR15; 4'd3 : VAR11 <= {VAR1, 2'd0}; 4'd4 : VAR11 <= VAR5; 4'd5 : VAR11 <= VAR26; 4'd6 : VAR11 <= {VAR14, 2'd0}; 4'd7 : VAR11 <= VAR39; 4'd8 : VAR11 <= VAR31; 4'd9 : VAR11 <= {VAR44, 2'd0}; 4'd10: VAR11 <= VAR16; 4'd11: VAR11 <= VAR20; 4'd12: VAR11 <= {VAR35, 2'd0}; 4'd13: VAR11 <= VAR13; 4'd14: VAR11 <= VAR12; 4'd15: VAR11 <= VAR36; endcase end if(VAR4) VAR17 <= 1'b1; if(VAR41) begin if(VAR10) VAR5 <= 11'd0; if(VAR43) VAR39 <= 11'd0; if(VAR7) VAR16 <= 11'd0; if(VAR30) VAR13 <= 11'd0; end if(VAR27) begin if(VAR10) VAR5 <= VAR5 + 11'd1; if(VAR43) VAR39 <= VAR39 + 11'd1; if(VAR7) VAR16 <= VAR16 + 11'd1; if(VAR30) VAR13 <= VAR13 + 11'd1; end if(VAR9) begin if(VAR10) VAR15 <= 2'b10; if(VAR43) VAR26 <= 2'b10; if(VAR7) VAR31 <= 2'b10; if(VAR30) VAR20 <= 2'b10; end if(VAR42) begin VAR36 <= VAR36 - 11'd1; VAR6 <= VAR6 + 2'd1; if(VAR6 == 2'd3) VAR12 <= VAR12 + 30'd1; end end end reg VAR23; always @(posedge VAR37) begin if(VAR29) begin VAR47 <= 1'b0; VAR23 <= 1'b0; VAR21 <= 1'b0; end else begin VAR47 <= VAR15[1] | VAR26[1] | VAR31[1] | VAR20[1] | VAR4; VAR23 <= VAR22; VAR21 <= VAR23 & ~VAR22; end end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/bufbuf/sky130_fd_sc_hdll__bufbuf_8.v
2,046
module MODULE2 ( VAR7 , VAR4 , VAR3, VAR8, VAR5 , VAR1 ); output VAR7 ; input VAR4 ; input VAR3; input VAR8; input VAR5 ; input VAR1 ; VAR6 VAR2 ( .VAR7(VAR7), .VAR4(VAR4), .VAR3(VAR3), .VAR8(VAR8), .VAR5(VAR5), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR7, VAR4 ); output VAR7; input VAR4; supply1 VAR3; supply0 VAR8; supply1 VAR5 ; supply0 VAR1 ; VAR6 VAR2 ( .VAR7(VAR7), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/mux2i/sky130_fd_sc_hs__mux2i_2.v
2,087
module MODULE2 ( VAR8 , VAR3 , VAR7 , VAR6 , VAR5, VAR4 ); output VAR8 ; input VAR3 ; input VAR7 ; input VAR6 ; input VAR5; input VAR4; VAR2 VAR1 ( .VAR8(VAR8), .VAR3(VAR3), .VAR7(VAR7), .VAR6(VAR6), .VAR5(VAR5), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR8 , VAR3, VAR7, VAR6 ); output VAR8 ; input VAR3; input VAR7; input VAR6 ; supply1 VAR5; supply0 VAR4; VAR2 VAR1 ( .VAR8(VAR8), .VAR3(VAR3), .VAR7(VAR7), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_io
cells/top_gpio_ovtv2/sky130_fd_io__top_gpio_ovtv2.functional.pp.v
34,077
module MODULE1 ( VAR129, VAR71, VAR59, VAR126, VAR82, VAR89, VAR123, VAR38, VAR156, VAR56, VAR150, VAR90,VAR110, VAR66, VAR145, VAR83, VAR46, VAR61, VAR58, VAR103, VAR137, VAR125, VAR52, VAR108, VAR53, VAR157, VAR42, VAR73, VAR81, VAR30, VAR151, VAR63, VAR41, VAR87, VAR17, VAR72, VAR102, VAR70, VAR124, VAR79 ); input VAR17; input VAR87; input VAR30; input VAR53; input VAR157; input VAR42; input VAR73; input VAR81; input VAR41; input VAR70; input VAR124; input VAR72; input [1:0] VAR102; input VAR151; input VAR137; input VAR52; input VAR125; input [2:0] VAR108; input [1:0] VAR63; input VAR79; inout VAR66; inout VAR145; inout VAR110; inout VAR150; inout VAR103; inout VAR90; inout VAR83; inout VAR46; inout VAR58; inout VAR61; inout VAR123; inout VAR56,VAR38,VAR156; inout VAR82; inout VAR89; output VAR129; output VAR71; output VAR59, VAR126; wire VAR14; wire VAR136; reg [2:0] VAR141; reg [1:0] VAR65; reg VAR105, VAR114, VAR116, VAR19, VAR76, VAR18, VAR64, VAR132,VAR26, VAR140,VAR152; reg [1:0] VAR78; wire [2:0] VAR1; wire [1:0] VAR127; wire [1:0] VAR12; wire VAR36, VAR96, VAR122, VAR7, VAR149, VAR60, VAR2; wire [2:0] VAR91; wire [1:0] VAR40; wire [1:0] VAR75; wire VAR99, VAR6, VAR77, VAR131, VAR154, VAR62, VAR43; reg VAR95, VAR5, VAR113, VAR121, VAR85, VAR98, VAR29; reg VAR45, VAR92, VAR94; reg VAR9, VAR142, VAR146; assign VAR136 = VAR30; assign VAR62 = VAR151; assign VAR91 = VAR108; assign VAR77 = VAR41; assign VAR6 = VAR70; assign VAR99 = VAR72; assign VAR154 = VAR87; assign VAR131 = VAR17; assign VAR75 = VAR63; assign VAR40 = VAR102; assign VAR43 = VAR124; wire VAR32 = ((VAR136===0 || VAR53===0) ? 1:(VAR150===1)) && (VAR46===0) && (VAR83===0) && (VAR58===0); wire VAR104 = (VAR66===1) && (VAR145===1)&& (VAR61===0) && (VAR46===0) && (VAR83===0) ; wire VAR34 = (VAR145===1) && (VAR66===1) && (VAR46===0) && (VAR90===1); wire VAR155 = (VAR145===1) && (VAR66===1) && (VAR46===0) && (VAR150===1); wire VAR22 = (VAR145===1) && (VAR66===1) && (VAR46===0); wire VAR88 = (VAR110===1) && (VAR46===0) && (VAR150===1); wire VAR8 = (VAR110===1) && (VAR46===0); wire VAR109 = (VAR145===1) && (VAR116===0 && VAR141!==3'b000 && VAR78===2'b01 ? VAR90===1 : 1) && (VAR46===0); wire VAR24 = (VAR145===1) && (VAR46===0) && (VAR90===1); wire VAR23 = (VAR110===1) && (VAR46===0) && (VAR83===0) ; wire VAR37 = (VAR145 ===1) && (VAR46===0) && (VAR83===0) ; wire VAR97 = (VAR103 ===1) && (VAR46===0) && (VAR83===0) ; wire VAR48 = ((VAR136===0 || VAR53===0) ? 1:(VAR150===1)); parameter VAR128 = 100; wire VAR50 = VAR76 === 1 || VAR141 === 3'b000 || VAR141 === 3'b001; wire VAR80 = !VAR104 || (VAR141 !== 3'b000 && VAR141 !== 3'b001 && VAR76===1'VAR15) || (^VAR141[2:0] === 1'VAR15 && VAR76===1'b0) || (VAR105===1'VAR15 && VAR141 !== 3'b000 && VAR141 !== 3'b001 && VAR76===1'b0) || (VAR105===1'b1 && ^VAR65[1:0] ===1'VAR15 && VAR141 === 3'b100 && VAR76===1'b0); parameter VAR106= 70 ; parameter VAR118= 40; parameter VAR106= 0; parameter VAR118= 0; parameter VAR117= 127 ; parameter VAR84= 109; parameter VAR16= 193; parameter VAR147= 136; parameter VAR117= 0 ; parameter VAR84= 0; parameter VAR16= 0; parameter VAR147= 0; integer VAR27,VAR111,VAR55,VAR148,VAR107,VAR31,VAR153; VAR119 VAR27 = VAR106; VAR119 VAR111 = VAR118; VAR119 VAR148 = VAR117; VAR119 VAR107 = VAR84; VAR119 VAR31 = VAR16; VAR119 VAR153 = VAR147; always @ begin : VAR49 if (^VAR53===1'VAR15 || !VAR22 || (VAR53===1 && ^VAR136===1'VAR15)) begin VAR141 <= 3'VAR69; end else if (VAR53===0) begin VAR141 <= 3'b000; end else if (VAR136===1) begin VAR141 <= (^VAR91[2:0] === 1'VAR15 || !VAR155) ? 3'VAR69 : VAR91; end end always @(VAR9 or VAR95) begin disable VAR49; VAR141 <= 3'VAR69; end always @ begin : VAR10 if (^VAR53===1'VAR15 || !VAR22 || (VAR53===1 && ^VAR136===1'VAR15)) begin VAR78 <= 2'VAR130; end else if (VAR53===0) begin VAR78 <= 2'b00; end else if (VAR136===1) begin VAR78 <= (^VAR75[1:0] === 1'VAR15 || !VAR155) ? 2'VAR130 : VAR75; end end always @(VAR9 or VAR92) begin disable VAR10; VAR78 <= 2'VAR130; end always @ begin : VAR134 if (^VAR53===1'VAR15 || !VAR22 || (VAR53===1 && ^VAR136===1'VAR15)) begin VAR114 <= 1'VAR15; end else if (VAR53===0) begin VAR114 <= 1'b0; end else if (VAR136===1) begin VAR114 <= (^VAR6 === 1'VAR15 || !VAR155) ? 1'VAR15 : VAR6; end end always @(VAR9 or VAR85) begin disable VAR134; VAR114 <= 1'VAR15; end always @ begin : VAR11 if (^VAR53===1'VAR15 || !VAR22 || (VAR53===1 && ^VAR136===1'VAR15)) begin VAR105 <= 1'VAR15; end else if (VAR53===0) begin VAR105 <= 1'b0; end else if (VAR136===1) begin VAR105 <= (^VAR99 === 1'VAR15 || !VAR155) ? 1'VAR15 : VAR99; end end always @(VAR9 or VAR5) begin disable VAR11; VAR105 <= 1'VAR15; end always @ begin : VAR133 if (^VAR53===1'VAR15 || !VAR22 || (VAR53===1 && (^VAR136===1'VAR15 || (VAR136===0 && VAR18===1'VAR15)|| (VAR136===1 && VAR18===1'VAR15)))) begin VAR76 <= 1'VAR15; end else if (VAR53===0) begin VAR76 <= 1'b1; end else if (VAR136===1 || VAR18===1) begin VAR76 <= (^VAR154 === 1'VAR15 || !VAR34) ? 1'VAR15 : VAR154; end end always @(VAR9 or VAR113) begin disable VAR133; VAR76 <= 1'VAR15; end always @ begin if (VAR25 ===1 ) begin VAR26 <= 1'VAR15; end else if ( VAR86 ===1 ) begin VAR26 <= 1'b0; end else if (VAR25 !==1 && VAR86 !==1) begin VAR26 <= VAR137; end if (VAR35 ===1 ) begin VAR152 <= 1'VAR15; end else if ( VAR13 ===1 ) begin VAR152 <= 1'b0; end else if ( VAR35 !==1 && VAR13 !==1) begin VAR152 <= VAR137; end if (VAR47 ===1 ) begin VAR140 <= 1'VAR15; end else if ( VAR67 ===1 ) begin VAR140 <= 1'b0; end else if (VAR47 !==1 && VAR67 !==1) begin VAR140 <= VAR137; end if ( (VAR140 ===1'VAR15 && VAR26 ===1'VAR15) || (VAR140 ===1'VAR15 && VAR152 ===1'VAR15) || (VAR152 ===1'VAR15 && VAR26 ===1'VAR15 ) ) begin VAR132 <= 1'VAR15; end else if (VAR26 ===1'VAR15 && (VAR152 ===1 ||VAR140===1 )) begin VAR132 <= 1'VAR15; end else if (VAR152 ===1'VAR15 && (VAR26 ===1 ||VAR140===1 )) begin VAR132 <= 1'VAR15; end else if (VAR140===1'VAR15 && (VAR26 ===1 || VAR152 ===1 )) begin VAR132 <= 1'VAR15; end else if ((VAR26 ===0 && VAR152 ===0 )|| (VAR26 ===0 && VAR140===0 ) || (VAR152 ===0 && VAR140===0 )) begin VAR132 <=0; end else if (VAR26 ===1 && VAR152 ===1 && VAR140 ===1) begin VAR132 <=1; end end wire [2:0] VAR115 = {VAR52, VAR125, VAR131}; wire VAR144 = (VAR132===1'VAR15 && VAR116===1) || !VAR32 || (VAR132===1 && ^VAR115[2:0] === 1'VAR15 && VAR116===1); wire VAR20 = VAR144 ? 1'VAR15 : (VAR115===3'b001 || VAR115===3'b010) && (VAR132===1); wire VAR112 = VAR144 ? 1'VAR15 : (VAR115===3'b101 || VAR115===3'b110) && (VAR132===1); wire VAR120 = VAR144 ? 1'VAR15 : (VAR115===3'b100 || VAR115===3'b000) && (VAR132===1); wire VAR44 = VAR144 ? 1'VAR15 : (VAR115===3'b011 || VAR115===3'b111) && (VAR132===1); tranif1 VAR68 (VAR123, VAR82, VAR20); tranif1 VAR28 (VAR123, VAR89, VAR112); bufif1 VAR139 (VAR123, VAR145, VAR44); bufif1 VAR93 (VAR123, VAR58, VAR120); reg VAR51; integer VAR39,VAR4,VAR138,VAR135,VAR143,VAR101,VAR100,VAR3,VAR54,VAR33,VAR74,VAR21,VAR57; begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin begin begin begin begin end begin
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dlatch_psa_pp_pkg_sn/sky130_fd_sc_hs__udp_dlatch_psa_pp_pkg_sn.blackbox.v
1,613
module MODULE1 ( VAR2 , VAR6 , VAR9 , VAR3 , VAR1 , VAR5, VAR7 , VAR4 , VAR8 ); output VAR2 ; input VAR6 ; input VAR9 ; input VAR3 ; input VAR1 ; input VAR5; input VAR7 ; input VAR4 ; input VAR8 ; endmodule
apache-2.0
bunnie/novena-gpbb-fpga
novena-gpbb.srcs/sources_1/ip/clk_dll/clk_dll/example_design/clk_dll_exdes.v
6,168
module MODULE1 parameter VAR37 = 100 ) ( input VAR16, input VAR30, output [3:1] VAR3, output [3:1] VAR23, input VAR22, output VAR32 ); localparam VAR27 = 16; localparam VAR5 = 3; genvar VAR34; wire VAR35 = !VAR32 || VAR22 || VAR30; reg [VAR5:1] VAR31; reg [VAR5:1] VAR4; reg [VAR5:1] VAR10; reg [VAR5:1] VAR19; wire [VAR5:1] VAR18; wire [VAR5:1] VAR25; wire [VAR5:1] clk; reg [VAR27-1:0] counter [VAR5:1]; VAR38 VAR7 ( .VAR6 (VAR16), .VAR20 (VAR18[1]), .VAR21 (VAR18[2]), .VAR15 (VAR18[3]), .VAR22 (VAR22), .VAR32 (VAR32)); genvar VAR36; generate for (VAR36 = 1; VAR36 <= VAR5; VAR36 = VAR36 + 1) begin: VAR13 assign VAR25[VAR36] = ~clk[VAR36]; VAR2 VAR24 (.VAR1 (VAR3[VAR28]), .VAR14 (clk[VAR36]), .VAR8 (VAR25[VAR36]), .VAR12 (1'b1), .VAR17 (1'b1), .VAR33 (1'b0), .VAR26 (1'b0), .VAR11 (1'b0)); end endgenerate assign clk[1] = VAR18[1]; assign clk[2] = VAR18[2]; assign clk[3] = VAR18[3]; generate for (VAR34 = 1; VAR34 <= VAR5; VAR34 = VAR34 + 1) begin: VAR9 always @(posedge VAR35 or posedge clk[VAR34]) begin if (VAR35) begin VAR31[VAR34] <= 1'b1; VAR4[VAR34]<= 1'b1; VAR10[VAR34]<= 1'b1; VAR19[VAR34]<= 1'b1; end else begin VAR31[VAR34] <= 1'b0; VAR4[VAR34] <= VAR31[VAR34]; VAR10[VAR34] <= VAR4[VAR34]; VAR19[VAR34] <= VAR10[VAR34]; end end end endgenerate generate for (VAR34 = 1; VAR34 <= VAR5; VAR34 = VAR34 + 1) begin: VAR29 always @(posedge clk[VAR34] or posedge VAR19[VAR34]) begin if (VAR19[VAR34]) begin end else begin end end assign VAR23[VAR34] = counter[VAR34][VAR27-1]; end endgenerate endmodule
apache-2.0
gbraad/minimig-de1
rtl/or1200/or1200_dc_tag.v
4,203
module MODULE1( clk, rst, VAR18, VAR1, VAR5, addr, en, VAR11, VAR15, VAR9, VAR13 ); parameter VAR10 = VAR7; parameter VAR2 = VAR3; input clk; input rst; input [VAR2-1:0] addr; input en; input VAR11; input [VAR10-1:0] VAR15; output VAR9; output [VAR10-2:0] VAR13; input VAR18; input [VAR14 - 1:0] VAR5; output VAR1; assign VAR13 = {VAR10-1{1'b0}}; assign VAR9 = 1'b0; assign VAR1 = VAR18; VAR12 VAR16( VAR17 VAR16( .VAR18(VAR18), .VAR1(VAR1), .VAR5(VAR5), .clk(clk), .rst(rst), .VAR6(en), .VAR11(VAR11), .VAR4(1'b1), .addr(addr), .VAR19(VAR15), .VAR8({VAR13, VAR9}) ); endmodule
gpl-3.0
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_072.v
1,558
module MODULE1 ( VAR14, VAR8 ); input [31:0] VAR14; output [31:0] VAR8; wire [31:0] VAR13, VAR3, VAR6, VAR7, VAR12, VAR9, VAR4, VAR10, VAR2, VAR5; assign VAR13 = VAR14; assign VAR7 = VAR13 << 7; assign VAR9 = VAR13 << 4; assign VAR12 = VAR6 - VAR7; assign VAR4 = VAR12 + VAR9; assign VAR2 = VAR4 + VAR10; assign VAR3 = VAR13 << 11; assign VAR6 = VAR13 + VAR3; assign VAR5 = VAR2 << 4; assign VAR10 = VAR13 << 2; assign VAR8 = VAR5; endmodule module MODULE2( VAR14, VAR8, clk ); input [31:0] VAR14; output [31:0] VAR8; reg [31:0] VAR8; input clk; reg [31:0] VAR15; wire [30:0] VAR1; always @(posedge clk) begin VAR15 <= VAR14; VAR8 <= VAR1; end MODULE1 MODULE1( .VAR14(VAR15), .VAR8(VAR1) ); endmodule
mit
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
Sobel/ip/Sobel/acl_fp_custom_mul_op_double.v
11,399
module MODULE1 ( VAR49, VAR69, VAR38, VAR25, VAR32, VAR42, VAR79, VAR3, VAR20, VAR76, VAR75, VAR28, VAR73, VAR27, VAR16, enable); parameter VAR2 = 1; parameter VAR54 = 0; parameter VAR34 = 1; parameter VAR70 = 1; parameter VAR46 = 1; input VAR49, VAR69; input [55:0] VAR38; input [11:0] VAR25; input VAR32; input [55:0] VAR42; input [11:0] VAR79; input VAR3; input enable; input VAR28, VAR73; output VAR27, VAR16; output [56:0] VAR20; output [11:0] VAR76; output VAR75; wire [107:0] VAR56; wire VAR8; VAR66 VAR29 ( .VAR49 (VAR49), .VAR36 (VAR38[55:2]), .VAR52 (VAR42[55:2]), .VAR84 (VAR8), .VAR64 (VAR56), .VAR17 (1'b0), .sum (1'b0)); VAR29.VAR21 = "VAR23=9", VAR29.VAR57 = 3, VAR29.VAR62 = "VAR26", VAR29.VAR14 = "VAR30", VAR29.VAR72 = 54, VAR29.VAR5 = 54, VAR29.VAR61 = 108; reg [11:0] VAR48; reg [11:0] VAR1; reg [11:0] VAR35; reg [14:0] VAR12; reg [14:0] VAR50; reg [14:0] VAR53; reg [14:0] VAR51; reg VAR74; reg VAR45, VAR59, VAR81, VAR22, VAR11, VAR60; reg VAR13, VAR10; reg VAR24, VAR85; reg VAR63, VAR9, VAR58, VAR47, VAR55; wire VAR19; assign VAR8 = (VAR2 == 1) ? (~VAR9 | ~VAR19) : enable; assign VAR27 = VAR9 & VAR19; always@(posedge VAR49 or negedge VAR69) begin if (~VAR69) begin VAR48 <= 12'VAR67; VAR1 <= 12'VAR67; VAR35 <= 12'VAR67; VAR22 <= 1'VAR71; VAR11 <= 1'VAR71; VAR45 <= 1'VAR71; VAR59 <= 1'VAR71; VAR81 <= 1'VAR71; VAR47 <= 1'VAR71; VAR12 <= 15'VAR67; VAR50 <= 15'VAR67; VAR53 <= 15'VAR67; VAR51 <= 15'VAR67; VAR55 <= 1'VAR71; VAR63 <= 1'b0; VAR9 <= 1'b0; VAR58 <= 1'b0; VAR13 <= 1'VAR71; VAR10 <= 1'VAR71; VAR24 <= 1'VAR71; VAR85 <= 1'VAR71; VAR74 <= 1'VAR71; end else if (VAR8) begin VAR63 <= VAR73; VAR45 <= VAR32 ^ VAR3; VAR13 <= VAR25[11]; VAR10 <= VAR79[11]; VAR22 <= (VAR25[11] | VAR79[11]); if ((VAR25[11] || VAR79[11]) && (VAR70 == 0)) VAR48 <= 12'hfff; end else VAR48 <= VAR25 + VAR79 - 12'd1023; VAR12 <= {VAR38[55], |VAR38[54:52], |VAR38[51:48], |VAR38[47:44], |VAR38[43:40], |VAR38[39:36], |VAR38[35:32], |VAR38[31:28], |VAR38[27:24], |VAR38[23:20], |VAR38[19:16], |VAR38[15:12], |VAR38[11:8], |VAR38[7:4], |VAR38[3:0]}; VAR50 <= {VAR42[55], |VAR42[54:52], |VAR42[51:48], |VAR42[47:44], |VAR42[43:40], |VAR42[39:36], |VAR42[35:32], |VAR42[31:28], |VAR42[27:24], |VAR42[23:20], |VAR42[19:16], |VAR42[15:12], |VAR42[11:8], |VAR42[7:4], |VAR42[3:0]}; VAR53 <= {VAR12[14], |VAR12[13:10], |VAR12[9:6], |VAR12[5:2], |VAR12[1:0]}; VAR51 <= {VAR50[14], |VAR50[13:10], |VAR50[9:6], |VAR50[5:2], |VAR50[1:0]}; VAR1 <= VAR48; VAR11 <= VAR22; VAR9 <= VAR63; VAR59 <= VAR45; VAR24 <= VAR13; VAR85 <= VAR10; VAR55 <= 1'b0; VAR47 <= 1'b0; VAR74 <= ~|VAR1; if (VAR11 && (VAR70 == 0)) begin VAR35 <= 12'hfff; if (((VAR24 & (~|VAR53[3:0])) & (~VAR85 & (~|VAR51))) || ((VAR85 & (~|VAR51[3:0])) & (~VAR24 & (~|VAR53))) || ((VAR24 & (|VAR53[3:0])) || (VAR85 & (|VAR51[3:0])))) VAR55 <= 1'b1; end else VAR47 <= 1'b1; end else if (VAR1[11]) begin VAR35 <= 12'd0; VAR74 <= 1'b1; VAR47 <= 1'b1; end else if ((VAR1[10:0] >= 12'd2047) && (VAR70 == 0)) begin VAR47 <= 1'b1; VAR35 <= 12'h1ff; end else VAR35 <= VAR1; VAR81 <= VAR59; VAR58 <= VAR9; end end reg [56:0] VAR33; reg [11:0] VAR39; reg VAR77; reg VAR83; reg [12:0] VAR41; reg VAR68; reg VAR4; reg VAR15; wire VAR43; wire VAR37; assign VAR37 = (VAR2 == 1) ? (~VAR83 | ~VAR43) : enable; assign VAR19 = VAR83 & VAR43; generate if (VAR34 == 1) begin always@(*) begin VAR83 <= VAR58; VAR15 <= VAR55; VAR4 <= VAR47 | ((VAR54 == 1) & VAR74 & (~|VAR56[107:106])); VAR33 <= VAR56[107:51]; VAR68 <= VAR74; VAR39 <= VAR35; VAR77 <= VAR81; VAR41 <= 13'd0; end end else begin always@(posedge VAR49 or negedge VAR69) begin if (~VAR69) begin VAR83 <= 1'b0; VAR33 <= 57'VAR67; VAR39 <= 12'VAR67; VAR4 <= 1'VAR71; VAR41 <= 13'VAR67; VAR68 <= 1'VAR71; VAR15 <= 1'VAR71; VAR77 <= 1'VAR71; end else if (VAR37) begin VAR83 <= VAR58; VAR15 <= VAR55; VAR4 <= VAR47 | ((VAR54 == 1) & VAR74 & (~|VAR56[107:106])); VAR33 <= VAR56[107:51]; VAR68 <= VAR74; VAR39 <= VAR35; VAR77 <= VAR81; VAR41 <= {|VAR56[50:48],|VAR56[47:44],|VAR56[43:40],|VAR56[39:36],|VAR56[35:32],|VAR56[31:28],|VAR56[27:24],|VAR56[23:20],|VAR56[19:16],|VAR56[15:12],|VAR56[11:8],|VAR56[7:4],|VAR56[3:0]}; end end end endgenerate reg [56:0] VAR65; reg [11:0] VAR40; reg VAR80; reg VAR7; wire VAR18, VAR44; assign VAR44 = (VAR2 == 1) ? (~VAR7 | ~VAR18) : enable; assign VAR43 = VAR7 & VAR18; always@(posedge VAR49 or negedge VAR69) begin if (~VAR69) begin VAR7 <= 1'b0; VAR65 <= 57'VAR67; VAR40 <= 12'VAR67; VAR80 <= 1'VAR71; end else if (VAR44) begin VAR7 <= VAR83; if (VAR34 == 1) begin if (VAR68 & ~VAR15 &~VAR4) VAR65 <= {1'b0, VAR33[56:1]}; end else VAR65 <= VAR15 ? 57'h0ffffffffffffff : {57{~VAR4}} & VAR33[56:0]; end else begin if (VAR68 & ~VAR15 &~VAR4) VAR65 <= {1'b0, VAR33[56:2], |VAR33[1:0] | (|VAR41)}; end else VAR65 <= VAR15 ? 57'h0ffffffffffffff : {57{~VAR4}} & {VAR33[56:1], VAR33[0] | (|VAR41)}; end if (VAR68 & ~VAR15 & VAR33[56] & ~VAR4) VAR40 <= 12'd1; else VAR40 <= VAR39; VAR80 <= VAR77; end end generate if (VAR2 == 1) begin reg [56:0] VAR78; reg [11:0] VAR82; reg VAR31; reg VAR6; always@(posedge VAR49 or negedge VAR69) begin if (~VAR69) begin VAR78 <= 57'VAR67; VAR82 <= 12'VAR67; VAR31 <= 1'VAR71; VAR6 <= 1'b0; end else begin if (~VAR28) VAR6 <= 1'b0; end else if (~VAR6) VAR6 <= VAR7; if (~VAR6) begin VAR78 <= VAR65; VAR82 <= VAR40; VAR31 <= VAR80; end end end assign VAR20 = VAR6 ? VAR78 : VAR65; assign VAR76 = VAR6 ? VAR82 : VAR40; assign VAR75 = VAR6 ? VAR31 : VAR80; assign VAR16 = VAR6 | VAR7; assign VAR18 = VAR6; end else begin assign VAR20 = VAR65; assign VAR76 = VAR40; assign VAR75 = VAR80; assign VAR16 = VAR7; assign VAR18 = VAR28; end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o31ai/sky130_fd_sc_hs__o31ai.blackbox.v
1,311
module MODULE1 ( VAR4 , VAR6, VAR3, VAR1, VAR7 ); output VAR4 ; input VAR6; input VAR3; input VAR1; input VAR7; supply1 VAR5; supply0 VAR2; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/xnor2/sky130_fd_sc_hdll__xnor2.functional.v
1,313
module MODULE1 ( VAR1, VAR6, VAR4 ); output VAR1; input VAR6; input VAR4; wire VAR2; xnor VAR5 (VAR2, VAR6, VAR4 ); buf VAR3 (VAR1 , VAR2 ); endmodule
apache-2.0
benreynwar/fpga-sdrlib
verilog/uhd/dut_qa_contents.v
1,098
module MODULE1; reg clk; reg VAR4; reg [VAR7-1:0] VAR1; reg VAR5; reg [VAR3-1:0] VAR2; reg [VAR12-1:0] VAR8; reg VAR10; wire [VAR7-1:0] VAR6; wire VAR14; wire [VAR3-1:0] VAR15; wire [VAR12-1:0] VAR9; wire VAR11; wire VAR13;
mit
binderclip/BCOpenMIPS
cpu-code/id.v
30,923
module MODULE1 ( input wire rst, input wire[VAR52] VAR39, input wire VAR4, input wire[VAR64] VAR61, input wire[VAR48] VAR40, input wire[VAR48] VAR29, input wire[VAR66] VAR17, input wire VAR7, input wire[VAR48] VAR10, input wire VAR59, input wire[VAR42] VAR6, input wire[VAR66] VAR55, input wire VAR44, input wire[VAR48] VAR26, output reg VAR28, output reg VAR70, output reg[VAR66] VAR54, output reg[VAR66] VAR60, output reg[VAR14] VAR69, output reg[VAR42] VAR72, output reg[VAR48] VAR43, output reg[VAR48] VAR68, output reg[VAR66] VAR12, output reg VAR45, output wire[VAR48] VAR35, output wire[VAR48] VAR53, output wire[VAR48] VAR3, output wire VAR22, output reg VAR16, output reg VAR63, output reg[VAR48] VAR46, output reg[VAR48] VAR38, output reg VAR49, output wire VAR51 ); wire[5:0] VAR5 = VAR61[31:26]; wire[4:0] VAR67 = VAR61[25:21]; wire[4:0] VAR65 = VAR61[20:16]; wire[4:0] VAR74 = VAR61[15:11]; wire[4:0] VAR1 = VAR61[10:6]; wire[5:0] VAR19 = VAR61[5:0]; wire[4:0] VAR34 = VAR67; wire[4:0] VAR8 = VAR65; wire[4:0] VAR41 = VAR74; wire[4:0] VAR32 = VAR65; wire[15:0] VAR62 = VAR61[15:0]; reg[VAR48] VAR27; reg VAR13; wire[VAR48] VAR25; wire[VAR48] VAR37; wire[VAR48] VAR30; wire VAR23; reg VAR57; reg VAR2; reg VAR58; reg VAR31; assign VAR35 = VAR61; assign VAR25 = VAR39 + 8; assign VAR37 = VAR39 + 4; assign VAR30 = {{14{VAR61[15]}}, VAR61[15:0], 2'b00}; assign VAR23 = ((VAR6 == VAR18) || (VAR6 == VAR73) || (VAR6 == VAR20) || (VAR6 == VAR24) || (VAR6 == VAR33) || (VAR6 == VAR11) || (VAR6 == VAR15)) ? 1'b1 : 1'b0; assign VAR51 = VAR57 | VAR2; assign VAR53 = {19'b0, VAR31, 2'b0, VAR13, VAR58, 8'b0}; assign VAR3 = VAR39; assign VAR22 = VAR4; always @ begin VAR57 <= VAR36; if (rst == VAR56) begin VAR43 <= VAR47; end else if (VAR28 == VAR9) begin if (VAR23 == 1'b1 && VAR17 == VAR54) begin VAR57 <= VAR71; end else if (VAR7 == VAR50 && VAR17 == VAR54) begin VAR43 <= VAR10; end else if (VAR44 == VAR50 && VAR55 == VAR54) begin VAR43 <= VAR26; end else begin VAR43 <= VAR40; end end else if (VAR28 == VAR75) begin VAR43 <= VAR27; end else begin VAR43 <= VAR47; end end always @ begin if (rst == VAR56) begin VAR49 <= VAR21; end else begin VAR49 <= VAR59; end end endmodule
mit
cliffordwolf/yosys
techlibs/intel/common/altpll_bb.v
14,302
module MODULE1 ( VAR191, VAR4, VAR21, VAR315, VAR281, VAR236, VAR242, VAR261, VAR112, VAR206, VAR95, VAR85, VAR117, VAR189, VAR62, VAR228, VAR210, VAR29, VAR246, clk, VAR249, VAR309, VAR114, VAR23, VAR311, VAR158, VAR50, VAR151, VAR5, VAR302, VAR183, VAR269, VAR260, VAR127, VAR268, VAR126, VAR278, VAR305, VAR15, VAR307, VAR253, VAR159); parameter VAR137 = "VAR286 10"; parameter VAR240 = "VAR8"; parameter VAR199 = "VAR25"; parameter VAR6 = "VAR224"; parameter VAR187 = "VAR24"; parameter VAR258 = "VAR132"; parameter VAR217 = "VAR320"; parameter VAR118 = 1000; parameter VAR2 = 0; parameter VAR89 = "VAR106"; parameter VAR14 = 0; parameter VAR92 = 1; parameter VAR148 = 0; parameter VAR90 = 1; parameter VAR172 = 5; parameter VAR319 = "VAR25"; parameter VAR235 = "VAR224" ; parameter VAR7 = "VAR224" ; parameter VAR59 = "VAR224"; parameter VAR142 = 0; parameter VAR164 = "VAR170" ; parameter VAR56 = 0; parameter VAR300 = "VAR293"; parameter VAR174 = "VAR293"; parameter VAR262 = 0; parameter VAR283 = "0.0"; parameter VAR176 = "VAR224"; parameter VAR17 = "VAR224"; parameter VAR233 = "0.05"; parameter VAR175 = 6; parameter VAR227 = 4; parameter VAR245 = 9999; parameter VAR82 = 9999; parameter VAR100 = 9999; parameter VAR264 = "VAR293"; parameter VAR316 = 1; parameter VAR40 = 1; parameter VAR139 = 1; parameter VAR53 = 1; parameter VAR155 = 1; parameter VAR257 = 1; parameter VAR306 = 1; parameter VAR63 = 1; parameter VAR297 = 1; parameter VAR294 = 1; parameter VAR157 = 1; parameter VAR230 = 1; parameter VAR27 = 1; parameter VAR123 = 1; parameter VAR186 = 1; parameter VAR3 = 1; parameter VAR211 = 1; parameter VAR204 = 1; parameter VAR32 = 1; parameter VAR150 = 1; parameter VAR247 = "0"; parameter VAR270 = "0"; parameter VAR33 = "0"; parameter VAR61 = "0"; parameter VAR237 = "0"; parameter VAR68 = "0"; parameter VAR46 = "0"; parameter VAR81 = "0"; parameter VAR83 = "0"; parameter VAR181 = "0"; parameter VAR220 = 50; parameter VAR221 = 50; parameter VAR267 = 50; parameter VAR196 = 50; parameter VAR87 = 50; parameter VAR194 = 50; parameter VAR147 = 50; parameter VAR166 = 50; parameter VAR88 = 50; parameter VAR285 = 50; parameter VAR110 = "VAR224"; parameter VAR146 = "VAR224"; parameter VAR163 = "VAR224"; parameter VAR193 = "VAR224"; parameter VAR216 = "VAR224"; parameter VAR232 = "VAR224"; parameter VAR140 = "VAR224"; parameter VAR122 = "VAR224"; parameter VAR109 = "VAR224"; parameter VAR1 = "VAR224"; parameter VAR277 = "VAR224"; parameter VAR128 = "VAR224"; parameter VAR80 = "VAR224"; parameter VAR116 = "VAR224"; parameter VAR321 = "VAR224"; parameter VAR162 = "VAR224"; parameter VAR35 = "VAR224"; parameter VAR219 = "VAR224"; parameter VAR107 = "VAR224"; parameter VAR208 = "VAR224"; parameter VAR201 = 0; parameter VAR209 = 0; parameter VAR152 = 0; parameter VAR243 = 0; parameter VAR71 = 0; parameter VAR129 = 0; parameter VAR212 = 0; parameter VAR136 = 0; parameter VAR275 = 1; parameter VAR75 = 0; parameter VAR104 = 1; parameter VAR301 = 1; parameter VAR51 = 1; parameter VAR19 = 0; parameter VAR317 = 1; parameter VAR11 = 1; parameter VAR251 = 1; parameter VAR165 = 1; parameter VAR214 = 1; parameter VAR70 = 1; parameter VAR84 = 1; parameter VAR141 = 1; parameter VAR115 = 1; parameter VAR173 = 1; parameter VAR42 = 1; parameter VAR44 = 1; parameter VAR111 = 1; parameter VAR12 = 1; parameter VAR241 = 1; parameter VAR290 = 1; parameter VAR60 = 1; parameter VAR108 = 1; parameter VAR312 = 1; parameter VAR58 = 1; parameter VAR135 = 1; parameter VAR93 = 1; parameter VAR102 = 1; parameter VAR213 = 1; parameter VAR98 = 1; parameter VAR143 = 1; parameter VAR54 = 1; parameter VAR287 = 1; parameter VAR144 = 1; parameter VAR77 = 1; parameter VAR57 = "VAR263"; parameter VAR292 = "VAR263"; parameter VAR238 = "VAR263"; parameter VAR231 = "VAR263"; parameter VAR291 = "VAR263"; parameter VAR180 = "VAR263"; parameter VAR168 = "VAR263"; parameter VAR78 = "VAR263"; parameter VAR179 = "VAR263"; parameter VAR64 = "VAR263"; parameter VAR73 = 0; parameter VAR266 = 0; parameter VAR113 = 0; parameter VAR318 = 0; parameter VAR296 = 0; parameter VAR36 = 0; parameter VAR161 = 0; parameter VAR207 = 0; parameter VAR202 = 0; parameter VAR16 = 0; parameter VAR223 = 0; parameter VAR69 = 0; parameter VAR160 = 0; parameter VAR198 = 0; parameter VAR65 = 0; parameter VAR289 = 0; parameter VAR225 = 0; parameter VAR280 = 0; parameter VAR154 = 0; parameter VAR120 = 0; parameter VAR252 = 0; parameter VAR30 = 0; parameter VAR282 = 0; parameter VAR197 = "VAR314" ; parameter VAR18 = "VAR171" ; parameter VAR48 = "VAR274" ; parameter VAR304 = "VAR79" ; parameter VAR279 = "VAR47" ; parameter VAR39 = "VAR229" ; parameter VAR134 = "VAR10" ; parameter VAR9 = "VAR218" ; parameter VAR96 = "VAR124" ; parameter VAR41 = "VAR99" ; parameter VAR105 = "VAR200" ; parameter VAR239 = "VAR178" ; parameter VAR192 = "VAR94" ; parameter VAR185 = "VAR13" ; parameter VAR121 = "VAR99"; parameter VAR255 = "VAR99"; parameter VAR37 = 2; parameter VAR234 = "1.0"; parameter VAR169 = 5; parameter VAR303 = 0; parameter VAR310 = "VAR25"; parameter VAR226 = 0; parameter VAR125 = "MODULE1"; parameter VAR101 = "VAR133"; parameter VAR254 = "VAR133"; parameter VAR265 = "VAR133"; parameter VAR188 = "VAR133"; parameter VAR130 = "VAR133"; parameter VAR215 = "VAR133"; parameter VAR167 = "VAR133"; parameter VAR182 = "VAR133"; parameter VAR45 = "VAR133"; parameter VAR103 = "VAR133"; parameter VAR284 = "VAR133"; parameter VAR177 = "VAR133"; parameter VAR49 = "VAR133"; parameter VAR276 = "VAR133"; parameter VAR271 = "VAR133"; parameter VAR244 = "VAR133"; parameter VAR299 = "VAR133"; parameter VAR288 = "VAR133"; parameter VAR205 = "VAR133"; parameter VAR273 = "VAR133"; parameter VAR313 = "VAR133"; parameter VAR259 = "VAR133"; parameter VAR222 = "VAR133"; parameter VAR38 = "VAR133"; parameter VAR298 = "VAR133"; parameter VAR72 = "VAR133"; parameter VAR76 = "VAR133"; parameter VAR34 = "VAR133"; parameter VAR184 = "VAR133"; parameter VAR248 = "VAR133"; parameter VAR22 = "VAR133"; parameter VAR138 = "VAR133"; parameter VAR97 = "VAR133"; parameter VAR149 = "VAR133"; parameter VAR119 = "VAR133"; parameter VAR256 = "VAR133"; parameter VAR52 = "VAR133"; parameter VAR66 = "VAR133"; parameter VAR203 = "VAR133"; parameter VAR250 = "VAR133"; parameter VAR55 = "VAR133"; parameter VAR43 = "VAR133"; parameter VAR131 = "VAR133"; parameter VAR28 = "VAR133"; parameter VAR86 = "VAR133"; parameter VAR190 = "VAR133"; parameter VAR153 = "VAR133"; parameter VAR31 = "VAR133"; parameter VAR26 = "VAR133"; parameter VAR91 = "VAR133"; parameter VAR20 = "VAR133"; parameter VAR145 = "VAR133"; parameter VAR295 = "VAR133"; parameter VAR195 = "VAR133"; parameter VAR272 = "VAR133"; parameter VAR156 = "VAR133"; parameter VAR67 = "VAR74"; input [1:0] VAR191; input VAR4; input VAR21; input VAR315; input VAR281; input VAR236; input VAR242; input VAR261; input VAR112; input VAR206; input VAR95; input VAR85; input VAR117; input VAR189; input VAR62; input VAR228; input VAR210; input VAR29; inout VAR246; output [VAR175-1:0] clk; output [3:0] VAR249; output [1:0] VAR309; output VAR114; output VAR23; output VAR311; output VAR158; output VAR50; output VAR151; output VAR5; output VAR302; output VAR183; output VAR269; output VAR260; output VAR127; output VAR268; output VAR126; output VAR278; output VAR305, VAR15, VAR307, VAR253, VAR159; endmodule VAR308
isc
jameshegarty/rigel
platform/camera1x/vsrc/DramWriter.v
3,823
module MODULE1( input VAR28, input VAR15, output VAR26, output reg [31:0] VAR11, input VAR22, output reg VAR9, output [63:0] VAR18, output [7:0] VAR19, input VAR1, output VAR7, output VAR23, input [1:0] VAR27, input VAR33, output VAR21, output [3:0] VAR37, output [1:0] VAR3, output [1:0] VAR34, input VAR10, output reg VAR16, input [31:0] VAR5, input [31:0] VAR31, output [1:0] VAR4, input VAR2, input [63:0] din, output VAR29, input VAR25 ); assign VAR26 = VAR28; assign VAR37 = 4'b1111; assign VAR3 = 2'b11; assign VAR34 = 2'b01; assign VAR19 = 8'b11111111; reg [31:0] VAR30; reg [31:0] VAR36; VAR17(VAR28, VAR30, 0, VAR10 && VAR16 ? VAR31 : VAR30) VAR17(VAR28, VAR36, 0, VAR10 && VAR16 ? VAR5 : VAR36) wire VAR8; assign VAR8 = (VAR11 + 128)==(VAR30+VAR36); localparam VAR24=0, VAR35=1, VAR6=2; reg [1:0] VAR20; assign VAR4 = VAR20; always @(posedge VAR28 or negedge VAR15) begin if (VAR15 == 0) begin VAR20 <= VAR24; VAR9 <= 0; VAR11 <= 0; VAR16 <= 0 ; end else begin VAR9 <= 0; VAR16 <= 0 ; case(VAR20) VAR24: begin VAR16 <= 1; if (VAR10) begin VAR11 <= VAR31; VAR20 <= VAR35; end end VAR35: begin if (VAR2 && VAR22) begin VAR20 <= VAR6; VAR9 <= 1 ; end end VAR6: begin if (VAR12 == VAR14) begin VAR11 <= VAR11+128; VAR20 <= VAR8 ? VAR24 : VAR35 ; end end endcase end end localparam VAR14=0, VAR13=1; reg [3:0] VAR32; reg VAR12; always @(posedge VAR28 or negedge VAR15) begin if (VAR15 == 0) begin VAR12 <= VAR14; VAR32 <= 0; end else case(VAR12) VAR14: begin if (VAR20==VAR35 && VAR2 && VAR22) begin VAR32 <= 4'd15; VAR12 <= VAR13; end end VAR13: begin if (VAR1 && VAR7) begin if(VAR32 == 4'h0) begin VAR12 <= VAR14; end VAR32 <= VAR32 - 1'b1; end end endcase end assign VAR23 = (VAR32 == 4'h0); assign VAR7 = (VAR12 == VAR13) && VAR25; assign VAR29 = (VAR12 == VAR13) && VAR1; assign VAR21 = 1'b1; assign VAR18 = din; endmodule : MODULE1
mit
ShepardSiegel/ocpi
coregen/pcie_4243_axi_v6_gtx_x4_250/example_design/PIO_EP_MEM_ACCESS.v
12,517
module MODULE1 ( clk, VAR43, VAR36, VAR67, VAR20, VAR37, VAR60, VAR63, VAR22, VAR41 ); input clk; input VAR43; input [10:0] VAR36; input [3:0] VAR67; output [31:0] VAR20; input [10:0] VAR37; input [7:0] VAR60; input [31:0] VAR63; input VAR22; output VAR41; wire [31:0] VAR20; reg [31:0] VAR75; wire [31:0] VAR38, VAR31, VAR65, VAR30; wire VAR41; reg VAR64; reg [31:0] VAR50; reg [31:0] VAR52; reg [2:0] VAR54; reg [31:0] VAR73; wire [31:0] VAR81; wire [31:0] VAR18; wire [31:0] VAR48; wire [31:0] VAR29; reg [31:0] VAR49, VAR59, VAR47, VAR74; reg [31:0] VAR62, VAR23, VAR4; wire [7:0] VAR77 = VAR73[31:24]; wire [7:0] VAR34 = VAR73[23:16]; wire [7:0] VAR84 = VAR73[15:08]; wire [7:0] VAR86 = VAR73[07:00]; wire [7:0] VAR5 = VAR63[07:00]; wire [7:0] VAR12 = VAR63[15:08]; wire [7:0] VAR80 = VAR63[23:16]; wire [7:0] VAR45 = VAR63[31:24]; always @(posedge clk or negedge VAR43) begin if ( !VAR43 ) begin VAR73 <= 32'b0; VAR50 <= 32'b0; VAR73 <= 32'b0; VAR64 <= 1'b0; VAR49 <= 32'b0; VAR59 <= 32'b0; VAR47 <= 32'b0; VAR74 <= 32'b0; VAR54 <= VAR61; end else begin case ( VAR54 ) if (VAR22) begin VAR54 <= VAR42; end else begin VAR64 <= 1'b0; VAR54 <= VAR61; end end VAR64 <= 1'b0; VAR54 <= VAR27 ; end VAR73 <= VAR52; VAR64 <= 1'b0; VAR54 <= VAR88; end VAR50 <= {{VAR60[3] ? VAR5 : VAR77}, {VAR60[2] ? VAR12 : VAR34}, {VAR60[1] ? VAR80 : VAR84}, {VAR60[0] ? VAR45 : VAR86}}; VAR64 <= 1'b1; VAR54 <= VAR61; end endcase end end assign VAR41 = VAR22 | (VAR54 != VAR61); always @* begin case ({VAR37[10:9]}) 2'b00 : VAR52 = VAR81; 2'b01 : VAR52 = VAR18; 2'b10 : VAR52 = VAR48; 2'b11 : VAR52 = VAR29; endcase end wire VAR46 = {VAR36[10:9] == 2'b00}; wire VAR15 = {VAR36[10:9] == 2'b01}; wire VAR53 = {VAR36[10:9] == 2'b10}; wire VAR17 = {VAR36[10:9] == 2'b11}; always @(VAR36 or VAR38 or VAR31 or VAR65 or VAR30) begin case ({VAR36[10:9]}) 2'b00 : VAR75 = VAR38; 2'b01 : VAR75 = VAR31; 2'b10 : VAR75 = VAR65; 2'b11 : VAR75 = VAR30; endcase end assign VAR20 = {{VAR67[0] ? VAR75[07:00] : 8'h0}, {VAR67[1] ? VAR75[15:08] : 8'h0}, {VAR67[2] ? VAR75[23:16] : 8'h0}, {VAR67[3] ? VAR75[31:24] : 8'h0}}; VAR11 VAR11 ( .VAR9(clk), .VAR79(VAR36[8:0]), .VAR33(VAR46), .VAR68(VAR38), .VAR82(VAR37[8:0]), .VAR44(VAR50), .VAR32({VAR64 & (VAR37[10:9] == 2'b00)}), .VAR8(VAR81[31:0]), .VAR24({VAR37[10:9] == 2'b00}), .VAR51(VAR36[8:0]), .VAR57(VAR15), .VAR76(VAR31), .VAR55(VAR37[8:0]), .VAR16(VAR50), .VAR7({VAR64 & (VAR37[10:9] == 2'b01)}), .VAR21(VAR18[31:0]), .VAR70({VAR37[10:9] == 2'b01}), .VAR2(VAR36[8:0]), .VAR72(VAR53), .VAR69(VAR65), .VAR6(VAR37[8:0]), .VAR13(VAR50), .VAR10({VAR64 & (VAR37[10:9] == 2'b10)}), .VAR85(VAR48[31:0]), .VAR89({VAR37[10:9] == 2'b10}), .VAR19(VAR36[8:0]), .VAR14(VAR17), .VAR78(VAR30), .VAR83(VAR37[8:0]), .VAR56(VAR50), .VAR40({VAR64 & (VAR37[10:9] == 2'b11)}), .VAR71(VAR29[31:0]), .VAR90({VAR37[10:9] == 2'b11}) ); reg [8*20:1] VAR1; always @(VAR54) begin if (VAR54==VAR61) VAR1 <= #VAR3 "VAR87"; end else if (VAR54==VAR42) VAR1 <= #VAR3 "VAR35"; else if (VAR54==VAR27) VAR1 <= #VAR3 "VAR26"; else if (VAR54==VAR88) VAR1 <= #VAR3 "VAR39"; else VAR1 <= #VAR3 "VAR58 VAR66 VAR25 VAR28"; end endmodule
lgpl-3.0
SymbiFlow/yosys
techlibs/achronix/speedster22i/cells_arith.v
2,704
module MODULE1( module 80alteramax10alu (VAR4, VAR17, VAR20, VAR31, VAR27, VAR14, VAR28); parameter VAR26 = 0; parameter VAR5 = 0; parameter VAR36 = 1; parameter VAR30 = 1; parameter VAR16 = 1; input [VAR36-1:0] VAR4; input [VAR30-1:0] VAR17; output [VAR16-1:0] VAR27, VAR14; input VAR20, VAR31; output VAR28; wire VAR15 = VAR16 <= 4; wire [VAR16-1:0] VAR33, VAR10; \pos #(.VAR26(VAR26), .VAR36(VAR36), .VAR16(VAR16)) VAR23 (.VAR4(VAR4), .VAR14(VAR33)); \pos #(.VAR26(VAR5), .VAR36(VAR30), .VAR16(VAR16)) VAR11 (.VAR4(VAR17), .VAR14(VAR10)); wire [VAR16-1:0] VAR24 = VAR33; wire [VAR16-1:0] VAR25 = VAR31 ? ~VAR10 : VAR10; wire [VAR16+1:0] VAR2; wire [VAR16+1:0] VAR35 = {VAR2, VAR20}; VAR9 #(.VAR29(16'b0000000010101010), .VAR21("VAR7")) VAR32 (.VAR8(VAR2[0]), .VAR3(VAR35[0]), .VAR13(1'b1), .VAR22(1'b1), .VAR1(1'b1)); genvar VAR19; generate for (VAR19 = 0; VAR19 < VAR16; VAR19 = VAR19 + 1) begin: VAR18 if(VAR19==VAR16-1) begin VAR9 #(.VAR29(16'b1111000011100000), .VAR21("VAR7")) VAR6 (.VAR12(VAR2[VAR16]), .VAR3(1'b1), .VAR13(1'b1), .VAR22(1'b1), .VAR1(1'b1), .VAR7(VAR35[VAR16])); assign VAR28 = VAR2[VAR16]; end else VAR9 #(.VAR29(16'b1001011011101000), .VAR21("VAR7")) VAR34 (.VAR12(VAR14[VAR19]), .VAR8(VAR2[VAR19+1]), .VAR3(VAR24[VAR19]), .VAR13(VAR25[VAR19]), .VAR22(1'b1), .VAR1(1'b1), .VAR7(VAR35[VAR19+1])); end: VAR18 endgenerate assign VAR27 = VAR24 ^ VAR25; endmodule
isc
alan4186/ParCNN
Hardware/v/FFN/np_matrix_mult_ctrl.v
1,419
module MODULE1( input VAR3, input reset, input VAR10, output reg [VAR11:0] addr, output reg [VAR4:0] VAR5, output reg VAR2, output reg VAR6 ); always @(posedge VAR3 or negedge reset) begin if (reset == 1'b0) begin addr <= VAR1'd0; VAR5 <= VAR8'd0; end else begin if (VAR10) begin addr <= VAR1'd0; VAR5 <= VAR8'd0; end else if( addr == VAR9 - 1) begin VAR5 <= VAR5 + VAR8'd1; addr <= VAR1'd0; end else begin addr <= addr + VAR1'd1; VAR5 <= VAR5; end end end always@(posedge VAR3 or negedge reset) begin if(reset == 1'b0) begin VAR6 <= 1'b0; end else begin if(VAR5 == VAR7 - 1 & addr == VAR9 - 2 & VAR2) begin VAR6 <= 1'b1; end else begin VAR6 <= 1'b0; end end end always@(posedge VAR3 or negedge reset) begin if (reset == 1'b0) VAR2 <= 1'b0; end else if (VAR10) VAR2 <= 1'b1; end else if (VAR5 == VAR7 -1 & addr == VAR9 - 1) VAR2 <= 1'b0; end else VAR2 <= VAR2; end endmodule
mit
monotone-RK/FACE
IEICE-Trans/8-way_2-tree/src/ip_dram/phy/mig_7series_v2_3_ddr_phy_ocd_samp.v
11,489
module MODULE1 # (parameter VAR30 = 4, parameter VAR43 = 2, parameter VAR5 = 95, parameter VAR31 = 100, parameter VAR16 = "VAR3") ( VAR26, VAR8, VAR39, VAR28, VAR14, clk, rst, VAR13, VAR49, VAR18, VAR47, VAR45 ); function integer VAR23 (input integer VAR41); begin VAR41 = VAR41 - 1; for (VAR23=1; VAR41>1; VAR23=VAR23+1) VAR41 = VAR41 >> 1; end endfunction localparam VAR22 = 1; localparam VAR40 = VAR30 == 2 ? 157 * 2 : 157; localparam VAR4 = VAR30 == 2 ? 2 : 1; localparam VAR48 = VAR30 == 2 ? 9 : 8; localparam VAR19 = VAR16 == "VAR3" ? 50 : 1; localparam VAR42 = VAR23(VAR43 > VAR19 ? VAR43 : VAR19) + 1; localparam integer VAR46 = VAR43 * VAR5 * 0.01; localparam integer VAR50 = VAR19 * VAR5 * 0.01; input VAR14; wire [VAR42-1:0] VAR53 = VAR14 ? VAR19[VAR42-1:0] : VAR43[VAR42-1:0]; localparam [1:0] VAR27 = 2'b11, VAR35 = 2'b00, VAR44 = 2'b10, VAR34 = 2'b01; input clk; input rst; input VAR13; input VAR49; input [1:0] VAR18; input VAR47; input VAR45; reg VAR10, VAR29; output VAR26; assign VAR26 = VAR29; reg [1:0] VAR2, VAR11; reg VAR9, VAR52; output VAR8; assign VAR8 = VAR52; reg [VAR48-1:0] VAR21, VAR33; reg [VAR42-1:0] VAR15, VAR51; reg [2:0] VAR1, VAR25; output [2:0] VAR39; assign VAR39 = VAR25; reg [VAR42-1:0] VAR20, VAR17, VAR12, VAR32; output [1:0] VAR28; assign VAR28[0] = VAR17 >= (VAR14 ? VAR50[VAR42-1:0] : VAR46[VAR42-1:0]); assign VAR28[1] = VAR32 >= (VAR14 ? VAR50[VAR42-1:0] : VAR46[VAR42-1:0]); reg [0:0] VAR7, VAR24; wire [VAR48-1:0] VAR36 = VAR14 ? VAR40[VAR48-1:0] : VAR4[VAR48-1:0]; wire [2:0] VAR38 = VAR14 ? 3'h7 : 3'h0; wire VAR6 = VAR33 == VAR22[VAR48-1:0]; wire VAR37 = VAR51 == VAR22[VAR42-1:0]; always @(*) begin VAR2 = VAR11; VAR21 = VAR33; VAR9 = 1'b0; VAR12 = VAR32; VAR1 = VAR25; VAR10 = VAR29; VAR15 = VAR51; VAR7 = VAR24; VAR20 = VAR17; if (rst == 1'b1) begin VAR7 = 1'd0; end else case (VAR24) 1'd0:begin VAR2 = VAR27; VAR21 = VAR36; VAR12 = {VAR42{1'b0}}; VAR1 = 3'b0; VAR15 = VAR14 ? VAR19[VAR42-1:0] : VAR43[VAR42-1:0]; VAR20 = {VAR42{1'b0}}; if (VAR45) begin VAR10 = 1'b0; VAR7 = 1'd1; VAR9 = 1'b1; end end 1'd1:begin if (VAR47) begin case (VAR11) VAR27 : if (~&VAR18) VAR2 = VAR18; VAR34, VAR44 : if (~(VAR11 == VAR18 || &VAR18)) VAR2 = VAR35; VAR35 : ; endcase if (~VAR6) VAR21 = VAR33 - VAR22[VAR48-1:0]; end else begin VAR21 = VAR36; if (VAR38 != VAR25) VAR1 = VAR25 + 3'h1; end else begin VAR1 = 3'h0; if (VAR2 == VAR34) VAR20 = VAR17 + VAR22[VAR42-1:0]; if (VAR2 == VAR44) VAR12 = VAR32 + VAR22[VAR42-1:0]; VAR2 = VAR27; if (~VAR37) VAR15 = VAR51 - VAR22[VAR42-1:0]; end else VAR10 = 1'b1; end end if (VAR10) VAR7 = 1'd0; else VAR9 = ~VAR14 && VAR6; end end endcase end endmodule
mit
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/fpmult_arch2/Exp_operation_m.v
2,490
module MODULE1 input wire clk, input wire rst, input wire VAR32, input wire VAR15, input wire VAR25, input wire [VAR8:0] VAR13, input wire [VAR8:0] VAR9, input wire VAR4, output wire [VAR8:0] VAR3, output wire VAR18, output wire VAR11 ); wire [VAR8:0] VAR20; wire VAR16; wire VAR26; wire VAR1; wire [VAR8:0] VAR7; VAR24 #(.VAR21(VAR8+1)) VAR19( .VAR10 (VAR4), .VAR14 (VAR13), .VAR2 (VAR9), .VAR20 ({VAR16,VAR20}) ); VAR12 #(.VAR21(VAR8+1)) VAR6( .clk (clk), .rst (rst), .VAR28 (VAR25), .VAR31 (VAR20), .VAR27 (VAR3) ); VAR12#(.VAR21(1)) VAR5 ( .clk(clk), .rst(rst), .VAR28(VAR15), .VAR31(VAR16), .VAR27(VAR26) ); assign VAR18 = VAR26 | VAR3[VAR8]; VAR22 #(.VAR21(VAR8+1)) VAR17 ( .VAR14(VAR20), .VAR2(VAR7), .VAR30(VAR1) ); VAR12 #(.VAR21(1)) VAR29 ( .clk(clk), .rst(rst), .VAR28(VAR32), .VAR31(VAR1), .VAR27(VAR11) ); localparam integer VAR23 = (2**(VAR8-1)-1); assign VAR7 = VAR23[VAR8:0]; endmodule
gpl-3.0
cfangmeier/VFPIX-telescope-Code
DAQ_Firmware/src/misc_ip/multiplier.v
4,419
module MODULE1 ( VAR10, VAR2, VAR17); input wire [15:0] VAR10; input wire [15:0] VAR2; output wire [31:0] VAR17; VAR18 VAR14 ( .VAR10 (VAR10), .VAR2 (VAR2), .VAR17 (VAR17), .VAR9 (1'b0), .VAR6 (1'b1), .VAR5 (1'b0), .VAR11 (1'b0), .sum (1'b0)); VAR14.VAR4 = "VAR12=5", VAR14.VAR1 = "VAR8", VAR14.VAR16 = "VAR7", VAR14.VAR15 = 16, VAR14.VAR3 = 16, VAR14.VAR13 = 32; endmodule
gpl-2.0
KorotkiyEugene/LAG_sv_syn_quartus
LAG_pl_buffers.v
1,775
module MODULE1 (VAR1, VAR5, VAR13, VAR9, VAR8, clk, VAR10); parameter VAR6 = 3; parameter VAR12 = 4; input [VAR12-1:0] VAR1; input [VAR12-1:0] VAR5; input VAR11 VAR13 [VAR12-1:0]; output VAR11 VAR9 [VAR12-1:0]; output VAR4 VAR8 [VAR12-1:0]; input clk, VAR10; genvar VAR2; generate for (VAR2=0; VAR2<VAR12; VAR2++) begin:VAR14 VAR3 #(.VAR6(VAR6) ) VAR7 (.VAR1(VAR1[VAR2]), .VAR5(VAR5[VAR2]), .VAR13(VAR13[VAR2]), .VAR9(VAR9[VAR2]), .VAR8(VAR8[VAR2]), .clk, .VAR10); end endgenerate endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkinv/sky130_fd_sc_hs__clkinv.functional.pp.v
1,672
module MODULE1 ( VAR2, VAR3, VAR8 , VAR1 ); input VAR2; input VAR3; output VAR8 ; input VAR1 ; wire VAR6 ; wire VAR4; not VAR10 (VAR6 , VAR1 ); VAR5 VAR9 (VAR4, VAR6, VAR2, VAR3); buf VAR7 (VAR8 , VAR4 ); endmodule
apache-2.0
vad-rulezz/megabot
fusesoc/orpsoc-cores/trunk/cores/gpio/gpio.v
1,463
module MODULE1 ( input VAR11, input VAR12, input VAR5, input [7:0] VAR6, input VAR7, input VAR4, input VAR10, input [2:0] VAR13, input [1:0] VAR15, output reg [7:0] VAR9, output reg VAR1, output VAR14, output VAR8, input [7:0] VAR3, output reg [7:0] VAR16, output reg [7:0] VAR2 ); always @(posedge VAR11) if (VAR12) VAR2 <= 0; else if (VAR4 & VAR10 & VAR7) begin if (VAR5 == 1) VAR2[7:0] <= VAR6; end always @(posedge VAR11) if (VAR12) VAR16 <= 0; else if (VAR4 & VAR10 & VAR7) begin if (VAR5 == 0) VAR16[7:0] <= VAR6; end always @(posedge VAR11) begin if (VAR5 == 0) VAR9[7:0] <= VAR3[7:0]; if (VAR5 == 1) VAR9[7:0] <= VAR2[7:0]; end always @(posedge VAR11) if (VAR12) VAR1 <= 0; else if (VAR1) VAR1 <= 0; else if (VAR4 & VAR10 & !VAR1) VAR1 <= 1; assign VAR14 = 0; assign VAR8 = 0; endmodule
gpl-2.0
mistryalok/Zedboard
learning/training/Microsystem/les6/ip_repo/myip_1.0/hdl/myip_v1_0_S00_AXI.v
13,785
module MODULE1 # ( parameter integer VAR10 = 32, parameter integer VAR38 = 4 ) ( input wire VAR16, input wire VAR3, input wire [VAR38-1 : 0] VAR28, input wire [2 : 0] VAR33, input wire VAR11, output wire VAR43, input wire [VAR10-1 : 0] VAR20, input wire [(VAR10/8)-1 : 0] VAR36, input wire VAR24, output wire VAR7, output wire [1 : 0] VAR18, output wire VAR40, input wire VAR32, input wire [VAR38-1 : 0] VAR35, input wire [2 : 0] VAR5, input wire VAR29, output wire VAR19, output wire [VAR10-1 : 0] VAR1, output wire [1 : 0] VAR25, output wire VAR6, input wire VAR13 ); reg [VAR38-1 : 0] VAR12; reg VAR15; reg VAR23; reg [1 : 0] VAR21; reg VAR2; reg [VAR38-1 : 0] VAR39; reg VAR26; reg [VAR10-1 : 0] VAR22; reg [1 : 0] VAR27; reg VAR4; localparam integer VAR17 = (VAR10/32) + 1; localparam integer VAR9 = 1; reg [VAR10-1:0] VAR30; reg [VAR10-1:0] VAR34; reg [VAR10-1:0] VAR8; reg [VAR10-1:0] VAR14; wire VAR37; wire VAR42; reg [VAR10-1:0] VAR31; integer VAR41; assign VAR43 = VAR15; assign VAR7 = VAR23; assign VAR18 = VAR21; assign VAR40 = VAR2; assign VAR19 = VAR26; assign VAR1 = VAR22; assign VAR25 = VAR27; assign VAR6 = VAR4; always @( posedge VAR16 ) begin if ( VAR3 == 1'b0 ) begin VAR15 <= 1'b0; end else begin if (~VAR15 && VAR11 && VAR24) begin VAR15 <= 1'b1; end else begin VAR15 <= 1'b0; end end end always @( posedge VAR16 ) begin if ( VAR3 == 1'b0 ) begin VAR12 <= 0; end else begin if (~VAR15 && VAR11 && VAR24) begin VAR12 <= VAR28; end end end always @( posedge VAR16 ) begin if ( VAR3 == 1'b0 ) begin VAR23 <= 1'b0; end else begin if (~VAR23 && VAR24 && VAR11) begin VAR23 <= 1'b1; end else begin VAR23 <= 1'b0; end end end assign VAR42 = VAR23 && VAR24 && VAR15 && VAR11; always @( posedge VAR16 ) begin if ( VAR3 == 1'b0 ) begin VAR30 <= 0; VAR34 <= 0; VAR8 <= 0; VAR14 <= 0; end else begin if (VAR42) begin case ( VAR12[VAR17+VAR9:VAR17] ) 2'h0: for ( VAR41 = 0; VAR41 <= (VAR10/8)-1; VAR41 = VAR41+1 ) if ( VAR36[VAR41] == 1 ) begin VAR30[(VAR41*8) +: 8] <= VAR20[(VAR41*8) +: 8]; end 2'h1: for ( VAR41 = 0; VAR41 <= (VAR10/8)-1; VAR41 = VAR41+1 ) if ( VAR36[VAR41] == 1 ) begin VAR34[(VAR41*8) +: 8] <= VAR20[(VAR41*8) +: 8]; end 2'h2: for ( VAR41 = 0; VAR41 <= (VAR10/8)-1; VAR41 = VAR41+1 ) if ( VAR36[VAR41] == 1 ) begin VAR8[(VAR41*8) +: 8] <= VAR20[(VAR41*8) +: 8]; end 2'h3: for ( VAR41 = 0; VAR41 <= (VAR10/8)-1; VAR41 = VAR41+1 ) if ( VAR36[VAR41] == 1 ) begin VAR14[(VAR41*8) +: 8] <= VAR20[(VAR41*8) +: 8]; end default : begin VAR30 <= VAR30; VAR34 <= VAR34; VAR8 <= VAR8; VAR14 <= VAR14; end endcase end end end always @( posedge VAR16 ) begin if ( VAR3 == 1'b0 ) begin VAR2 <= 0; VAR21 <= 2'b0; end else begin if (VAR15 && VAR11 && ~VAR2 && VAR23 && VAR24) begin VAR2 <= 1'b1; VAR21 <= 2'b0; end else begin if (VAR32 && VAR2) begin VAR2 <= 1'b0; end end end end always @( posedge VAR16 ) begin if ( VAR3 == 1'b0 ) begin VAR26 <= 1'b0; VAR39 <= 32'b0; end else begin if (~VAR26 && VAR29) begin VAR26 <= 1'b1; VAR39 <= VAR35; end else begin VAR26 <= 1'b0; end end end always @( posedge VAR16 ) begin if ( VAR3 == 1'b0 ) begin VAR4 <= 0; VAR27 <= 0; end else begin if (VAR26 && VAR29 && ~VAR4) begin VAR4 <= 1'b1; VAR27 <= 2'b0; end else if (VAR4 && VAR13) begin VAR4 <= 1'b0; end end end assign VAR37 = VAR26 & VAR29 & ~VAR4; always @(*) begin case ( VAR39[VAR17+VAR9:VAR17] ) 2'h0 : VAR31 <= VAR30; 2'h1 : VAR31 <= VAR34; 2'h2 : VAR31 <= VAR8; 2'h3 : VAR31 <= VAR14; default : VAR31 <= 0; endcase end always @( posedge VAR16 ) begin if ( VAR3 == 1'b0 ) begin VAR22 <= 0; end else begin if (VAR37) begin VAR22 <= VAR31; end end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o22a/sky130_fd_sc_hd__o22a_4.v
2,339
module MODULE2 ( VAR6 , VAR2 , VAR3 , VAR7 , VAR1 , VAR10, VAR9, VAR8 , VAR4 ); output VAR6 ; input VAR2 ; input VAR3 ; input VAR7 ; input VAR1 ; input VAR10; input VAR9; input VAR8 ; input VAR4 ; VAR5 VAR11 ( .VAR6(VAR6), .VAR2(VAR2), .VAR3(VAR3), .VAR7(VAR7), .VAR1(VAR1), .VAR10(VAR10), .VAR9(VAR9), .VAR8(VAR8), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR6 , VAR2, VAR3, VAR7, VAR1 ); output VAR6 ; input VAR2; input VAR3; input VAR7; input VAR1; supply1 VAR10; supply0 VAR9; supply1 VAR8 ; supply0 VAR4 ; VAR5 VAR11 ( .VAR6(VAR6), .VAR2(VAR2), .VAR3(VAR3), .VAR7(VAR7), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dlatch_psa_pp_sn/sky130_fd_sc_hs__udp_dlatch_psa_pp_sn.symbol.v
1,499
module MODULE1 ( input VAR3 , output VAR6 , input VAR4 , input VAR2 , input VAR1 , input VAR5 ); endmodule
apache-2.0
ptracton/wb_dsp
rtl/wb_daq_channel.v
3,706
module MODULE1 ( VAR15, VAR14, VAR29, VAR40, VAR38, VAR20, VAR33, VAR31, VAR43, VAR4, VAR16, VAR7 ) ; parameter VAR44 = 32; parameter VAR10 = 8; parameter VAR39 = ""; input VAR38; input VAR20; input VAR33; input VAR31; input [VAR44-1:0] VAR43; input VAR4; input [5:0] VAR16; output wire [VAR44-1:0] VAR15; output wire [VAR44-1:0] VAR14; output wire VAR29; output wire VAR40; input VAR7; wire [VAR10-1:0] VAR18; wire VAR19; wire enable = VAR31 & VAR43[0]; VAR21 #(.VAR44(VAR10), .VAR39(VAR39)) VAR30( .VAR14(VAR18), .VAR24(VAR19), .VAR33(VAR33), .VAR38(VAR38), .VAR20(VAR20), .enable(enable) ) ; wire [VAR44-1:0] VAR3; wire VAR11; VAR34 #(.VAR44(VAR44), .VAR10(VAR10)) VAR25( .VAR14(VAR3), .VAR11(VAR11), .VAR38(VAR38), .VAR20(VAR20), .VAR24(VAR19), .VAR26(2'b0), .VAR12(VAR18) ) ; wire [VAR44-1:0] VAR22; wire VAR28; wire [7:0] VAR13; VAR36 #(.VAR44(VAR44), .VAR9(16)) VAR37( .VAR14(VAR22), .VAR17(VAR40), .VAR6(VAR28), .VAR27(VAR13), .VAR38(VAR38), .VAR20(VAR20), .VAR8(VAR11), .VAR23(VAR35), .VAR42(VAR3) ) ; VAR5 VAR32( .VAR23(VAR35), .VAR2(VAR14), .VAR1(VAR29), .VAR38(VAR38), .VAR20(VAR20), .VAR4(VAR4), .VAR13(VAR13[5:0]), .VAR16(VAR16), .VAR7(VAR7), .VAR17(VAR40), .VAR6(VAR28), .VAR41(VAR22) ) ; endmodule
mit
emeb/iceRadio
FPGA/rxadc_2/verilog/src/tuner_slice_1k.v
2,043
module MODULE1 #( parameter VAR2 = 10, VAR3 = 12 ) ( input clk, reset, VAR9, input signed [VAR2-1:0] in, input [VAR3-1:0] VAR6, output reg signed [VAR2-1:0] out ); wire [1:0] VAR5 = VAR6[VAR3-1:VAR3-2] + {1'b0,VAR9}; reg [1:0] VAR1; reg [VAR3-3:0] addr; reg VAR7; always @(posedge clk) begin if(reset == 1'b1) begin VAR1 <= 2'b0; addr <= 8'b0; VAR7 <= 1'b0; end else begin VAR1 <= VAR5; addr <= VAR6[VAR3-3:0] ^ {VAR3-2{VAR5[0]}}; VAR7 <= VAR1[1]; end end reg signed [15:0] VAR4[0:1023]; reg signed [15:0] VAR8; begin begin begin begin end begin begin begin end begin
mit
pradeep9676/pradeep_9676
LZD_48bit.v
2,116
module MODULE1(in, out, valid ); input [47:0]in; output reg [5:0]out; output reg valid; wire VAR2,VAR1; wire [4:0]VAR3; wire [3:0]VAR4; begin begin begin end begin
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlygate4sd1/sky130_fd_sc_ls__dlygate4sd1.functional.pp.v
1,832
module MODULE1 ( VAR2 , VAR1 , VAR6, VAR9, VAR7 , VAR3 ); output VAR2 ; input VAR1 ; input VAR6; input VAR9; input VAR7 ; input VAR3 ; wire VAR12 ; wire VAR10; buf VAR11 (VAR12 , VAR1 ); VAR8 VAR4 (VAR10, VAR12, VAR6, VAR9); buf VAR5 (VAR2 , VAR10 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlxtp/sky130_fd_sc_ms__dlxtp.behavioral.v
1,821
module MODULE1 ( VAR5 , VAR1 , VAR7 ); output VAR5 ; input VAR1 ; input VAR7; supply1 VAR4; supply0 VAR14; supply1 VAR3 ; supply0 VAR8 ; wire VAR11 ; wire VAR10; wire VAR12 ; reg VAR9 ; wire VAR13 ; VAR2 VAR15 (VAR11 , VAR12, VAR10, VAR9, VAR4, VAR14); buf VAR6 (VAR5 , VAR11 ); assign VAR13 = ( VAR4 === 1'b1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/clkinv/sky130_fd_sc_hdll__clkinv_12.v
2,058
module MODULE1 ( VAR5 , VAR2 , VAR4, VAR8, VAR7 , VAR3 ); output VAR5 ; input VAR2 ; input VAR4; input VAR8; input VAR7 ; input VAR3 ; VAR6 VAR1 ( .VAR5(VAR5), .VAR2(VAR2), .VAR4(VAR4), .VAR8(VAR8), .VAR7(VAR7), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR5, VAR2 ); output VAR5; input VAR2; supply1 VAR4; supply0 VAR8; supply1 VAR7 ; supply0 VAR3 ; VAR6 VAR1 ( .VAR5(VAR5), .VAR2(VAR2) ); endmodule
apache-2.0