repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nand2/sky130_fd_sc_hd__nand2.functional.v
1,270
module MODULE1 ( VAR4, VAR1, VAR2 ); output VAR4; input VAR1; input VAR2; wire VAR5; nand VAR6 (VAR5, VAR2, VAR1 ); buf VAR3 (VAR4 , VAR5 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdlxtp/sky130_fd_sc_hvl__sdlxtp.pp.blackbox.v
1,321
module MODULE1 ( VAR4 , VAR1 , VAR2 , VAR5 , VAR9, VAR7, VAR3, VAR6 , VAR8 ); output VAR4 ; input VAR1 ; input VAR2 ; input VAR5 ; input VAR9; input VAR7; input VAR3; input VAR6 ; input VAR8 ; endmodule
apache-2.0
AndreaCorallo/KPU
rtl/kpu/ctrl.v
4,057
module MODULE2( input wire VAR2, VAR13, input wire [VAR4-1:0] VAR19, VAR20, input wire [VAR4-1:0] VAR21, input wire [VAR4-1:0] VAR14, input wire [4:0] VAR15, VAR9, input wire [4:0] VAR11, input wire [4:0] VAR17, output reg [VAR4-1:0] VAR10, VAR6 ); always @ begin if (VAR11 == VAR9 && VAR2 == 1'b1) VAR6 = VAR21; end else if (VAR17 == VAR9 && VAR13 == 1'b1) VAR6 = VAR14; else VAR6 = VAR20; end endmodule module MODULE1( input wire [5:0] VAR23, input wire VAR24, input wire VAR1, input wire VAR18, input wire [4:0] VAR7, input wire [4:0] VAR3, input wire [4:0] VAR22, input wire [4:0] VAR5, output reg VAR16); reg VAR8, VAR12; always @ begin VAR12 = 1'h0; if (VAR18) begin case (VAR23) VAR12 = 1'b1; end VAR5 == VAR3) VAR12 = 1'b1; end VAR12 = 1'b1; end endcase end end always @(*) VAR16 = VAR8 || VAR12; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or2/sky130_fd_sc_hs__or2.functional.v
1,663
module MODULE1 ( VAR3, VAR11, VAR6 , VAR4 , VAR8 ); input VAR3; input VAR11; output VAR6 ; input VAR4 ; input VAR8 ; wire VAR9 ; wire VAR5; or VAR10 (VAR9 , VAR8, VAR4 ); VAR2 VAR1 (VAR5, VAR9, VAR3, VAR11); buf VAR7 (VAR6 , VAR5 ); endmodule
apache-2.0
theapi/de0-nano
rotary_encoder/quadrature_decode.v
1,591
module MODULE1( reset, VAR4, VAR2, VAR1 ); input reset, VAR4, VAR2; output [7:0] VAR1; reg [3:0] VAR6; reg [7:0] VAR3; reg [2:0] VAR5; always @ ( * ) begin if (reset) begin VAR6 = 4'b0000; VAR3 = 0; VAR5 = 0; end else begin VAR6 = VAR6 << 2; VAR6 = VAR6 | {VAR4, VAR2}; VAR5 = 0; case (VAR6) 4'b0000 : VAR5 = 2'd0; 4'b0001 : VAR5 = -2'd1; 4'b0010 : VAR5 = 2'd1; 4'b0011 : VAR5 = 2'd0; 4'b0100 : VAR5 = 2'd1; 4'b0101 : VAR5 = 2'd0; 4'b0110 : VAR5 = 2'd0; 4'b0111 : VAR5 = -2'd1; 4'b1000 : VAR5 = -2'd1; 4'b1001 : VAR5 = 2'd0; 4'b1010 : VAR5 = 2'd0; 4'b1011 : VAR5 = 2'd1; 4'b1100 : VAR5 = 2'd0; 4'b1101 : VAR5 = 2'd1; 4'b1110 : VAR5 = -2'd1; 4'b1111 : VAR5 = 2'd0; endcase VAR3 = (VAR3) + (VAR5); end end assign VAR1 = VAR3; endmodule
mit
ehliar/schematic_gui
mux5.v
1,285
module MODULE1 #(parameter VAR4 = 1) (input wire [2:0] VAR6, input wire [VAR4:0] VAR3, VAR8, VAR5,VAR2, VAR1, output reg [VAR4:0] VAR7);
gpl-3.0
Jesus89/open-fpga-verilog-tutorial
tutorial/ICESTICK/T21-baud-tx/baudtx.v
2,169
module MODULE1(input wire clk, input wire VAR1, output wire VAR4 ); parameter VAR6 = VAR8; reg [9:0] VAR5; wire VAR11; always @(posedge VAR11) if (VAR1 == 0) VAR5 <= {"VAR2",2'b01}; else VAR5 <= {1'b1, VAR5[9:1]}; assign VAR4 = (VAR1) ? VAR5[0] : 1; VAR7 #(VAR6) VAR9 ( .VAR10(clk), .VAR3(VAR11) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and2/sky130_fd_sc_hdll__and2.behavioral.pp.v
1,801
module MODULE1 ( VAR13 , VAR12 , VAR3 , VAR8, VAR5, VAR9 , VAR1 ); output VAR13 ; input VAR12 ; input VAR3 ; input VAR8; input VAR5; input VAR9 ; input VAR1 ; wire VAR6 ; wire VAR7; and VAR4 (VAR6 , VAR12, VAR3 ); VAR10 VAR2 (VAR7, VAR6, VAR8, VAR5); buf VAR11 (VAR13 , VAR7 ); endmodule
apache-2.0
asicguy/gplgpu
hdl/de/de_top.v
27,888
module MODULE1 ( input VAR29, input VAR173, input VAR75, input VAR206, input [8:2] VAR123, input [13:2] VAR166, input [8:2] VAR170, input VAR32, input [3:0] VAR297, input [31:0] VAR33, input VAR53, input VAR194, input VAR152, input VAR153, input VAR54, VAR193, VAR226, input [53:0] VAR71, input VAR132, input VAR243, input VAR11, input VAR260, input VAR318, output [31:0] VAR99, output [31:0] VAR218, output VAR269, output interrupt, output [4:0] VAR281, output [4:0] VAR304, output [4:0] VAR176, input [(VAR289*8)-1:0] VAR308, input [(VAR289<<3)-1:0] VAR241, input [(VAR289<<3)-1:0] VAR91, output [VAR289-1:0] VAR47, output [(VAR289<<3)-1:0] VAR72, output [(VAR289<<2)-1:0] VAR88, output VAR155, output [2:0] hdf1, output [1:0] VAR45, output [31:0] VAR168, output VAR233, output VAR147, output [3:0] VAR34, output VAR268, output [3:0] VAR51, output [2:0] VAR252, output VAR261, output [1:0] VAR94, output [7:0] VAR200, output [7:0] VAR145, output [3:0] VAR246, output [31:0] VAR3, output [2:0] VAR57, output VAR228, output [6:0] VAR131, output [3:0] VAR256, output VAR286, output VAR1, output VAR210, output VAR262, output VAR245, output [4:0] VAR298, output [31:0] VAR118, output [(VAR289*8)-1:0] VAR65, output VAR222, input VAR267, input [(VAR289<<3)-1:0] VAR192, input VAR251, input VAR115, input VAR295, output VAR37, output [5:0] VAR263, output [31:0] VAR310, output VAR285, output VAR83, output [31:0] VAR64 ); wire [20:0] VAR309; wire VAR97; wire [1:0] VAR157; wire [3:0] VAR48; wire [3:0] VAR141; wire [3:0] VAR205; wire [1:0] VAR203; wire [1:0] VAR278; wire [2:0] VAR279; wire [31:0] VAR189; wire [31:0] VAR231; wire [31:0] VAR109; wire [15:0] VAR270; wire [31:0] VAR288; wire [31:0] VAR59; wire [159:0] VAR76; wire [15:0] VAR303; wire [15:0] VAR187, VAR180, VAR154, VAR156; wire [15:0] VAR105; wire [31:0] VAR92; wire [3:0] VAR86; wire [15:0] VAR282; wire [15:0] VAR44; wire [31:0] VAR213; wire [31:0] VAR139; wire [1:0] VAR216; wire [27:0] VAR237; wire [27:0] VAR319; wire [11:0] VAR236; wire [11:0] VAR17; wire VAR137; wire [2:0] VAR307; wire VAR110; wire VAR287; wire VAR204; wire [11:0] VAR138; wire VAR89; wire [31:0] VAR258; wire [2:0] VAR124; assign { VAR89, VAR228, VAR204, VAR124 } = {VAR138[11], VAR138[4:0]}; wire VAR15; assign VAR15 = VAR89; wire VAR2; wire [4:0] VAR217; assign VAR2 = VAR217[0]; wire VAR24; assign VAR24 = VAR217[4]; wire [1:0] VAR227; reg [4:0] VAR195; reg [4:0] VAR95; always @(posedge VAR75) begin VAR195 <= VAR95; VAR95 <= { VAR222, VAR286, VAR262, (VAR260 | VAR110 | VAR286), VAR245 }; end wire [4:0] VAR80; wire [4:0] VAR265; wire [1:0] VAR58; wire [17:0] VAR56; wire [15:0] VAR36; wire [23:0] VAR234; wire VAR114; wire VAR5; wire VAR257; wire VAR179; wire VAR220; wire VAR18; wire VAR112; wire VAR146; wire VAR111; wire VAR133; wire VAR271; wire VAR164; wire VAR68; wire VAR117, VAR158, VAR46; wire VAR120; wire VAR214; wire VAR116; wire VAR4; wire VAR300; wire VAR62; wire VAR315; wire VAR119; wire VAR27; wire VAR280; wire VAR6; wire VAR229; wire VAR272, VAR106; wire VAR42, VAR28; wire VAR273; wire VAR30; wire VAR302; wire VAR129; wire VAR183; wire VAR247; wire VAR191; wire VAR232; wire VAR130; wire VAR148; wire VAR254; wire VAR294; wire VAR150; wire [3:0] VAR127; wire VAR8; wire [3:0] VAR101; wire VAR20; wire VAR301; wire VAR25; wire [15:0] VAR81; wire [15:0] VAR238; wire VAR311; wire [31:0] VAR275; wire [31:0] VAR266; wire [4:0] VAR82; wire [7:0] VAR73; wire [31:0] VAR16; wire VAR314; wire VAR74; wire VAR306; wire VAR239; wire VAR41; wire VAR128; wire VAR316; wire VAR305; wire VAR199; wire VAR87; wire VAR7; wire [27:0] VAR160; wire [11:0] VAR190; wire VAR185; wire [31:0] VAR66; wire [31:0] VAR78; wire VAR209; wire VAR108; wire VAR196; wire VAR35; wire VAR299; VAR126 VAR172 ( .VAR29 (VAR29), .VAR5 (VAR5), .VAR75 (VAR75), .VAR206 (VAR206), .VAR33 (VAR33), .VAR123 (VAR123[8:2]), .VAR291 (VAR170[8:2]), .VAR32 (VAR32), .VAR297 (VAR297), .VAR98 (VAR53), .VAR9 (VAR105), .VAR71 (VAR71), .VAR195 (VAR195), .VAR318 (VAR318), .VAR210 (VAR210), .VAR1 (VAR1), .VAR314 (VAR314), .VAR74 (VAR74), .VAR239 (VAR239), .VAR7 (VAR7), .VAR97 (VAR97), .VAR41 (VAR41), .VAR128 (VAR128), .VAR316 (VAR316), .VAR305 (VAR305), .VAR306 (VAR306), .VAR199 (VAR199), .VAR114 (VAR114), .VAR137 (VAR137), .VAR274 (VAR287), .VAR52 (VAR138), .VAR96 (VAR157), .VAR208 (VAR237), .VAR207 (VAR319), .VAR139 (VAR139), .VAR213 (VAR213), .VAR13 (VAR236), .VAR284 (VAR17), .VAR48 (VAR48), .VAR141 (VAR141), .VAR205 (VAR205), .VAR101 (VAR101), .VAR142 (VAR217), .VAR257 (VAR257), .VAR186 (VAR179), .VAR249 (VAR220), .VAR317 (VAR18), .VAR211 (VAR203), .hdf1 (hdf1), .VAR43 (VAR279), .VAR253 (VAR189), .VAR70 (VAR231), .VAR49 (VAR127), .VAR21 (VAR109), .VAR26 (VAR270), .VAR182 (VAR288), .VAR40 (VAR59), .VAR234 (VAR234), .VAR223 (VAR76), .VAR36 (VAR36), .VAR56 (VAR56), .VAR99 (VAR16), .VAR269 (VAR269), .VAR259 (VAR112), .VAR84 (VAR146), .VAR111 (VAR111), .VAR133 (VAR133), .VAR227 (VAR227), .interrupt (interrupt), .VAR131 (VAR131), .VAR256 (VAR256), .VAR209 (VAR209), .VAR23 (VAR23), .VAR299 (VAR299) ); VAR143 VAR63 ( .VAR29 (VAR29), .VAR152 (VAR152), .VAR12 (VAR5), .VAR139 (VAR139), .VAR213 (VAR213), .VAR48 (VAR48), .VAR141 (VAR141), .VAR205 (VAR205), .VAR101 (VAR101), .VAR257 (VAR257), .VAR2 (VAR2), .VAR283 (VAR271), .VAR259 (VAR112), .VAR278 (VAR278), .VAR212 (VAR18), .VAR211 (VAR203), .VAR77 (VAR15), .VAR10 (VAR24), .VAR19 (VAR179), .VAR249 (VAR220), .VAR43 (VAR279), .VAR21 (VAR109), .VAR26 (VAR270), .VAR182 (VAR288), .VAR40 (VAR59), .VAR223 (VAR76), .VAR164 (VAR164), .VAR68 (VAR108), .VAR96 (VAR157), .VAR117 (VAR117), .VAR158 (VAR158), .VAR46 (VAR46), .VAR120 (VAR120), .VAR146 (VAR146), .VAR230 (VAR214), .VAR113 (VAR204), .VAR116 (VAR116), .VAR137 (VAR137), .VAR199 (VAR199), .VAR114 (VAR114), .VAR41 (VAR41), .VAR128 (VAR128), .VAR35 (VAR35), .VAR23 (VAR23), .VAR201 (VAR4), .VAR300 (VAR300), .VAR225 (VAR62), .VAR175 (VAR254), .VAR144 (VAR8), .VAR296 (VAR315), .VAR67 (VAR119), .VAR235 (VAR303), .VAR197 (VAR187), .VAR151 (VAR180), .VAR313 (VAR154), .VAR277 (VAR156), .VAR27 (VAR27), .VAR135 (VAR280), .VAR255 (VAR6), .VAR9 (VAR105), .VAR22 (VAR92), .VAR181 (VAR229), .VAR104 (VAR272), .VAR100 (VAR106), .VAR242 (VAR42), .VAR28 (VAR28), .VAR38 (VAR273), .VAR86 (VAR86), .VAR30 (VAR30), .VAR302 (VAR302), .VAR129 (VAR129), .VAR80 (VAR80), .VAR265 (VAR265), .VAR282 (VAR282), .VAR44 (VAR44), .VAR202 (), .VAR107 (), .VAR136 (VAR183), .VAR31 (), .VAR93 (), .VAR247 (VAR247), .VAR191 (), .VAR232 (VAR232), .VAR294 (VAR294), .VAR150 (VAR150), .VAR307 (VAR307), .VAR239 (VAR239), .VAR66 (VAR66), .VAR78 (VAR78) ); VAR169 # ( .VAR289 (VAR289) ) VAR244 ( .VAR29 (VAR29), .VAR5 (VAR5), .VAR75 (VAR75), .VAR206 (VAR206), .VAR167 (VAR166[12:2]), .VAR134 (VAR32), .VAR194 (VAR194), .VAR254 (VAR254), .VAR8 (VAR8), .VAR50 (VAR27), .VAR280 (VAR280), .VAR62 (VAR62), .VAR92 (VAR92), .VAR229 (VAR229), .VAR272 (VAR272), .VAR106 (VAR106), .VAR42 (VAR42), .VAR276 (VAR28), .VAR273 (VAR273), .VAR303 (VAR303[9:0]), .VAR197 (VAR187), .VAR151 (VAR180), .VAR277 (VAR156), .VAR154 (VAR154), .VAR6 (VAR6), .VAR208 (VAR237), .VAR207 (VAR319), .VAR198 (VAR160), .VAR13 (VAR236), .VAR284 (VAR17), .VAR174 (VAR190), .VAR215 (VAR138[8:7]), .VAR293 (VAR56[3:0]), .VAR60 (VAR56[6:4]), .VAR184 (VAR56[10]), .VAR103 (VAR56[9:8]), .VAR224 (VAR36[7:0]), .VAR162 (VAR36[15:8]), .VAR101 (VAR101), .VAR258 (VAR258), .VAR124 (VAR124), .VAR264 (VAR307), .VAR30 (VAR30), .VAR80 (VAR80), .VAR265 (VAR265), .VAR282 (VAR282), .VAR44 (VAR44), .VAR136 (VAR183), .VAR247 (VAR247), .VAR232 (VAR232), .VAR152 (VAR152), .VAR153 (VAR153), .VAR54 (VAR54), .VAR193 (VAR193), .VAR226 (VAR226), .VAR11 (VAR11), .VAR67 (VAR119), .VAR86 (VAR86), .VAR315 (VAR315), .VAR127 (VAR127), .VAR125 (VAR2), .VAR290 (VAR271), .VAR278 (VAR278), .VAR203 (VAR203), .VAR279 (VAR279[1:0]), .VAR253 (VAR189), .VAR70 (VAR231), .VAR89 (VAR89), .VAR302 (VAR302), .VAR117 (VAR117), .VAR158 (VAR158), .VAR46 (VAR46), .VAR227 (VAR227), .VAR243 (VAR243), .VAR121 (VAR47), .VAR292 (VAR72), .VAR39 (VAR88), .VAR110 (VAR110), .VAR155 (VAR155), .VAR20 (VAR20), .VAR168 (VAR168), .VAR233 (VAR233), .VAR147 (VAR147), .VAR34 (VAR34), .VAR99 (VAR99), .VAR120 (VAR120), .VAR163 (VAR130), .VAR148 (VAR148), .VAR164 (VAR164), .VAR222 (VAR222), .VAR268 (VAR268), .VAR45 (VAR45), .VAR51 (VAR51), .VAR252 (VAR252), .VAR261 (VAR261), .VAR94 (VAR94), .VAR200 (VAR200), .VAR145 (VAR145), .VAR246 (VAR246), .VAR3 (VAR3), .VAR57 (VAR57), .VAR149 (VAR149), .VAR281 (VAR281), .VAR304 (VAR304), .VAR176 (VAR176), .VAR308 (VAR308), .VAR241 (VAR241), .VAR91 (VAR91), .VAR108 (VAR108), .VAR301 (VAR301), .VAR25 (VAR25), .VAR196 (VAR196), .VAR81 (VAR81), .VAR238 (VAR238), .VAR311 (VAR311), .VAR275 (VAR275), .VAR266 (VAR266), .VAR82 (VAR82), .VAR185 (VAR185), .VAR73 (VAR73), .VAR7 (VAR7), .VAR298 (VAR298), .VAR118 (VAR118), .VAR65 (VAR65) ); VAR248 VAR177 ( .VAR29 (VAR29), .VAR173 (VAR173), .VAR75 (VAR75), .VAR206 (VAR206), .VAR215 (VAR138[8:7]), .VAR164 (VAR164), .VAR269 (VAR269), .VAR132 (VAR132), .VAR217 (VAR217), .VAR280 (VAR280), .VAR137 (VAR137), .VAR27 (VAR27), .VAR130 (VAR130), .VAR30 (VAR30), .VAR133 (VAR133), .VAR294 (VAR294), .VAR150 (VAR150), .VAR234 (VAR234), .VAR4 (VAR4), .VAR114 (VAR114), .VAR20 (VAR20), .VAR299 (VAR299), .VAR48 (VAR48), .VAR116 (VAR116), .VAR286 (VAR286), .VAR117 (VAR117), .VAR158 (VAR158), .VAR46 (VAR46), .VAR214 (VAR214), .VAR278 (VAR278), .VAR5 (VAR5), .VAR262 (VAR262), .VAR245 (VAR245), .VAR271 (VAR271), .VAR258 (VAR258), .VAR210 (VAR210), .VAR1 (VAR1) ); wire [31:0] VAR90; VAR188 .VAR289 (VAR289) ) VAR61 ( .VAR75 (VAR75), .VAR206 (VAR206), .VAR33 (VAR33), .VAR123 (VAR123[8:2]), .VAR291 (VAR170[8:2]), .VAR32 (VAR32), .VAR297 (VAR297), .VAR14 (VAR53), .VAR159 (VAR90), .VAR29 (VAR29), .VAR5 (VAR5), .VAR70 (VAR231), .VAR253 (VAR189), .VAR2 (VAR2), .VAR157 (VAR157), .VAR215 (VAR138[8:7]), .VAR283 (VAR271), .VAR312 (1'b0), .VAR278 (VAR278), .VAR219 (1'b0), .VAR85 (1'b0), .VAR249 (VAR220), .VAR116 (VAR116), .VAR316 (VAR316), .VAR305 (VAR305), .VAR43 (VAR279), .VAR66 (VAR66), .VAR78 (VAR78), .VAR209 (VAR209), .VAR137 (VAR137), .VAR178 (VAR6), .VAR306 (VAR306), .VAR199 (VAR199), .VAR314 (VAR314), .VAR74 (VAR74), .VAR68 (VAR108), .VAR171 (VAR301), .VAR79 (VAR25), .VAR55 (VAR81), .VAR250 (VAR238), .VAR7 (VAR311), .VAR247 (VAR196), .VAR122 (VAR275), .VAR140 (VAR266), .VAR102 (VAR82), .VAR165 (VAR185), .VAR161 (VAR73), .VAR69 (VAR160), .VAR221 (VAR190), .VAR309 (VAR309), .VAR152 (VAR152), .VAR267 (VAR267), .VAR192 (VAR192), .VAR251 (VAR251), .VAR115 (VAR115), .VAR295 (VAR295), .VAR37 (VAR37), .VAR263 (VAR263), .VAR310 (VAR310), .VAR285 (VAR285), .VAR83 (VAR83), .VAR240 (VAR97), .VAR35 (VAR35) ); assign VAR64 = {11'b0, VAR309}; assign VAR218 = VAR90 | VAR16; assign VAR218 = VAR16; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/fahcon/sky130_fd_sc_lp__fahcon.functional.v
1,733
module MODULE1 ( VAR14, VAR10 , VAR1 , VAR8 , VAR3 ); output VAR14; output VAR10 ; input VAR1 ; input VAR8 ; input VAR3 ; wire VAR11 ; wire VAR12 ; wire VAR13 ; wire VAR5 ; wire VAR4; xor VAR6 (VAR11 , VAR1, VAR8, VAR3 ); buf VAR7 (VAR10 , VAR11 ); nor VAR17 (VAR12 , VAR1, VAR8 ); nor VAR2 (VAR13 , VAR1, VAR3 ); nor VAR16 (VAR5 , VAR8, VAR3 ); or VAR15 (VAR4, VAR12, VAR13, VAR5); buf VAR9 (VAR14 , VAR4 ); endmodule
apache-2.0
cafe-alpha/wascafe
v13/r07c_de10_20201010_abus3/wasca/synthesis/submodules/wasca_hex0.v
2,094
module MODULE1 ( address, VAR9, clk, VAR7, VAR4, VAR1, VAR5, VAR6 ) ; output [ 6: 0] VAR5; output [ 31: 0] VAR6; input [ 1: 0] address; input VAR9; input clk; input VAR7; input VAR4; input [ 31: 0] VAR1; wire VAR3; reg [ 6: 0] VAR2; wire [ 6: 0] VAR5; wire [ 6: 0] VAR8; wire [ 31: 0] VAR6; assign VAR3 = 1; assign VAR8 = {7 {(address == 0)}} & VAR2; always @(posedge clk or negedge VAR7) begin if (VAR7 == 0) VAR2 <= 0; end else if (VAR9 && ~VAR4 && (address == 0)) VAR2 <= VAR1[6 : 0]; end assign VAR6 = {32'b0 | VAR8}; assign VAR5 = VAR2; endmodule
gpl-2.0
Darkin47/Zynq-TX-UTT
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_addr_decoder.v
11,631
module MODULE1 # ( parameter VAR19 = "none", parameter integer VAR34 = 2, parameter integer VAR51 = 1, parameter integer VAR20 = 1, parameter integer VAR2 = 32, parameter integer VAR15 = 0, parameter integer VAR53 = 1, parameter integer VAR25 = 0, parameter [VAR34*VAR20*64-1:0] VAR7 = {VAR34*VAR20*64{1'b1}}, parameter [VAR34*VAR20*64-1:0] VAR9 = {VAR34*VAR20*64{1'b0}}, parameter [VAR34:0] VAR32 = {VAR34{1'b1}}, parameter integer VAR39 = 0, parameter integer VAR13 = 6 ) ( input wire [VAR2-1:0] VAR26, output wire [VAR34-1:0] VAR14, output wire [VAR51-1:0] VAR45, output wire VAR8, output wire [3:0] VAR1 ); genvar VAR47; genvar VAR44; function VAR18; input [VAR2-1:0] VAR54, VAR21, addr; reg [VAR2-VAR39-1:0] VAR55; reg [VAR2-VAR39-1:0] VAR30; reg [VAR2-VAR39-1:0] VAR35; reg [VAR2-VAR39-1:0] VAR5; begin VAR30 = addr[VAR39+:VAR2-VAR39]; VAR35 = VAR54[VAR39+:VAR2-VAR39]; VAR5 = VAR21[VAR39+:VAR2-VAR39]; if (VAR54[VAR2-1] & ~VAR21[VAR2-1]) begin VAR18 = 1'b0; end else begin VAR55 = VAR35 ^ VAR5; if ( (VAR35 & ~VAR55) == (VAR30 & ~VAR55) ) begin VAR18 = 1'b1; end else begin VAR18 = 1'b0; end end end endfunction function [3:0] VAR10 ( input [15:0] VAR46 ); begin VAR10[0] = |(VAR46 & 16'b1010101010101010); VAR10[1] = |(VAR46 & 16'b1100110011001100); VAR10[2] = |(VAR46 & 16'b1111000011110000); VAR10[3] = |(VAR46 & 16'b1111111100000000); end endfunction wire [VAR34-1:0] VAR29; wire [VAR34*VAR20-1:0] VAR23; wire [VAR34*VAR20-1:0] VAR12; wire [VAR20-1:0] VAR27; wire [3:0] VAR22; generate for (VAR47 = 0; VAR47 < VAR34; VAR47 = VAR47 + 1) begin : VAR17 for (VAR44 = 0; VAR44 < VAR20; VAR44 = VAR44 + 1) begin : VAR24 if ((VAR2 - VAR39) > VAR13) begin : VAR42 if (VAR32[VAR47] && ((VAR7[(VAR47*VAR20+VAR44)*64 +: VAR2] == 0) || (VAR9[(VAR47*VAR20+VAR44)*64 +: VAR2] != 0))) begin : VAR40 VAR31 # ( .VAR19("VAR36"), .VAR37(VAR7[(VAR47*VAR20+VAR44)*64+VAR39 +: VAR2-VAR39]), .VAR33(VAR2-VAR39) ) VAR52 ( .VAR16(1'b1), .VAR43(VAR26[VAR39 +: VAR2-VAR39] & ~(VAR7[(VAR47*VAR20+VAR44)*64+VAR39 +: VAR2-VAR39] ^ VAR9[(VAR47*VAR20+VAR44)*64+VAR39 +: VAR2-VAR39])), .VAR28(VAR23[VAR47*VAR20 + VAR44]) ); end else begin : VAR11 assign VAR23[VAR47*VAR20 + VAR44] = 1'b0; end end else begin : VAR4 assign VAR23[VAR47*VAR20 + VAR44] = VAR32[VAR47] ? VAR18( VAR7[(VAR47*VAR20+VAR44)*64 +: VAR2], VAR9[(VAR47*VAR20+VAR44)*64 +: VAR2], VAR26) : 1'b0; end assign VAR12[VAR44*VAR34+VAR47] = VAR23[VAR47*VAR20 + VAR44]; end assign VAR29[VAR47] = | VAR23[VAR47*VAR20 +: VAR20]; end for (VAR44 = 0; VAR44 < VAR20; VAR44 = VAR44 + 1) begin : VAR50 assign VAR27[VAR44] = | VAR12[VAR44*VAR34 +: VAR34]; end endgenerate assign VAR8 = | VAR29; generate if (VAR53 == 1) begin : VAR38 assign VAR14 = VAR8 ? VAR29 : 1; end else begin : VAR48 assign VAR14 = {VAR34{1'b0}}; end endgenerate generate if (VAR15 == 1) begin : VAR3 assign VAR22 = VAR10(VAR29); assign VAR45 = VAR22[VAR51-1:0]; end else begin : VAR41 assign VAR45 = {VAR51{1'b0}}; end endgenerate generate if (VAR15 == 1) begin : VAR6 assign VAR1 = VAR10(VAR27); end else begin : VAR49 assign VAR1 = 4'b0; end endgenerate endmodule
gpl-3.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
bin_Gaussian_Filter/system/synthesis/submodules/altera_reset_controller.v
12,023
module MODULE1 parameter VAR17 = 6, parameter VAR23 = 0, parameter VAR78 = 0, parameter VAR6 = 0, parameter VAR49 = 0, parameter VAR58 = 0, parameter VAR76 = 0, parameter VAR66 = 0, parameter VAR36 = 0, parameter VAR12 = 0, parameter VAR52 = 0, parameter VAR61 = 0, parameter VAR34 = 0, parameter VAR9 = 0, parameter VAR50 = 0, parameter VAR54 = 0, parameter VAR16 = 0, parameter VAR4 = "VAR24", parameter VAR59 = 2, parameter VAR37 = 0, parameter VAR8 = 3, parameter VAR19 = 11, parameter VAR32 = 4, parameter VAR42 = 0 ) ( input VAR48, input VAR65, input VAR77, input VAR5, input VAR45, input VAR64, input VAR30, input VAR3, input VAR51, input VAR27, input VAR47, input VAR46, input VAR39, input VAR31, input VAR57, input VAR72, input VAR28, input VAR1, input VAR71, input VAR43, input VAR26, input VAR2, input VAR69, input VAR15, input VAR60, input VAR33, input VAR21, input VAR13, input VAR41, input VAR73, input VAR79, input VAR29, input clk, output reg VAR70, output reg VAR11 ); localparam VAR55 = (VAR4 == "VAR24"); localparam VAR80 = 3; localparam VAR53 = VAR80 + VAR8; localparam VAR35 = VAR8 > VAR32 ? VAR8 : VAR32; localparam VAR14 = (VAR80 > VAR35) ? VAR19 + 1 : ( (VAR19 > VAR35)? VAR19 + (VAR35 - VAR80 + 1) + 1 : VAR19 + VAR32 + VAR8 - VAR80 + 2 ); localparam VAR40 = VAR32 + 1; wire VAR56; wire VAR44; wire VAR25; wire VAR18; reg [VAR53: 0] VAR63; reg [VAR14-1: 0] VAR62; reg VAR20; reg VAR7; assign VAR56 = ( VAR48 | VAR65 | VAR77 | VAR5 | VAR45 | VAR64 | VAR30 | VAR3 | VAR51 | VAR27 | VAR47 | VAR46 | VAR39 | VAR31 | VAR57 | VAR72 ); assign VAR44 = ( ( (VAR23 == 1) ? VAR28 : 1'b0) | ( (VAR78 == 1) ? VAR1 : 1'b0) | ( (VAR6 == 1) ? VAR71 : 1'b0) | ( (VAR49 == 1) ? VAR43 : 1'b0) | ( (VAR58 == 1) ? VAR26 : 1'b0) | ( (VAR76 == 1) ? VAR2 : 1'b0) | ( (VAR66 == 1) ? VAR69 : 1'b0) | ( (VAR36 == 1) ? VAR15 : 1'b0) | ( (VAR12 == 1) ? VAR60 : 1'b0) | ( (VAR52 == 1) ? VAR33 : 1'b0) | ( (VAR61 == 1) ? VAR21 : 1'b0) | ( (VAR34 == 1) ? VAR13 : 1'b0) | ( (VAR9 == 1) ? VAR41 : 1'b0) | ( (VAR50 == 1) ? VAR73 : 1'b0) | ( (VAR54 == 1) ? VAR79 : 1'b0) | ( (VAR16 == 1) ? VAR29 : 1'b0) ); generate if (VAR4 == "none" && (VAR37==0)) begin assign VAR25 = VAR56; assign VAR18 = VAR44; end else begin VAR74 .VAR38 (VAR59), .VAR55(VAR37? 1'b1 : VAR55) ) VAR10 ( .clk (clk), .VAR68 (VAR56), .VAR70 (VAR25) ); VAR74 .VAR38 (VAR59), .VAR55(0) ) VAR67 ( .clk (clk), .VAR68 (VAR44), .VAR70 (VAR18) ); end endgenerate generate if ( ( (VAR37 == 0) && (VAR42==0) )| ( (VAR42 == 1) && (VAR4 != "VAR24") ) ) begin always @* begin VAR70 = VAR25; VAR11 = VAR18; end end else if ( (VAR37 == 0) && (VAR42==1) ) begin wire VAR75; VAR74 .VAR38 (VAR59+1), .VAR55(0) ) VAR22 ( .clk (clk), .VAR68 (VAR25), .VAR70 (VAR75) ); always @* begin VAR70 = VAR75; VAR11 = VAR18; end end else begin begin begin begin begin begin end begin begin
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/nor3/gf180mcu_fd_sc_mcu7t5v0__nor3_1.behavioral.pp.v
1,328
module MODULE1( VAR5, VAR4, VAR9, VAR8, VAR3, VAR2 ); input VAR8, VAR9, VAR5; inout VAR3, VAR2; output VAR4; VAR6 VAR1(.VAR5(VAR5),.VAR4(VAR4),.VAR9(VAR9),.VAR8(VAR8),.VAR3(VAR3),.VAR2(VAR2)); VAR6 VAR7(.VAR5(VAR5),.VAR4(VAR4),.VAR9(VAR9),.VAR8(VAR8),.VAR3(VAR3),.VAR2(VAR2));
apache-2.0
timofonic/fpga_nes
hw/src/ppu/ppu.v
9,305
module MODULE1 ( input wire VAR106, input wire VAR91, input wire [ 2:0] VAR45, input wire VAR125, input wire VAR98, input wire [ 7:0] VAR79, input wire [ 7:0] VAR80, output wire VAR41, output wire VAR46, output wire [ 2:0] VAR115, output wire [ 2:0] VAR94, output wire [ 1:0] VAR76, output wire [ 7:0] VAR20, output wire VAR42, output wire [13:0] VAR33, output wire [ 7:0] VAR135, output wire VAR70 ); wire [5:0] VAR110; wire [9:0] VAR130; wire [9:0] VAR118; wire [9:0] VAR2; wire VAR6; wire VAR19; VAR132 VAR1( .VAR106(VAR106), .VAR91(VAR91), .VAR31(VAR110), .VAR41(VAR41), .VAR46(VAR46), .VAR115(VAR115), .VAR94(VAR94), .VAR76(VAR76), .VAR87(VAR130), .VAR53(VAR118), .VAR17(VAR2), .VAR73(VAR6), .VAR136(VAR19) ); wire [7:0] VAR37; wire [7:0] VAR28; wire [7:0] VAR27; wire VAR50; wire VAR113; wire [7:0] VAR38; wire VAR138; wire VAR22; wire [2:0] VAR92; wire [4:0] VAR117; wire VAR120; wire [2:0] VAR21; wire [4:0] VAR121; wire VAR85; wire VAR102; wire VAR65; wire VAR11; wire VAR5; wire VAR128; wire VAR36; wire VAR119; wire VAR23; wire VAR58; wire VAR59; wire VAR101; wire VAR93; wire [7:0] VAR61; wire [7:0] VAR56; wire VAR137; VAR43 VAR49( .VAR106(VAR106), .VAR91(VAR91), .VAR103(VAR45), .VAR74(VAR125), .VAR88(VAR98), .VAR133(VAR79), .VAR57(VAR33), .VAR80(VAR37), .VAR95(VAR28), .VAR104(VAR19), .VAR10(VAR27), .VAR9(VAR50), .VAR96(VAR113), .VAR122(VAR20), .VAR135(VAR38), .VAR70(VAR138), .VAR26(VAR22), .VAR72(VAR92), .VAR54(VAR117), .VAR127(VAR120), .VAR34(VAR21), .VAR55(VAR121), .VAR83(VAR85), .VAR52(VAR102), .VAR123(VAR65), .VAR3(VAR11), .VAR39(VAR5), .VAR136(VAR128), .VAR131(VAR36), .VAR139(VAR119), .VAR109(VAR23), .VAR100(VAR58), .VAR124(VAR59), .VAR116(VAR101), .VAR24(VAR93), .VAR18(VAR61), .VAR66(VAR56), .VAR126(VAR137) ); wire [13:0] VAR99; wire [ 3:0] VAR69; VAR81 VAR71( .VAR106(VAR106), .VAR91(VAR91), .VAR12(VAR36), .VAR86(VAR23), .VAR4(VAR92), .VAR51(VAR117), .VAR68(VAR120), .VAR14(VAR21), .VAR8(VAR121), .VAR129(VAR85), .VAR40(VAR102), .VAR82(VAR130), .VAR97(VAR118), .VAR44(VAR2), .VAR13(VAR6), .VAR80(VAR80), .VAR29(VAR93), .VAR75(VAR65), .VAR30(VAR11), .VAR33(VAR99), .VAR89(VAR69) ); wire [3:0] VAR62; wire VAR112; wire VAR7; wire [13:0] VAR25; wire VAR114; VAR35 VAR78( .VAR106(VAR106), .VAR91(VAR91), .VAR12(VAR119), .VAR86(VAR58), .VAR107(VAR59), .VAR16(VAR101), .VAR84(VAR61), .VAR134(VAR56), .VAR108(VAR137), .VAR82(VAR130), .VAR97(VAR118), .VAR44(VAR2), .VAR13(VAR6), .VAR80(VAR80), .VAR47(VAR27), .VAR77(VAR50), .VAR89(VAR62), .VAR48(VAR112), .VAR64(VAR7), .VAR33(VAR25), .VAR111(VAR114) ); reg [5:0] VAR60 [31:0]; always @(posedge VAR106) begin if (VAR91) begin VAR60[VAR15(5'h00)] <= 6'h09; VAR60[VAR15(5'h01)] <= 6'h01; VAR60[VAR15(5'h02)] <= 6'h00; VAR60[VAR15(5'h03)] <= 6'h01; VAR60[VAR15(5'h04)] <= 6'h00; VAR60[VAR15(5'h05)] <= 6'h02; VAR60[VAR15(5'h06)] <= 6'h02; VAR60[VAR15(5'h07)] <= 6'h0d; VAR60[VAR15(5'h08)] <= 6'h08; VAR60[VAR15(5'h09)] <= 6'h10; VAR60[VAR15(5'h0a)] <= 6'h08; VAR60[VAR15(5'h0b)] <= 6'h24; VAR60[VAR15(5'h0c)] <= 6'h00; VAR60[VAR15(5'h0d)] <= 6'h00; VAR60[VAR15(5'h0e)] <= 6'h04; VAR60[VAR15(5'h0f)] <= 6'h2c; VAR60[VAR15(5'h11)] <= 6'h01; VAR60[VAR15(5'h12)] <= 6'h34; VAR60[VAR15(5'h13)] <= 6'h03; VAR60[VAR15(5'h15)] <= 6'h04; VAR60[VAR15(5'h16)] <= 6'h00; VAR60[VAR15(5'h17)] <= 6'h14; VAR60[VAR15(5'h19)] <= 6'h3a; VAR60[VAR15(5'h1a)] <= 6'h00; VAR60[VAR15(5'h1b)] <= 6'h02; VAR60[VAR15(5'h1d)] <= 6'h20; VAR60[VAR15(5'h1e)] <= 6'h2c; VAR60[VAR15(5'h1f)] <= 6'h08; end else if (VAR22) VAR60[VAR15(VAR33[4:0])] <= VAR38[5:0]; end assign VAR37 = VAR80; assign VAR28 = VAR60[VAR15(VAR33[4:0])]; assign VAR33 = (VAR114) ? VAR25 : VAR99; assign VAR135 = VAR38; assign VAR70 = VAR138; reg VAR63; wire VAR32; always @(posedge VAR106) begin if (VAR91) VAR63 <= 1'b0; end else VAR63 <= VAR32; end wire VAR105; wire VAR67; wire VAR90; assign VAR105 = ~VAR7; assign VAR67 = ~|VAR62[1:0]; assign VAR90 = ~|VAR69[1:0]; assign VAR32 = (VAR2 == 0) ? 1'b0 : (VAR112 && !VAR67 && !VAR90) ? 1'b1 : VAR63; assign VAR110 = ((VAR105 || VAR90) && !VAR67) ? VAR60[{ 1'b1, VAR62 }] : (!VAR90) ? VAR60[{ 1'b0, VAR69 }] : VAR60[5'h00]; assign VAR113 = VAR63; assign VAR42 = ~(VAR128 & VAR5); endmodule
bsd-2-clause
Darkin47/Zynq-TX-UTT
Vivado_HLS/image_histogram/solution1/syn/verilog/doHist_CTRL_BUS_s_axi.v
8,604
module MODULE1 VAR20 = 4, VAR47 = 32 )( input wire VAR42, input wire VAR12, input wire VAR32, input wire [VAR20-1:0] VAR31, input wire VAR36, output wire VAR23, input wire [VAR47-1:0] VAR15, input wire [VAR47/8-1:0] VAR11, input wire VAR35, output wire VAR40, output wire [1:0] VAR41, output wire VAR27, input wire VAR24, input wire [VAR20-1:0] VAR30, input wire VAR1, output wire VAR54, output wire [VAR47-1:0] VAR44, output wire [1:0] VAR39, output wire VAR6, input wire VAR34, output wire interrupt, output wire VAR16, input wire VAR26, input wire VAR25, input wire VAR48 ); localparam VAR50 = 4'h0, VAR2 = 4'h4, VAR8 = 4'h8, VAR7 = 4'hc, VAR49 = 2'd0, VAR22 = 2'd1, VAR45 = 2'd2, VAR3 = 2'd0, VAR19 = 2'd1, VAR46 = 4; reg [1:0] VAR29; reg [1:0] VAR55; reg [VAR46-1:0] VAR51; wire [31:0] VAR13; wire VAR33; wire VAR37; reg [1:0] VAR43; reg [1:0] VAR21; reg [31:0] VAR5; wire VAR38; wire [VAR46-1:0] VAR17; wire VAR18; wire VAR4; reg VAR14; reg VAR9; reg VAR10; reg VAR52; reg [1:0] VAR53; reg [1:0] VAR28; assign VAR23 = (VAR29 == VAR49); assign VAR40 = (VAR29 == VAR22); assign VAR41 = 2'b00; assign VAR27 = (VAR29 == VAR45); assign VAR13 = { {8{VAR11[3]}}, {8{VAR11[2]}}, {8{VAR11[1]}}, {8{VAR11[0]}} }; assign VAR33 = VAR36 & VAR23; assign VAR37 = VAR35 & VAR40; always @(posedge VAR42) begin if (VAR12) VAR29 <= VAR49; end else if (VAR32) VAR29 <= VAR55; end always @ begin case (VAR43) VAR3: if (VAR1) VAR21 = VAR19; end else VAR21 = VAR3; VAR19: if (VAR34 & VAR6) VAR21 = VAR3; else VAR21 = VAR19; default: VAR21 = VAR3; endcase end always @(posedge VAR42) begin if (VAR32) begin if (VAR38) begin VAR5 <= 1'b0; case (VAR17) VAR50: begin VAR5[0] <= VAR9; VAR5[1] <= VAR14; VAR5[2] <= VAR18; VAR5[3] <= VAR4; VAR5[7] <= VAR10; end VAR2: begin VAR5 <= VAR52; end VAR8: begin VAR5 <= VAR53; end VAR7: begin VAR5 <= VAR28; end endcase end end end assign interrupt = VAR52 & (|VAR28); assign VAR16 = VAR9; assign VAR18 = VAR48; assign VAR4 = VAR25; always @(posedge VAR42) begin if (VAR12) VAR9 <= 1'b0; end else if (VAR32) begin if (VAR37 && VAR51 == VAR50 && VAR11[0] && VAR15[0]) VAR9 <= 1'b1; end else if (VAR4) VAR9 <= VAR10; end end always @(posedge VAR42) begin if (VAR12) VAR14 <= 1'b0; end else if (VAR32) begin if (VAR26) VAR14 <= 1'b1; end else if (VAR38 && VAR17 == VAR50) VAR14 <= 1'b0; end end always @(posedge VAR42) begin if (VAR12) VAR10 <= 1'b0; end else if (VAR32) begin if (VAR37 && VAR51 == VAR50 && VAR11[0]) VAR10 <= VAR15[7]; end end always @(posedge VAR42) begin if (VAR12) VAR52 <= 1'b0; end else if (VAR32) begin if (VAR37 && VAR51 == VAR2 && VAR11[0]) VAR52 <= VAR15[0]; end end always @(posedge VAR42) begin if (VAR12) VAR53 <= 1'b0; end else if (VAR32) begin if (VAR37 && VAR51 == VAR8 && VAR11[0]) VAR53 <= VAR15[1:0]; end end always @(posedge VAR42) begin if (VAR12) VAR28[0] <= 1'b0; end else if (VAR32) begin if (VAR53[0] & VAR26) VAR28[0] <= 1'b1; end else if (VAR37 && VAR51 == VAR7 && VAR11[0]) VAR28[0] <= VAR28[0] ^ VAR15[0]; end end always @(posedge VAR42) begin if (VAR12) VAR28[1] <= 1'b0; end else if (VAR32) begin if (VAR53[1] & VAR25) VAR28[1] <= 1'b1; end else if (VAR37 && VAR51 == VAR7 && VAR11[0]) VAR28[1] <= VAR28[1] ^ VAR15[1]; end end endmodule
gpl-3.0
omicronns/studies-sys-rek
lab4/zlozony/src/zlozony.v
2,939
module MODULE1( input clk, input VAR25, input [17:0] VAR15, input [7:0] VAR11, input [11:0] VAR32, input [7:0] VAR8, input [13:0] VAR20, input [18:0] VAR6, output [36:0] VAR23 ); wire [18:0] VAR33; wire [11:0] VAR22; wire [14:0] VAR21; wire [14:0] VAR10; wire [19:0] VAR19; wire [30:0] VAR2; wire [34:0] VAR13; VAR26 VAR4 ( .VAR15(VAR15), .VAR11({VAR11, 5'd0}), .clk(clk), .VAR25(VAR25), .VAR31(VAR33) ); VAR17 #( .VAR24(3), .VAR12(12) ) VAR34 ( .clk(clk), .VAR25(VAR25), .in(VAR32), .out(VAR22), .rst(1'b0) ); VAR14 VAR18 ( .VAR15({VAR8, 3'd0}), .VAR11(VAR20), .clk(clk), .VAR25(VAR25), .VAR31(VAR21) ); VAR17 #( .VAR24(1), .VAR12(15) ) VAR30 ( .clk(clk), .VAR25(VAR25), .in(VAR21), .out(VAR10), .rst(1'b0) ); VAR3 VAR16 ( .VAR15({VAR20, 4'd0}), .VAR11(VAR6), .clk(clk), .VAR25(VAR25), .VAR31(VAR19) ); VAR29 VAR27 ( .clk(clk), .VAR25(VAR25), .VAR15(VAR33), .VAR11(VAR22), .VAR1(VAR2) ); VAR28 VAR5 ( .clk(clk), .VAR25(VAR25), .VAR15(VAR10), .VAR11(VAR19), .VAR1(VAR13) ); VAR9 VAR7 ( .VAR15(VAR2), .VAR11({VAR13, 1'b0}), .clk(clk), .VAR25(VAR25), .VAR31(VAR23) ); endmodule
mit
vipinkmenon/fpgadriver
src/hw/fpga/source/memory_if/ui_wr_data.v
20,862
module MODULE1 # ( parameter VAR57 = 100, parameter VAR67 = 256, parameter VAR41 = 32, parameter VAR98 = "VAR81", parameter VAR56 = "VAR81", parameter VAR51 = 5 ) ( VAR74, VAR101, VAR89, VAR42, VAR75, VAR39, rst, clk, VAR44, VAR58, VAR9, VAR104, VAR70, VAR64, VAR1, VAR13, VAR11, VAR86, VAR33 ); input rst; input clk; input [VAR67-1:0] VAR44; input [VAR41-1:0] VAR58; input [3:0] VAR9; input VAR104; input VAR70; reg [VAR67-1:0] VAR15; reg [VAR41-1:0] VAR40; reg [3:0] VAR78 = 4'b0; reg VAR83; reg VAR3; reg VAR2; reg VAR29; reg VAR47; reg VAR14; reg VAR7; wire [VAR67-1:0] VAR34 = ~VAR47 ? VAR15 : VAR44; wire [VAR41-1:0] VAR60 = ~VAR47 ? VAR40 : VAR58; wire VAR5 = ~rst && (~VAR47 ? VAR83 : VAR104); wire VAR36 = ~rst && (~VAR47 ? VAR3 : VAR70); generate if (VAR56 != "VAR81") begin : VAR61 end endgenerate always @(posedge clk) begin end input VAR64; input [3:0] VAR1; reg VAR20; reg [3:0] VAR32; generate if (VAR98 == "VAR81" || VAR51 >= 7) begin : VAR30 always @(VAR64) VAR20 = VAR64; always @(VAR1) VAR32 = VAR1; end else begin : VAR107 end endgenerate input VAR13; wire VAR92 = VAR13 && ~VAR20; reg [3:0] VAR28; reg VAR16; generate begin : VAR22 reg [3:0] VAR91; always @(VAR92 or VAR28 or rst) begin VAR91 = VAR28; if (rst) VAR91 = 5'b0; end else if (VAR92) VAR91 = VAR28 + 5'h1; end end endgenerate input VAR11; reg [3:0] VAR100; generate begin : VAR24 reg [3:0] VAR95; always @(VAR100 or rst or VAR11) begin VAR95 = VAR100; if (rst) VAR95 = 4'b0; end else if (VAR11) VAR95 = VAR100 + 4'h1; end end endgenerate wire VAR37; always @( posedge clk ) begin end wire VAR66 = VAR3 && VAR29 && VAR83; wire [3:0] VAR23; wire [4:0] VAR109; reg [3:0] VAR63; generate begin : VAR76 wire VAR65 = (VAR66 && VAR37) || (VAR16 && ~VAR29); reg [3:0] VAR26; always @(rst or VAR65 or VAR63) begin VAR26 = VAR63; if (rst) VAR26 = 4'b1; end else if (VAR65) VAR26 = VAR63 + 4'h1; end reg [4:1] VAR45; reg [4:1] VAR59; always @(rst or VAR59 or VAR65 or VAR23) begin VAR45 = VAR59; if (rst) VAR45 = 4'b0; end else if (VAR65) VAR45 = VAR23; end reg VAR99; wire VAR93 = ~rst && ((VAR14 && VAR83 && ~VAR3) || (VAR99 && ~VAR83)); assign VAR109 = {VAR59, VAR99}; end endgenerate input VAR86; output wire VAR74; generate begin : VAR19 reg [15:0] VAR77; always @(posedge clk) begin if ( rst ) end else case ({VAR66, VAR16}) endcase end assign VAR37 = !(rst || ~VAR86 || (VAR77[14] && VAR66 && ~VAR16) || (VAR77[15] && ~VAR16)); assign VAR74 = VAR2; VAR52: cover property (@(posedge clk) (~rst && ~VAR2)); end endgenerate output wire VAR101; generate begin : VAR48 reg [4:0] VAR68; reg [4:0] VAR82; always @(VAR16 or rst or VAR11 or VAR82) begin VAR68 = VAR82; if (rst) VAR68 = 5'b0; end else case ({VAR11, VAR16}) 2'b01 : VAR68 = VAR82 - 5'b1; 2'b10 : VAR68 = VAR82 + 5'b1; endcase end assign VAR101 = (VAR68 == 5'h10); VAR6: cover property (@(posedge clk) (~rst && VAR101)); VAR31: cover property (@(posedge clk) (~rst && VAR11 && VAR16 && (VAR82 == 5'hf))); VAR10: assert property (@(posedge clk) (rst || !((VAR82 == 5'b0) && (VAR68 == 5'h1f)))); VAR49: assert property (@(posedge clk) (rst || !((VAR82 == 5'h10) && (VAR68 == 5'h11)))); end endgenerate input [3:0] VAR33; output wire [3:0] VAR89; localparam VAR90 = 2; generate begin : VAR79 wire VAR50 = VAR92 || ~VAR86; wire [3:0] VAR97 = VAR86 ? VAR32 : VAR33; wire [3:0] VAR35 = VAR86 ? VAR28 : VAR33; genvar VAR108; for (VAR108=0; VAR108<VAR90; VAR108=VAR108+1) begin : VAR18 VAR71 .VAR94(64'h0000000000000000), .VAR87(64'h0000000000000000), .VAR72(64'h0000000000000000) ) VAR105 ( .VAR4(), .VAR25(VAR89[VAR108*2+:2]), .VAR88(VAR23[VAR108*2+:2]), .VAR8(), .VAR27(2'b0), .VAR102(VAR97[VAR108*2+:2]), .VAR54(VAR97[VAR108*2+:2]), .VAR62(2'b0), .VAR80(5'b0), .VAR55({1'b0, VAR100}), .VAR106({1'b0, VAR63}), .VAR69({1'b0, VAR35}), .VAR73(VAR50), .VAR96(clk) ); end end endgenerate localparam VAR103 = VAR67 + VAR41 + (VAR56 == "VAR81" ? 0 : 4); localparam VAR84 = (VAR103/6); localparam VAR53 = VAR103 % 6; localparam VAR46 = VAR84 + ((VAR53 == 0 ) ? 0 : 1); localparam VAR12 = (VAR46*6); wire [VAR12-1:0] VAR21; generate begin : VAR85 wire [VAR12-1:0] VAR17; if (VAR53 == 0) if (VAR56 == "VAR81") assign VAR17 = {VAR40, VAR15}; end else assign VAR17 = {VAR78, VAR40, VAR15}; else if (VAR56 == "VAR81") assign VAR17 = {{6-VAR53{1'b0}}, VAR40, VAR15}; else assign VAR17 = {{6-VAR53{1'b0}}, VAR78, VAR40, VAR15}; reg [4:0] VAR43; genvar VAR108; for (VAR108=0; VAR108<VAR46; VAR108=VAR108+1) begin : VAR38 VAR71 .VAR94(64'h0000000000000000), .VAR87(64'h0000000000000000), .VAR72(64'h0000000000000000) ) VAR105 ( .VAR4(VAR21[((VAR108*6)+4)+:2]), .VAR25(VAR21[((VAR108*6)+2)+:2]), .VAR88(VAR21[((VAR108*6)+0)+:2]), .VAR8(), .VAR27(VAR17[((VAR108*6)+4)+:2]), .VAR102(VAR17[((VAR108*6)+2)+:2]), .VAR54(VAR17[((VAR108*6)+0)+:2]), .VAR62(2'b0), .VAR80(VAR43), .VAR55(VAR43), .VAR106(VAR43), .VAR69(VAR109), .VAR73(VAR7), .VAR96(clk) ); end end endgenerate output [VAR67-1:0] VAR42; output [VAR41-1:0] VAR75; assign {VAR75, VAR42} = VAR21[VAR103-1:0]; output [3:0] VAR39; generate if (VAR56 == "VAR81") assign VAR39 = 4'b0; else assign VAR39 = VAR21[VAR103-1-:4]; endgenerate endmodule
mit
theapi/de0-nano
vga/vga_800x480_buffered/ip_ram/ip_ram.v
7,182
module MODULE1 ( address, VAR23, VAR28, VAR12, VAR35); input [14:0] address; input VAR23; input [15:0] VAR28; input VAR12; output [15:0] VAR35; tri1 VAR23; wire [15:0] VAR50; wire [15:0] VAR35 = VAR50[15:0]; VAR26 VAR8 ( .VAR10 (address), .VAR18 (VAR23), .VAR7 (VAR28), .VAR31 (VAR12), .VAR22 (VAR50), .VAR5 (1'b0), .VAR9 (1'b0), .VAR2 (1'b1), .VAR4 (1'b0), .VAR11 (1'b0), .VAR48 (1'b1), .VAR42 (1'b1), .VAR36 (1'b1), .VAR25 (1'b1), .VAR33 (1'b1), .VAR56 (1'b1), .VAR54 (1'b1), .VAR49 (1'b1), .VAR57 (), .VAR38 (), .VAR43 (1'b1), .VAR30 (1'b1), .VAR39 (1'b0)); VAR8.VAR15 = "VAR41", VAR8.VAR1 = "VAR41", VAR8.VAR34 = "../VAR27.VAR47", VAR8.VAR44 = "VAR53 VAR55 VAR46", VAR8.VAR17 = "VAR40=VAR3", VAR8.VAR29 = "VAR26", VAR8.VAR51 = 24000, VAR8.VAR20 = "VAR32", VAR8.VAR24 = "VAR13", VAR8.VAR45 = "VAR14", VAR8.VAR21 = "VAR58", VAR8.VAR52 = "VAR19", VAR8.VAR16 = 15, VAR8.VAR37 = 16, VAR8.VAR6 = 1; endmodule
mit
tnsrb93/G1_RealTimeDCTSteganography
src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.ip_user_files/ipstatic/axi_traffic_gen_v2_0_7/hdl/src/verilog/axi_traffic_gen_v2_0_systeminit_dmg.v
7,086
module MODULE1 parameter VAR12 = "VAR54" , parameter VAR10 = 4 , parameter VAR31 = 16 , parameter VAR11 = "VAR6.VAR45" ) ( input [VAR10-1 : 0] VAR26 , input clk , input VAR18 , output [31 : 0] VAR33 ); VAR51 #( .VAR20 (VAR10 ), .VAR2 ("0" ), .VAR42 (VAR31), .VAR12 (VAR12 ), .VAR13 (1 ), .VAR19 (0 ), .VAR3 (0 ), .VAR16 (0 ), .VAR50 (0 ), .VAR22 (0 ), .VAR1 (0 ), .VAR17 (0 ), .VAR55 (0 ), .VAR29 (0 ), .VAR46 (1 ), .VAR47 (0 ), .VAR7 (0 ), .VAR25 (1 ), .VAR53 (0 ), .VAR48 (0 ), .VAR32 (VAR11 ), .VAR34 (0 ), .VAR4 (1 ), .VAR36(0 ), .VAR52 (0 ), .VAR44 (0 ), .VAR27 (1 ), .VAR23 (0 ), .VAR21 (0 ), .VAR37 (1 ), .VAR30 (32 ) ) VAR40 ( .VAR26 (VAR26 ), .clk (clk ), .VAR18(VAR18 ), .VAR33 (VAR33 ), .VAR5 (32'h0 ), .VAR38 ({VAR10{1'b0}}), .VAR28 (1'b0 ), .VAR39 (1'b0 ), .VAR24 (1'b0 ), .VAR35 (1'b0 ), .VAR9 (1'b0 ), .VAR15 (1'b0 ), .VAR41 (1'b0 ), .VAR8(1'b0 ), .VAR43 ( ), .VAR14 ( ), .VAR49 ( ) ); endmodule
gpl-3.0
migajv/mips_pipeline
verilog/cdb.v
1,194
module MODULE1 ( input clk, input rst, input VAR14, input VAR11, input [31:0] VAR16, input [3:0] VAR8, input [31:0] VAR9, input [3:0] VAR13, output VAR5, output VAR10, output reg [31:0] VAR18, output reg [3:0] VAR15, output reg VAR4 ); wire [1:0] VAR6; VAR3 #(.VAR12(2)) VAR17 ( .req ({VAR14, VAR11}), .clk (clk), .rst (rst), .enable (1'b1), .VAR2 ({VAR5, VAR10}), .VAR1() ); always @(*) begin case ({VAR5,VAR10}) 2'b01: begin VAR18 <= VAR9; VAR15 <= VAR13; VAR4 <= 1'b1; end 2'b10: begin VAR18 <= VAR16; VAR15 <= VAR8; VAR4 <= 1'b1; end default: begin VAR18 <= 0; VAR15 <= 0; VAR4 <= 1'b0; end endcase end endmodule VAR7
gpl-3.0
alexforencich/verilog-ethernet
rtl/eth_mac_1g_rgmii_fifo.v
10,813
module MODULE1 # ( parameter VAR15 = "VAR82", parameter VAR9 = "VAR55", parameter VAR89 = "VAR84", parameter VAR20 = "VAR78", parameter VAR12 = 8, parameter VAR115 = (VAR12>8), parameter VAR38 = (VAR12/8), parameter VAR134 = 1, parameter VAR109 = 64, parameter VAR76 = 4096, parameter VAR25 = 1, parameter VAR5 = 1, parameter VAR131 = VAR5, parameter VAR69 = VAR131, parameter VAR101 = 0, parameter VAR28 = 4096, parameter VAR44 = 1, parameter VAR90 = 1, parameter VAR70 = VAR90, parameter VAR110 = VAR70, parameter VAR8 = VAR70 ) ( input wire VAR21, input wire VAR4, input wire VAR14, input wire VAR46, input wire VAR98, input wire [VAR12-1:0] VAR72, input wire [VAR38-1:0] VAR41, input wire VAR26, output wire VAR43, input wire VAR75, input wire VAR108, output wire [VAR12-1:0] VAR79, output wire [VAR38-1:0] VAR133, output wire VAR116, input wire VAR6, output wire VAR10, output wire VAR36, input wire VAR121, input wire [3:0] VAR35, input wire VAR51, output wire VAR1, output wire [3:0] VAR105, output wire VAR77, output wire VAR19, output wire VAR22, output wire VAR71, output wire VAR129, output wire VAR60, output wire VAR81, output wire VAR3, output wire VAR32, output wire VAR2, output wire [1:0] VAR106, input wire [7:0] VAR92 ); wire VAR13; wire VAR96; wire VAR114; wire VAR127; wire [7:0] VAR94; wire VAR54; wire VAR48; wire VAR57; wire VAR122; wire [7:0] VAR80; wire VAR86; wire VAR125; wire VAR107; wire VAR39; reg [0:0] VAR42 = 1'b0; reg [0:0] VAR83 = 1'b0; reg [0:0] VAR58 = 1'b0; reg [0:0] VAR118 = 1'b0; assign VAR19 = VAR58[0] ^ VAR118[0]; always @(posedge VAR13 or posedge VAR114) begin if (VAR114) begin VAR42 <= 1'b0; end else begin VAR42 <= VAR42 ^ {VAR39}; end end always @(posedge VAR46 or posedge VAR98) begin if (VAR98) begin VAR83 <= 1'b0; VAR58 <= 1'b0; VAR118 <= 1'b0; end else begin VAR83 <= VAR42; VAR58 <= VAR83; VAR118 <= VAR58; end end wire VAR100; wire VAR97; reg [1:0] VAR67 = 2'd0; reg [1:0] VAR45 = 2'd0; reg [1:0] VAR99 = 2'd0; reg [1:0] VAR124 = 2'd0; assign VAR60 = VAR99[0] ^ VAR124[0]; assign VAR81 = VAR99[1] ^ VAR124[1]; always @(posedge VAR96 or posedge VAR127) begin if (VAR127) begin VAR67 <= 2'd0; end else begin VAR67 <= VAR67 ^ {VAR97, VAR100}; end end always @(posedge VAR46 or posedge VAR98) begin if (VAR98) begin VAR45 <= 2'd0; VAR99 <= 2'd0; VAR124 <= 2'd0; end else begin VAR45 <= VAR67; VAR99 <= VAR45; VAR124 <= VAR99; end end wire [1:0] VAR113; reg [1:0] VAR135 = 2'b10; reg [1:0] VAR33 = 2'b10; assign VAR106 = VAR33; always @(posedge VAR46) begin VAR135 <= VAR113; VAR33 <= VAR135; end VAR104 #( .VAR15(VAR15), .VAR9(VAR9), .VAR89(VAR89), .VAR20(VAR20), .VAR134(VAR134), .VAR109(VAR109) ) VAR50 ( .VAR21(VAR21), .VAR4(VAR4), .VAR14(VAR14), .VAR13(VAR13), .VAR114(VAR114), .VAR96(VAR96), .VAR127(VAR127), .VAR72(VAR94), .VAR26(VAR54), .VAR43(VAR48), .VAR75(VAR57), .VAR108(VAR122), .VAR79(VAR80), .VAR116(VAR86), .VAR10(VAR125), .VAR36(VAR107), .VAR121(VAR121), .VAR35(VAR35), .VAR51(VAR51), .VAR1(VAR1), .VAR105(VAR105), .VAR77(VAR77), .VAR19(VAR39), .VAR60(VAR100), .VAR81(VAR97), .VAR106(VAR113), .VAR92(VAR92) ); VAR111 #( .VAR65(VAR76), .VAR68(VAR12), .VAR132(VAR115), .VAR112(VAR38), .VAR52(8), .VAR49(0), .VAR74(0), .VAR103(0), .VAR56(1), .VAR40(1), .VAR126(VAR25), .VAR64(VAR5), .VAR128(1'b1), .VAR61(1'b1), .VAR11(VAR131), .VAR91(VAR69), .VAR87(VAR101) ) VAR18 ( .VAR16(VAR46), .VAR59(VAR98), .VAR24(VAR72), .VAR123(VAR41), .VAR62(VAR26), .VAR37(VAR43), .VAR17(VAR75), .VAR117(0), .VAR66(0), .VAR29(VAR108), .VAR7(VAR13), .VAR119(VAR114), .VAR85(VAR94), .VAR130(), .VAR34(VAR54), .VAR88(VAR48), .VAR93(VAR57), .VAR47(), .VAR95(), .VAR102(VAR122), .VAR30(VAR22), .VAR73(VAR71), .VAR53(VAR129), .VAR23(), .VAR63(), .VAR120() ); VAR111 #( .VAR65(VAR28), .VAR68(8), .VAR132(0), .VAR52(VAR12), .VAR49(VAR115), .VAR27(VAR38), .VAR74(0), .VAR103(0), .VAR56(1), .VAR40(1), .VAR126(VAR44), .VAR64(VAR90), .VAR128(1'b1), .VAR61(1'b1), .VAR11(VAR70), .VAR91(VAR110), .VAR87(VAR8) ) VAR31 ( .VAR16(VAR96), .VAR59(VAR127), .VAR24(VAR80), .VAR123(0), .VAR62(VAR86), .VAR37(), .VAR17(VAR125), .VAR117(0), .VAR66(0), .VAR29(VAR107), .VAR7(VAR46), .VAR119(VAR98), .VAR85(VAR79), .VAR130(VAR133), .VAR34(VAR116), .VAR88(VAR6), .VAR93(VAR10), .VAR47(), .VAR95(), .VAR102(VAR36), .VAR30(), .VAR73(), .VAR53(), .VAR23(VAR3), .VAR63(VAR32), .VAR120(VAR2) ); endmodule
mit
sh-chris110/chris
FPGA/chris.sdram.ok/db/ip/soc_design/submodules/soc_design_mm_interconnect_0_avalon_st_adapter_006.v
6,176
module MODULE1 #( parameter VAR11 = 18, parameter VAR16 = 0, parameter VAR5 = 18, parameter VAR6 = 0, parameter VAR8 = 0, parameter VAR9 = 0, parameter VAR25 = 1, parameter VAR7 = 1, parameter VAR18 = 0, parameter VAR13 = 18, parameter VAR2 = 0, parameter VAR10 = 1, parameter VAR3 = 0, parameter VAR17 = 1, parameter VAR4 = 1, parameter VAR14 = 0 ) ( input wire VAR20, input wire VAR15, input wire [17:0] VAR22, input wire VAR19, output wire VAR12, output wire [17:0] VAR24, output wire VAR21, input wire VAR23, output wire [0:0] VAR1 ); generate if (VAR11 != 18) begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/util_pmod_adc/util_pmod_adc.v
10,893
module MODULE1 ( clk, reset, VAR21, VAR1, VAR14, VAR11, VAR26, VAR10, VAR9, VAR37 ); parameter VAR39 = 100; parameter VAR23 = 100; parameter VAR8 = 650; parameter VAR35 = 60; parameter VAR25 = 12; parameter VAR7 = 3; parameter VAR22 = 16; localparam VAR15 = 0; localparam VAR5 = 1; localparam VAR4 = 2; localparam VAR31 = 3; localparam VAR32 = 4; localparam VAR27 = 5; localparam VAR19 = 6; localparam VAR2 = 7; localparam [15:0] VAR24 = 1000 / VAR39; localparam [15:0] VAR16 = VAR23 / VAR24; localparam [15:0] VAR17 = VAR8 / VAR24; localparam [15:0] VAR30 = VAR35 / VAR24; input clk; input reset; output [15:0] VAR21; output VAR1; output VAR14; output [24:0] VAR11; input VAR26; output VAR10; output VAR9; output VAR37; reg [15:0] VAR21 = 16'b0; reg VAR1 = 1'b0; reg [24:0] VAR11 = 25'b0; reg [ 2:0] VAR28 = 3'b0; reg [ 2:0] VAR20 = 3'b0; reg [15:0] VAR13 = 16'b0; reg [15:0] VAR38 = 16'b0; reg [15:0] VAR29 = 16'b0; reg [15:0] VAR18 = 16'b0; reg [15:0] VAR33 = 16'b0; reg [7:0] VAR34 = 8'h0; reg VAR37 = 1'b1; reg VAR3 = 1'b0; reg VAR9 = 1'b1; reg VAR36 = 1'b0; reg VAR6 = 1'b0; reg VAR12 = 1'b0; assign VAR10 = VAR12 & VAR3; assign VAR14 = 1'b1; always @(posedge clk) begin VAR34 <= VAR34 + 1; if (VAR34 == ((VAR22/2)-1)) begin VAR34 <= 0; VAR12 <= ~VAR12; end end always @(posedge clk) begin if(reset == 1'b1) begin VAR13 <= VAR16; VAR38 <= VAR17; VAR29 <= VAR30; end else begin if(VAR28 == VAR31) begin VAR13 <= VAR13 - 1; end else begin VAR13 <= VAR16; end if((VAR28 == VAR31) || (VAR28 == VAR32)) begin VAR38 <= VAR38 - 1; end else begin VAR38 <= VAR17; end if(VAR28 == VAR2) begin VAR29 <= VAR29 - 1; end else begin VAR29 <= VAR30; end end end always @(negedge VAR12) begin VAR3 <= ((VAR28 == VAR27) && (VAR18 != 0)) ? 1'b1 : 1'b0; end always @(negedge VAR12) begin VAR33 <= VAR18; if((VAR3 == 1'b1) && (VAR18 != 0)) begin VAR21 <= {3'b0, VAR21[11:0], VAR26}; if ((VAR36 == 1'b1) && (VAR18 == VAR25 - VAR7 + 1)) begin VAR18 <= 16'b0; end else begin VAR18 <= VAR18 - 1; end end else if(VAR28 != VAR27) begin VAR21 <= 16'h0; VAR18 <= VAR25 - 1; end end always @(posedge clk) begin if(reset == 1'b1) begin VAR28 <= VAR5; VAR11 <= 1'b0; end else begin VAR28 <= VAR20; VAR11 <= {VAR28, VAR3, VAR18}; case (VAR28) VAR15: begin VAR37 <= 1'b1; VAR9 <= 1'b1; VAR1 <= 1'b0; VAR36 <= 1'b0; end VAR5: begin VAR37 <= 1'b1; VAR9 <= 1'b1; VAR1 <= 1'b0; VAR36 <= 1'b1; end VAR4: begin VAR37 <= 1'b1; VAR9 <= 1'b1; VAR1 <= 1'b0; VAR36 <= 1'b0; end VAR31: begin VAR37 <= 1'b0; VAR9 <= 1'b1; VAR1 <= 1'b0; end VAR32: begin VAR37 <= 1'b1; VAR9 <= 1'b1; VAR1 <= 1'b0; end VAR27: begin VAR37 <= 1'b1; VAR9 <= 1'b0; VAR1 <= 1'b0; end VAR19: begin VAR37 <= 1'b1; VAR9 <= 1'b0; VAR1 <= 1'b1; end VAR2: begin VAR37 <= 1'b1; VAR9 <= 1'b1; VAR1 <= 1'b0; end endcase end end always @(VAR28, VAR13, VAR38, VAR33, VAR29, VAR36) begin VAR20 <= VAR28; case (VAR28) VAR15: begin if(VAR36 == 1'b1) begin VAR20 <= VAR5; end end VAR5: begin VAR20 <= VAR31; end VAR4: begin VAR20 <= VAR31; end VAR31: begin if(VAR13 == 0) begin VAR20 <= VAR32; end end VAR32: begin if(VAR38 == 0) begin VAR20 <= VAR27; end end VAR27: begin if(VAR33 == 0) begin VAR20 <= VAR19; end end VAR19: begin VAR20 <= VAR2; end VAR2: begin if(VAR29 == 0) begin VAR20 <= VAR4; end end default: begin VAR20 <= VAR4; end endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nor3b/sky130_fd_sc_hs__nor3b.symbol.v
1,305
module MODULE1 ( input VAR2 , input VAR1 , input VAR5, output VAR4 ); supply1 VAR3; supply0 VAR6; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/fah/sky130_fd_sc_lp__fah.symbol.v
1,296
module MODULE1 ( input VAR7 , input VAR8 , input VAR6 , output VAR3, output VAR4 ); supply1 VAR2; supply0 VAR5; supply1 VAR1 ; supply0 VAR9 ; endmodule
apache-2.0
sirchuckalot/zet-ng
rtl/zet.v
3,129
module MODULE1 ( input VAR5, input VAR35, input [15:0] VAR22, output [19:1] VAR38, output [ 1:0] VAR3, output VAR26, output VAR34, input VAR43, input [15:0] VAR32, output [15:0] VAR17, output [19:1] VAR8, output VAR40, output VAR4, output [ 1:0] VAR42, output VAR24, output VAR30, input VAR21, input VAR33, output VAR7, input [ 3:0] VAR37, output [19:0] VAR39 ); wire [19:0] VAR19; wire [15:0] VAR16; wire [15:0] VAR9; wire VAR31; wire VAR44; wire VAR2; wire VAR25; wire VAR27; VAR23 VAR11 ( .clk (VAR5), .rst (VAR35), .VAR22(VAR22), .VAR38(VAR38), .VAR3(VAR3), .VAR26(VAR26), .VAR34(VAR34), .VAR43(VAR43), .VAR12 (VAR19), .VAR9 (VAR16), .VAR16 (VAR9), .VAR15 (VAR31), .VAR13 (VAR44), .VAR36 (VAR2), .VAR29 (VAR25), .VAR18 (VAR27), .VAR33 (VAR33), .VAR7 (VAR7), .VAR37 (VAR37) ); VAR10 VAR14 ( .clk (VAR5), .rst (VAR35), .VAR28 (16'b0), .VAR41 (), .VAR6 (1'b0), .VAR1 (1'b0), .VAR20 (), .VAR19 (VAR19), .VAR16 (VAR16), .VAR9 (VAR9), .VAR31 (VAR31), .VAR44 (VAR44), .VAR2 (VAR2), .VAR25 (VAR25), .VAR27 (VAR27), .VAR32 (VAR32), .VAR17 (VAR17), .VAR8 (VAR8), .VAR40 (VAR40), .VAR4 (VAR4), .VAR42 (VAR42), .VAR24 (VAR24), .VAR30 (VAR30), .VAR21 (VAR21) ); assign VAR39 = VAR38; endmodule
gpl-3.0
James534/SubZero
SubZero/fpga/fpga_hw/top_level/DE0_Nano_SOPC/synthesis/submodules/altera_avalon_st_clock_crosser.v
5,027
module MODULE1( VAR3, VAR32, VAR2, VAR1, VAR26, VAR30, VAR20, VAR24, VAR19, VAR4 ); parameter VAR22 = 1; parameter VAR21 = 8; parameter VAR13 = 2; parameter VAR12 = 2; parameter VAR17 = 1; localparam VAR25 = VAR22 * VAR21; input VAR3; input VAR32; output VAR2; input VAR1; input [VAR25-1:0] VAR26; input VAR30; input VAR20; input VAR24; output VAR19; output [VAR25-1:0] VAR4; reg [VAR25-1:0] VAR9; reg [VAR25-1:0] VAR28; reg VAR23; wire VAR14; wire VAR6; reg VAR10; wire VAR16; wire VAR11; wire VAR5; wire VAR27; assign VAR2 = ~(VAR14 ^ VAR23); assign VAR16 = VAR1 & VAR2; assign VAR5 = VAR6 ^ VAR10; assign VAR11 = VAR27 & VAR5; always @(posedge VAR3 or posedge VAR32) begin if (VAR32) begin VAR9 <= 'b0; VAR23 <= 1'b0; end else begin if (VAR16) begin VAR23 <= ~VAR23; VAR9 <= VAR26; end end end always @(posedge VAR30 or posedge VAR20) begin if (VAR20) begin VAR10 <= 1'b0; VAR28 <= 'b0; end else begin VAR28 <= VAR9; if (VAR11) begin VAR10 <= VAR6; end end end VAR15 #(.VAR7(VAR13)) VAR33 ( .clk(VAR30), .VAR29(~VAR20), .din(VAR23), .dout(VAR6) ); VAR15 #(.VAR7(VAR12)) VAR8 ( .clk(VAR3), .VAR29(~VAR32), .din(VAR10), .dout(VAR14) ); generate if (VAR17 == 1) begin VAR18 .VAR21(VAR21), .VAR22(VAR22) ) VAR31 ( .clk(VAR30), .reset(VAR20), .VAR2(VAR27), .VAR1(VAR5), .VAR26(VAR28), .VAR24(VAR24), .VAR19(VAR19), .VAR4(VAR4) ); end else begin assign VAR19 = VAR5; assign VAR27 = VAR24; assign VAR4 = VAR28; end endgenerate endmodule
mit
scalable-networks/ext
uhd/fpga/usrp2/fifo/fifo19_pad.v
2,400
module MODULE1 parameter VAR13=0) (input clk, input reset, input VAR10, input [18:0] VAR11, input VAR2, output VAR15, output [18:0] VAR8, output VAR16, input VAR6); reg [15:0] VAR4; reg [1:0] VAR3; localparam VAR12 = 0; localparam VAR1 = 1; localparam VAR5 = 2; localparam VAR9 = 3; always @(posedge clk) if(reset | VAR10) VAR3 <= VAR12; else case(VAR3) VAR12 : begin VAR4 <= 1; VAR3 <= VAR1; end VAR1 : if(VAR2 & VAR6) begin VAR4 <= VAR4 + 1; if(VAR11[17]) VAR3 <= VAR9; end else if(VAR4 == (VAR7-1)) VAR3 <= VAR5; end VAR9 : if(VAR6) begin VAR4 <= VAR4 + 1; if(VAR4 == VAR7) VAR3 <= VAR12; end VAR5 : if(VAR2 & VAR6 & VAR11[17]) VAR3 <= VAR12; endcase wire VAR14 = (VAR3 == VAR1) | (VAR3 == VAR5); assign VAR15 = VAR14 ? VAR6 : 1'b0; assign VAR16 = VAR14 ? VAR2 : (VAR3 == VAR9); assign VAR8[15:0] = (VAR3 == VAR9) ? VAR13 : VAR11[15:0]; assign VAR8[16] = (VAR4 == 1); assign VAR8[17] = (VAR3 == VAR5) ? VAR11[17] : (VAR4 == VAR7); assign VAR8[18] = (VAR3 == VAR5) ? VAR11[18] : 1'b0; endmodule
gpl-2.0
eda-globetrotter/MarcheProcessor
src/hazard_detect.v
37,697
module MODULE1(VAR4, VAR6, VAR2, VAR3, VAR7, VAR1, VAR5); input [0:31] VAR4, VAR6, VAR2; output VAR3, VAR7, VAR1, VAR5; reg VAR3, VAR7, VAR1, VAR5; parameter VAR8 = 1'b0; parameter VAR9 = 1'b1; always @ (VAR4 or VAR2) begin if (VAR4[2] == 1'b1) begin if (VAR2[2] == 1'b1) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end end else if (VAR2[4]==1'b1) begin VAR3 <= VAR8; VAR7<=VAR8; end else if (VAR2[5]==1'b1) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else begin VAR3 <= VAR8; VAR7<=VAR8; end end else if (VAR4[3] == 1'b1) begin if ({VAR4[26:28], VAR4[31]} == 4'b0101) begin if (VAR2[2] == 1'b1) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end end else if (VAR2[4]==1'b1) begin VAR3 <= VAR8; VAR7<=VAR8; end else if (VAR2[5]==1'b1) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else begin VAR3 <= VAR8; VAR7<=VAR8; end end else if (VAR4[26:31]==6'b001000) begin if (VAR2[2] == 1'b1) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end end else if (VAR2[4]==1'b1) begin VAR3 <= VAR8; VAR7<=VAR8; end else if (VAR2[5]==1'b1) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7<=VAR8; end else begin VAR3 <= VAR8; VAR7<=VAR8; end end else begin if (VAR2[2] == 1'b1) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= (VAR4[16:20]==VAR2[6:10])? VAR9 : VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= (VAR4[16:20]==VAR2[6:10])? VAR9 : VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= (VAR4[16:20]==VAR2[6:10])? VAR9 : VAR8; end else begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= (VAR4[16:20]==VAR2[6:10])? VAR9 : VAR8; end end else if (VAR2[4]==1'b1) begin VAR3 <= VAR8; VAR7 <= VAR8; end else if (VAR2[5]==1'b1) begin VAR3 <= (VAR4[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= (VAR4[16:20]==VAR2[6:10])? VAR9 : VAR8; end else begin VAR3 <= VAR8; VAR7 <= VAR8; end end end else if (VAR4[4]==1'b1) begin if (VAR2[2] == 1'b1) begin VAR3 <= (VAR4[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR3 <= (VAR4[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR3 <= (VAR4[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= VAR8; end else begin VAR3 <= (VAR4[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= VAR8; end end else if (VAR2[4]==1'b1) begin VAR3 <= VAR8; VAR7 <= VAR8; end else if (VAR2[5]==1'b1) begin VAR3 <= (VAR4[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR7 <= VAR8; end else begin VAR3 <= VAR8; VAR7 <= VAR8; end end else if (VAR4[5]==1'b1) begin VAR3 <= VAR8; VAR7 <= VAR8; end else begin VAR3 <= VAR8; VAR7 <= VAR8; end end always @ (VAR6 or VAR2) begin if (VAR6[2] == 1'b1) begin if (VAR2[2] == 1'b1) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end end else if (VAR2[4]==1'b1) begin VAR1 <= VAR8; VAR5 <= VAR8; end else if (VAR2[5]==1'b1) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else begin VAR1 <= VAR8; VAR5 <= VAR8; end end else if (VAR6[3] == 1'b1) begin if ({VAR6[26:28], VAR6[31]} == 4'b0101) begin if (VAR2[2] == 1'b1) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end end else if (VAR2[4]==1'b1) begin VAR1 <= VAR8; VAR5 <= VAR8; end else if (VAR2[5]==1'b1) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else begin VAR1 <= VAR8; VAR5 <= VAR8; end end else if (VAR6[26:31]==6'b001000) begin if (VAR2[2] == 1'b1) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end end else if (VAR2[4]==1'b1) begin VAR1 <= VAR8; VAR5 <= VAR8; end else if (VAR2[5]==1'b1) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else begin VAR1 <= VAR8; VAR5 <= VAR8; end end else begin if (VAR2[2] == 1'b1) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= (VAR6[16:20]==VAR2[6:10])? VAR9 : VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= (VAR6[16:20]==VAR2[6:10])? VAR9 : VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= (VAR6[16:20]==VAR2[6:10])? VAR9 : VAR8; end else begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= (VAR6[16:20]==VAR2[6:10])? VAR9 : VAR8; end end else if (VAR2[4]==1'b1) begin VAR1 <= VAR8; VAR5 <= VAR8; end else if (VAR2[5]==1'b1) begin VAR1 <= (VAR6[11:15]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= (VAR6[16:20]==VAR2[6:10])? VAR9 : VAR8; end else begin VAR1 <= VAR8; VAR5 <= VAR8; end end end else if (VAR6[4]==1'b1) begin if (VAR2[2] == 1'b1) begin VAR1 <= (VAR6[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else if (VAR2[3] == 1'b1) begin if ({VAR2[26:28], VAR2[31]} == 4'b0101) begin VAR1 <= (VAR6[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else if (VAR2[26:31]==6'b001000) begin VAR1 <= (VAR6[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else begin VAR1 <= (VAR6[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end end else if (VAR2[4]==1'b1) begin VAR1 <= VAR8; VAR5 <= VAR8; end else if (VAR2[5]==1'b1) begin VAR1 <= (VAR6[6:10]==VAR2[6:10])? VAR9 : VAR8; VAR5 <= VAR8; end else begin VAR1 <= VAR8; VAR5 <= VAR8; end end else if (VAR6[5]==1'b1) begin VAR1 <= VAR8; VAR5 <= VAR8; end else begin VAR1 <= VAR8; VAR5 <= VAR8; end end endmodule
mit
csail-csg/riscy-OOO
procs/asic/bluespec_verilog/ConfigRegUN.v
1,842
module MODULE1(VAR2, VAR3, VAR6, VAR1); parameter VAR4 = 1; input VAR2; input VAR3; input [VAR4 - 1 : 0] VAR6; output [VAR4 - 1 : 0] VAR1; reg [VAR4 - 1 : 0] VAR1; VAR1 = {((VAR4 + 1)/2){2'b10}} ; end always@(posedge VAR2) begin if (VAR3) VAR1 <= VAR5 VAR6; end endmodule
mit
phisiart/tvm
verilog/tvm_vpi_mem_interface.v
1,735
module MODULE1 parameter VAR11 = 8, parameter VAR8 = 8, parameter VAR2 = 32, parameter VAR5 = 32 ) ( input clk, input rst, input VAR16, output [VAR11-1:0] VAR3, output VAR14, input VAR1, input [VAR8-1:0] VAR18, output VAR13, input VAR12, input [VAR2-1:0] VAR19, input [VAR5-1:0] VAR4, input VAR6, input [VAR2-1:0] VAR17, input [VAR5-1:0] VAR15 ); reg [VAR11-1:0] VAR7; reg VAR9; reg VAR10; assign VAR3 = VAR7; assign VAR14 = VAR9; assign VAR13 = VAR10; endmodule
apache-2.0
sergev/vak-opensource
hardware/s3esk-openrisc/or1200/or1200_sprs.v
16,814
module MODULE1( clk, rst, VAR86, VAR1, flag, VAR85, VAR53, VAR61, VAR14, VAR46, VAR19, VAR7, VAR27, VAR11, VAR9, VAR24, VAR56, VAR65, VAR72, VAR29, VAR10, VAR20, VAR50, VAR76, VAR71, VAR73, VAR44, VAR33, VAR26, VAR45, VAR59, VAR67, VAR21, VAR57, VAR4, VAR15, VAR38, VAR13, VAR5, VAR55, VAR75, VAR54, VAR52, VAR80, VAR23 ); parameter VAR74 = VAR42; input clk; input rst; input VAR86; input VAR1; output flag; input VAR85; input VAR53; output VAR61; input [VAR74-1:0] VAR14; input [15:0] VAR46; input [VAR74-1:0] VAR19; input [VAR83-1:0] VAR7; input [VAR16-1:0] VAR27; input [VAR74-1:0] VAR11; input [VAR74-1:0] VAR9; input [VAR70-1:0] VAR24; input VAR56; output [VAR74-1:0] VAR65; output VAR72; output VAR29; output VAR10; output VAR20; output VAR50; output [VAR70-1:0] VAR76; output [VAR70-1:0] VAR71; input [31:0] VAR73; input [31:0] VAR44; input [31:0] VAR33; input [31:0] VAR26; input [31:0] VAR45; input [31:0] VAR59; input [31:0] VAR67; input [31:0] VAR21; input [31:0] VAR57; input [31:0] VAR4; input [31:0] VAR15; output [31:0] VAR38; output [31:0] VAR13; output [31:0] VAR5; output VAR55; input [VAR74-1:0] VAR75; input [VAR74-1:0] VAR54; input VAR52; input VAR80; output [VAR74-1:0] VAR23; reg [VAR70-1:0] VAR71; reg VAR41; reg VAR3; reg [VAR74-1:0] VAR65; wire VAR68; wire VAR48; wire VAR64; wire VAR78; wire VAR32; wire VAR25; wire VAR66; wire VAR40; wire [31:0] VAR12; wire VAR82; wire [VAR83-1:0] VAR36; reg [31:0] VAR63; assign VAR82 = VAR52 | VAR80; assign VAR36 = VAR80 ? VAR62 : VAR52 ? VAR31 : VAR7; assign VAR38 = VAR82 ? VAR75 : VAR14 | {16'h0000, VAR46}; assign VAR13 = VAR80 ? VAR54 : VAR19; assign VAR23 = VAR80 ? VAR54 : VAR52 ? VAR65 : VAR19; assign VAR55 = VAR80 | VAR41; assign VAR5 = VAR63 & {32{VAR3 | VAR41}}; always @(VAR38) case (VAR38[VAR37]) VAR47'd00: VAR63 = 32'b00000000000000000000000000000001; endcase assign VAR76[VAR69:VAR17] = (VAR27 == VAR79) ? VAR24[VAR69:VAR17] : (VAR41 && VAR32) ? {1'b1, VAR13[VAR69-1:VAR17]}: VAR71[VAR69:VAR17]; assign VAR76[VAR35] = (VAR27 == VAR79) ? VAR24[VAR35] : VAR53 ? VAR85 : (VAR41 && VAR32) ? VAR13[VAR35] : VAR71[VAR35]; assign VAR76[VAR51] = (VAR27 == VAR79) ? VAR24[VAR51] : VAR1 ? VAR86 : (VAR41 && VAR32) ? VAR13[VAR51] : VAR71[VAR51]; assign VAR76[VAR81:VAR84] = (VAR27 == VAR79) ? VAR24[VAR81:VAR84] : (VAR41 && VAR32) ? VAR13[VAR81:VAR84]: VAR71[VAR81:VAR84]; assign VAR68 = (VAR5[VAR18] && (VAR38[10:4] == VAR2)); assign VAR48 = (VAR5[VAR18] && (VAR38[10:5] == VAR8)); assign VAR64 = (VAR5[VAR18] && (VAR38[10:0] == VAR43)); assign VAR78 = (VAR5[VAR18] && (VAR38[10:0] == VAR60)); assign VAR32 = (VAR5[VAR18] && (VAR38[10:0] == VAR6)); assign VAR25 = (VAR5[VAR18] && (VAR38[10:0] == VAR28)); assign VAR66 = (VAR5[VAR18] && (VAR38[10:0] == VAR77)); assign VAR40 = (VAR5[VAR18] && (VAR38[10:0] == VAR34)); assign VAR50 = (VAR41 && VAR32) | (VAR27 == VAR79) | VAR1 | VAR53; assign VAR20 = (VAR41 && (VAR64 | VAR78)); assign VAR72 = (VAR41 && VAR25); assign VAR29 = (VAR41 && VAR66); assign VAR10 = (VAR41 && VAR40); assign VAR12 = (VAR73 & {32{VAR3 & VAR68}}) | (VAR44 & {32{VAR3 & VAR48}}) | (VAR33 & {32{VAR3 & VAR64}}) | (VAR26 & {32{VAR3 & VAR78}}) | ({{32-VAR70{1'b0}},VAR71} & {32{VAR3 & VAR32}}) | (VAR11 & {32{VAR3 & VAR25}}) | (VAR9 & {32{VAR3 & VAR66}}) | ({{32-VAR70{1'b0}},VAR24} & {32{VAR3 & VAR40}}); assign flag = VAR71[VAR51]; assign VAR61 = VAR71[VAR35]; always @(posedge clk or posedge rst) if (rst) VAR71 <= {1'b1, {VAR70-2{1'b0}}, 1'b1}; else if (VAR56) begin VAR71[VAR84] <= 1'b1; VAR71[VAR39] <= 1'b0; VAR71[VAR22] <= 1'b0; VAR71[VAR30] <= 1'b0; VAR71[VAR58] <= 1'b0; end else if (VAR50) VAR71 <= VAR76[VAR70-1:0]; always @(VAR36 or VAR38 or VAR12 or VAR45 or VAR59 or VAR21 or VAR57 or VAR4 or VAR15 or VAR67) begin case (VAR36) VAR62 : begin VAR41 = 1'b1; VAR3 = 1'b0; VAR65 = 32'b0; end casex (VAR38[VAR37]) VAR49: VAR65 = VAR67; VAR65 = VAR59; VAR65 = VAR21; VAR65 = VAR57; VAR65 = VAR4; VAR65 = VAR45; VAR65 = VAR15; VAR65 = VAR12; default: VAR65 = 32'b0; endcase VAR41 = 1'b0; VAR3 = 1'b1; end default : begin VAR41 = 1'b0; VAR3 = 1'b0; VAR65 = 32'b0; end endcase end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o2bb2a/sky130_fd_sc_lp__o2bb2a.functional.pp.v
2,171
module MODULE1 ( VAR8 , VAR6, VAR5, VAR19 , VAR18 , VAR16, VAR17, VAR3 , VAR14 ); output VAR8 ; input VAR6; input VAR5; input VAR19 ; input VAR18 ; input VAR16; input VAR17; input VAR3 ; input VAR14 ; wire VAR13 ; wire VAR12 ; wire VAR2 ; wire VAR4; nand VAR11 (VAR13 , VAR5, VAR6 ); or VAR9 (VAR12 , VAR18, VAR19 ); and VAR10 (VAR2 , VAR13, VAR12 ); VAR1 VAR15 (VAR4, VAR2, VAR16, VAR17); buf VAR7 (VAR8 , VAR4 ); endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/cores/axi_cfg_register_v1_0/src/axi_cfg_register.v
5,253
module MODULE1 # ( parameter integer VAR56 = 1024, parameter integer VAR43 = 32, parameter integer VAR21 = 32, parameter integer VAR42 = 0 ) ( input wire VAR5, input wire VAR23, output wire [VAR56-1:0] VAR38, input wire [VAR21-1:0] VAR47, input wire VAR9, output wire VAR15, input wire [VAR43-1:0] VAR22, input wire [VAR43/8-1:0] VAR19, input wire VAR55, output wire VAR49, output wire [1:0] VAR1, output wire VAR57, input wire VAR17, input wire [VAR21-1:0] VAR51, input wire VAR35, output wire VAR50, output wire [VAR43-1:0] VAR11, output wire [1:0] VAR53, output wire VAR33, input wire VAR36 ); function integer VAR27 (input integer VAR37); for(VAR27 = 0; VAR37 > 0; VAR27 = VAR27 + 1) VAR37 = VAR37 >> 1; endfunction localparam integer VAR31 = VAR27(VAR43/8 - 1); localparam integer VAR52 = VAR56/VAR43; localparam integer VAR34 = VAR52 > 1 ? VAR27(VAR52-1) : 1; reg VAR6, VAR39; reg VAR14, VAR48; reg VAR8, VAR4; reg VAR3, VAR25; reg VAR41, VAR54; reg [VAR43-1:0] VAR26, VAR2; wire [VAR43-1:0] VAR12 [VAR52-1:0]; wire [VAR56-1:0] VAR45; wire [VAR52-1:0] VAR29; wire VAR32; genvar VAR44, VAR18; assign VAR32 = VAR9 & VAR55; generate for(VAR44 = 0; VAR44 < VAR52; VAR44 = VAR44 + 1) begin : VAR10 assign VAR12[VAR44] = VAR45[VAR44*VAR43+VAR43-1:VAR44*VAR43]; assign VAR29[VAR44] = VAR32 & (VAR47[VAR31+VAR34-1:VAR31] == VAR44); for(VAR18 = 0; VAR18 < VAR43; VAR18 = VAR18 + 1) begin : VAR28 VAR40 #( .VAR13(1'b0) ) VAR16 ( .VAR46(VAR29[VAR44] & VAR19[VAR18/8]), .VAR30(VAR5), .VAR24(~VAR23), .VAR20(VAR22[VAR18]), .VAR7(VAR45[VAR44*VAR43 + VAR18]) ); end end endgenerate always @(posedge VAR5) begin if(~VAR23) begin VAR6 <= 1'b0; VAR14 <= 1'b0; VAR8 <= 1'b0; VAR3 <= 1'b0; VAR41 <= 1'b0; VAR26 <= {(VAR43){VAR42}}; end else begin VAR6 <= VAR39; VAR14 <= VAR48; VAR8 <= VAR4; VAR3 <= VAR25; VAR41 <= VAR54; VAR26 <= VAR2; end end always @* begin VAR39 = VAR6; VAR48 = VAR14; VAR4 = VAR8; if(VAR32 & ~VAR6) begin VAR39 = 1'b1; VAR48 = 1'b1; end if(VAR6) begin VAR39 = 1'b0; VAR48 = 1'b0; VAR4 = 1'b1; end if(VAR17 & VAR8) begin VAR4 = 1'b0; end end always @* begin VAR25 = VAR3; VAR54 = VAR41; VAR2 = VAR26; if(VAR35) begin VAR25 = 1'b1; VAR54 = 1'b1; VAR2 = VAR12[VAR51[VAR31+VAR34-1:VAR31]]; end if(VAR3) begin VAR25 = 1'b0; end if(VAR36 & VAR41) begin VAR54 = 1'b0; end end assign VAR38 = VAR45; assign VAR1 = 2'd0; assign VAR53 = 2'd0; assign VAR15 = VAR6; assign VAR49 = VAR14; assign VAR57 = VAR8; assign VAR50 = VAR3; assign VAR11 = VAR26; assign VAR33 = VAR41; endmodule
gpl-3.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v
2,357
module MODULE1 (input VAR11 ,input VAR1 ,output VAR13 ); logic VAR5, VAR9; VAR15 #(.VAR3(1)) VAR14 (.VAR16(VAR5),.VAR8(VAR9),. VAR2(VAR13)); VAR12 #(.VAR3(1),.VAR6(0)) VAR7 (.VAR11(VAR11),.VAR1(VAR1),.VAR10(~VAR5),.VAR17(VAR5)); VAR12 #(.VAR3(1),.VAR6(0)) VAR4 (.VAR11(~VAR11),.VAR1(VAR1),.VAR10(~VAR9),.VAR17(VAR9)); begin
bsd-3-clause
civol/HDLRuby
lib/HDLRuby/v_samples/rom.v
7,682
module MODULE1(en,VAR2,addr,VAR1); input[7:0] addr; output reg [7:0] VAR1; always @ (*) begin case(addr) 0: VAR1 <= 0; 1: VAR1 <= 1; 2: VAR1 <= 2; 3: VAR1 <= 3; 4: VAR1 <= 4; 5: VAR1 <= 5; 6: VAR1 <= 6; 7: VAR1 <= 7; 8: VAR1 <= 8; 9: VAR1 <= 9; 10: VAR1 <= 10; 11: VAR1 <= 11; 12: VAR1 <= 12; 13: VAR1 <= 13; 14: VAR1 <= 14; 15: VAR1 <= 15; 16: VAR1 <= 16; 17: VAR1 <= 17; 18: VAR1 <= 18; 19: VAR1 <= 19; 20: VAR1 <= 20; 21: VAR1 <= 21; 22: VAR1 <= 22; 23: VAR1 <= 23; 24: VAR1 <= 16; 25: VAR1 <= 25; 26: VAR1 <= 26; 27: VAR1 <= 27; 28: VAR1 <= 28; 29: VAR1 <= 29; 30: VAR1 <= 30; 31: VAR1 <= 31; 32: VAR1 <= 32; 33: VAR1 <= 33; 34: VAR1 <= 34; 35: VAR1 <= 35; 36: VAR1 <= 36; 37: VAR1 <= 37; 38: VAR1 <= 38; 39: VAR1 <= 39; 40: VAR1 <= 40; 41: VAR1 <= 41; 42: VAR1 <= 42; 43: VAR1 <= 43; 44: VAR1 <= 44; 45: VAR1 <= 45; 46: VAR1 <= 46; 47: VAR1 <= 47; 48: VAR1 <= 48; 49: VAR1 <= 49; 50: VAR1 <= 50; 51: VAR1 <= 51; 52: VAR1 <= 52; 53: VAR1 <= 53; 54: VAR1 <= 54; 55: VAR1 <= 55; 56: VAR1 <= 48; 57: VAR1 <= 57; 58: VAR1 <= 58; 59: VAR1 <= 59; 60: VAR1 <= 60; 61: VAR1 <= 61; 62: VAR1 <= 62; 63: VAR1 <= 63; 64: VAR1 <= 64; 65: VAR1 <= 65; 66: VAR1 <= 66; 67: VAR1 <= 67; 68: VAR1 <= 68; 69: VAR1 <= 69; 70: VAR1 <= 70; 71: VAR1 <= 71; 72: VAR1 <= 72; 73: VAR1 <= 73; 74: VAR1 <= 74; 75: VAR1 <= 75; 76: VAR1 <= 76; 77: VAR1 <= 77; 78: VAR1 <= 78; 79: VAR1 <= 79; 80: VAR1 <= 80; 81: VAR1 <= 81; 82: VAR1 <= 82; 83: VAR1 <= 83; 84: VAR1 <= 84; 85: VAR1 <= 85; 86: VAR1 <= 86; 87: VAR1 <= 87; 88: VAR1 <= 80; 89: VAR1 <= 89; 90: VAR1 <= 90; 91: VAR1 <= 91; 92: VAR1 <= 92; 93: VAR1 <= 93; 94: VAR1 <= 94; 95: VAR1 <= 95; 96: VAR1 <= 96; 97: VAR1 <= 97; 98: VAR1 <= 98; 99: VAR1 <= 99; 100: VAR1 <= 100; 101: VAR1 <= 101; 102: VAR1 <= 102; 103: VAR1 <= 103; 104: VAR1 <= 104; 105: VAR1 <= 105; 106: VAR1 <= 106; 107: VAR1 <= 107; 108: VAR1 <= 108; 109: VAR1 <= 109; 110: VAR1 <= 110; 111: VAR1 <= 111; 112: VAR1 <= 112; 113: VAR1 <= 113; 114: VAR1 <= 114; 115: VAR1 <= 115; 116: VAR1 <= 116; 117: VAR1 <= 117; 118: VAR1 <= 118; 119: VAR1 <= 119; 120: VAR1 <= 112; 121: VAR1 <= 121; 122: VAR1 <= 122; 123: VAR1 <= 123; 124: VAR1 <= 124; 125: VAR1 <= 125; 126: VAR1 <= 126; 127: VAR1 <= 127; 128: VAR1 <= 128; 129: VAR1 <= 129; 130: VAR1 <= 130; 131: VAR1 <= 131; 132: VAR1 <= 132; 133: VAR1 <= 133; 134: VAR1 <= 134; 135: VAR1 <= 135; 136: VAR1 <= 136; 137: VAR1 <= 137; 138: VAR1 <= 138; 139: VAR1 <= 139; 140: VAR1 <= 140; 141: VAR1 <= 141; 142: VAR1 <= 142; 143: VAR1 <= 143; 144: VAR1 <= 144; 145: VAR1 <= 145; 146: VAR1 <= 146; 147: VAR1 <= 147; 148: VAR1 <= 148; 149: VAR1 <= 149; 150: VAR1 <= 150; 151: VAR1 <= 151; 152: VAR1 <= 144; 153: VAR1 <= 153; 154: VAR1 <= 154; 155: VAR1 <= 155; 156: VAR1 <= 156; 157: VAR1 <= 157; 158: VAR1 <= 158; 159: VAR1 <= 159; 160: VAR1 <= 160; 161: VAR1 <= 161; 162: VAR1 <= 162; 163: VAR1 <= 163; 164: VAR1 <= 164; 165: VAR1 <= 165; 166: VAR1 <= 166; 167: VAR1 <= 167; 168: VAR1 <= 168; 169: VAR1 <= 169; 170: VAR1 <= 170; 171: VAR1 <= 171; 172: VAR1 <= 172; 173: VAR1 <= 173; 174: VAR1 <= 174; 175: VAR1 <= 175; 176: VAR1 <= 176; 177: VAR1 <= 177; 178: VAR1 <= 178; 179: VAR1 <= 179; 180: VAR1 <= 180; 181: VAR1 <= 181; 182: VAR1 <= 182; 183: VAR1 <= 183; 184: VAR1 <= 176; 185: VAR1 <= 185; 186: VAR1 <= 186; 187: VAR1 <= 187; 188: VAR1 <= 188; 189: VAR1 <= 189; 190: VAR1 <= 190; 191: VAR1 <= 191; 192: VAR1 <= 192; 193: VAR1 <= 193; 194: VAR1 <= 194; 195: VAR1 <= 195; 196: VAR1 <= 196; 197: VAR1 <= 197; 198: VAR1 <= 198; 199: VAR1 <= 199; 200: VAR1 <= 200; 201: VAR1 <= 201; 202: VAR1 <= 202; 203: VAR1 <= 203; 204: VAR1 <= 204; 205: VAR1 <= 205; 206: VAR1 <= 206; 207: VAR1 <= 207; 208: VAR1 <= 208; 209: VAR1 <= 209; 210: VAR1 <= 210; 211: VAR1 <= 211; 212: VAR1 <= 212; 213: VAR1 <= 213; 214: VAR1 <= 214; 215: VAR1 <= 215; 216: VAR1 <= 208; 217: VAR1 <= 217; 218: VAR1 <= 218; 219: VAR1 <= 219; 220: VAR1 <= 220; 221: VAR1 <= 221; 222: VAR1 <= 222; 223: VAR1 <= 223; 224: VAR1 <= 224; 225: VAR1 <= 225; 226: VAR1 <= 226; 227: VAR1 <= 227; 228: VAR1 <= 228; 229: VAR1 <= 229; 230: VAR1 <= 230; 231: VAR1 <= 231; 232: VAR1 <= 232; 233: VAR1 <= 233; 234: VAR1 <= 234; 235: VAR1 <= 235; 236: VAR1 <= 236; 237: VAR1 <= 237; 238: VAR1 <= 238; 239: VAR1 <= 239; 240: VAR1 <= 240; 241: VAR1 <= 241; 242: VAR1 <= 242; 243: VAR1 <= 243; 244: VAR1 <= 244; 245: VAR1 <= 245; 246: VAR1 <= 246; 247: VAR1 <= 247; 248: VAR1 <= 240; 249: VAR1 <= 249; 250: VAR1 <= 250; 251: VAR1 <= 251; 252: VAR1 <= 252; 253: VAR1 <= 253; 254: VAR1 <= 254; 255: VAR1 <= 255; endcase end endmodule
mit
XCopter-HSU/XCopter
documentations/Bumblebee_Documentation/SoPC/NIOS_MCAPI_Base_v07/soc_system/synthesis/submodules/soc_system_system_pll.v
2,149
module MODULE1( input wire VAR53, input wire rst, output wire VAR61, output wire VAR65, output wire VAR41 ); VAR18 #( .VAR63("false"), .VAR55("50.0 VAR45"), .VAR36("VAR22"), .VAR46(2), .VAR23("125.000000 VAR45"), .VAR56("0 VAR30"), .VAR17(50), .VAR57("25.000000 VAR45"), .VAR3("0 VAR30"), .VAR44(50), .VAR26("0 VAR45"), .VAR31("0 VAR30"), .VAR42(50), .VAR10("0 VAR45"), .VAR40("0 VAR30"), .VAR37(50), .VAR64("0 VAR45"), .VAR38("0 VAR30"), .VAR72(50), .VAR20("0 VAR45"), .VAR34("0 VAR30"), .VAR27(50), .VAR73("0 VAR45"), .VAR69("0 VAR30"), .VAR15(50), .VAR9("0 VAR45"), .VAR49("0 VAR30"), .VAR16(50), .VAR48("0 VAR45"), .VAR32("0 VAR30"), .VAR11(50), .VAR54("0 VAR45"), .VAR8("0 VAR30"), .VAR51(50), .VAR1("0 VAR45"), .VAR21("0 VAR30"), .VAR12(50), .VAR70("0 VAR45"), .VAR62("0 VAR30"), .VAR67(50), .VAR28("0 VAR45"), .VAR60("0 VAR30"), .VAR7(50), .VAR59("0 VAR45"), .VAR19("0 VAR30"), .VAR68(50), .VAR24("0 VAR45"), .VAR29("0 VAR30"), .VAR14(50), .VAR2("0 VAR45"), .VAR5("0 VAR30"), .VAR52(50), .VAR6("0 VAR45"), .VAR33("0 VAR30"), .VAR43(50), .VAR13("0 VAR45"), .VAR35("0 VAR30"), .VAR25(50), .VAR71("VAR50"), .VAR58("VAR50") ) VAR66 ( .rst (rst), .VAR39 ({VAR65, VAR61}), .VAR41 (VAR41), .VAR4 ( ), .VAR47 (1'b0), .VAR53 (VAR53) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a2bb2oi/sky130_fd_sc_ms__a2bb2oi_4.v
2,477
module MODULE2 ( VAR2 , VAR10, VAR1, VAR5 , VAR6 , VAR3, VAR8, VAR11 , VAR7 ); output VAR2 ; input VAR10; input VAR1; input VAR5 ; input VAR6 ; input VAR3; input VAR8; input VAR11 ; input VAR7 ; VAR4 VAR9 ( .VAR2(VAR2), .VAR10(VAR10), .VAR1(VAR1), .VAR5(VAR5), .VAR6(VAR6), .VAR3(VAR3), .VAR8(VAR8), .VAR11(VAR11), .VAR7(VAR7) ); endmodule module MODULE2 ( VAR2 , VAR10, VAR1, VAR5 , VAR6 ); output VAR2 ; input VAR10; input VAR1; input VAR5 ; input VAR6 ; supply1 VAR3; supply0 VAR8; supply1 VAR11 ; supply0 VAR7 ; VAR4 VAR9 ( .VAR2(VAR2), .VAR10(VAR10), .VAR1(VAR1), .VAR5(VAR5), .VAR6(VAR6) ); endmodule
apache-2.0
alexforencich/verilog-ethernet
example/NetFPGA_SUME/fpga/rtl/fpga.v
19,231
module MODULE1 ( input wire VAR61, input wire VAR281, input wire [1:0] VAR212, output wire [1:0] VAR127, output wire [1:0] VAR31, output wire [1:0] VAR232, output wire [1:0] VAR308, output wire [1:0] VAR220, inout wire VAR244, inout wire VAR132, output wire VAR224, input wire VAR315, input wire VAR25, output wire VAR93, output wire VAR210, input wire VAR120, input wire VAR123, output wire VAR221, output wire VAR155, input wire VAR182, input wire VAR26, output wire VAR7, output wire VAR296, input wire VAR144, input wire VAR38, output wire VAR274, output wire VAR330, input wire VAR107, input wire VAR304, output wire VAR130, input wire VAR194, input wire VAR48, input wire VAR21, input wire VAR345, output wire [1:0] VAR106, output wire [1:0] VAR240, output wire [1:0] VAR73, output wire [1:0] VAR27, input wire VAR95, input wire VAR100, input wire VAR3, input wire VAR266, output wire VAR121, output wire VAR156, output wire VAR249, output wire VAR183, input wire VAR290, input wire VAR284, input wire VAR185, input wire VAR42 ); wire VAR137; wire VAR70; wire VAR335; wire VAR241; wire VAR88; wire VAR140; wire VAR252 = 1'b0; wire VAR162; wire VAR261; VAR2 #( .VAR226("VAR160"), .VAR327("VAR160") ) VAR200 ( .VAR135 (VAR137), .VAR90 (VAR61), .VAR99 (VAR281) ); VAR341 #( .VAR231("VAR145"), .VAR47(8), .VAR46(0.5), .VAR86(0), .VAR75(1), .VAR58(0.5), .VAR294(0), .VAR246(1), .VAR243(0.5), .VAR298(0), .VAR282(1), .VAR301(0.5), .VAR20(0), .VAR314(1), .VAR203(0.5), .VAR97(0), .VAR85(1), .VAR234(0.5), .VAR193(0), .VAR82(1), .VAR151(0.5), .VAR17(0), .VAR141(5), .VAR175(0), .VAR33(1), .VAR269(0.010), .VAR286(5.0), .VAR245("VAR160"), .VAR251("VAR160") ) VAR98 ( .VAR263(VAR137), .VAR344(VAR261), .VAR191(VAR252), .VAR150(1'b0), .VAR242(VAR70), .VAR113(), .VAR167(), .VAR188(), .VAR14(), .VAR131(), .VAR276(), .VAR303(), .VAR44(), .VAR279(), .VAR108(), .VAR49(VAR261), .VAR292(), .VAR268(VAR162) ); VAR45 VAR147 ( .VAR90(VAR70), .VAR135(VAR335) ); VAR172 #( .VAR213(4) ) VAR173 ( .clk(VAR335), .rst(~VAR162), .out(VAR241) ); wire [1:0] VAR110; wire [1:0] VAR272; wire [1:0] VAR165; wire [1:0] VAR118; wire [1:0] VAR340; wire [1:0] VAR199; VAR291 #( .VAR16(2), .VAR213(4), .VAR77(156250) ) VAR236 ( .clk(VAR88), .rst(VAR140), .in({VAR212}), .out({VAR110}) ); wire VAR311; wire VAR260; wire VAR202; wire VAR295; wire VAR293; wire VAR59; assign VAR311 = VAR244; assign VAR244 = VAR202 ? 1'VAR69 : VAR260; assign VAR295 = VAR132; assign VAR132 = VAR59 ? 1'VAR69 : VAR293; wire [6:0] VAR259; wire VAR166; wire VAR80; wire VAR163; wire VAR223; wire VAR105; wire VAR6; wire VAR288; wire [7:0] VAR117; wire VAR198; wire VAR300; wire VAR36; wire VAR211; assign VAR224 = VAR241; assign VAR130 = VAR241; reg [20:0] VAR83 = 21'd0; always @(posedge VAR335) begin if (VAR241) begin VAR83 <= 21'd0; end else begin if (!VAR83[20]) begin VAR83 <= VAR83 + 21'd1; end end end VAR115 VAR219 ( .clk(VAR335), .rst(VAR241), .VAR148(VAR259), .VAR332(VAR166), .VAR316(VAR80), .VAR23(VAR163), .VAR30(VAR223), .VAR297(VAR105), .VAR312(VAR6), .VAR119(VAR288), .VAR267(VAR117), .VAR233(VAR198), .VAR331(VAR300), .VAR134(VAR36), .VAR76(VAR211), .VAR338(VAR83[20]) ); VAR50 VAR325 ( .clk(VAR335), .rst(VAR241), .VAR148(VAR259), .VAR332(VAR166), .VAR316(VAR80), .VAR23(VAR163), .VAR30(VAR223), .VAR297(VAR105), .VAR312(VAR6), .VAR119(VAR288), .VAR285(VAR117), .VAR322(VAR198), .VAR94(VAR300), .VAR54(VAR36), .VAR267(), .VAR233(), .VAR331(1), .VAR134(), .VAR149(VAR311), .VAR84(VAR260), .VAR324(VAR202), .VAR187(VAR295), .VAR157(VAR293), .VAR342(VAR59), .VAR76(), .VAR126(), .VAR37(), .VAR10(), .VAR101(312), .VAR168(1) ); assign VAR121 = 1'b0; assign VAR156 = 1'b0; assign VAR249 = 1'b0; assign VAR183 = 1'b0; assign VAR106 = 1'b1; assign VAR240 = 1'b1; assign VAR73 = 1'b1; assign VAR27 = 1'b1; wire VAR91 = VAR88; wire VAR164 = VAR140; wire [63:0] VAR53; wire [7:0] VAR277; wire VAR195 = VAR88; wire VAR255 = VAR140; wire [63:0] VAR158; wire [7:0] VAR320; wire VAR310 = VAR88; wire VAR52 = VAR140; wire [63:0] VAR152; wire [7:0] VAR262; wire VAR190 = VAR88; wire VAR112 = VAR140; wire [63:0] VAR19; wire [7:0] VAR309; wire VAR230 = VAR88; wire VAR208 = VAR140; wire [63:0] VAR67; wire [7:0] VAR78; wire VAR55 = VAR88; wire VAR271 = VAR140; wire [63:0] VAR79; wire [7:0] VAR256; wire VAR280 = VAR88; wire VAR216 = VAR140; wire [63:0] VAR305; wire [7:0] VAR319; wire VAR347 = VAR88; wire VAR161 = VAR140; wire [63:0] VAR265; wire [7:0] VAR159; wire VAR343; wire VAR206; wire VAR323; wire VAR174; wire VAR229; wire VAR313; wire VAR133; wire VAR35; wire VAR22; wire VAR189; wire VAR253; wire VAR65; wire VAR239; VAR172 #( .VAR213(4) ) VAR63 ( .clk(VAR174), .rst(VAR241 || VAR211), .out(VAR343) ); assign VAR88 = VAR174; VAR172 #( .VAR213(4) ) VAR153 ( .clk(VAR88), .rst(!VAR65), .out(VAR140) ); wire [535:0] VAR29; assign VAR29[14:1] = 0; assign VAR29[79:17] = 0; assign VAR29[109:84] = 0; assign VAR29[175:170] = 0; assign VAR29[239:234] = 0; assign VAR29[269:246] = 0; assign VAR29[511:272] = 0; assign VAR29[515:513] = 0; assign VAR29[517:517] = 0; assign VAR29[0] = 0; assign VAR29[15] = 0; assign VAR29[16] = 0; assign VAR29[83:80] = 0; assign VAR29[110] = 0; assign VAR29[111] = 0; assign VAR29[169:112] = 0; assign VAR29[233:176] = 0; assign VAR29[240] = 0; assign VAR29[241] = 0; assign VAR29[242] = 0; assign VAR29[243] = 0; assign VAR29[244] = 0; assign VAR29[245] = 0; assign VAR29[271:270] = 0; assign VAR29[512] = 0; assign VAR29[516] = 0; assign VAR29[518] = 0; assign VAR29[519] = 0; assign VAR29[535:520] = 0; wire [447:0] VAR207; wire [447:0] VAR222; wire [447:0] VAR96; wire [447:0] VAR248; wire VAR258 = VAR207[256]; wire VAR24 = VAR222[256]; wire VAR57 = VAR96[256]; wire VAR179 = VAR248[256]; wire [7:0] VAR204; wire [7:0] VAR214; wire [7:0] VAR192; wire [7:0] VAR209; VAR306 VAR62 ( .VAR264(VAR335), .VAR302(), .VAR40(VAR107), .VAR143(VAR304), .VAR178(1'b0), .VAR196(VAR174), .VAR72(VAR229), .VAR81(VAR313), .VAR32(VAR133), .VAR278(VAR206), .VAR237(VAR323), .VAR225(VAR253), .VAR307(VAR35), .VAR138(VAR22), .VAR270(VAR189), .VAR205(VAR239), .reset(VAR343), .VAR339(VAR53), .VAR71(VAR277), .VAR257(VAR158), .VAR326(VAR320), .VAR1(VAR93), .VAR18(VAR210), .VAR197(VAR315), .VAR170(VAR25), .VAR336(VAR29), .VAR4(VAR207), .VAR329(VAR204), .VAR89(VAR65), .VAR180(1'b1), .VAR227(1'b0), .VAR215(), .VAR337(1'b1), .VAR235(), .VAR273(), .VAR238(), .VAR289(), .VAR12(), .VAR104(), .VAR299(1'b0), .VAR28(1'b0), .VAR41(16'd0), .VAR122(16'd0), .VAR287(1'b0), .VAR177(16'd0), .VAR154(3'd0), .VAR125() ); VAR142 VAR250 ( .VAR264(VAR335), .VAR302(), .VAR60(VAR174), .VAR9(VAR206), .VAR11(VAR323), .VAR5(), .VAR102(VAR343), .VAR254(VAR253), .VAR129(VAR35), .VAR228(VAR22), .VAR178(1'b0), .VAR217(VAR189), .VAR124(VAR133), .VAR15(VAR229), .VAR139(VAR313), .VAR184(VAR239), .VAR339(VAR152), .VAR71(VAR262), .VAR257(VAR19), .VAR326(VAR309), .VAR1(VAR221), .VAR18(VAR155), .VAR197(VAR120), .VAR170(VAR123), .VAR336(VAR29), .VAR4(VAR222), .VAR329(VAR214), .VAR136(), .VAR103(), .VAR180(1'b1), .VAR227(1'b0), .VAR215(), .VAR337(1'b1), .VAR235(), .VAR273(), .VAR238(), .VAR289(), .VAR12(), .VAR104(), .VAR299(1'b0), .VAR28(1'b0), .VAR41(16'd0), .VAR122(16'd0), .VAR287(1'b0), .VAR177(16'd0), .VAR154(3'd0), .VAR125() ); VAR142 VAR169 ( .VAR264(VAR335), .VAR302(), .VAR60(VAR174), .VAR9(VAR206), .VAR11(VAR323), .VAR5(), .VAR102(VAR343), .VAR254(VAR253), .VAR129(VAR35), .VAR228(VAR22), .VAR178(1'b0), .VAR217(VAR189), .VAR124(VAR133), .VAR15(VAR229), .VAR139(VAR313), .VAR184(VAR239), .VAR339(VAR67), .VAR71(VAR78), .VAR257(VAR79), .VAR326(VAR256), .VAR1(VAR7), .VAR18(VAR296), .VAR197(VAR182), .VAR170(VAR26), .VAR336(VAR29), .VAR4(VAR96), .VAR329(VAR192), .VAR136(), .VAR103(), .VAR180(1'b1), .VAR227(1'b0), .VAR215(), .VAR337(1'b1), .VAR235(), .VAR273(), .VAR238(), .VAR289(), .VAR12(), .VAR104(), .VAR299(1'b0), .VAR28(1'b0), .VAR41(16'd0), .VAR122(16'd0), .VAR287(1'b0), .VAR177(16'd0), .VAR154(3'd0), .VAR125() ); VAR142 VAR321 ( .VAR264(VAR335), .VAR302(), .VAR60(VAR174), .VAR9(VAR206), .VAR11(VAR323), .VAR5(), .VAR102(VAR343), .VAR254(VAR253), .VAR129(VAR35), .VAR228(VAR22), .VAR178(1'b0), .VAR217(VAR189), .VAR124(VAR133), .VAR15(VAR229), .VAR139(VAR313), .VAR184(VAR239), .VAR339(VAR305), .VAR71(VAR319), .VAR257(VAR265), .VAR326(VAR159), .VAR1(VAR274), .VAR18(VAR330), .VAR197(VAR144), .VAR170(VAR38), .VAR336(VAR29), .VAR4(VAR248), .VAR329(VAR209), .VAR136(), .VAR103(), .VAR180(1'b1), .VAR227(1'b0), .VAR215(), .VAR337(1'b1), .VAR235(), .VAR273(), .VAR238(), .VAR289(), .VAR12(), .VAR104(), .VAR299(1'b0), .VAR28(1'b0), .VAR41(16'd0), .VAR122(16'd0), .VAR287(1'b0), .VAR177(16'd0), .VAR154(3'd0), .VAR125() ); assign VAR127[0] = VAR258; assign VAR127[1] = 1'b0; assign VAR31[0] = VAR24; assign VAR31[1] = 1'b0; assign VAR232[0] = VAR57; assign VAR232[1] = 1'b0; assign VAR308[0] = VAR179; assign VAR308[1] = 1'b0; assign VAR220 = VAR199; VAR66 VAR176 ( .clk(VAR88), .rst(VAR140), .VAR212(VAR110), .VAR127(VAR272), .VAR31(VAR165), .VAR232(VAR118), .VAR308(VAR340), .VAR220(VAR199), .VAR111(VAR91), .VAR181(VAR164), .VAR39(VAR53), .VAR317(VAR277), .VAR283(VAR195), .VAR146(VAR255), .VAR346(VAR158), .VAR64(VAR320), .VAR87(VAR310), .VAR218(VAR52), .VAR34(VAR152), .VAR56(VAR262), .VAR92(VAR190), .VAR318(VAR112), .VAR13(VAR19), .VAR8(VAR309), .VAR275(VAR230), .VAR43(VAR208), .VAR334(VAR67), .VAR171(VAR78), .VAR333(VAR55), .VAR186(VAR271), .VAR201(VAR79), .VAR128(VAR256), .VAR247(VAR280), .VAR109(VAR216), .VAR74(VAR305), .VAR116(VAR319), .VAR114(VAR347), .VAR328(VAR161), .VAR51(VAR265), .VAR68(VAR159) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_io
cells/top_ground_hvc_wpad/sky130_fd_io__top_ground_hvc_wpad.symbol.v
1,640
module MODULE1 ( inout VAR1 , inout VAR7, inout VAR2 ); supply1 VAR12 ; supply1 VAR8 ; supply0 VAR13; supply0 VAR6 ; supply1 VAR5 ; supply1 VAR14 ; supply1 VAR15 ; supply1 VAR3 ; supply1 VAR16 ; supply1 VAR9 ; supply0 VAR17 ; supply0 VAR4 ; supply0 VAR11 ; supply0 VAR10 ; endmodule
apache-2.0
AmeerAbdelhadi/Dynamic-Frequency-Phase-Sweeping
pll_cfg.v
66,382
module MODULE1 ( VAR222, VAR31, VAR37, VAR211, VAR72, VAR136, VAR160, VAR162, VAR77, VAR26, VAR111, VAR81, VAR216, VAR33, VAR25, VAR79, reset, VAR89) ; output VAR222; input VAR31; input [2:0] VAR37; input [3:0] VAR211; input [8:0] VAR72; output [8:0] VAR136; output VAR160; input VAR162; output VAR77; output VAR26; output VAR111; output VAR81; input VAR216; input VAR33; input VAR25; input VAR79; input reset; input VAR89; tri0 [2:0] VAR37; tri0 [3:0] VAR211; tri0 [8:0] VAR72; tri0 VAR162; tri0 VAR216; tri0 VAR33; tri0 VAR25; tri0 VAR79; tri0 VAR89; wire [0:0] VAR123; wire VAR149; wire VAR237; wire VAR113; reg VAR39; reg VAR171; reg VAR232; reg VAR176; reg VAR29; reg VAR139; reg VAR10; reg VAR23; reg VAR224; reg VAR91; reg VAR239; reg VAR75; reg VAR114; reg VAR24; reg VAR170; reg [2:0] VAR133; reg [3:0] VAR146; reg VAR195; reg [0:0] VAR70; reg [0:0] VAR110; reg [0:0] VAR141; reg [0:0] VAR3; reg [0:0] VAR172; reg [0:0] VAR238; reg [0:0] VAR226; reg [0:0] VAR17; reg [0:0] VAR235; reg [0:0] VAR46; reg [0:0] VAR5; reg [0:0] VAR148; reg [0:0] VAR42; reg [0:0] VAR241; reg [0:0] VAR32; reg [0:0] VAR59; reg [0:0] VAR182; reg [0:0] VAR165; reg VAR54; reg VAR155; reg VAR1; reg VAR168; reg VAR118; reg VAR225; reg VAR167; reg VAR205; reg VAR28; reg VAR19; reg VAR147; reg VAR132; reg VAR201; reg VAR197; reg VAR220; reg [0:0] VAR196; reg [0:0] VAR154; reg [0:0] VAR183; reg [0:0] VAR175; reg [0:0] VAR115; reg [0:0] VAR122; reg [0:0] VAR58; reg [0:0] VAR121; reg [0:0] VAR187; reg [0:0] VAR135; reg [0:0] VAR30; reg [0:0] VAR209; reg [0:0] VAR103; reg [0:0] VAR227; reg [0:0] VAR138; reg [0:0] VAR193; reg [0:0] VAR202; reg [0:0] VAR73; wire [17:0] VAR107; reg VAR83; reg VAR223; reg VAR131; reg VAR231; reg VAR174; reg VAR109; wire [8:0] VAR229; wire [7:0] VAR213; wire VAR142; wire [7:0] VAR38; wire [7:0] VAR27; wire [5:0] VAR61; wire [4:0] VAR57; wire [7:0] VAR68; wire [7:0] VAR44; wire [4:0] VAR179; wire [4:0] VAR200; wire VAR9; wire [7:0] VAR130; wire VAR207; wire [7:0] VAR194; wire [7:0] VAR12; wire [7:0] VAR192; wire [7:0] VAR240; wire [7:0] VAR21; wire [7:0] VAR126; wire [7:0] VAR18; wire [2:0] VAR85; wire [3:0] VAR88; wire [2:0] VAR11; wire VAR125; wire [2:0] VAR144; wire VAR186; wire VAR49; wire VAR40; wire [7:0] VAR87; wire VAR217; wire [7:0] VAR99; wire [7:0] VAR82; wire VAR219; wire VAR112; wire [7:0] VAR78; wire VAR14; wire [7:0] VAR34; wire VAR117; wire VAR173; wire VAR157; wire VAR41; wire VAR84; wire [5:0] VAR206; wire VAR47; wire [7:0] VAR64; wire VAR140; wire [7:0] VAR2; wire [4:0] VAR95; wire VAR185; wire VAR203; wire VAR90; wire [4:0] VAR153; wire [7:0] VAR108; wire VAR214; wire VAR178; wire VAR156; wire VAR43; wire VAR55; wire VAR94; wire VAR158; wire VAR188; wire VAR151; wire VAR128; wire VAR210; wire VAR120; wire VAR56; wire VAR66; wire VAR177; wire VAR53; wire VAR143; wire VAR48; wire [7:0] VAR166; wire [5:0] VAR161; wire VAR101; wire VAR96; wire VAR190; wire VAR100; wire VAR15; wire VAR7; wire VAR50; wire [7:0] VAR51; wire VAR98; wire VAR127; wire VAR35; wire VAR164; wire VAR20; wire VAR102; wire [4:0] VAR13; wire [4:0] VAR71; wire [7:0] VAR106; wire VAR74; VAR62 VAR208 ( .VAR6(VAR108), .VAR60(VAR31), .VAR169({VAR214}), .VAR8(), .VAR116(VAR123), .VAR189(), .VAR181(VAR156) , .VAR124(1'b0), .VAR159(1'b0), .VAR92({1{1'b1}}), .VAR234(1'b0), .VAR236(1'b0), .VAR104({1{1'b1}}), .VAR119({1{1'b1}}), .VAR45(1'b1), .VAR184(1'b1), .VAR221(1'b1), .VAR65(1'b1), .VAR4(1'b1), .VAR145({1{1'b1}}), .VAR218(1'b1), .VAR137(1'b1), .VAR16(1'b0) ); VAR208.VAR228 = "./VAR215.VAR152", VAR208.VAR134 = 144, VAR208.VAR204 = "VAR69", VAR208.VAR22 = 1, VAR208.VAR93 = 1, VAR208.VAR67 = 8, VAR208.VAR52 = "VAR199 VAR163 VAR80", VAR208.VAR233 = "VAR62"; VAR97 VAR230 ( .VAR180(VAR149), .VAR36(), .VAR169(VAR144[0]), .VAR145(VAR144[1]), .VAR212(VAR144[2]), .VAR63(1'b0), .VAR191(1'b0) ); VAR230.VAR150 = "VAR76", VAR230.VAR129 = 16'hF0F0, VAR230.VAR198 = "VAR212", VAR230.VAR233 = "VAR97"; VAR97 VAR105 ( .VAR180(VAR237), .VAR36(), .VAR169(VAR144[0]), .VAR145(VAR144[1]), .VAR212(VAR144[2]), .VAR63(1'b0), .VAR191(1'b0) ); VAR105.VAR150 = "VAR76", VAR105.VAR129 = 16'hAAAA, VAR105.VAR198 = "VAR212", VAR105.VAR233 = "VAR97"; VAR97 VAR86 ( .VAR180(VAR113), .VAR36(), .VAR169(VAR144[0]), .VAR145(VAR144[1]), .VAR212(VAR144[2]), .VAR63(1'b0), .VAR191(1'b0) ); VAR86.VAR150 = "VAR76", VAR86.VAR129 = 16'hCCCC, VAR86.VAR198 = "VAR212", VAR86.VAR233 = "VAR97";
bsd-3-clause
chipsalliance/Cores-SweRV-EL2
design/dmi/dmi_wrapper.v
4,005
module MODULE1( input VAR1, input VAR15, input VAR10, input VAR17, output VAR7, output VAR9, input VAR5, input VAR18, input [31:1] VAR12, input [31:0] VAR11, output [31:0] VAR26, output [6:0] VAR13, output VAR16, output VAR27, output VAR19 ); wire VAR25; wire VAR22; wire VAR20; VAR30 VAR29( .VAR3(VAR1), .VAR15(VAR15), .VAR10(VAR10), .VAR17(VAR17), .VAR7(VAR7), .VAR9(VAR9), .VAR28(VAR26), .VAR6(VAR13), .VAR25(VAR25), .VAR22(VAR22), .VAR11(VAR11), .VAR21(2'b0), .VAR2(3'h0), .VAR23(2'b0), .VAR8(4'h1), .VAR12(VAR12), .VAR19(VAR19), .VAR20(VAR20) ); VAR14 VAR24( .VAR22(VAR22), .VAR25(VAR25), .VAR4(VAR5), .clk(VAR18), .VAR16(VAR16), .VAR27(VAR27) ); endmodule
apache-2.0
chiragsakhuja/gpu
frag_block_bb.v
8,637
module MODULE1 ( VAR8, VAR4, VAR6, VAR5, VAR7, VAR9, VAR1, VAR3, VAR2); input [5:0] VAR8; input [5:0] VAR4; input VAR6; input [15:0] VAR5; input [15:0] VAR7; input VAR9; input VAR1; output [15:0] VAR3; output [15:0] VAR2; tri1 VAR6; tri0 VAR9; tri0 VAR1; endmodule
gpl-2.0
lvd2/zxevo
fpga/current/z80/zports.v
23,331
module MODULE1( input wire VAR54, input wire VAR17, input wire VAR145, input wire VAR132, input wire VAR72, input wire [ 7:0] din, output reg [ 7:0] dout, output wire VAR8, input wire [15:0] VAR45, input wire VAR161, input wire VAR16, input wire VAR136, input wire VAR82, input wire VAR66, output reg VAR119, output reg VAR135, output wire [15:0] VAR140, input wire [15:0] VAR2, output wire VAR71, output wire [ 2:0] VAR64, output wire VAR4, output wire VAR1, output wire VAR38, output wire VAR139, input wire [ 4:0] VAR28, input wire [ 7:0] VAR84, input wire [ 4:0] VAR57, output reg [ 3:0] VAR11, input wire VAR142, output wire VAR12, output wire VAR30, output wire [ 7:0] VAR116, output wire [ 7:0] VAR147, input wire VAR56, output wire VAR75, input wire VAR10, input wire VAR92, output wire VAR125, output wire VAR58, output wire VAR115, output wire VAR108, output wire [ 7:0] VAR80, input wire [ 7:0] VAR32, output reg [ 7:0] VAR24, output reg [ 2:0] VAR70, output wire VAR144, output wire VAR3, output reg VAR41, output reg [ 7:0] VAR165, input wire [ 7:0] VAR164, output wire VAR89, output reg [ 2:0] VAR65, output reg VAR169, output reg VAR49, output reg VAR106, output reg VAR93, output wire VAR26, output wire VAR86, output wire VAR153, output wire [ 5:0] VAR154, output wire VAR112, output wire VAR81, output wire [ 5:0] VAR74, output wire VAR152, output wire VAR55, output wire VAR34, output wire VAR104, input wire [63:0] VAR39, input wire [ 7:0] VAR21, input wire [ 7:0] VAR160, input wire [ 7:0] VAR60, input wire [ 5:0] VAR9, input wire [ 7:0] VAR18, output reg VAR15, output reg [ 5:0] VAR124, output wire [ 7:0] VAR51, output wire VAR68, output reg VAR13, output reg VAR126, output reg [15:0] VAR77 ); 8'h08,8'h28,8'h48,8'h68,8'h88,8'hA8,8'hC8,8'hE8 localparam VAR52 = 8'hFE; localparam VAR158 = 8'hF6; localparam VAR59 = 8'hF7; localparam VAR166 = 8'h10; localparam VAR148 = 8'h11; localparam VAR146 = 8'h30; localparam VAR110 = 8'h50; localparam VAR61 = 8'h70; localparam VAR47 = 8'h90; localparam VAR151 = 8'hB0; localparam VAR94 = 8'hD0; localparam VAR134 = 8'hF0; localparam VAR36 = 8'hC8; localparam VAR113 = 8'hFD; localparam VAR48 = 8'h1F; localparam VAR120 = 8'h3F; localparam VAR114 = 8'h5F; localparam VAR150 = 8'h7F; localparam VAR168 = 8'hFF; localparam VAR155 = 8'h2F; localparam VAR103 = 8'h4F; localparam VAR31 = 8'h6F; localparam VAR14 = 8'h8F; localparam VAR67 = 8'h1F; localparam VAR50 = 8'hDF; localparam VAR107 = 8'h77; localparam VAR123 = 8'h57; localparam VAR33 = 8'hF7; localparam VAR22 = 8'h77; localparam VAR170 = 8'hBE; localparam VAR43 = 8'hBF; localparam VAR133 = 8'hBD; localparam VAR111 = 8'hEF; localparam VAR69 = 8'hFB; localparam VAR40 = 8'h3B; reg VAR78; reg VAR62; reg VAR27; reg VAR87; reg VAR7, VAR163, VAR149; reg [1:0] VAR105, VAR127; reg [1:0] VAR117; wire [7:0] VAR88; wire VAR122; wire VAR138; reg [7:0] VAR76; reg VAR5; reg VAR172; reg VAR96; reg VAR130, VAR157; reg VAR101, VAR83; reg [15:0] VAR29; wire [ 7:0] VAR37; wire [ 7:0] VAR143; reg VAR156,VAR35; wire VAR90; reg VAR167; reg VAR46; reg VAR42; wire VAR79; reg [7:0] VAR121; reg [7:0] VAR128 [3:0]; reg [5:0] VAR97; reg [7:0] VAR129; assign VAR79 = VAR142 || VAR167; assign VAR88=VAR45[7:0]; always @* begin if( (VAR88==VAR52) || (VAR88==VAR158) || (VAR88==VAR113) || (VAR88==8'hFC) || (VAR88==VAR50) || ( (VAR88==VAR48)&&VAR79 ) || ( (VAR88==VAR120)&&VAR79 ) || ( (VAR88==VAR114)&&VAR79 ) || ( (VAR88==VAR150)&&VAR79 ) || ( (VAR88==VAR168)&&VAR79 ) || ( (VAR88==VAR67)&&(!VAR79) ) || ( (VAR88==VAR155)&&VAR79 ) || ( (VAR88==VAR103)&&VAR79 ) || ( (VAR88==VAR31)&&VAR79 ) || ( (VAR88==VAR14)&&VAR79 ) || ( (VAR88==VAR59)&&(!VAR79) ) || ( (VAR88==VAR107)&&(!VAR79) ) || ( (VAR88==VAR123) ) || ( (VAR88==VAR33)&&VAR79 ) || ( (VAR88==VAR22)&&VAR79 ) || ( VAR88==VAR43 ) || ( VAR88==VAR170) || ( VAR88==VAR133) || ( VAR88==VAR111 ) || ( VAR88==VAR40) ) VAR119 = 1'b1; end else VAR119 = 1'b0; end always @* begin if( ((VAR88==VAR113) && VAR45[15]) || (( (VAR88==VAR48)&&VAR79 ) || ( (VAR88==VAR120)&&VAR79 ) || ( (VAR88==VAR114)&&VAR79 ) || ( (VAR88==VAR150)&&VAR79 )) ) VAR135 = 1'b1; end else VAR135 = 1'b0; end assign VAR8 = VAR119 & (~VAR161) & (~VAR82) & (~VAR135); always @(posedge VAR54) begin VAR27 <= ~(VAR161 | VAR66); VAR87 <= ~(VAR161 | VAR82); if( (!VAR27) && (!VAR161) && (!VAR66) ) VAR78 <= 1'b1; end else VAR78 <= 1'b0; if( (!VAR87) && (!VAR161) && (!VAR82) ) VAR62 <= 1'b1; else VAR62 <= 1'b0; end always @(posedge VAR17) if( VAR132 ) begin VAR105[0] <= ~(VAR161 | VAR66); VAR127[0] <= ~(VAR161 | VAR82); end always @(posedge VAR17) begin VAR105[1] <= VAR105[0]; VAR127[1] <= VAR127[0]; end always @(posedge VAR17) begin VAR7 <= VAR105[0] && (!VAR105[1]); VAR163 <= VAR127[0] && (!VAR127[1]); end always @(posedge VAR17) VAR117[1:0] <= { VAR117[0], ~(VAR16 | VAR66) }; always @(posedge VAR17) VAR149 <= VAR117[0] && (!VAR117[1]); always @* begin case( VAR88 ) VAR52: dout = { 1'b1, VAR56, 1'b0, VAR28 }; VAR158: dout = { 1'b1, VAR56, 1'b0, VAR28 }; dout = VAR37; VAR148: dout = VAR143; VAR168: dout = { VAR10, VAR92, VAR97 }; VAR155, VAR103, VAR31, VAR14: dout = VAR128[ VAR88[6:5] ]; VAR67: dout = {3'b000, VAR57}; VAR50: dout = VAR84; VAR107: dout = 8'h00; VAR123: dout = VAR32; VAR59: begin if( !VAR45[14] && (VAR45[8]^VAR79) && VAR90 ) dout = VAR164; end else dout = 8'hFF; end VAR111: begin dout = VAR164; end VAR43: begin dout = { 3'b000, VAR126, VAR13, VAR42, VAR46, VAR167 }; end VAR170: begin dout = VAR121; end VAR40: begin dout = VAR129; end default: dout = 8'hFF; endcase end assign VAR23 = ( (VAR88==VAR113 || VAR88==8'hFC) && VAR78); assign VAR137 = ( (VAR88==VAR59) && (VAR45[8]==1'b1) && VAR78 && (!VAR79) ) || ( (VAR88==VAR59) && (VAR45[8]==1'b0) && VAR78 && VAR79 ) ; assign VAR85 = ( (VAR88==VAR59) && (VAR45[8]==1'b1) && VAR62 && (!VAR79) ) || ( (VAR88==VAR59) && (VAR45[8]==1'b0) && VAR62 && VAR79 ) ; assign VAR125 = ( ( (VAR88==VAR168)&&VAR79 ) && VAR78); always @(posedge VAR54) if( VAR125 ) VAR97 <= din[5:0]; assign VAR102 = ( (VAR88==VAR111) && VAR78); assign VAR6 = ( (VAR88==VAR111) && VAR62); assign VAR20 = ( (VAR88==VAR133) && VAR7); always @(posedge VAR17) if( VAR20) begin if( !VAR45[8] ) VAR77[ 7:0] <= din; end else VAR77[15:8] <= din; end wire VAR171; assign VAR100 = (((VAR88==VAR52) || (VAR88==VAR158) || (VAR88==8'hFC)) && VAR7); always @(posedge VAR17) if( VAR100 ) VAR11 <= { ~VAR45[3], din[2:0] }; always @(VAR88) if( VAR109(VAR88) ) VAR5 = 1'b1; else VAR5 = 1'b0; assign VAR138 = VAR62 && (VAR88==VAR166) && (!VAR172); always @(posedge VAR54) begin if( (VAR88==VAR166) && VAR62 && !VAR172 ) VAR172 <= 1'b1; end else if( ( VAR5 || (VAR88==VAR148) ) && ( VAR62 || VAR78 ) ) VAR172 <= 1'b0; end always @(posedge VAR54) if( ( VAR5 || (VAR88==VAR148) ) && ( VAR62 || VAR78 ) ) begin if( (VAR88==VAR148) && VAR78 ) VAR157 <= 1'b1; end else VAR157 <= 1'b0; if( (VAR88==VAR166) && VAR78 && !VAR157 && !VAR130 ) VAR130 <= 1'b1; else VAR130 <= 1'b0; end always @(posedge VAR54) begin if( VAR78 && (VAR88==VAR148) ) VAR29[15:8] <= din; if( VAR78 && (VAR88==VAR166) && !VAR130 ) VAR29[ 7:0] <= din; end always @(posedge VAR54) if( VAR138 ) VAR76 <= VAR2[15:8]; assign VAR64 = VAR45[7:5]; assign VAR4 = (~VAR5) | (~(VAR88!=VAR36)); assign VAR1 = (~VAR5) | (~(VAR88==VAR36)); always @* if( VAR82 ) VAR96 <= VAR172; assign VAR38 = VAR161 | VAR82 | (~VAR5) | (VAR96 && (VAR88==VAR166)); always @* if( VAR66 ) VAR101 <= VAR130; always @* if( VAR66 ) VAR83 <= VAR157; assign VAR139 = VAR161 | VAR66 | (~VAR5) | ( (VAR88==VAR166) && !VAR101 && !VAR83 ); assign VAR71 = ~VAR139; assign VAR143[ 7:0] = VAR76[ 7:0]; assign VAR37[ 7:0] = (VAR96 && (VAR88==VAR166)) ? VAR76[ 7:0] : VAR2[ 7:0]; assign VAR140[15:8] = VAR83 ? VAR29[15:8] : din[ 7:0]; assign VAR140[ 7:0] = VAR101 ? VAR29[ 7:0] : din[ 7:0]; always @* begin VAR156 = 1'b0; VAR35 = 1'b0; if( VAR88==VAR113 ) begin if( VAR45[15:14]==2'b11 ) begin VAR156=1'b1; VAR35=1'b1; end else if( VAR45[15:14]==2'b10 ) begin VAR156=1'b0; VAR35=1'b1; end end end assign VAR30 = VAR156 & (~VAR161) & ((~VAR82)|(~VAR66)); assign VAR12 = VAR35 & (~VAR161) & (~VAR66); reg [7:0] VAR141,VAR44; reg VAR118; wire VAR131; wire VAR73; always @(posedge VAR54, negedge VAR145) begin if( !VAR145 ) VAR141 <= 7'h00; end else if( (VAR45[15]==1'b0) && VAR23 && (!VAR131) ) VAR141 <= din; end always @(posedge VAR54, negedge VAR145) if( !VAR145 ) VAR118 <= 1'b0; else if( (VAR45[15]==1'b0) && VAR23 && (!VAR131) ) VAR118 <= din[4]; assign VAR131=VAR141[5] & VAR73; always @(posedge VAR54, negedge VAR145) begin if( !VAR145 ) VAR44 <= 8'h00; end else if( !VAR45[12] && VAR137 && (!VAR79) ) VAR44 <= din; end assign VAR73 = VAR44[2]; assign VAR116 = { (VAR73 ? 3'b0 : VAR141[7:5]),VAR118,VAR141[3:0]}; assign VAR147 = VAR73 ? { VAR44[7], 1'b0, VAR44[5], VAR44[4], 3'b000, VAR44[0] } : VAR44; assign VAR112 = VAR141[4]; assign VAR154[5:0] = { VAR141[7:5], VAR141[2:0] }; assign VAR153 = ~VAR44[2]; assign VAR86 = VAR44[3]; assign VAR90 = VAR44[7] || VAR79; always @(posedge VAR54) begin if( VAR90 && VAR137 ) begin if( !VAR45[13] ) VAR24 <= din; end end always @(posedge VAR54) begin if( VAR102 || VAR6 ) VAR70 <= VAR45[10:8 ]; end always @(posedge VAR54) begin if( VAR90 && VAR137 && !VAR45[14] ) VAR165 <= din; end else if( VAR102 ) VAR165 <= din; end assign VAR144 = ( VAR90 && !VAR45[14] && (VAR85 || VAR137) ); assign VAR3 = ( VAR6 || VAR102 ); always @(posedge VAR54) begin if( VAR78 ) VAR41 <= 1'b0; if( VAR62 ) VAR41 <= 1'b1; end assign VAR75 = (~VAR79) | VAR161 | (VAR82 & VAR66) | ( ~((VAR88==VAR48)|(VAR88==VAR120)|(VAR88==VAR114)|(VAR88==VAR150)) ); wire VAR53,VAR25,VAR159; assign VAR53 = ( (VAR88==VAR107) && VAR7 && (!VAR79) ) || ( (VAR88==VAR123) && VAR7 && VAR79 && (VAR45[15]==1'b1) ) ; assign VAR25 = ( (VAR88==VAR123) && VAR7 && (!VAR79) ) || ( (VAR88==VAR123) && VAR7 && VAR79 && (VAR45[15]==1'b0) ) ; assign VAR159 = ( (VAR88==VAR123) && VAR163 ); assign VAR115 = VAR53; assign VAR58 = din[1]; assign VAR108 = VAR25 || VAR159; assign VAR80 = VAR159 ? 8'hFF : din; wire VAR95; wire VAR98; assign VAR89 = ( (VAR88==VAR33) && (VAR45[8]==1'b1) && VAR79 && VAR7 ); assign VAR95 = ( (VAR88==VAR22) && VAR79 && VAR7 ); assign VAR98 = ( (VAR88==VAR43) && VAR7 ); always @(posedge VAR17, negedge VAR145) if( !VAR145 ) begin VAR167 <= 1'b0; VAR46 <= 1'b0; VAR42 <= 1'b0; VAR13 <= 1'b0; VAR126 <= 1'b0; end else if( VAR98 ) begin VAR167 <= din[0]; VAR46 <= din[1]; VAR42 <= din[2]; VAR13 <= din[3]; VAR126 <= din[4]; end assign VAR26 = VAR46; always @(posedge VAR17, negedge VAR145) if( !VAR145 ) begin VAR65 = 3'b011; VAR169 = 1'b0; VAR49 = 1'b1; VAR106 = 1'b0; VAR93 = 1'b0; end else if( VAR95 ) begin VAR65 <= din[2:0]; VAR169 <= din[3]; VAR49 <= ~VAR45[8]; VAR106 <= VAR45[9]; VAR93 <= ~VAR45[14]; end wire VAR91; assign VAR91 = ( ( (VAR88==VAR168)&&VAR79 ) && VAR7); assign VAR81 = VAR91 & VAR93; assign VAR74 = { ~din[4], ~din[7], ~din[1], ~din[6], ~din[0], ~din[5] }; assign VAR34 = ( (VAR88==VAR170) && VAR7 ); assign VAR55 = (VAR88==VAR52) && VAR100; assign VAR152 = (VAR88==VAR69) && VAR7; assign VAR104 = VAR42 && VAR149; always @* case( VAR45[12:8] ) 5'h0: VAR121 = VAR39[ 7:0 ]; 5'h1: VAR121 = VAR39[15:8 ]; 5'h2: VAR121 = VAR39[23:16]; 5'h3: VAR121 = VAR39[31:24]; 5'h4: VAR121 = VAR39[39:32]; 5'h5: VAR121 = VAR39[47:40]; 5'h6: VAR121 = VAR39[55:48]; 5'h7: VAR121 = VAR39[63:56]; 5'h8: VAR121 = VAR21; 5'h9: VAR121 = VAR160; 5'hA: VAR121 = VAR141; 5'hB: VAR121 = VAR44; 5'hC: VAR121 = { ~VAR93, VAR106, ~VAR49, VAR142, VAR169, VAR65 }; 5'hD: VAR121 = { ~VAR9[4], ~VAR9[2], ~VAR9[0], ~VAR9[5], 2'b11, ~VAR9[3], ~VAR9[1] }; 5'hE: VAR121 = VAR18; 5'hF: VAR121 = { 4'VAR99, VAR11 }; 5'h10: VAR121 = VAR77[7:0]; 5'h11: VAR121 = VAR77[15:8]; 5'h12: VAR121 = VAR60; default: VAR121 = 8'VAR162; endcase always @(posedge VAR17) if( VAR7 && VAR79 ) begin if( (VAR88==VAR155) || (VAR88==VAR103) || (VAR88==VAR31) || (VAR88==VAR14) ) VAR128[ VAR88[6:5] ] <= din; end reg VAR19; wire VAR63 = VAR7 && (VAR88==VAR40); always @(posedge VAR17) if( VAR63 && !VAR45[14] ) begin if( !din[7] && din[6] ) begin VAR19 <= 1'b1; end if( !din[7] && !din[6] ) begin VAR19 <= 1'b0; VAR124[5:0] <= din[5:0]; end end always @(posedge VAR17) if( VAR63 && VAR45[14] ) VAR129 <= din; assign VAR68 = VAR63 && VAR45[14] && !VAR19; always @(posedge VAR17, negedge VAR145) if( !VAR145 ) VAR15 <= 1'b0; else if( VAR63 && VAR45[14] && VAR19 ) VAR15 <= din[0]; assign VAR51 = {din[4:2],din[7:5],din[1:0]}; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/inv/sky130_fd_sc_hs__inv.functional.v
1,637
module MODULE1 ( VAR7, VAR6, VAR8 , VAR9 ); input VAR7; input VAR6; output VAR8 ; input VAR9 ; wire VAR1 ; wire VAR2; not VAR5 (VAR1 , VAR9 ); VAR3 VAR4 (VAR2, VAR1, VAR7, VAR6); buf VAR10 (VAR8 , VAR2 ); endmodule
apache-2.0
deepakcu/maestro
fpga/DE4_Ethernet_0/sort_cell.v
2,056
module MODULE1 #( parameter VAR3=32, parameter VAR7=8, parameter VAR14=0, parameter VAR25=32 ) ( input clk, input reset, input [VAR3-1:0] VAR17, output [VAR3-1:0] VAR8, input [VAR3-1:0] VAR11, input VAR1, input VAR23, input VAR2, input VAR4, output VAR24 ); reg [VAR3-1:0] VAR22; reg [VAR3-1:0] VAR21; assign VAR8 = VAR21; reg VAR13, VAR12; wire VAR15; wire [31:0] VAR5; wire [31:0] VAR20; assign VAR5 = VAR21[VAR25-1:VAR14]; assign VAR20 = VAR11[VAR25-1:VAR14]; assign VAR24 = VAR13; VAR10 VAR9 ( .VAR18 (VAR1), .VAR19 (clk), .VAR6 (VAR5), .VAR16 (VAR20), .VAR15 (VAR15) ); always@(posedge clk) begin if(reset) begin VAR21 <= 0; VAR13 <= 0; VAR22 <= 0; end else begin if(VAR23) begin VAR21 <= VAR21; VAR13 <= (VAR15)?1'b1:1'b0; end else if(VAR2) begin VAR13 <= VAR13; case({VAR4,VAR24}) 2'b01: VAR21 <= VAR22; 2'b11: VAR21 <= VAR17; default: VAR21 <= VAR21; endcase end else begin VAR21 <= VAR21; VAR13 <= VAR13; end VAR22 <= VAR11; end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/fahcin/sky130_fd_sc_lp__fahcin.functional.v
1,752
module MODULE1 ( VAR15, VAR8 , VAR17 , VAR12 , VAR10 ); output VAR15; output VAR8 ; input VAR17 ; input VAR12 ; input VAR10 ; wire VAR16 ; wire VAR6; wire VAR5 ; wire VAR9 ; wire VAR7 ; wire VAR1; not VAR2 (VAR16 , VAR10 ); xor VAR4 (VAR6, VAR17, VAR12, VAR16 ); buf VAR19 (VAR8 , VAR6 ); and VAR11 (VAR5 , VAR17, VAR12 ); and VAR3 (VAR9 , VAR17, VAR16 ); and VAR14 (VAR7 , VAR12, VAR16 ); or VAR18 (VAR1, VAR5, VAR9, VAR7); buf VAR13 (VAR15 , VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/lsbufhv2hv_hl/sky130_fd_sc_hvl__lsbufhv2hv_hl.behavioral.pp.v
1,992
module MODULE1 ( VAR8 , VAR10 , VAR2 , VAR7 , VAR1, VAR12 , VAR4 ); output VAR8 ; input VAR10 ; input VAR2 ; input VAR7 ; input VAR1; input VAR12 ; input VAR4 ; wire VAR9; wire VAR11 ; VAR13 VAR3 (VAR9, VAR10, VAR2, VAR7 ); buf VAR5 (VAR11 , VAR9 ); VAR13 VAR6 (VAR8 , VAR11, VAR1, VAR7); endmodule
apache-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_ad9467/axi_ad9467_if.v
6,771
module MODULE1 ( VAR8, VAR9, VAR27, VAR28, VAR42, VAR30, VAR44, VAR31, VAR25, VAR40, VAR34, VAR43, VAR32, VAR35, VAR14, VAR48, VAR15); parameter VAR21 = 0; parameter VAR4 = "VAR16"; input VAR8; input VAR9; input [ 7:0] VAR27; input [ 7:0] VAR28; input VAR42; input VAR30; output VAR44; output [15:0] VAR31; output VAR25; input VAR40; input VAR34; input [ 8:0] VAR43; input [44:0] VAR32; output [44:0] VAR35; input VAR14; input VAR48; output VAR15; reg [ 7:0] VAR45 = 'd0; reg [ 7:0] VAR19 = 'd0; reg [ 7:0] VAR46 = 'd0; reg [ 7:0] VAR24 = 'd0; reg [ 7:0] VAR41 = 'd0; reg [15:0] VAR31 = 'd0; reg VAR39 = 'd0; reg VAR13 = 'd0; reg VAR25 = 'd0; wire [ 7:0] VAR38; wire [ 7:0] VAR22; wire VAR29; wire VAR33; genvar VAR11; always @(posedge VAR44) begin VAR45 <= VAR38; VAR19 <= VAR22; VAR46 <= VAR45; VAR24 <= (VAR40 == 1'b1) ? VAR19 : VAR45; VAR41 <= (VAR40 == 1'b1) ? VAR46 : VAR19; VAR31[15] <= VAR41[7]; VAR31[14] <= VAR24[7]; VAR31[13] <= VAR41[6]; VAR31[12] <= VAR24[6]; VAR31[11] <= VAR41[5]; VAR31[10] <= VAR24[5]; VAR31[ 9] <= VAR41[4]; VAR31[ 8] <= VAR24[4]; VAR31[ 7] <= VAR41[3]; VAR31[ 6] <= VAR24[3]; VAR31[ 5] <= VAR41[2]; VAR31[ 4] <= VAR24[2]; VAR31[ 3] <= VAR41[1]; VAR31[ 2] <= VAR24[1]; VAR31[ 1] <= VAR41[0]; VAR31[ 0] <= VAR24[0]; VAR39 <= VAR29; VAR13 <= VAR33; if ((VAR39 == 1'b1) || (VAR13 == 1'b1)) begin VAR25 <= 1'b1; end else begin VAR25 <= 1'b0; end end generate for (VAR11 = 0; VAR11 <= 7; VAR11 = VAR11 + 1) begin : VAR5 VAR47 #( .VAR37 (VAR21), .VAR3 (0), .VAR20 (VAR4)) VAR1 ( .VAR10 (VAR44), .VAR7 (VAR27[VAR11]), .VAR26 (VAR28[VAR11]), .VAR2 (VAR38[VAR11]), .VAR6 (VAR22[VAR11]), .VAR34 (VAR34), .VAR43 (VAR43[VAR11]), .VAR32 (VAR32[((VAR11*5)+4):(VAR11*5)]), .VAR35 (VAR35[((VAR11*5)+4):(VAR11*5)]), .VAR14 (VAR14), .VAR48 (VAR48), .VAR15 ()); end endgenerate VAR47 #( .VAR37 (VAR21), .VAR3 (1), .VAR20 (VAR4)) VAR23 ( .VAR10 (VAR44), .VAR7 (VAR42), .VAR26 (VAR30), .VAR2 (VAR29), .VAR6 (VAR33), .VAR34 (VAR34), .VAR43 (VAR43[8]), .VAR32 (VAR32[44:40]), .VAR35 (VAR35[44:40]), .VAR14 (VAR14), .VAR48 (VAR48), .VAR15 (VAR15)); VAR12 #( .VAR37 (VAR21)) VAR36 ( .VAR18 (VAR8), .VAR17 (VAR9), .clk (VAR44)); endmodule
gpl-3.0
alexforencich/xfcp
lib/uart/example/Arty/fpga/rtl/fpga_core.v
3,918
module MODULE1 # ( parameter VAR6 = "VAR28" ) ( input wire clk, input wire rst, input wire [3:0] VAR26, input wire [3:0] VAR12, output wire VAR24, output wire VAR39, output wire VAR41, output wire VAR42, output wire VAR7, output wire VAR15, output wire VAR5, output wire VAR19, output wire VAR16, output wire VAR9, output wire VAR23, output wire VAR22, output wire VAR29, output wire VAR2, output wire VAR31, output wire VAR30, input wire VAR10, output wire VAR40 ); reg [7:0] VAR35; reg VAR43; wire VAR18; wire [7:0] VAR25; wire VAR8; reg VAR34; VAR1 VAR13 ( .clk(clk), .rst(rst), .VAR14(VAR35), .VAR27(VAR43), .VAR33(VAR18), .VAR38(VAR25), .VAR3(VAR8), .VAR4(VAR34), .VAR32(VAR10), .VAR21(VAR40), .VAR17(), .VAR11(), .VAR36(), .VAR37(), .VAR20(125000000/(9600*8)) ); assign {VAR30, VAR31, VAR2, VAR29, VAR23, VAR19, VAR7, VAR39} = VAR35; always @(posedge clk or posedge rst) begin if (rst) begin VAR35 <= 0; VAR43 <= 0; VAR34 <= 0; end else begin if (VAR43) begin VAR34 <= 0; if (VAR18) begin VAR43 <= 0; end end else begin VAR34 <= 1; if (VAR8) begin VAR34 <= ~VAR34; VAR35 <= VAR25; VAR43 <= 1; end end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/einvp/sky130_fd_sc_hd__einvp.functional.pp.v
1,863
module MODULE1 ( VAR1 , VAR4 , VAR7 , VAR13, VAR5, VAR12 , VAR9 ); output VAR1 ; input VAR4 ; input VAR7 ; input VAR13; input VAR5; input VAR12 ; input VAR9 ; wire VAR6 ; wire VAR8; VAR10 VAR3 (VAR6 , VAR4, VAR13, VAR5 ); VAR10 VAR11 (VAR8, VAR7, VAR13, VAR5 ); notif1 VAR2 (VAR1 , VAR6, VAR8); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o22a/sky130_fd_sc_lp__o22a_m.v
2,336
module MODULE2 ( VAR9 , VAR10 , VAR7 , VAR8 , VAR2 , VAR1, VAR5, VAR4 , VAR11 ); output VAR9 ; input VAR10 ; input VAR7 ; input VAR8 ; input VAR2 ; input VAR1; input VAR5; input VAR4 ; input VAR11 ; VAR6 VAR3 ( .VAR9(VAR9), .VAR10(VAR10), .VAR7(VAR7), .VAR8(VAR8), .VAR2(VAR2), .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR11(VAR11) ); endmodule module MODULE2 ( VAR9 , VAR10, VAR7, VAR8, VAR2 ); output VAR9 ; input VAR10; input VAR7; input VAR8; input VAR2; supply1 VAR1; supply0 VAR5; supply1 VAR4 ; supply0 VAR11 ; VAR6 VAR3 ( .VAR9(VAR9), .VAR10(VAR10), .VAR7(VAR7), .VAR8(VAR8), .VAR2(VAR2) ); endmodule
apache-2.0
GLADICOS/SPACEWIRESYSTEMC
altera_work/spw_babasu/spw_babasu/synthesis/spw_babasu.v
56,451
module MODULE1 ( output wire VAR77, input wire VAR286, input wire [2:0] VAR348, output wire [8:0] VAR302, input wire [8:0] VAR296, input wire [10:0] VAR120, output wire VAR347, output wire VAR318, output wire VAR259, output wire VAR133, output wire VAR197, input wire VAR321, input wire VAR314, output wire VAR209, output wire VAR316, input wire VAR315, output wire [7:0] VAR306, input wire [7:0] VAR117, output wire [6:0] VAR307, input wire VAR118, output wire VAR232 ); wire [1:0] VAR192; wire [3:0] VAR213; wire [3:0] VAR225; wire VAR151; wire [11:0] VAR254; wire VAR128; wire [3:0] VAR88; wire [11:0] VAR289; wire [3:0] VAR256; wire VAR324; wire [29:0] VAR297; wire [2:0] VAR59; wire [2:0] VAR55; wire [31:0] VAR334; wire VAR269; wire [3:0] VAR113; wire [11:0] VAR285; wire [1:0] VAR93; wire [1:0] VAR275; wire [29:0] VAR102; wire [1:0] VAR121; wire VAR204; wire [31:0] VAR294; wire VAR320; wire [1:0] VAR327; wire [2:0] VAR158; wire VAR35; wire VAR215; wire VAR252; wire [1:0] VAR4; wire [11:0] VAR223; wire [11:0] VAR340; wire VAR181; wire [2:0] VAR23; wire VAR45; wire VAR61; wire VAR157; wire [31:0] VAR114; wire [1:0] VAR126; wire VAR293; wire [31:0] VAR228; wire VAR36; wire [31:0] VAR198; wire [1:0] VAR182; wire VAR28; wire [31:0] VAR292; wire VAR84; wire [31:0] VAR283; wire [1:0] VAR27; wire VAR230; wire [31:0] VAR279; wire [31:0] VAR161; wire [1:0] VAR99; wire [31:0] VAR282; wire [1:0] VAR25; wire VAR95; wire [31:0] VAR66; wire [1:0] VAR317; wire VAR57; wire [31:0] VAR44; wire VAR135; wire [31:0] VAR17; wire [1:0] VAR8; wire VAR54; wire [31:0] VAR278; wire [31:0] VAR167; wire [1:0] VAR211; wire [31:0] VAR147; wire [1:0] VAR170; wire VAR100; wire [31:0] VAR138; wire [1:0] VAR131; wire VAR300; wire [31:0] VAR173; wire [31:0] VAR34; wire [1:0] VAR343; wire [31:0] VAR149; wire [1:0] VAR249; wire [31:0] VAR201; wire [1:0] VAR112; wire VAR288; wire [31:0] VAR266; wire [1:0] VAR72; wire VAR160; wire [31:0] VAR143; wire VAR242; wire [31:0] VAR137; wire [1:0] VAR30; wire VAR140; wire [31:0] VAR290; wire VAR50; wire [31:0] VAR150; wire [1:0] VAR210; wire VAR219; wire [31:0] VAR6; wire VAR333; wire [31:0] VAR299; wire [1:0] VAR248; wire VAR122; wire [31:0] VAR15; wire VAR73; wire VAR295; wire VAR234; VAR227 VAR313 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR27), .VAR247 (~VAR230), .VAR40 (VAR279), .VAR127 (VAR84), .VAR344 (VAR283), .VAR224 (VAR77) ); VAR337 VAR222 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR99), .VAR344 (VAR161), .VAR339 (VAR348) ); VAR179 VAR326 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR317), .VAR247 (~VAR57), .VAR40 (VAR44), .VAR127 (VAR95), .VAR344 (VAR66), .VAR224 (VAR302) ); VAR235 VAR233 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR170), .VAR344 (VAR147), .VAR339 (VAR296) ); VAR20 VAR68 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR25), .VAR344 (VAR282), .VAR339 (VAR120) ); VAR227 VAR280 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR182), .VAR247 (~VAR28), .VAR40 (VAR292), .VAR127 (VAR36), .VAR344 (VAR198), .VAR224 (VAR347) ); VAR227 VAR303 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR126), .VAR247 (~VAR293), .VAR40 (VAR228), .VAR127 (VAR157), .VAR344 (VAR114), .VAR224 (VAR318) ); VAR227 VAR322 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR131), .VAR247 (~VAR300), .VAR40 (VAR173), .VAR127 (VAR100), .VAR344 (VAR138), .VAR224 (VAR197) ); VAR188 VAR166 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR343), .VAR344 (VAR34), .VAR339 (VAR314) ); VAR227 VAR207 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR248), .VAR247 (~VAR122), .VAR40 (VAR15), .VAR127 (VAR333), .VAR344 (VAR299), .VAR224 (VAR209) ); VAR227 VAR67 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR72), .VAR247 (~VAR160), .VAR40 (VAR143), .VAR127 (VAR288), .VAR344 (VAR266), .VAR224 (VAR316) ); VAR188 VAR91 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR249), .VAR344 (VAR149), .VAR339 (VAR315) ); VAR38 VAR10 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR30), .VAR247 (~VAR140), .VAR40 (VAR290), .VAR127 (VAR242), .VAR344 (VAR137), .VAR224 (VAR306) ); VAR154 timeout ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR112), .VAR344 (VAR201), .VAR339 (VAR117) ); VAR64 VAR105 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR210), .VAR247 (~VAR219), .VAR40 (VAR6), .VAR127 (VAR50), .VAR344 (VAR150), .VAR224 (VAR307) ); VAR188 VAR261 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR211), .VAR344 (VAR167), .VAR339 (VAR118) ); VAR227 VAR200 ( .clk (VAR286), .VAR97 (~VAR73), .address (VAR8), .VAR247 (~VAR54), .VAR40 (VAR278), .VAR127 (VAR135), .VAR344 (VAR17), .VAR224 (VAR232) ); VAR12 #( .VAR86 (0), .VAR238 (1) ) VAR9 ( .VAR5 (), .VAR129 (), .VAR183 (), .VAR220 (), .VAR146 (), .VAR37 (), .VAR80 (), .VAR108 (), .VAR115 (), .VAR134 (), .VAR221 (), .VAR212 (), .VAR142 (), .VAR26 (), .VAR11 (), .VAR24 (), .VAR243 (VAR234), .VAR239 (VAR286), .VAR58 (VAR223), .VAR244 (VAR102), .VAR231 (VAR88), .VAR338 (VAR23), .VAR246 (VAR192), .VAR164 (VAR275), .VAR206 (VAR113), .VAR89 (VAR55), .VAR205 (VAR45), .VAR187 (VAR320), .VAR39 (VAR289), .VAR217 (VAR334), .VAR265 (VAR225), .VAR172 (VAR252), .VAR174 (VAR324), .VAR319 (VAR151), .VAR251 (VAR340), .VAR335 (VAR121), .VAR53 (VAR181), .VAR63 (VAR35), .VAR214 (VAR285), .VAR14 (VAR297), .VAR328 (VAR213), .VAR3 (VAR158), .VAR47 (VAR327), .VAR111 (VAR93), .VAR237 (VAR256), .VAR48 (VAR59), .VAR139 (VAR269), .VAR264 (VAR204), .VAR329 (VAR254), .VAR107 (VAR294), .VAR263 (VAR4), .VAR260 (VAR215), .VAR190 (VAR61), .VAR241 (VAR128) ); VAR155 VAR346 ( .VAR271 (VAR286), .rst (~VAR321), .VAR21 (VAR133), .VAR123 (VAR259) ); VAR124 VAR132 ( .VAR223 (VAR223), .VAR102 (VAR102), .VAR88 (VAR88), .VAR23 (VAR23), .VAR192 (VAR192), .VAR275 (VAR275), .VAR113 (VAR113), .VAR55 (VAR55), .VAR45 (VAR45), .VAR320 (VAR320), .VAR289 (VAR289), .VAR334 (VAR334), .VAR225 (VAR225), .VAR252 (VAR252), .VAR324 (VAR324), .VAR151 (VAR151), .VAR340 (VAR340), .VAR121 (VAR121), .VAR181 (VAR181), .VAR35 (VAR35), .VAR285 (VAR285), .VAR297 (VAR297), .VAR213 (VAR213), .VAR158 (VAR158), .VAR327 (VAR327), .VAR93 (VAR93), .VAR256 (VAR256), .VAR59 (VAR59), .VAR269 (VAR269), .VAR204 (VAR204), .VAR254 (VAR254), .VAR294 (VAR294), .VAR4 (VAR4), .VAR215 (VAR215), .VAR61 (VAR61), .VAR128 (VAR128), .VAR186 (VAR286), .VAR216 (VAR295), .VAR144 (VAR73), .VAR141 (VAR27), .VAR276 (VAR230), .VAR250 (VAR283), .VAR103 (VAR279), .VAR178 (VAR84), .VAR310 (VAR99), .VAR185 (VAR161), .VAR98 (VAR317), .VAR236 (VAR57), .VAR145 (VAR66), .VAR309 (VAR44), .VAR341 (VAR95), .VAR156 (VAR170), .VAR312 (VAR147), .VAR332 (VAR25), .VAR267 (VAR282), .VAR291 (VAR182), .VAR94 (VAR28), .VAR169 (VAR198), .VAR208 (VAR292), .VAR255 (VAR36), .VAR65 (VAR126), .VAR218 (VAR293), .VAR56 (VAR114), .VAR78 (VAR228), .VAR159 (VAR157), .VAR74 (VAR131), .VAR304 (VAR300), .VAR52 (VAR138), .VAR22 (VAR173), .VAR106 (VAR100), .VAR116 (VAR343), .VAR331 (VAR34), .VAR85 (VAR248), .VAR257 (VAR122), .VAR229 (VAR299), .VAR301 (VAR15), .VAR148 (VAR333), .VAR193 (VAR72), .VAR191 (VAR160), .VAR240 (VAR266), .VAR51 (VAR143), .VAR287 (VAR288), .VAR81 (VAR249), .VAR168 (VAR149), .VAR189 (VAR30), .VAR130 (VAR140), .VAR342 (VAR137), .VAR262 (VAR290), .VAR79 (VAR242), .VAR119 (VAR112), .VAR305 (VAR201), .VAR31 (VAR210), .VAR19 (VAR219), .VAR175 (VAR150), .VAR330 (VAR6), .VAR245 (VAR50), .VAR75 (VAR211), .VAR152 (VAR167), .VAR274 (VAR8), .VAR202 (VAR54), .VAR253 (VAR17), .VAR49 (VAR278), .VAR92 (VAR135) ); VAR83 #( .VAR7 (1), .VAR270 ("VAR60"), .VAR350 (2), .VAR90 (0), .VAR104 (1), .VAR16 (3), .VAR171 (1), .VAR71 (0), .VAR268 (0), .VAR180 (0), .VAR176 (0), .VAR32 (0), .VAR87 (0), .VAR69 (0), .VAR153 (0), .VAR110 (0), .VAR82 (0), .VAR41 (0), .VAR345 (0), .VAR46 (0), .VAR96 (0), .VAR203 (0), .VAR258 (0), .VAR109 (0) ) VAR70 ( .VAR136 (~VAR321), .clk (VAR286), .VAR177 (VAR73), .VAR349 (), .VAR2 (1'b0), .VAR101 (1'b0), .VAR76 (1'b0), .VAR298 (1'b0), .VAR336 (1'b0), .VAR308 (1'b0), .VAR311 (1'b0), .VAR184 (1'b0), .VAR42 (1'b0), .VAR125 (1'b0), .VAR62 (1'b0), .VAR43 (1'b0), .VAR284 (1'b0), .VAR273 (1'b0), .VAR199 (1'b0), .VAR13 (1'b0), .VAR18 (1'b0), .VAR272 (1'b0), .VAR325 (1'b0), .VAR165 (1'b0), .VAR194 (1'b0), .VAR1 (1'b0), .VAR163 (1'b0), .VAR162 (1'b0), .VAR323 (1'b0), .VAR196 (1'b0), .VAR226 (1'b0), .VAR29 (1'b0), .VAR281 (1'b0), .VAR277 (1'b0), .VAR33 (1'b0) ); VAR83 #( .VAR7 (1), .VAR270 ("VAR60"), .VAR350 (2), .VAR90 (0), .VAR104 (1), .VAR16 (3), .VAR171 (1), .VAR71 (0), .VAR268 (0), .VAR180 (0), .VAR176 (0), .VAR32 (0), .VAR87 (0), .VAR69 (0), .VAR153 (0), .VAR110 (0), .VAR82 (0), .VAR41 (0), .VAR345 (0), .VAR46 (0), .VAR96 (0), .VAR203 (0), .VAR258 (0), .VAR109 (0) ) VAR195 ( .VAR136 (~VAR234), .clk (VAR286), .VAR177 (VAR295), .VAR349 (), .VAR2 (1'b0), .VAR101 (1'b0), .VAR76 (1'b0), .VAR298 (1'b0), .VAR336 (1'b0), .VAR308 (1'b0), .VAR311 (1'b0), .VAR184 (1'b0), .VAR42 (1'b0), .VAR125 (1'b0), .VAR62 (1'b0), .VAR43 (1'b0), .VAR284 (1'b0), .VAR273 (1'b0), .VAR199 (1'b0), .VAR13 (1'b0), .VAR18 (1'b0), .VAR272 (1'b0), .VAR325 (1'b0), .VAR165 (1'b0), .VAR194 (1'b0), .VAR1 (1'b0), .VAR163 (1'b0), .VAR162 (1'b0), .VAR323 (1'b0), .VAR196 (1'b0), .VAR226 (1'b0), .VAR29 (1'b0), .VAR281 (1'b0), .VAR277 (1'b0), .VAR33 (1'b0) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/or4b/sky130_fd_sc_lp__or4b.behavioral.pp.v
1,978
module MODULE1 ( VAR12 , VAR13 , VAR3 , VAR14 , VAR6 , VAR10, VAR8, VAR16 , VAR5 ); output VAR12 ; input VAR13 ; input VAR3 ; input VAR14 ; input VAR6 ; input VAR10; input VAR8; input VAR16 ; input VAR5 ; wire VAR17 ; wire VAR7 ; wire VAR4; not VAR9 (VAR17 , VAR6 ); or VAR11 (VAR7 , VAR17, VAR14, VAR3, VAR13 ); VAR1 VAR2 (VAR4, VAR7, VAR10, VAR8); buf VAR15 (VAR12 , VAR4 ); endmodule
apache-2.0
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_043.v
1,602
module MODULE1 ( VAR16, VAR9 ); input [31:0] VAR16; output [31:0] VAR9; wire [31:0] VAR1, VAR3, VAR8, VAR5, VAR2, VAR13, VAR4, VAR12, VAR7, VAR15, VAR11; assign VAR1 = VAR16; assign VAR13 = VAR1 << 7; assign VAR4 = VAR1 + VAR13; assign VAR11 = VAR15 - VAR7; assign VAR15 = VAR7 << 2; assign VAR12 = VAR4 << 2; assign VAR5 = VAR1 << 6; assign VAR7 = VAR2 - VAR12; assign VAR8 = VAR3 - VAR1; assign VAR3 = VAR1 << 13; assign VAR2 = VAR8 + VAR5; assign VAR9 = VAR11; endmodule module MODULE2( VAR16, VAR9, clk ); input [31:0] VAR16; output [31:0] VAR9; reg [31:0] VAR9; input clk; reg [31:0] VAR10; wire [30:0] VAR6; always @(posedge clk) begin VAR10 <= VAR16; VAR9 <= VAR6; end MODULE1 MODULE1( .VAR16(VAR10), .VAR9(VAR6) ); endmodule
mit
asicguy/gplgpu
hdl/vga/htaddmap.v
30,941
module MODULE1 ( input VAR117, input VAR1, input VAR101, input VAR56, input VAR172, input VAR67, input [31:0] VAR93, input VAR14, input VAR175, input VAR9, input VAR25, input VAR85, input [3:0] VAR7, input VAR180, input VAR168, input VAR103, input VAR55, input VAR13, input VAR87, input VAR65, input VAR28, input VAR185, input VAR89, input [22:0] VAR128, input VAR145, input VAR199, input VAR84, input VAR34, input VAR169, input VAR177, input [3:0] VAR138, output [19:0] VAR21, output [7:0] VAR127, output reg VAR173, output reg VAR130, output reg VAR184, output reg VAR80, output [31:0] VAR29, output reg VAR16 ); reg [7:0] VAR193; reg [19:0] VAR153; reg VAR37; reg [1:0] VAR64; reg VAR54, VAR132, VAR38, VAR59; reg VAR73, VAR15, VAR122, VAR195; reg VAR47, VAR83; reg VAR91; reg VAR20; reg VAR77; reg VAR156; reg VAR86; reg VAR51; reg VAR76; reg VAR158; reg VAR115; reg VAR187, VAR40, VAR188; reg VAR96, VAR71, VAR161; reg VAR79, VAR147; reg [7:0] VAR160; reg [19:0] VAR50; reg [7:0] VAR106; reg [19:0] VAR10; reg [7:0] VAR116; reg [19:0] VAR4; reg [7:0] VAR142; reg [19:0] VAR134; reg [7:0] VAR136; reg [1:0] VAR197; reg [19:0] VAR88; reg [19:0] VAR179; reg [19:0] VAR43; reg [19:0] VAR110; reg [31:0] VAR18; wire VAR159; wire VAR42; wire VAR78; wire VAR123; wire VAR164; wire VAR196; wire [10:0] VAR176; wire [19:9] VAR70; wire [19:0] VAR154; wire VAR32; wire VAR167; wire VAR8; wire VAR104; wire VAR33; wire VAR119; wire [1:0] VAR181; wire [1:0] VAR112; wire [22:0] VAR81; wire VAR92; wire VAR108; wire VAR157; wire VAR57; wire VAR68; wire VAR165; wire [7:0] VAR26; wire VAR74; wire VAR30; wire VAR12; wire VAR109; wire VAR5; wire VAR137; wire VAR155; wire VAR120; wire VAR3; wire VAR178; wire VAR45; wire VAR49; wire VAR174; wire VAR129; wire VAR194; wire VAR31; wire VAR189; wire VAR98; wire VAR190; wire VAR66; wire [7:0] VAR131; wire [7:0] VAR99; wire VAR150; wire VAR170; wire [7:0] VAR52; wire [7:0] VAR94; wire [7:0] VAR125; wire [7:0] VAR186; wire VAR58, VAR95, VAR133, VAR143; wire VAR100, VAR102, VAR90, VAR35; wire VAR121, VAR118, VAR82, VAR135; wire [7:0] VAR19; wire [3:0] VAR75; wire [3:0] VAR53; wire VAR126, VAR72; wire VAR139, VAR97; wire VAR60, VAR144; wire VAR63, VAR17; wire VAR46, VAR107; wire VAR2, VAR6; wire [7:0] VAR183; wire [7:0] VAR41; wire [19:0] VAR192; wire [19:0] VAR113; wire VAR146; wire VAR48; wire VAR23; wire VAR111; wire VAR162; wire VAR124; wire VAR148; wire VAR151; wire VAR11, VAR36, VAR166, VAR105; wire VAR171, VAR24, VAR182, VAR141; wire VAR114, VAR39, VAR149, VAR44; wire VAR191, VAR140, VAR27, VAR62; wire VAR163, VAR61, VAR152, VAR198; wire [19:0] VAR22; wire VAR69; assign VAR81[22:0] = VAR128[22:0]; assign VAR176 = {2'b0, VAR92, VAR108, VAR157, VAR22[14:9] }; assign VAR42 = VAR84; assign VAR78 = ~VAR84 & VAR199; assign VAR123 = ~VAR84 & ~VAR199; assign VAR164 = VAR22[15]; assign VAR157 = VAR42 ? 1'b0 : VAR164; assign VAR146 = VAR42 | VAR78; assign VAR48 = VAR42 | VAR78 | VAR123; assign VAR108 = VAR146 ? 1'b0 : VAR22[16]; assign VAR92 = VAR48 ? 1'b0 : VAR22[17]; assign VAR70 = VAR176; assign VAR154[19:0] = {VAR70[19:9], VAR22[8:0]}; assign VAR32 = VAR84 & VAR199 & VAR34; assign VAR167 = ~(VAR84 & VAR199) & VAR34; assign VAR8 = ~(VAR84 & VAR199) & ~VAR34; always @* begin if (VAR32) VAR37 = VAR154[0]; end else if (VAR167) VAR37 = ~VAR145; else if (VAR8) VAR37 = VAR154[14]; else VAR37 = VAR154[0]; end assign VAR119 = VAR154[1]; assign VAR33 = VAR154[14]; assign VAR104 = VAR154[15]; assign VAR181 = {VAR119, VAR37}; assign VAR112 = {VAR104, VAR33}; always @* begin if (VAR169) VAR197[1:0] = VAR181; end else if (VAR190) VAR197[1:0] = VAR112; else VAR197[1:0] = VAR154[1:0]; end assign VAR113[19:0] = {VAR154[19:2], VAR197[1:0]}; assign VAR189 = VAR180; assign VAR26[7:0] = {{4{VAR81[0]}}, {4{~VAR81[0]}}}; always @* begin VAR20 = 1'b0; VAR77 = 1'b0; VAR156 = 1'b0; VAR86 = 1'b0; VAR73 = 1'b0; VAR15 = 1'b0; VAR122 = 1'b0; VAR195 = 1'b0; case (VAR7) 4'b1111: begin VAR73 = 1'b1; VAR50 = {20'b0}; VAR106 = {4'b0, 4'b0}; end 4'b1110: begin VAR73 = 1'b1; VAR20 = 1'b1; VAR106 = {4'b0, 4'b1111}; if (VAR189) VAR50 = {VAR81[19:2], 2'b00}; end else VAR50 = {VAR81[20:2], 1'b0}; end 4'b1101: begin VAR73 = 1'b1; VAR77 = 1'b1; if(VAR189) begin VAR50 = {VAR81[19:2], 2'b01}; VAR106 = {4'b0, 4'b1111}; end else begin VAR50 = {VAR81[20:2], 1'b0}; VAR106 = {4'b1111, 4'b0}; end end 4'b1100: begin VAR15 = 1'b1; if (VAR189 & VAR9 & VAR168) begin VAR50 = {VAR81[19:2], 2'b00}; VAR106 = {4'b0, 4'b1111}; VAR20 = 1'b1; end else if (VAR189 & VAR9 & VAR103) begin VAR50 = {VAR81[19:2], 2'b01}; VAR106 = {4'b0, 4'b1111}; VAR77 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b1011: begin VAR73 = 1'b1; if (VAR189) begin VAR50 = {VAR81[19:2], 2'b10}; VAR106 = {4'b0, 4'b1111}; VAR156 = 1'b1; end else begin VAR50 = {VAR81[20:2], 1'b1}; VAR106 = {4'b0, 4'b1111}; VAR156 = 1'b1; end end 4'b1010: begin VAR15 = 1'b1; if(VAR189 & VAR9 & VAR168) begin VAR50 = {VAR81[19:2], 2'b00}; VAR106 = {4'b0, 4'b1111}; VAR20 = 1'b1; end else if (VAR189 & VAR9 & VAR103) begin VAR50 = {VAR81[19:2], 2'b10}; VAR106 = {4'b0, 4'b1111}; VAR156 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b1001: begin VAR15 = 1'b1; if(VAR189 & VAR9 & VAR168) begin VAR50 = {VAR81[19:2], 2'b01}; VAR106 = {4'b0, 4'b1111}; VAR77 = 1'b1; end else if (VAR189 & VAR9 & VAR103) begin VAR50 = {VAR81[19:2], 2'b10}; VAR106 = {4'b0, 4'b1111}; VAR156 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b1000: begin VAR122 = 1'b1; if(VAR189 & VAR25 & VAR55) begin VAR50 = {VAR81[19:2], 2'b00}; VAR106 = {4'b0, 4'b1111}; VAR20 = 1'b1; end else if (VAR189 & VAR25 & VAR13) begin VAR50 = {VAR81[19:2], 2'b01}; VAR106 = {4'b0, 4'b1111}; VAR77 = 1'b1; end else if (VAR189 & VAR25 & VAR87) begin VAR50 = {VAR81[19:2], 2'b10}; VAR106 = {4'b0, 4'b1111}; VAR156 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b0111: begin VAR73 = 1'b1; if (VAR189) begin VAR50 = {VAR81[19:2], 2'b11}; VAR106 = {4'b0, 4'b1111}; VAR86 = 1'b1; end else begin VAR50 = {VAR81[20:2], 1'b1}; VAR106 = {4'b1111, 4'b0}; VAR86 = 1'b1; end end 4'b0110: begin VAR15 = 1'b1; if(VAR189 & VAR9 & VAR168) begin VAR50 = {VAR81[19:2], 2'b00}; VAR106 = {4'b0, 4'b1111}; VAR20 = 1'b1; end else if (VAR189 & VAR9 & VAR103) begin VAR50 = {VAR81[19:2], 2'b11}; VAR106 = {4'b0, 4'b1111}; VAR86 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b0101: begin VAR15 = 1'b1; if(VAR189 & VAR9 & VAR168) begin VAR50 = {VAR81[19:2], 2'b01}; VAR106 = {4'b0, 4'b1111}; VAR77 = 1'b1; end else if (VAR189 & VAR9 & VAR103) begin VAR50 = {VAR81[19:2], 2'b11}; VAR106 = {4'b0, 4'b1111}; VAR86 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b0100: begin VAR122 = 1'b1; if(VAR189 & VAR25 & VAR55) begin VAR50 = {VAR81[19:2], 2'b00}; VAR106 = {4'b0, 4'b1111}; VAR20 = 1'b1; end else if (VAR189 & VAR25 & VAR13) begin VAR50 = {VAR81[19:2], 2'b01}; VAR106 = {4'b0, 4'b1111}; VAR77 = 1'b1; end else if (VAR189 & VAR25 & VAR87) begin VAR50 = {VAR81[19:2], 2'b11}; VAR106 = {4'b0, 4'b1111}; VAR86 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b0011: begin VAR15 = 1'b1; if(VAR189 & VAR9 & VAR168) begin VAR50 = {VAR81[19:2], 2'b10}; VAR106 = {4'b0, 4'b1111}; VAR156 = 1'b1; end else if (VAR189 & VAR9 & VAR103) begin VAR50 = {VAR81[19:2], 2'b11}; VAR106 = {4'b0, 4'b1111}; VAR86 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b0010: begin VAR122 = 1'b1; if(VAR189 & VAR25 & VAR55) begin VAR50 = {VAR81[19:2], 2'b00}; VAR106 = {4'b0, 4'b1111}; VAR20 = 1'b1; end else if (VAR189 & VAR25 & VAR13) begin VAR50 = {VAR81[19:2], 2'b10}; VAR106 = {4'b0, 4'b1111}; VAR156 = 1'b1; end else if (VAR189 & VAR25 & VAR87) begin VAR50 = {VAR81[19:2], 2'b11}; VAR106 = {4'b0, 4'b1111}; VAR86 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b0001: begin VAR122 = 1'b1; if(VAR189 & VAR25 & VAR55) begin VAR50 = {VAR81[19:2], 2'b01}; VAR106 = {4'b0, 4'b1111}; VAR77 = 1'b1; end else if (VAR189 & VAR25 & VAR13) begin VAR50 = {VAR81[19:2], 2'b10}; VAR106 = {4'b0, 4'b1111}; VAR156 = 1'b1; end else if (VAR189 & VAR25 & VAR87) begin VAR50 = {VAR81[19:2], 2'b11}; VAR106 = {4'b0, 4'b1111}; VAR86 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end 4'b0000: begin VAR195 = 1'b1; if (VAR189 & VAR85 & VAR65) begin VAR50 = {VAR81[19:2], 2'b00}; VAR106[7:0] = {4'b0, 4'b1111}; VAR20 = 1'b1; end else if (VAR189 & VAR85 & VAR28) begin VAR50 = {VAR81[19:2], 2'b01}; VAR106[7:0] = {4'b0, 4'b1111}; VAR77 = 1'b1; end else if (VAR189 & VAR85 & VAR185) begin VAR50 = {VAR81[19:2], 2'b10}; VAR106[7:0] = {4'b0, 4'b1111}; VAR156 = 1'b1; end else if (VAR189 & VAR85 & VAR89) begin VAR50 = {VAR81[19:2], 2'b11}; VAR106[7:0] = {4'b0, 4'b1111}; VAR86 = 1'b1; end else begin VAR50 = 20'b0; VAR106[7:0] = 8'b0; end end endcase end always @* begin VAR51 = 1'b0; VAR76 = 1'b0; VAR158 = 1'b0; VAR115 = 1'b0; VAR47 = 1'b0; VAR83 = 1'b0; VAR16 = 1'b0; case (VAR7) 4'b1111: begin VAR47 = 1'b1; if(VAR98) begin VAR10 = {20'b0}; VAR116 = {8'b0}; VAR51 = 1'b0; VAR76 = 1'b0; end else begin VAR10 = 20'b0; VAR116 = 8'b0; VAR51 = 1'b0; VAR76 = 1'b0; end end 4'b1110: begin VAR16 = 1'b1; VAR47 = 1'b1; if(VAR98) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b0101}; VAR51 = 1'b1; end else begin VAR10 = VAR81[21:2]; VAR116 = {4'b0, 4'b0101}; VAR51 = 1'b1; end end 4'b1101: begin VAR16 = 1'b1; VAR47 = 1'b1; if(VAR98) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b1010}; VAR76 = 1'b1; end else begin VAR10 = VAR81[21:2]; VAR116 = {4'b0, 4'b1010}; VAR76 = 1'b1; end end 4'b1100: begin VAR47 = 1'b1; if(VAR98) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b1111 }; VAR51 = 1'b1; VAR76 = 1'b1; end else begin VAR10 = VAR81[21:2]; VAR116 = {4'b0, 4'b1111}; VAR51 = 1'b1; VAR76 = 1'b1; end end 4'b1011: begin VAR16 = 1'b1; VAR47 = 1'b1; if(VAR98) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b0101}; VAR158 = 1'b1; end else begin VAR10 = VAR81[21:2]; VAR116 = {4'b0101, 4'b0}; VAR158 = 1'b1; end end 4'b1010: begin VAR16 = 1'b1; VAR83 = 1'b1; if(VAR98 & VAR9 & VAR168) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b0101}; VAR51 = 1'b1; end else if (VAR98 & VAR9 & VAR103) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b0101}; VAR158 = 1'b1; end else begin VAR10 = 20'b0; VAR116[7:0] = 8'b0; end end 4'b1001: begin VAR16 = 1'b1; VAR83 = 1'b1; if(VAR98 & VAR9 & VAR168) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b1010}; VAR76 = 1'b1; end else if (VAR98 & VAR9 & VAR103) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b0101}; VAR158 = 1'b1; end else begin VAR10 = 20'b0; VAR116[7:0] = 8'b0; end end 4'b1000: begin VAR83 = 1'b1; if(VAR98 & VAR9 & VAR168) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b1111 }; VAR51 = 1'b1; VAR76 = 1'b1; end else if (VAR98 & VAR9 & VAR103) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b0101}; VAR158 = 1'b1; VAR16 = 1'b1; end else begin VAR10 = 20'b0; VAR116[7:0] = 8'b0; end end 4'b0111: begin VAR16 = 1'b1; VAR47 = 1'b1; if(VAR98) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b1010}; VAR115 = 1'b1; end else begin VAR10 = VAR81[21:2]; VAR116 = {4'b1010, 4'b0}; VAR115 = 1'b1; end end 4'b0110: begin VAR16 = 1'b1; VAR83 = 1'b1; if(VAR98 & VAR9 & VAR168) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b0101}; VAR51 = 1'b1; end else if (VAR98 & VAR9 & VAR103) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b1010}; VAR115 = 1'b1; end else begin VAR10 = 20'b0; VAR116[7:0] = 8'b0; end end 4'b0101: begin VAR16 = 1'b1; VAR83 = 1'b1; if(VAR98 & VAR9 & VAR168) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b1010}; VAR76 = 1'b1; end else if (VAR98 & VAR9 & VAR103) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b1010}; VAR115 = 1'b1; end else begin VAR10 = 20'b0; VAR116[7:0] = 8'b0; end end 4'b0100: begin VAR83 = 1'b1; if(VAR98 & VAR9 & VAR168) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b1111}; VAR51 = 1'b1; VAR76 = 1'b1; end else if (VAR98 & VAR9 & VAR103) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b1010}; VAR115 = 1'b1; VAR16 = 1'b1; end else begin VAR10 = 20'b0; VAR116[7:0] = 8'b0; end end 4'b0011: begin VAR47 = 1'b1; if(VAR98) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b1111}; VAR158 = 1'b1; VAR115 = 1'b1; end else begin VAR10 = VAR81[21:2]; VAR116 = {4'b1111, 4'b0}; VAR158 = 1'b1; VAR115 = 1'b1; end end 4'b0010: begin VAR83 = 1'b1; if(VAR98 & VAR9 & VAR168) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b0101}; VAR51 = 1'b1; VAR16 = 1'b1; end else if (VAR98 & VAR9 & VAR103) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b1111}; VAR158 = 1'b1; VAR115 = 1'b1; end else begin VAR10 = 20'b0; VAR116[7:0] = 8'b0; end end 4'b0001: begin VAR83 = 1'b1; if(VAR98 & VAR9 & VAR168) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b1010}; VAR76 = 1'b1; VAR16 = 1'b1; end else if (VAR98 & VAR9 & VAR103) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b1111}; VAR158 = 1'b1; VAR115 = 1'b1; end else begin VAR10 = 20'b0; VAR116[7:0] = 8'b0; end end 4'b0000: begin VAR83 = 1'b1; if(VAR98 & VAR9 & VAR168) begin VAR10 = {VAR81[19:2], 2'b00}; VAR116 = {4'b0, 4'b1111}; VAR51 = 1'b1; VAR76 = 1'b1; end else if (VAR98 & VAR9 & VAR103) begin VAR10 = {VAR81[19:2], 2'b10}; VAR116 = {4'b0, 4'b1111}; VAR158 = 1'b1; VAR115 = 1'b1; end else begin VAR10 = 20'b0; VAR116[7:0] = 8'b0; end end endcase end always @* begin if (VAR190) begin VAR91 = 1'b1; VAR4 = {VAR81[19:2], 2'b00}; VAR142 = {4'b0, ~VAR7[3:0]}; end else begin VAR91 = 1'b0; VAR4 = 20'b0; VAR142 = 8'b0; end end always @* begin if (VAR180 & VAR56) begin VAR173 = VAR73; VAR130 = VAR15; VAR184 = VAR122; VAR80 = VAR195; end else if (VAR169 & VAR56) begin VAR173 = VAR47; VAR130 = VAR83; VAR184 = 1'b0; VAR80 = 1'b0; end else if (VAR177 & VAR56) begin VAR173 = VAR91; VAR130 = 1'b0; VAR184 = 1'b0; VAR80 = 1'b0; end else begin VAR173 = 1'b0; VAR130 = 1'b0; VAR184 = 1'b0; VAR80 = 1'b0; end end always @* begin if (VAR180) begin VAR54 = VAR20; VAR132 = VAR77; VAR38 = VAR156; VAR59 = VAR86; end else if (VAR169) begin VAR54 = VAR51; VAR132 = VAR76; VAR38 = VAR158; VAR59 = VAR115; end else begin VAR54 = 1'b0; VAR132 = 1'b0; VAR38 = 1'b0; VAR59 = 1'b0; end end always @* begin if (VAR180) VAR153 = VAR50; end else if (VAR169) VAR153 = VAR10; end else if (VAR177) VAR153 = VAR4; end else VAR153 = 20'b0; end always @* begin if (VAR180) VAR193 = VAR106; end else if (VAR169) VAR193 = VAR116; end else if (VAR177) VAR193 = VAR142; end else VAR193 = 8'b0; end assign VAR127[7:4] = {VAR193[7:4] & VAR138[3:0]}; assign VAR127[3:0] = {VAR193[3:0] & VAR138[3:0]}; assign VAR192[19:0] = {VAR153[19:0]} ; assign VAR98 = VAR169; assign VAR190 = VAR177; assign VAR66 = VAR177; assign VAR22 = VAR192; assign VAR21 = VAR113; assign VAR69 = VAR177; always @(VAR54 or VAR132 or VAR38 or VAR59 or VAR69 or VAR93) begin case ({VAR59, VAR38, VAR132, VAR54, VAR69}) 5'b00010: VAR18 = {4{VAR93[7:0]}}; 5'b00100: VAR18 = {4{VAR93[15:8]}}; 5'b01000: VAR18 = {4{VAR93[23:16]}}; 5'b10000: VAR18 = {4{VAR93[31:24]}}; 5'b00110: VAR18 = {2{VAR93[15:0]}}; 5'b11000: VAR18 = {2{VAR93[31:16]}}; default: VAR18 = {VAR93[31:0]}; endcase end assign VAR29 = VAR18; endmodule
gpl-3.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/db/db_clip3_str.v
1,271
module MODULE1(VAR3,VAR1,VAR4,VAR2); input [7:0] VAR1,VAR4; input [8:0] VAR2 ; output wire [7:0] VAR3; assign VAR3 = (VAR2<VAR1) ? VAR1 : ((VAR2>VAR4) ? VAR4 : VAR2[7:0]); endmodule
gpl-3.0
CMU-SAFARI/NOCulator
hring/hw/bless/brouter_3x3.v
10,177
module MODULE1( input VAR54 VAR64, input VAR54 VAR131, input VAR54 VAR79, input VAR54 VAR101, input VAR54 VAR94, input VAR54 VAR2, input VAR54 VAR33, input VAR54 VAR36, input VAR54 VAR142, input VAR51 VAR70, input VAR51 VAR114, input VAR51 VAR17, input VAR51 VAR116, input VAR51 VAR91, input VAR51 VAR48, input VAR51 VAR103, input VAR51 VAR41, input VAR51 VAR84, input clk, input rst, output VAR54 VAR12, output VAR54 VAR137, output VAR54 VAR11, output VAR54 VAR73, output VAR54 VAR124, output VAR54 VAR117, output VAR54 VAR112, output VAR54 VAR43, output VAR54 VAR126, output VAR51 VAR109, output VAR51 VAR71, output VAR51 VAR147, output VAR51 VAR14, output VAR51 VAR49, output VAR51 VAR106, output VAR51 VAR22, output VAR51 VAR127, output VAR51 VAR55, output VAR44, output VAR118, output VAR140, output VAR65, output VAR129, output VAR105, output VAR39, output VAR82, output VAR125); wire VAR54 VAR20, VAR150, VAR58, VAR145, VAR107, VAR128, VAR72, VAR83, VAR100, VAR108, VAR102, VAR18, VAR141, VAR81, VAR139, VAR47, VAR130, VAR56, VAR136, VAR8, VAR98, VAR19, VAR6, VAR60, VAR34, VAR111, VAR26, VAR89, VAR90, VAR75, VAR23, VAR104, VAR38, VAR67, VAR146, VAR4; wire VAR51 VAR61, VAR68, VAR25, VAR143, VAR148, VAR59, VAR16, VAR9, VAR31, VAR29, VAR3, VAR27, VAR86, VAR123, VAR35, VAR53, VAR122, VAR62, VAR80, VAR13, VAR24, VAR40, VAR115, VAR63, VAR66, VAR37, VAR74, VAR138, VAR1, VAR149, VAR57, VAR144, VAR135, VAR46, VAR21, VAR5; VAR30 #(4'b0000) VAR52 (.VAR132(VAR128), .VAR78(VAR59), .VAR133(VAR107), .VAR7(VAR148), .VAR134(VAR150), .VAR87(VAR68), .VAR97(VAR20), .VAR120(VAR61), .VAR69(VAR8), .VAR93(VAR13), .VAR121(VAR136), .VAR95(VAR80), .VAR92(VAR60), .VAR110(VAR63), .VAR32(VAR6), .VAR88(VAR115), .VAR119(VAR64), .VAR113(VAR70), .VAR15(VAR12), .VAR50(VAR109), .VAR76(VAR44), .clk(clk), .rst(rst)); VAR30 #(4'b0001) VAR28 (.VAR132(VAR20), .VAR78(VAR61), .VAR133(VAR150), .VAR7(VAR68), .VAR134(VAR145), .VAR87(VAR143), .VAR97(VAR58), .VAR120(VAR25), .VAR69(VAR111), .VAR93(VAR37), .VAR121(VAR34), .VAR95(VAR66), .VAR92(VAR75), .VAR110(VAR149), .VAR32(VAR90), .VAR88(VAR1), .VAR119(VAR131), .VAR113(VAR114), .VAR15(VAR137), .VAR50(VAR71), .VAR76(VAR118), .clk(clk), .rst(rst)); VAR30 #(4'b0010) VAR85 (.VAR132(VAR58), .VAR78(VAR25), .VAR133(VAR145), .VAR7(VAR143), .VAR134(VAR107), .VAR87(VAR148), .VAR97(VAR128), .VAR120(VAR59), .VAR69(VAR104), .VAR93(VAR144), .VAR121(VAR23), .VAR95(VAR57), .VAR92(VAR4), .VAR110(VAR5), .VAR32(VAR146), .VAR88(VAR21), .VAR119(VAR79), .VAR113(VAR17), .VAR15(VAR11), .VAR50(VAR147), .VAR76(VAR140), .clk(clk), .rst(rst)); VAR30 #(4'b0100) VAR10 (.VAR132(VAR18), .VAR78(VAR27), .VAR133(VAR102), .VAR7(VAR3), .VAR134(VAR83), .VAR87(VAR9), .VAR97(VAR72), .VAR120(VAR16), .VAR69(VAR19), .VAR93(VAR40), .VAR121(VAR98), .VAR95(VAR24), .VAR92(VAR136), .VAR110(VAR80), .VAR32(VAR8), .VAR88(VAR13), .VAR119(VAR101), .VAR113(VAR116), .VAR15(VAR73), .VAR50(VAR14), .VAR76(VAR65), .clk(clk), .rst(rst)); VAR30 #(4'b0101) VAR45 (.VAR132(VAR72), .VAR78(VAR16), .VAR133(VAR83), .VAR7(VAR9), .VAR134(VAR108), .VAR87(VAR29), .VAR97(VAR100), .VAR120(VAR31), .VAR69(VAR89), .VAR93(VAR138), .VAR121(VAR26), .VAR95(VAR74), .VAR92(VAR34), .VAR110(VAR66), .VAR32(VAR111), .VAR88(VAR37), .VAR119(VAR94), .VAR113(VAR91), .VAR15(VAR124), .VAR50(VAR49), .VAR76(VAR129), .clk(clk), .rst(rst)); VAR30 #(4'b0110) VAR42 (.VAR132(VAR100), .VAR78(VAR31), .VAR133(VAR108), .VAR7(VAR29), .VAR134(VAR102), .VAR87(VAR3), .VAR97(VAR18), .VAR120(VAR27), .VAR69(VAR67), .VAR93(VAR46), .VAR121(VAR38), .VAR95(VAR135), .VAR92(VAR23), .VAR110(VAR57), .VAR32(VAR104), .VAR88(VAR144), .VAR119(VAR2), .VAR113(VAR48), .VAR15(VAR117), .VAR50(VAR106), .VAR76(VAR105), .clk(clk), .rst(rst)); VAR30 #(4'b1000) VAR99 (.VAR132(VAR56), .VAR78(VAR62), .VAR133(VAR130), .VAR7(VAR122), .VAR134(VAR81), .VAR87(VAR123), .VAR97(VAR141), .VAR120(VAR86), .VAR69(VAR6), .VAR93(VAR115), .VAR121(VAR60), .VAR95(VAR63), .VAR92(VAR98), .VAR110(VAR24), .VAR32(VAR19), .VAR88(VAR40), .VAR119(VAR33), .VAR113(VAR103), .VAR15(VAR112), .VAR50(VAR22), .VAR76(VAR39), .clk(clk), .rst(rst)); VAR30 #(4'b1001) VAR77 (.VAR132(VAR141), .VAR78(VAR86), .VAR133(VAR81), .VAR7(VAR123), .VAR134(VAR139), .VAR87(VAR35), .VAR97(VAR47), .VAR120(VAR53), .VAR69(VAR90), .VAR93(VAR1), .VAR121(VAR75), .VAR95(VAR149), .VAR92(VAR26), .VAR110(VAR74), .VAR32(VAR89), .VAR88(VAR138), .VAR119(VAR36), .VAR113(VAR41), .VAR15(VAR43), .VAR50(VAR127), .VAR76(VAR82), .clk(clk), .rst(rst)); VAR30 #(4'b1010) VAR96 (.VAR132(VAR47), .VAR78(VAR53), .VAR133(VAR139), .VAR7(VAR35), .VAR134(VAR130), .VAR87(VAR122), .VAR97(VAR56), .VAR120(VAR62), .VAR69(VAR146), .VAR93(VAR21), .VAR121(VAR4), .VAR95(VAR5), .VAR92(VAR38), .VAR110(VAR135), .VAR32(VAR67), .VAR88(VAR46), .VAR119(VAR142), .VAR113(VAR84), .VAR15(VAR126), .VAR50(VAR55), .VAR76(VAR125), .clk(clk), .rst(rst)); endmodule
mit
bigeagle/riffa
fpga/riffa_hdl/tx_hdr_fifo.v
7,726
module MODULE1( parameter VAR41 = 128, parameter VAR32 = 1, parameter VAR57 = 1, parameter VAR44 = "VAR42" ) ( input VAR38, input VAR9, input VAR27, input [(VAR41)-1:0] VAR49, input [VAR17-1:0] VAR1, input [VAR43-1:0] VAR54, input [VAR2-1:0] VAR40, input VAR58, output VAR25, output VAR39, output [(VAR41)-1:0] VAR18, output [VAR17-1:0] VAR34, output [VAR43-1:0] VAR30, output [VAR2-1:0] VAR21, output VAR53, input VAR59 ); localparam VAR47 = (VAR41) + VAR43 + VAR2 + 1 + VAR17; wire VAR6; wire VAR50; wire VAR29; wire [(VAR41)-1:0] VAR56; wire [VAR43-1:0] VAR35; wire [VAR2-1:0] VAR11; wire [VAR17-1:0] VAR22; wire VAR19; wire VAR8; wire VAR26; wire [VAR41-1:0] VAR37; wire [VAR43-1:0] VAR31; wire [VAR2-1:0] VAR7; wire [VAR17-1:0] VAR36; wire VAR5; assign VAR6 = VAR9; VAR46 .VAR23 (VAR57?1:0), .VAR28 (0), .VAR47 (VAR47)) VAR51 ( .VAR55 (VAR25), .VAR45 ({VAR56,VAR35,VAR11,VAR22,VAR19}), .VAR33 (VAR29), .VAR15 ({VAR49,VAR54,VAR40,VAR1,VAR58}), .VAR14 (VAR27), .VAR16 (VAR50), .VAR38 (VAR38), .VAR9 (VAR9)); VAR20 .VAR24 (0), .VAR47 (VAR47), .VAR23 (VAR13)) VAR52 ( .VAR45 ({VAR37,VAR31,VAR7,VAR36,VAR5}), .VAR48 (VAR50), .VAR12 (VAR26), .VAR15 ({VAR56,VAR35,VAR11,VAR22,VAR19}), .VAR4 (VAR29), .VAR3 (VAR8), .VAR38 (VAR38), .VAR6 (VAR6)); VAR46 .VAR23 (VAR32?1:0), .VAR28 (0), .VAR47 (VAR47)) VAR10 ( .VAR55 (VAR8), .VAR45 ({VAR18,VAR30,VAR21,VAR34,VAR53}), .VAR33 (VAR39), .VAR15 ({VAR37,VAR31,VAR7,VAR36,VAR5}), .VAR14 (VAR26), .VAR16 (VAR59), .VAR38 (VAR38), .VAR9 (VAR9)); endmodule
bsd-3-clause
ShepardSiegel/ocpi
coregen/ddr3_s4_amphy/alt_mem_ddrx_csr.v
51,661
module MODULE1 # ( parameter VAR64 = 2, VAR104 = 1, VAR37 = 1, VAR160 = 0, VAR39 = 0, VAR11 = 8, VAR3 = 32, VAR191 = 1, VAR50 = 72, VAR126 = 1, VAR115 = 13, VAR89 = 10, VAR132 = 3, VAR42 = 1, VAR13 = 1, VAR157 = 0, VAR92 = 4, VAR165 = 3, VAR78 = 4, VAR189 = 5, VAR159 = 4, VAR59 = 6, VAR170 = 8, VAR9 = 13, VAR107 = 4, VAR187 = 4, VAR124 = 4, VAR176 = 4, VAR28 = 4, VAR90 = 5, VAR123 = 6, VAR120 = 16, VAR82 = 8, VAR194 = 0, VAR168 = 0, VAR177 = 0, VAR36 = 0, VAR81 = 0, VAR8 = 0, VAR93 = 0, VAR86 = 0, VAR190 = 0, VAR48 = 0, VAR108 = 0, VAR91 = 0, VAR45 = 0, VAR128 = 0, VAR147 = 0, VAR167 = 0, VAR80 = 1, VAR138 = 0, VAR117 = 0, VAR23 = 5, VAR125 = 5, VAR112 = 3, VAR121 = 3 ) ( VAR58, VAR25, VAR60, VAR131, VAR26, VAR30, VAR34, VAR166, VAR74, VAR29, VAR164, VAR73, VAR49, VAR113, VAR75, VAR38, VAR52, VAR156, VAR179, VAR62, VAR98, VAR173, VAR150, VAR183, VAR129, VAR35, VAR1, VAR72, VAR17, VAR84, VAR67, VAR158, VAR184, VAR141, VAR171, VAR149, VAR122, VAR69, VAR40, VAR172, VAR163, VAR182, VAR97, VAR24, VAR116, VAR83, VAR4, VAR111, VAR79, VAR192, VAR53, VAR140, VAR68, VAR57, VAR181, VAR186, VAR103, VAR105 ); localparam integer VAR6 = (2**VAR126); input VAR58; input VAR25; input VAR26; input VAR34; input [VAR11 - 1 : 0] VAR60; input [VAR3 - 1 : 0] VAR30; input [(VAR3 / 8) - 1 : 0] VAR131; output VAR29; output VAR74; output [VAR3 - 1 : 0] VAR166; input VAR164; input VAR73; input VAR49; input VAR113; input VAR75; input VAR38; input VAR52; input [7 : 0] VAR156; input [7 : 0] VAR179; input [7 : 0] VAR62; input [31 : 0] VAR98; input [31 : 0] VAR173; output VAR150; output [VAR191 - 1 : 0] VAR183; output [VAR50 * VAR6 - 1 : 0] VAR129; output [VAR92 - 1 : 0] VAR35; output [VAR165 - 1 : 0] VAR1; output [VAR78 - 1 : 0] VAR72; output [VAR189 - 1 : 0] VAR17; output [VAR159 - 1 : 0] VAR84; output [VAR59 - 1 : 0] VAR67; output [VAR170 - 1 : 0] VAR158; output [VAR9 - 1 : 0] VAR184; output [VAR107 - 1 : 0] VAR141; output [VAR187 - 1 : 0] VAR171; output [VAR124 - 1 : 0] VAR149; output [VAR176 - 1 : 0] VAR122; output [VAR28 - 1 : 0] VAR69; output [VAR90 - 1 : 0] VAR40; output [VAR123 - 1 : 0] VAR172; output [VAR120 - 1 : 0] VAR163; output [1 : 0] VAR182; output VAR103; output [VAR82-1: 0] VAR105; output [VAR23 - 1 : 0] VAR97; output [VAR125 - 1 : 0] VAR24; output [VAR112 - 1 : 0] VAR116; output [VAR121 - 1 : 0] VAR83; output VAR4; output VAR111; output VAR79; output VAR192; output VAR53; output VAR140; output VAR68; output VAR57; output VAR181; output VAR186; wire VAR29; wire VAR74; wire [VAR3 - 1 : 0] VAR166; reg VAR153; reg VAR151; reg VAR85; reg [8 - 1 : 0] VAR101; reg [VAR3 - 1 : 0] VAR139; reg [VAR3 - 1 : 0] VAR7; reg [(VAR3 / 8) - 1 : 0] VAR109; reg VAR56; reg VAR54; reg VAR10; reg VAR155; wire VAR150; wire [VAR191 - 1 : 0] VAR183; wire [VAR50 * VAR6 - 1 : 0] VAR129; wire [VAR92 - 1 : 0] VAR35; wire [VAR165 - 1 : 0] VAR1; wire [VAR78 - 1 : 0] VAR72; wire [VAR189 - 1 : 0] VAR17; wire [VAR159 - 1 : 0] VAR84; wire [VAR59 - 1 : 0] VAR67; wire [VAR170 - 1 : 0] VAR158; wire [VAR9 - 1 : 0] VAR184; wire [VAR107 - 1 : 0] VAR141; wire [VAR187 - 1 : 0] VAR171; wire [VAR124 - 1 : 0] VAR149; wire [VAR176 - 1 : 0] VAR122; wire [VAR28 - 1 : 0] VAR69; wire [VAR90 - 1 : 0] VAR40; wire [VAR123 - 1 : 0] VAR172; wire [VAR120 - 1 : 0] VAR163; wire [1 : 0] VAR182; wire VAR103; wire [VAR82-1: 0] VAR105; wire [VAR23 - 1 : 0] VAR97; wire [VAR125 - 1 : 0] VAR24; wire [VAR112 - 1 : 0] VAR116; wire [VAR121 - 1 : 0] VAR83; wire VAR4; wire VAR111; wire VAR79; wire VAR192; wire VAR53; wire VAR140; wire VAR68; wire VAR57; wire VAR181; wire VAR186; reg [VAR3 - 1 : 0] VAR2; reg [VAR3 - 1 : 0] VAR88; reg [VAR3 - 1 : 0] VAR18; reg [VAR3 - 1 : 0] VAR46; reg [VAR3 - 1 : 0] VAR133; reg [VAR3 - 1 : 0] VAR31; reg [VAR3 - 1 : 0] VAR143; reg [VAR3 - 1 : 0] VAR134; reg [VAR3 - 1 : 0] VAR180; reg [VAR3 - 1 : 0] VAR145; reg [VAR3 - 1 : 0] VAR55; reg [VAR3 - 1 : 0] VAR106; reg [VAR3 - 1 : 0] VAR95; reg [VAR3 - 1 : 0] VAR16; assign VAR29 = 1'b0; generate if (!VAR104 && !VAR37) begin assign VAR166 = 0; assign VAR74 = 0; end else begin always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR153 <= 0; VAR151 <= 0; VAR101 <= 0; VAR139 <= 0; VAR109 <= 0; end else begin VAR101 <= VAR60 [7 : 0]; VAR139 <= VAR30; VAR109 <= VAR131; if (VAR26) VAR153 <= 1'b1; end else VAR153 <= 1'b0; if (VAR34) VAR151 <= 1'b1; end else VAR151 <= 1'b0; end end always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR56 <= 1'b0; VAR54 <= 1'b0; VAR10 <= 1'b0; VAR155 <= 1'b0; end else begin if (VAR160) begin VAR56 <= 1'b1; VAR54 <= 1'b0; end else begin VAR56 <= 1'b0; VAR54 <= 1'b0; end if (VAR39) begin VAR10 <= 1'b1; VAR155 <= 1'b0; end else begin VAR10 <= 1'b0; VAR155 <= 1'b0; end end end assign VAR166 = VAR7; assign VAR74 = VAR85; always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR7 <= 0; VAR85 <= 0; end else begin if (VAR151) begin if (VAR101 == 8'h00) VAR7 <= VAR2; end else if (VAR101 == 8'h10) VAR7 <= VAR88; end else if (VAR101 == 8'h20) VAR7 <= VAR18; end else if (VAR101 == 8'h21) VAR7 <= VAR46; else if (VAR101 == 8'h22) VAR7 <= VAR133; else if (VAR101 == 8'h23) VAR7 <= VAR31; else if (VAR101 == 8'h24) VAR7 <= VAR143; else if (VAR101 == 8'h25) VAR7 <= VAR134; else if (VAR101 == 8'h26) VAR7 <= VAR180; else if (VAR101 == 8'h30) VAR7 <= VAR145; else if (VAR101 == 8'h31) VAR7 <= VAR55; else if (VAR101 == 8'h32) VAR7 <= VAR106; else if (VAR101 == 8'h33) VAR7 <= VAR95; else if (VAR101 == 8'h34) VAR7 <= VAR16; end if (VAR151) VAR85 <= 1'b1; else VAR85 <= 1'b0; end end end endgenerate generate genvar VAR87; if (!VAR104) begin assign VAR35 = VAR194; assign VAR1 = VAR168; assign VAR72 = VAR177; assign VAR17 = VAR36; assign VAR84 = VAR81; assign VAR67 = VAR8; assign VAR158 = VAR93; assign VAR184 = VAR86; assign VAR141 = VAR190; assign VAR171 = VAR48; assign VAR149 = VAR108; assign VAR122 = VAR91; assign VAR69 = VAR45; assign VAR40 = VAR128; assign VAR172 = VAR147; assign VAR163 = VAR167; assign VAR182 = VAR80; assign VAR103 = VAR138; assign VAR105 = VAR117; assign VAR83 = VAR6 > 1 ? VAR126 : 0; assign VAR116 = VAR132; assign VAR24 = VAR115; assign VAR97 = VAR89; assign VAR150 = 0; assign VAR183 = 0; assign VAR129 = 0; assign VAR186 = 1'b1; end else begin reg VAR118; reg VAR33; reg VAR144; reg [5 : 0] VAR5; assign VAR150 = VAR144; assign VAR183 = VAR5 [VAR191 - 1 : 0]; always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR144 <= 0; VAR5 <= 0; end else begin if (VAR153 && VAR101 == 8'h00) begin if (VAR109 [0]) begin VAR144 <= VAR139 [2] ; end if (VAR109 [1]) begin VAR5 <= VAR139 [13 : 8]; end end end end always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR118 <= 0; VAR33 <= 0; end else begin VAR118 <= VAR164; VAR33 <= VAR73; end end always @ begin VAR88 = 0; VAR88 [15 : 0 ] = VAR96; VAR88 [16] = VAR27; VAR88 [17] = VAR65; VAR88 [18] = VAR154; VAR88 [19] = VAR12; VAR88 [21 : 20] = VAR70; VAR88 [22] = VAR44; VAR88 [24 : 23] = VAR14; end reg [7 : 0] VAR193; reg [7 : 0] VAR142; reg [3 : 0] VAR110; reg [3 : 0] VAR127; assign VAR83 = VAR127 [VAR121 - 1 : 0]; assign VAR116 = VAR110 [VAR112 - 1 : 0]; assign VAR24 = VAR142 [VAR125 - 1 : 0]; assign VAR97 = VAR193 [VAR23 - 1 : 0]; always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR193 <= VAR89; VAR142 <= VAR115; VAR110 <= VAR132; VAR127 <= VAR6 > 1 ? VAR126 : 0; end else begin if (!VAR56 && VAR153 && VAR101 == 8'h20) begin if (VAR109 [0]) begin if (VAR139 [7 : 0] <= VAR89) begin VAR193 <= VAR139 [7 : 0 ]; end end if (VAR109 [1]) begin if (VAR139 [15 : 8] <= VAR115) begin VAR142 <= VAR139 [15 : 8 ]; end end if (VAR109 [2]) begin if (VAR139 [19 : 16] <= VAR132) begin VAR110 <= VAR139 [19 : 16]; end if (VAR139 [23 : 20] <= (VAR6 > 1 ? VAR126 : 0)) begin VAR127 <= VAR139 [23 : 20]; end end end end end always @ begin VAR46 = 0; VAR46 [31 : 0 ] = VAR174; end always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR100 <= {VAR6{1'b1}}; end else begin if (!VAR56 && VAR153 && VAR101 == 8'h22) begin if (VAR109 [0]) begin VAR100 [ 7 : 0] <= VAR139 [7 : 0 ]; end end end end always @ begin VAR31 = 0; VAR31 [3 : 0 ] = VAR15; VAR31 [7 : 4 ] = VAR162; VAR31 [11 : 8 ] = VAR137; VAR31 [15 : 12] = VAR19; VAR31 [23 : 16] = VAR146; VAR31 [31 : 24] = VAR130; end reg [3 : 0] VAR32; reg [3 : 0] VAR119; reg [5 : 0] VAR152; assign VAR122 = VAR32 [VAR176 - 1 : 0]; assign VAR69 = VAR119 [VAR28 - 1 : 0]; assign VAR67 = VAR152 [VAR59 - 1 : 0]; always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR32 <= VAR91; VAR119 <= VAR45; VAR152 <= VAR8; end else begin if (!VAR56 && VAR153 && VAR101 == 8'h24) begin if (VAR109 [0]) begin VAR32 <= VAR139 [3 : 0 ]; VAR119 <= VAR139 [7 : 4 ]; end if (VAR109 [1]) begin VAR152 <= VAR139 [13 : 8 ]; end end end end always @ begin VAR134 = 0; VAR134 [15 : 0 ] = VAR114; VAR134 [23 : 16] = VAR66; end reg [3 : 0] VAR61; reg [3 : 0] VAR148; reg [3 : 0] VAR41; reg [3 : 0] VAR22; reg [3 : 0] VAR94; assign VAR72 = VAR61 [VAR78 - 1 : 0]; assign VAR1 = VAR148 [VAR165 - 1 : 0]; assign VAR35 = VAR41 [VAR92 - 1 : 0]; assign VAR149 = VAR22 [VAR124 - 1 : 0]; assign VAR17 = {{(VAR189 - 4){1'b0}}, VAR94}; always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR61 <= VAR177; VAR148 <= VAR168; VAR41 <= VAR194; VAR22 <= VAR108; VAR94 <= VAR36; end else begin if (!VAR56 && VAR153 && VAR101 == 8'h26) begin if (VAR109 [0]) begin VAR61 <= VAR139 [3 : 0 ]; VAR148 <= VAR139 [7 : 4 ]; end if (VAR109 [1]) begin VAR41 <= VAR139 [11 : 8 ]; VAR22 <= VAR139 [15 : 12]; end if (VAR109 [2]) begin VAR94 <= VAR139 [19 : 16]; end end end end always @ begin VAR145 = 0; VAR145 [0] = VAR21; VAR145 [1] = VAR99; VAR145 [2] = VAR161; VAR145 [3] = VAR43; VAR145 [4] = VAR77; VAR145 [5] = VAR102; VAR145 [6] = VAR136; VAR145 [7] = VAR47; VAR145 [8] = VAR178; end reg VAR71; reg VAR51; reg VAR185; reg [7 : 0] VAR175; reg [7 : 0] VAR169; reg [7 : 0] VAR20; always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR71 <= 0; VAR51 <= 0; VAR175 <= 0; VAR169 <= 0; VAR185 <= 0; VAR20 <= 0; end else begin if (VAR47) begin VAR71 <= 0; VAR51 <= 0; VAR175 <= 0; VAR169 <= 0; VAR185 <= 0; VAR20 <= 0; end else begin VAR71 <= VAR75; VAR51 <= VAR38; VAR175 <= VAR156; VAR169 <= VAR179; VAR185 <= VAR52; VAR20 <= VAR62; end end end always @ begin VAR106 = VAR63; end reg [31 : 0] VAR188; always @ (posedge VAR58 or negedge VAR25) begin if (!VAR25) begin VAR188 <= 0; end else begin if (VAR47) VAR188 <= 0; end else VAR188 <= VAR173; end end always @ begin VAR16 = 0; VAR16 [ 0 ] = VAR76; VAR16 [ 23 : 16 ] = VAR135; end end endgenerate endmodule
lgpl-3.0
mcoughli/root_of_trust
operational_os/hls/contact_discovery_hls_2017.1/solution1/syn/verilog/contact_discovery.v
35,709
module MODULE1 ( VAR139, VAR26, VAR129, VAR131, VAR161, VAR98, VAR49, VAR36, VAR53, VAR27, VAR134, VAR72, VAR96, VAR110, VAR145, VAR13, VAR105, VAR113, VAR89, VAR41, VAR7, VAR62, VAR45, VAR86, VAR8, VAR55, VAR17, VAR31, interrupt ); parameter VAR85 = 15'd1; parameter VAR1 = 15'd2; parameter VAR83 = 15'd4; parameter VAR9 = 15'd8; parameter VAR95 = 15'd16; parameter VAR16 = 15'd32; parameter VAR37 = 15'd64; parameter VAR108 = 15'd128; parameter VAR146 = 15'd256; parameter VAR125 = 15'd512; parameter VAR35 = 15'd1024; parameter VAR23 = 15'd2048; parameter VAR90 = 15'd4096; parameter VAR121 = 15'd8192; parameter VAR132 = 15'd16384; parameter VAR126 = 32; parameter VAR51 = 6; parameter VAR71 = 32; parameter VAR103 = (32 / 8); parameter VAR48 = (32 / 8); input VAR139; input VAR26; input [7:0] VAR129; input VAR131; output VAR161; input [7:0] VAR98; input VAR49; output VAR36; output [7:0] VAR53; output VAR27; input VAR134; input VAR72; output VAR96; input [VAR51 - 1:0] VAR110; input VAR145; output VAR13; input [VAR126 - 1:0] VAR105; input [VAR103 - 1:0] VAR113; input VAR89; output VAR41; input [VAR51 - 1:0] VAR7; output VAR62; input VAR45; output [VAR126 - 1:0] VAR86; output [1:0] VAR8; output VAR55; input VAR17; output [1:0] VAR31; output interrupt; reg VAR161; reg VAR36; reg VAR18; wire VAR65; reg VAR54; reg VAR112; reg [14:0] VAR57; wire VAR46; reg VAR141; wire [31:0] VAR144; reg [31:0] VAR155; wire VAR151; reg VAR20; wire VAR124; reg [7:0] VAR87; reg VAR67; wire VAR82; wire VAR127; wire VAR157; reg [7:0] VAR156; reg [7:0] VAR4; reg VAR52; reg VAR28; wire VAR149; wire VAR56; wire VAR148; reg [1:0] VAR77; wire VAR78; reg [31:0] VAR94; reg [31:0] VAR136; reg VAR2; reg VAR47; reg VAR75; reg [31:0] VAR3; reg [31:0] VAR143; reg VAR150; reg VAR153; reg VAR116; reg [31:0] VAR32; reg [31:0] VAR73; reg VAR76; reg VAR44; reg VAR70; reg [31:0] VAR74; reg [12:0] VAR14; reg VAR69; reg VAR60; reg [7:0] VAR79; wire [7:0] VAR63; reg [5:0] VAR15; reg VAR93; reg VAR137; wire [7:0] VAR99; reg VAR29; wire VAR12; reg VAR11; wire VAR152; wire VAR133; wire [0:0] VAR163; reg VAR106; wire VAR21; wire [0:0] VAR120; reg VAR123; wire VAR102; wire [0:0] VAR101; wire VAR68; wire [31:0] VAR40; wire [7:0] VAR59; wire VAR42; wire [6:0] VAR158; reg VAR64; wire [7:0] VAR162; reg [7:0] VAR5; reg VAR140; wire [12:0] VAR91; reg [12:0] VAR135; wire [7:0] VAR160; wire [6:0] VAR111; reg [6:0] VAR118; wire VAR122; wire [0:0] VAR97; wire [0:0] VAR115; wire [0:0] VAR154; wire VAR92; wire [0:0] VAR38; wire VAR66; wire [0:0] VAR104; wire [6:0] VAR147; reg VAR128; wire [31:0] VAR43; reg [7:0] VAR22; wire VAR130; wire [0:0] VAR159; reg [6:0] VAR6; wire VAR24; wire [0:0] VAR107; reg [0:0] VAR138; reg [7:0] VAR34; reg [6:0] VAR19; reg [0:0] VAR80; reg [6:0] VAR88; wire [63:0] VAR114; wire [63:0] VAR81; wire [63:0] VAR119; wire [63:0] VAR39; wire signed [63:0] VAR25; wire [6:0] VAR33; wire [12:0] VAR58; wire [12:0] VAR109; wire [0:0] VAR117; wire [24:0] VAR10; wire [31:0] VAR84; wire [31:0] VAR30; wire [31:0] VAR61; wire VAR100; reg VAR50; reg [14:0] VAR142;
gpl-3.0
GSejas/Dise-o-ASIC-FPGA-FPU
Literature_KOA/ecp/counter.v
1,398
module MODULE1 (clk, VAR2, VAR4); input wire clk; input wire VAR2; output wire VAR4; reg [7:0] VAR3; assign VAR4 = |VAR3; always @(posedge clk or negedge VAR2) begin if(VAR2 == 1'b0) VAR3 <= 8'VAR1; end else VAR3 <= VAR3 - 1'b1; end endmodule
gpl-3.0
peteasa/parallella-fpga
AdiHDLLib/library/prcfg/qpsk/prcfg_dac.v
5,280
module MODULE1( clk, VAR3, VAR14, VAR9, VAR12, VAR1, VAR7, VAR19, VAR11 ); parameter VAR20 = 0; parameter VAR23 = 16; localparam VAR22 = 2; localparam VAR6 = 8'hA2; input clk; input [31:0] VAR3; output [31:0] VAR14; output VAR9; input [(VAR23-1):0] VAR12; output VAR1; input VAR7; output [(VAR23-1):0] VAR19; input VAR11; reg [(VAR23-1):0] VAR19 = 'h0; reg VAR1 = 'h0; reg VAR9 = 'h0; reg [ 7:0] VAR15 = 'hF2; reg [31:0] VAR14 = 'h0; reg [ 3:0] VAR2 = 'h0; wire [(VAR22-1):0] VAR21; wire [15:0] VAR13; wire [15:0] VAR18; function [ 7:0] VAR17; input [ 7:0] din; reg [ 7:0] dout; begin dout[7] = din[6]; dout[6] = din[5]; dout[5] = din[4]; dout[4] = din[3]; dout[3] = din[2]; dout[2] = din[1]; dout[1] = din[7] ^ din[4]; dout[0] = din[6] ^ din[3]; VAR17 = dout; end endfunction always @(posedge clk) begin VAR14 <= { 24'h0, VAR6 }; VAR2 <= VAR3[ 7:4]; end always @(posedge clk) begin if((VAR8 == 1) && (VAR7 == 1)) begin VAR15 <= VAR17(VAR15); end end assign VAR21 = (VAR2 == 1) ? VAR15[ 1:0] : VAR12[ 1:0]; VAR4 VAR10 ( .clk(clk), .VAR25(VAR21), .VAR24(VAR8), .VAR16(VAR13), .VAR5(VAR18) ); always @(posedge clk) begin VAR9 <= VAR8; VAR1 <= VAR11; case(VAR2) 4'h0 : begin VAR19 <= VAR12; end 4'h1 : begin VAR19 <= { VAR18, VAR13 }; end 4'h2 : begin VAR19 <= { VAR18, VAR13 }; end default : begin end endcase end endmodule
lgpl-3.0
SI-RISCV/e200_opensource
rtl/e203/perips/sirv_AsyncResetRegVec_1.v
3,507
module MODULE1( input VAR23, input reset, input [4:0] VAR25, output [4:0] VAR37, input VAR28 ); wire VAR30; wire VAR40; wire VAR33; wire VAR20; wire VAR26; wire VAR14; wire VAR7; wire VAR17; wire VAR3; wire VAR2; wire VAR5; wire VAR39; wire VAR36; wire VAR34; wire VAR46; wire VAR13; wire VAR31; wire VAR18; wire VAR19; wire VAR24; wire VAR12; wire VAR38; wire VAR29; wire VAR15; wire VAR43; wire VAR1; wire VAR9; wire VAR41; wire VAR27; wire VAR22; wire [1:0] VAR21; wire [1:0] VAR6; wire [2:0] VAR16; wire [4:0] VAR32; VAR8 VAR45 ( .rst(VAR30), .clk(VAR40), .en(VAR33), .VAR42(VAR20), .VAR35(VAR26) ); VAR8 VAR10 ( .rst(VAR14), .clk(VAR7), .en(VAR17), .VAR42(VAR3), .VAR35(VAR2) ); VAR8 VAR4 ( .rst(VAR5), .clk(VAR39), .en(VAR36), .VAR42(VAR34), .VAR35(VAR46) ); VAR8 VAR44 ( .rst(VAR13), .clk(VAR31), .en(VAR18), .VAR42(VAR19), .VAR35(VAR24) ); VAR8 VAR11 ( .rst(VAR12), .clk(VAR38), .en(VAR29), .VAR42(VAR15), .VAR35(VAR43) ); assign VAR37 = VAR32; assign VAR30 = reset; assign VAR40 = VAR23; assign VAR33 = VAR28; assign VAR26 = VAR1; assign VAR14 = reset; assign VAR7 = VAR23; assign VAR17 = VAR28; assign VAR2 = VAR9; assign VAR5 = reset; assign VAR39 = VAR23; assign VAR36 = VAR28; assign VAR46 = VAR41; assign VAR13 = reset; assign VAR31 = VAR23; assign VAR18 = VAR28; assign VAR24 = VAR27; assign VAR12 = reset; assign VAR38 = VAR23; assign VAR29 = VAR28; assign VAR43 = VAR22; assign VAR1 = VAR25[0]; assign VAR9 = VAR25[1]; assign VAR41 = VAR25[2]; assign VAR27 = VAR25[3]; assign VAR22 = VAR25[4]; assign VAR21 = {VAR3,VAR20}; assign VAR6 = {VAR15,VAR19}; assign VAR16 = {VAR6,VAR34}; assign VAR32 = {VAR16,VAR21}; endmodule
apache-2.0
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/or1200/or1200_iwb_biu.v
18,193
module MODULE1( clk, rst, VAR36, VAR14, VAR26, VAR31, VAR32, VAR10, VAR48, VAR40, VAR24, VAR9, VAR3, VAR13, VAR22, VAR29, VAR46, VAR15, VAR39, VAR44, VAR30, VAR12, VAR2, VAR16, VAR47 ); parameter VAR6 = VAR37; parameter VAR42 = VAR37; input clk; input rst; input [1:0] VAR36; input VAR14; input VAR26; input VAR31; input VAR32; input VAR10; input [VAR6-1:0] VAR48; output VAR40; output [VAR42-1:0] VAR24; output VAR9; output VAR3; output [3:0] VAR13; output [VAR6-1:0] VAR22; VAR34 VAR38 input [VAR6-1:0] VAR29; input [VAR42-1:0] VAR46; input VAR15; input VAR39; input VAR44; input VAR12; input [3:0] VAR30; output [31:0] VAR2; output VAR16; output VAR47; reg [VAR6-1:0] VAR35; reg [1:0] VAR25; VAR34 VAR7 reg [VAR42-1:0] VAR24; reg VAR40; reg VAR9; reg VAR3; reg [3:0] VAR13; VAR34 VAR38 reg VAR18; VAR8 reg [1:0] VAR27; reg [2:0] VAR21; VAR8 reg [VAR6-1:0] VAR22; VAR8 reg VAR49; reg VAR43; reg [VAR6-1:0] VAR2; else wire VAR49; wire VAR43; VAR8 wire VAR33; reg VAR20; wire VAR1; VAR34 VAR23 reg [VAR23-1:0] VAR5; VAR8 reg VAR17; wire VAR4; wire VAR41; reg VAR45; reg [VAR6-1:0] VAR11; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR35 <= {VAR6{1'b0}}; else VAR35 <= VAR48; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR24 <= {VAR42{1'b0}}; else if ((VAR15 & VAR39) & ~VAR31 & ~VAR33 & ~(VAR9 & ~VAR31) | VAR12 & (VAR17 | VAR16)) VAR24 <= {VAR46[31:3],VAR46[3:0] ^ {1'b0,VAR21[2:0]}}; assign VAR24 = VAR46; assign VAR4 = VAR24 == VAR46; assign VAR41 = VAR4 & VAR17; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR11 <= 32'h00000000; else if (VAR31) VAR11 <= VAR35; always @(posedge clk or posedge rst) if (rst) VAR45 <= 1'b0; else if (VAR41 & VAR15 & VAR39) VAR45 <= 1'b1; else VAR45 <= 1'b0; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR17 <= 1'b1; else if (VAR31 & VAR15 & VAR39) VAR17 <= 1'b1; else if ((VAR15 & VAR39) & ~VAR31 & ~VAR33 & ~(VAR9 & ~VAR31)) VAR17 <= 1'b0; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR2 <= 32'h00000000; else if (VAR31) VAR2 <= VAR35; assign VAR2 = VAR45 ? VAR11 : VAR35; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR22 <= {VAR6{1'b0}}; else if ((VAR15 & VAR39) & ~VAR31 & ~VAR33) VAR22 <= VAR29; always @(posedge clk or posedge rst) if (rst) VAR25 <= 2'b0; else VAR25 <= VAR25 + 1'd1; assign VAR16 = (VAR45 | VAR49) & ~VAR20 & (VAR25[0] | ~VAR36[0]) & (VAR25[1] | ~VAR36[1]) ; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR49 <= 1'b0; else VAR49 <= VAR31 & ~VAR33; assign VAR49 = VAR31; assign VAR47 = VAR43 & (VAR25[0] | ~VAR36[0]) & (VAR25[1] | ~VAR36[1]) ; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR43 <= 1'b0; else VAR43 <= VAR32 & ~VAR33; assign VAR43 = VAR32 & ~VAR20; assign VAR1 = VAR10 | (|VAR5); assign VAR1 = 1'b0; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR5 <= 1'b0; else if (VAR10) VAR5 <= {VAR23{1'b1}}; else if (VAR5) VAR5 <= VAR5 - 7'd1; assign VAR33 = VAR9 & ~(VAR15 & VAR39) & ~(VAR31 | VAR32); always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR20 <= 1'b0; else if (VAR31 | VAR32) VAR20 <= 1'b0; else if (VAR33) VAR20 <= 1'b1; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR40 <= 1'b0; else VAR40 <= VAR15 & ~VAR31 & ~VAR1 & ~VAR41 | VAR33 & ~VAR31; VAR40 <= VAR15 & ~VAR31 & ~VAR1 & ~VAR41 | VAR12 | VAR33 & ~VAR31; assign VAR40 = VAR15 & ~VAR1; assign VAR40 = VAR15 | VAR12 & ~VAR1; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR9 <= 1'b0; else VAR9 <= (VAR15 & VAR39) & ~VAR31 & ~VAR1 & ~VAR41 | VAR33 & ~VAR31; assign VAR9 = VAR15 & VAR39; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR3 <= 1'b0; else VAR3 <= VAR15 & VAR39 & VAR44 | VAR33 & VAR3 & VAR12; assign VAR3 = VAR15 & VAR39 & VAR44; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR13 <= 4'b0000; else VAR13 <= VAR30; assign VAR13 = VAR30; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR27 <= 2'b00; else if (VAR12 && VAR27 && VAR31) VAR27 <= VAR27 - 1'b1; else if (~VAR12) VAR27 <= 2'b11; always @(posedge VAR14 or posedge VAR26) if (VAR26) VAR21 <= 3'b000; VAR34 VAR28 else VAR21 <= 3'b111; else else if (VAR12 && VAR27[1]) VAR21 <= 3'b010; else if (VAR12 && VAR31) VAR21 <= 3'b111; VAR8 else VAR19 !!!; endmodule
gpl-2.0
ankitshah009/High-Radix-Adaptive-CORDIC
HCORDIC_Verilog/AllignAdder.v
2,124
module MODULE1( input VAR10, input [35:0] VAR16, input [35:0] VAR15, input [31:0] VAR4, input [7:0] VAR14, input VAR9, output reg VAR5, output reg [35:0] VAR12, output reg [35:0] VAR11, output reg [31:0] VAR8 ); parameter VAR6 = 1'b01, VAR18 = 1'b1; wire VAR2; wire [7:0] VAR1; wire [26:0] VAR3; wire VAR13; wire [7:0] VAR17; wire [26:0] VAR7; assign VAR2 = VAR15[35]; assign VAR1 = VAR15[34:27] - 127; assign VAR3 = {VAR15[26:0]}; assign VAR13 = VAR16[35]; assign VAR17 = VAR16[34:27] - 127; assign VAR7 = {VAR16[26:0]}; always @ (posedge VAR9) begin VAR5 <= VAR10; VAR8 <= VAR4; if (VAR10 != VAR18) begin if ((VAR17) > (VAR1)) begin VAR11[35] <= VAR15[35]; VAR11[34:27] <= VAR1 + VAR14 + 127; VAR11[26:0] <= VAR3 >> VAR14; VAR11[0] <= VAR3[0] | VAR3[1]; VAR12 <= VAR16; end else if ((VAR17) <= (VAR1)) begin VAR12[35] <= VAR16[35]; VAR12[34:27] <= VAR17 + VAR14 + 127; VAR12[26:0] <= VAR7 >> VAR14; VAR12[0] <= VAR7[0] | VAR7[1]; VAR11 <= VAR15; end end else begin VAR11 <= VAR15; VAR12 <= VAR16; end end endmodule
apache-2.0
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_mm_bridge_0/synth/ghrd_10as066n2_mm_bridge_0.v
4,523
module MODULE1 #( parameter VAR18 = 512, parameter VAR14 = 8, parameter VAR24 = 32, parameter VAR15 = 5, parameter VAR12 = 1, parameter VAR16 = 1 ) ( input wire clk, input wire VAR30, input wire [VAR18-1:0] VAR5, input wire VAR21, output wire [VAR15-1:0] VAR29, output wire [VAR18-1:0] VAR10, output wire [VAR24-1:0] VAR28, output wire VAR20, output wire VAR4, output wire [63:0] VAR7, output wire VAR1, input wire reset, output wire VAR22, output wire [VAR18-1:0] VAR17, output wire VAR3, input wire [VAR15-1:0] VAR23, input wire [VAR18-1:0] VAR9, input wire [VAR24-1:0] VAR8, input wire VAR19, input wire VAR25, input wire [63:0] VAR26, input wire VAR2 ); VAR13 #( .VAR18 (VAR18), .VAR14 (VAR14), .VAR24 (VAR24), .VAR15 (VAR15), .VAR12 (VAR12), .VAR16 (VAR16) ) VAR11 ( .clk (clk), .reset (reset), .VAR22 (VAR22), .VAR17 (VAR17), .VAR3 (VAR3), .VAR23 (VAR23), .VAR9 (VAR9), .VAR8 (VAR8), .VAR19 (VAR19), .VAR25 (VAR25), .VAR26 (VAR26), .VAR2 (VAR2), .VAR30 (VAR30), .VAR5 (VAR5), .VAR21 (VAR21), .VAR29 (VAR29), .VAR10 (VAR10), .VAR28 (VAR28), .VAR20 (VAR20), .VAR4 (VAR4), .VAR7 (VAR7), .VAR1 (VAR1), .VAR27 (), .VAR6 (2'b00) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_inputiso1p/sky130_fd_sc_hd__lpflow_inputiso1p.behavioral.pp.v
1,769
module MODULE1 ( VAR7 , VAR1 , VAR6, VAR9 , VAR3 , VAR8 , VAR11 ); output VAR7 ; input VAR1 ; input VAR6; input VAR9 ; input VAR3 ; input VAR8 ; input VAR11 ; wire VAR2; or VAR5 (VAR2, VAR1, VAR6 ); VAR4 VAR10 (VAR7 , VAR2, VAR9, VAR3); endmodule
apache-2.0
tmatsuya/milkymist-ml401
cores/hpdmc_ddr32/rtl/hpdmc_mgmt.v
8,890
module MODULE1 #( parameter VAR2 = 26, parameter VAR27 = 9 ) ( input VAR34, input VAR19, input [2:0] VAR36, input [2:0] VAR41, input [10:0] VAR23, input [3:0] VAR50, input VAR33, input VAR17, input [VAR2-3-1:0] address, output reg ack, output reg read, output reg write, output [3:0] VAR24, input VAR38, input VAR31, input [3:0] VAR32, output VAR48, output VAR4, output VAR35, output VAR15, output [12:0] VAR30, output [1:0] VAR28 ); parameter VAR10 = VAR2-2-1-(VAR27+2)+1; wire [VAR2-2-1:0] VAR25 = {address, 1'b0}; wire [VAR27-1:0] VAR52 = VAR25[VAR27-1:0]; wire [1:0] VAR9 = VAR25[VAR27+1:VAR27]; wire [VAR10-1:0] VAR29 = VAR25[VAR2-2-1:VAR27+2]; reg [3:0] VAR8; always @ begin VAR51 = state; VAR18 = 1'b0; VAR22 = 1'b0; VAR37 = 1'b0; VAR26 = 1'b0; VAR20 = 1'b0; VAR1 = 1'b0; VAR40 = 1'b0; VAR54 = 1'b0; VAR21 = 1'b0; VAR13 = 1'b0; VAR39 = 1'b0; VAR53 = 4'b0000; VAR11 = 4'b0000; read = 1'b0; write = 1'b0; ack = 1'b0; case(state) VAR7: begin if(VAR3) VAR51 = VAR14; end else begin if(VAR33) begin if(VAR42) begin if(VAR17) begin if(VAR31) begin VAR20 = 1'b1; VAR54 = 1'b0; VAR40 = 1'b1; VAR1 = 1'b1; VAR13 = 1'b1; write = 1'b1; ack = 1'b1; end end else begin if(VAR38) begin VAR20 = 1'b1; VAR54 = 1'b0; VAR40 = 1'b1; VAR1 = 1'b0; VAR13 = 1'b1; read = 1'b1; ack = 1'b1; end end end else begin if(VAR49) begin if(VAR46) begin VAR20 = 1'b1; VAR54 = 1'b1; VAR40 = 1'b0; VAR1 = 1'b1; VAR53 = VAR8; VAR18 = 1'b1; VAR51 = VAR43; end end else begin VAR20 = 1'b1; VAR54 = 1'b1; VAR40 = 1'b0; VAR1 = 1'b0; VAR21 = 1'b1; VAR11 = VAR8; VAR22 = 1'b1; if(VAR17) VAR51 = VAR44; end else VAR51 = VAR16; end end end end end VAR43: begin if(VAR12) begin VAR20 = 1'b1; VAR54 = 1'b1; VAR40 = 1'b0; VAR1 = 1'b0; VAR21 = 1'b1; VAR11 = VAR8; VAR22 = 1'b1; if(VAR17) VAR51 = VAR44; end else VAR51 = VAR16; end end VAR16: begin if(VAR45) begin if(VAR38) begin VAR20 = 1'b1; VAR54 = 1'b0; VAR40 = 1'b1; VAR1 = 1'b0; VAR13 = 1'b1; read = 1'b1; ack = 1'b1; VAR51 = VAR7; end end end VAR44: begin if(VAR45) begin if(VAR31) begin VAR20 = 1'b1; VAR54 = 1'b0; VAR40 = 1'b1; VAR1 = 1'b1; VAR13 = 1'b1; write = 1'b1; ack = 1'b1; VAR51 = VAR7; end end end VAR14: begin if(VAR32 == 4'b1111) begin VAR20 = 1'b1; VAR54 = 1'b1; VAR40 = 1'b0; VAR1 = 1'b1; VAR39 = 1'b1; VAR18 = 1'b1; VAR53 = 4'b1111; VAR51 = VAR6; end end VAR6: begin if(VAR12) begin VAR20 = 1'b1; VAR54 = 1'b1; VAR40 = 1'b1; VAR1 = 1'b0; VAR37 = 1'b1; VAR26 = 1'b1; VAR51 = VAR47; end end VAR47: begin if(VAR5) VAR51 = VAR7; end endcase end endmodule
lgpl-3.0
gralco/click-clock-board
mojo_io_shield/work/verilog/elevator_1.v
3,398
module MODULE1 ( clk, VAR6, rst, en, VAR4, VAR8, VAR7, VAR9 ); input clk; input VAR6; input rst; input en; output [7:0] VAR4; reg [7:0] VAR4; output [17:0] VAR8; reg [17:0] VAR8; output [7:0] VAR7; wire [7:0] VAR7; output [3:0] VAR9; wire [3:0] VAR9; reg [3:0] VAR3 [0:6-1]; reg [1:0] VAR2, VAR1; assign VAR7[0] = (((VAR3[VAR2+2][0] && (!VAR3[VAR2+2][1]) && (!VAR3[VAR2+2][2]) && (!VAR3[VAR2+2][3])) || ((!VAR3[VAR2+2][0]) && (!VAR3[VAR2+2][1]) && VAR3[VAR2+2][2]) || (VAR3[VAR2+2][1] && VAR3[VAR2+2][3])) != 0); assign VAR7[1] = (((VAR3[VAR2+2][0] && (!VAR3[VAR2+2][1]) && VAR3[VAR2+2][2]) || ((!VAR3[VAR2+2][0]) && VAR3[VAR2+2][1] && VAR3[VAR2+2][2]) || (VAR3[VAR2+2][1] && VAR3[VAR2+2][3])) != 0); assign VAR7[2] = ((((!VAR3[VAR2+2][0]) && VAR3[VAR2+2][1] && (!VAR3[VAR2+2][2])) || (VAR3[VAR2+2][2] && VAR3[VAR2+2][3])) != 0); assign VAR7[3] = (((VAR3[VAR2+2][0] && (!VAR3[VAR2+2][1]) && (!VAR3[VAR2+2][2]) && (!VAR3[VAR2+2][3])) || (VAR3[VAR2+2][0] && VAR3[VAR2+2][1] && VAR3[VAR2+2][2]) || ((!VAR3[VAR2+2][0]) && (!VAR3[VAR2+2][1]) && VAR3[VAR2+2][2])) != 0); assign VAR7[4] = ((VAR3[VAR2+2][0] || ((!VAR3[VAR2+2][1]) && VAR3[VAR2+2][2])) != 0); assign VAR7[5] = (((VAR3[VAR2+2][0] && (!VAR3[VAR2+2][2]) && (!VAR3[VAR2+2][3])) || (VAR3[VAR2+2][0] && VAR3[VAR2+2][1]) || (VAR3[VAR2+2][1] && (!VAR3[VAR2+2][2]))) != 0); assign VAR7[6] = (((VAR3[VAR2+2][0] && VAR3[VAR2+2][1] && VAR3[VAR2+2][2]) || ((!VAR3[VAR2+2][1]) && (!VAR3[VAR2+2][2]) && (!VAR3[VAR2+2][3]))) != 0); assign VAR7[7] = 1'b1 && ~(~VAR2[0] && VAR2[1]); assign VAR9[0] = ~(~VAR2[0] && ~VAR2[1]); assign VAR9[1] = ~(VAR2[0] && ~VAR2[1]); assign VAR9[2] = ~(~VAR2[0] && VAR2[1]); assign VAR9[3] = ~(VAR2[0] && VAR2[1]) || (VAR3[5] == 0); always @(VAR2) begin VAR1 = VAR2 + 1'b1; end always @(posedge VAR6, negedge VAR6) begin if (rst) begin VAR2 <= 1'b0; end else begin VAR2 <= VAR1; end end always @(posedge clk, posedge rst) begin: VAR5 if (rst) begin VAR3[0] <= 0; VAR3[1] <= 0; VAR3[2] <= 0; VAR3[3] <= 0; VAR3[4] <= 0; end else if (en) begin VAR3[0] <= ((VAR3[0] + 1) % 10); VAR3[1] <= ((VAR3[1] + (VAR3[0] == 9)) % 6); VAR3[2] <= ((VAR3[2] + ((VAR3[0] == 9) && (VAR3[1] == 5))) % 10); VAR3[3] <= ((VAR3[3] + ((VAR3[0] == 9) && (VAR3[1] == 5) && (VAR3[2] == 9))) % 6); VAR3[4] <= (((VAR3[4] + ((VAR3[0] == 9) && (VAR3[1] == 5) && (VAR3[2] == 9) && (VAR3[3] == 5))) % (10 - (7 * VAR3[5]))) + ((VAR3[0] == 9) && (VAR3[1] == 5) && (VAR3[2] == 9) && (VAR3[3] == 5) && (VAR3[4] == 2) && (VAR3[5] != 0))); end end always @(posedge clk, posedge rst) begin: VAR10 if (rst) begin VAR3[5] <= 0; VAR8 <= 18'b0; VAR4 <= 8'b0; end else if (en) begin VAR3[5] <= ((VAR3[5] != 0) ^ (((VAR3[0] == 9) && (VAR3[1] == 5) && (VAR3[2] == 9) && (VAR3[3] == 5) && (VAR3[4] == 9)) || ((VAR3[0] == 9) && (VAR3[1] == 5) && (VAR3[2] == 9) && (VAR3[3] == 5) && (VAR3[4] == 2) && (VAR3[5] != 0)))); VAR8 <= {18{(VAR8[0] ^ ((VAR3[0] == 9) && (VAR3[1] == 5) && (VAR3[2] == 9) && (VAR3[3] == 5) && (VAR3[4] == 1) && (VAR3[5] != 0)))}}; VAR4 <= (VAR3[0]+10*VAR3[1]+1) % 60; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or4/sky130_fd_sc_hs__or4.blackbox.v
1,233
module MODULE1 ( VAR6, VAR2, VAR5, VAR4, VAR1 ); output VAR6; input VAR2; input VAR5; input VAR4; input VAR1; supply1 VAR3; supply0 VAR7; endmodule
apache-2.0
amiq-consulting/amiq_blog
amiq_e_c_python_how_to_connect_e_with_python/amiq_mux2_1.v
1,156
module MODULE1(input clk, input sel, input VAR2, input VAR1, output reg out); VAR3 out=0; always@(posedge clk) begin out<=sel?VAR1:VAR2; end endmodule
apache-2.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.srcs/sources_1/imports/Sources-On_Sat/Cache/L1/Dirty_Ram.v
1,259
module MODULE1( input VAR3, input VAR7, input [3:0] VAR12, input VAR6, input VAR2, output VAR14, input [7:0] VAR4, output reg VAR8 ); VAR9 #(4,1,16) VAR13( .VAR3 (VAR3), .VAR10 ((VAR8) ? 1'b1 : VAR2), .VAR11 ((VAR8) ? VAR4[3:0] : VAR12), .VAR5 ((VAR8) ? 1'b0 : VAR6), .VAR1 (VAR14) ); always @ (posedge VAR3) begin if (VAR7) begin VAR8 = 1'b1; end else begin if (VAR4 == 8'b00010000) begin VAR8 = 1'b0; end end end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/or4b/sky130_fd_sc_ms__or4b.functional.pp.v
1,978
module MODULE1 ( VAR3 , VAR14 , VAR10 , VAR5 , VAR15 , VAR2, VAR13, VAR12 , VAR7 ); output VAR3 ; input VAR14 ; input VAR10 ; input VAR5 ; input VAR15 ; input VAR2; input VAR13; input VAR12 ; input VAR7 ; wire VAR6 ; wire VAR4 ; wire VAR1; not VAR17 (VAR6 , VAR15 ); or VAR9 (VAR4 , VAR6, VAR5, VAR10, VAR14 ); VAR11 VAR16 (VAR1, VAR4, VAR2, VAR13); buf VAR8 (VAR3 , VAR1 ); endmodule
apache-2.0
merckhung/zet
cores/vga/rtl/vga_text_mode.v
5,086
module MODULE1 ( input clk, input rst, output reg [16:1] VAR41, input [15:0] VAR33, output VAR39, input [9:0] VAR30, input [9:0] VAR7, input VAR1, input VAR19, output VAR31, input [5:0] VAR9, input [5:0] VAR22, input [4:0] VAR2, input [6:0] VAR3, output reg [3:0] VAR28, output VAR5 ); reg [ 6:0] VAR6; reg [ 4:0] VAR38; reg [ 6:0] VAR26; reg [ 6:0] VAR4; wire [10:0] VAR37; wire [11:0] VAR23; wire [ 7:0] VAR35; reg [ 7:0] VAR10; reg [ 7:0] VAR14; reg [7:0] VAR27; wire VAR16; reg [9:0] VAR13; reg [9:0] VAR29; wire VAR21; wire VAR15; wire VAR25; reg [ 7:0] VAR20; reg [ 3:0] VAR11; reg [ 2:0] VAR32; reg [22:0] VAR36; reg VAR12; reg VAR40; reg VAR34; wire VAR24; VAR17 VAR8 ( .clk (clk), .addr (VAR23), .VAR18 (VAR35) ); assign VAR37 = { 4'b0, VAR26 } + { VAR4, 4'b0 }; assign VAR23 = { VAR14, VAR7[3:0] }; assign VAR16 = VAR27[7]; assign VAR31 = VAR13[9]; assign VAR5 = VAR29[9]; assign VAR39 = VAR27[2]; assign VAR21 = VAR20[7] ^ VAR34; assign VAR24 = VAR40 && VAR12; always @(posedge clk) if (rst) begin VAR6 <= 7'h0; VAR38 <= 5'h0; VAR4 <= 7'h0; VAR26 <= 7'h0; VAR41 <= 16'h0; end else begin VAR6 <= VAR30[9:3]; VAR38 <= VAR7[8:4]; VAR4 <= { 2'b00, VAR38 } + { VAR38, 2'b00 }; VAR26 <= VAR6; VAR41 <= { 5'h0, VAR37 }; end always @(posedge clk) if (rst) begin VAR12 <= 1'b0; VAR40 <= 1'b0; end else begin VAR40 <= (VAR30[9:3] == VAR3[6:0]); VAR12 <= (VAR7[8:4] == VAR2[4:0]) && ({2'b00, VAR7[3:0]} >= VAR9) && ({2'b00, VAR7[3:0]} <= VAR22); end always @(posedge clk) VAR27 <= rst ? 8'b0 : { VAR27[6:0], (VAR30[2:0]==3'b0) }; always @(posedge clk) VAR10 <= VAR27[5] ? VAR33[15:8] : VAR10; always @(posedge clk) VAR14 <= VAR27[5] ? VAR33[7:0] : VAR14; always @(posedge clk) VAR13 <= rst ? 10'b0 : { VAR13[8:0], VAR19 }; always @(posedge clk) VAR29 <= rst ? 10'b0 : { VAR29[8:0], VAR1 }; always @(posedge clk) VAR36 <= rst ? 23'h0 : (VAR36 + 23'h1); always @(posedge clk) if (rst) begin VAR11 <= 4'b0; VAR32 <= 3'b0; VAR20 <= 8'h0; end else if (VAR16) begin VAR11 <= VAR10[3:0]; VAR32 <= VAR10[6:4]; VAR34 <= (VAR24 | VAR10[7]) & VAR36[22]; VAR20 <= VAR35; end else VAR20 <= { VAR20[6:0], 1'b0 }; always @(posedge clk) if (rst) VAR28 <= 4'h0; else VAR28 <= VAR21 ? VAR11 : { 1'b0, VAR32 }; endmodule
gpl-3.0
kevintownsend/inara-hdl-libraries
scratch_pad_a/scratch_pad.v
14,987
module MODULE1(rst, clk, VAR8, VAR20, VAR51, VAR16, addr, VAR38, valid, VAR17); parameter VAR54 = 8; parameter VAR14 = 64; parameter VAR2 = 512; parameter VAR37 = 32; parameter VAR11 = 32; parameter VAR4 = VAR49(VAR37-1) + 1; parameter VAR12 = VAR2 * VAR54; parameter VAR18 = VAR49(VAR12-1); parameter VAR32 = VAR49(VAR54-1); input rst; input clk; input [0:VAR54-1] VAR8; input [0:VAR54-1] VAR20; input [VAR14*VAR54-1:0] VAR51; output [VAR14*VAR54-1:0] VAR16; input [VAR18*VAR54-1:0] addr; output [0:VAR54-1] VAR17; input [0:VAR54-1]VAR38; output [0:VAR54-1]valid; integer VAR44, VAR24; genvar VAR27; reg [0:VAR54-1] VAR13; wire [0:VAR54-1] VAR30, VAR43, VAR31; wire [0:VAR54-1] VAR28, VAR36; always @* begin VAR13 = VAR30 | VAR28; end assign VAR17 = VAR13; reg [VAR14+VAR18-1:0] VAR1 [0:VAR54-1]; always @* for(VAR44 = 0; VAR44 < VAR54; VAR44 = VAR44 + 1) begin for(VAR24 = 0; VAR24 < VAR18; VAR24 = VAR24 + 1) VAR1[VAR44][VAR24] = addr[(VAR54-VAR44-1)*(VAR18)+VAR24]; for(VAR24 = 0; VAR24 < VAR14; VAR24 = VAR24 + 1) VAR1[VAR44][VAR24+VAR18] = VAR51[(VAR54-VAR44-1)*(VAR14)+VAR24]; end wire [VAR14+VAR4-1:0] VAR48 [0:VAR54-1]; generate for(VAR27 = 0; VAR27 < VAR54; VAR27 = VAR27 + 1) begin: VAR42 assign VAR16[(VAR54-VAR27)*VAR14 - 1 -:VAR14] = VAR48[VAR27][VAR14+VAR4-1 -:VAR14]; end endgenerate reg [2+VAR18+VAR14-1:0] VAR6[0:VAR54-1]; wire [0:VAR54-1] VAR50; wire [VAR54*(VAR18+VAR14+1)-1:0] VAR45; generate for(VAR27 = 0; VAR27 < VAR54; VAR27 = VAR27 + 1)begin: VAR46 assign VAR50[VAR27] = VAR6[VAR27][0]; assign VAR45[(1+VAR18+VAR14)*(VAR54-VAR27) - 1 -: 1+VAR18+VAR14] = VAR6[VAR27][VAR18+VAR14+1:1]; end endgenerate reg [VAR14+VAR4+VAR32+1-1:0]VAR3[0:VAR54-1]; wire [VAR4-1:0] VAR10 [0:VAR54-1]; generate for(VAR27 = 0; VAR27 < VAR54; VAR27 = VAR27 + 1) begin: VAR9 VAR5 #(VAR14+VAR4, VAR37) VAR35(rst, clk, VAR8[VAR27], VAR10[VAR27], VAR30[VAR27], VAR3[VAR27][0], VAR3[VAR27][VAR14+VAR4+VAR32+1-1:1+VAR32], VAR48[VAR27], valid[VAR27], VAR38[VAR27]); end endgenerate always @(posedge clk)begin for(VAR44 = 0; VAR44 < VAR54; VAR44 = VAR44 + 1)begin VAR6[VAR44][0] <= VAR8[VAR44] || VAR20[VAR44]; VAR6[VAR44][1] <= VAR20[VAR44]; VAR6[VAR44][VAR18+VAR14+1:2] <= VAR1[VAR44]; if(VAR8[VAR44]) VAR6[VAR44][2+VAR4+VAR18+VAR32-1:VAR18+2] <= {VAR10[VAR44], VAR44[VAR32-1:0]}; end end reg [2+VAR18+VAR14-1:0] VAR22[0:VAR54-1]; wire [VAR54*(1+VAR18+VAR14)-1:0] VAR25; wire [0:VAR54-1] VAR19; always @* for(VAR44 = 0; VAR44 < VAR54; VAR44 = VAR44 + 1) begin VAR22[VAR44][0] = VAR19[VAR44]; for(VAR24 = 0; VAR24 < (2+VAR18+VAR14-1); VAR24 = VAR24 + 1) VAR22[VAR44][VAR24+1] = VAR25[(1+VAR18+VAR14)*(VAR54-VAR44-1)+VAR24]; end wire [VAR54-1:0]VAR26; assign VAR26 = 0; VAR41 #(1+VAR18+VAR14, VAR54, VAR54, VAR11, VAR32, VAR32, 1, 2)VAR33(rst, clk, VAR50, VAR45, VAR43, VAR19, VAR25, VAR36, VAR28); always @(posedge clk) begin if(|VAR50) begin end for(VAR44 = 0; VAR44 < VAR54; VAR44 = VAR44 + 1) begin if(VAR50[VAR44]) begin end end end wire [1+VAR4+VAR14+VAR32-1:0] VAR47[0:VAR54-1]; reg [0:VAR54-1]VAR7; reg [(VAR4+VAR14+VAR32)*VAR54-1:0] VAR34; wire [0:VAR54-1]VAR29; wire [(VAR4+VAR14+VAR32)*VAR54-1:0] VAR15; always @* for(VAR44 = 0; VAR44 < VAR54; VAR44 = VAR44 + 1) begin VAR7[VAR44] = VAR47[VAR44][0]; for(VAR24 = 0; VAR24 < (VAR4+VAR14+VAR32); VAR24 = VAR24 + 1) VAR34[(VAR4+VAR14+VAR32)*(VAR54-VAR44-1)+VAR24] = VAR47[VAR44][VAR24+1]; end always @* for(VAR44 = 0; VAR44 < VAR54; VAR44 = VAR44 + 1) begin VAR3[VAR44] = 0; VAR3[VAR44][0] = VAR29[VAR44]; for(VAR24 = 0; VAR24 < (VAR14+VAR4+VAR32); VAR24 = VAR24 + 1) VAR3[VAR44][VAR24+1] = VAR15[(VAR4+VAR14+VAR32)*(VAR54-VAR44-1) + VAR24]; end VAR41 #(VAR4+VAR14+VAR32, VAR54, VAR54, VAR11, VAR32, VAR32, 0, 4)VAR52(rst, clk, VAR7, VAR34, VAR31, VAR29, VAR15, VAR26, VAR36); always @(posedge clk) begin end generate for(VAR27=0; VAR27 < VAR54; VAR27 = VAR27 + 1) begin: VAR21 VAR23 #(VAR14, VAR18- VAR32) VAR39(clk, &VAR22[VAR27][1:0], VAR22[VAR27][2+VAR18+VAR14-1:VAR18+2], VAR22[VAR27][2+VAR18-1:2+VAR32], VAR47[VAR27][VAR4+VAR14+VAR32:VAR4+VAR32+1]); end endgenerate reg [VAR4+1+VAR32-1:0]VAR40 [0:VAR54-1]; always @(posedge clk) begin for(VAR44 = 0; VAR44 < VAR54; VAR44 = VAR44 + 1) begin VAR40[VAR44] <= {VAR22[VAR44][VAR32+VAR4+2+VAR18-1:VAR18+2], VAR19[VAR44] && !VAR22[VAR44][1]}; end end generate for(VAR27=0; VAR27 < VAR54; VAR27 = VAR27 + 1) begin: VAR53 assign VAR47[VAR27][VAR4+VAR32:0] = VAR40[VAR27]; end endgenerate endmodule
apache-2.0
James534/Tempest
fpga/fpga_hw/top_level/DE0_Nano_SOPC/synthesis/submodules/DE0_Nano_SOPC_sdram.v
23,219
module MODULE1 ( clk, rd, VAR44, wr, VAR36, VAR68, VAR45, VAR31, VAR5, VAR57 ) ; output VAR68; output VAR45; output VAR31; output VAR5; output [ 42: 0] VAR57; input clk; input rd; input VAR44; input wr; input [ 42: 0] VAR36; wire VAR68; wire VAR45; wire VAR31; reg [ 1: 0] VAR32; reg [ 42: 0] VAR28; reg [ 42: 0] VAR59; wire VAR5; reg VAR72; reg [ 42: 0] VAR57; wire [ 1: 0] VAR50; reg VAR75; assign VAR50 = {rd, wr}; assign VAR5 = VAR32 == 2; assign VAR45 = VAR32 >= 1; assign VAR31 = VAR32 == 0; assign VAR68 = VAR32 <= 1; always @(VAR28 or VAR59 or VAR72) begin case (VAR72) 1'd0: begin VAR57 = VAR28; end 1'd1: begin VAR57 = VAR59; end default: begin end endcase end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) begin VAR75 <= 0; VAR72 <= 0; VAR32 <= 0; end else case (VAR50) 2'd1: begin if (!VAR5) begin VAR32 <= VAR32 + 1; VAR75 <= (VAR75 == 1) ? 0 : (VAR75 + 1); end end 2'd2: begin if (!VAR31) begin VAR32 <= VAR32 - 1; VAR72 <= (VAR72 == 1) ? 0 : (VAR72 + 1); end end 2'd3: begin VAR75 <= (VAR75 == 1) ? 0 : (VAR75 + 1); VAR72 <= (VAR72 == 1) ? 0 : (VAR72 + 1); end default: begin end endcase end always @(posedge clk) begin if (wr & !VAR5) case (VAR75) 1'd0: begin VAR28 <= VAR36; end 1'd1: begin VAR59 <= VAR36; end default: begin end endcase end endmodule module MODULE2 ( VAR22, VAR48, VAR74, VAR4, VAR29, VAR10, clk, VAR44, VAR30, VAR43, VAR52, VAR49, VAR67, VAR17, VAR37, VAR61, VAR46, VAR11, VAR41, VAR69 ) ; output [ 15: 0] VAR30; output VAR43; output VAR52; output [ 12: 0] VAR49; output [ 1: 0] VAR67; output VAR17; output VAR37; output VAR61; inout [ 15: 0] VAR46; output [ 1: 0] VAR11; output VAR41; output VAR69; input [ 23: 0] VAR22; input [ 1: 0] VAR48; input VAR74; input [ 15: 0] VAR4; input VAR29; input VAR10; input clk; input VAR44; wire [ 23: 0] VAR6; reg VAR76; reg [ 23: 0] VAR33; wire [ 1: 0] VAR20; reg VAR40; reg [ 15: 0] VAR27; reg [ 1: 0] VAR15; reg VAR21; wire VAR68; wire VAR45; wire VAR42; wire [ 8: 0] VAR1; wire VAR78; wire [ 3: 0] VAR8; wire [ 2: 0] VAR13; wire VAR82; wire VAR35; wire VAR79; wire [ 23: 0] VAR18; wire [ 1: 0] VAR51; wire VAR16; wire [ 15: 0] VAR66; wire [ 1: 0] VAR26; wire VAR62; reg VAR84; wire VAR65; wire VAR64; wire [ 42: 0] VAR63; reg [ 12: 0] VAR55; reg [ 3: 0] VAR70; reg [ 2: 0] VAR54; reg [ 2: 0] VAR77; reg [ 2: 0] VAR58; reg [ 2: 0] VAR25; reg VAR24; reg [ 12: 0] VAR2 ; reg [ 1: 0] VAR80 ; reg [ 3: 0] VAR53 ; reg [ 2: 0] VAR71; reg [ 15: 0] VAR47 ; reg [ 1: 0] VAR7 ; reg [ 8: 0] VAR3; reg [ 8: 0] VAR34; reg VAR83 ; wire VAR73; wire VAR38; reg [ 2: 0] VAR60; reg [ 13: 0] VAR56; reg VAR19; wire VAR23; wire VAR39; wire [ 23: 0] VAR14; reg VAR81; reg [ 15: 0] VAR30 ; reg VAR43; wire VAR52; wire [ 12: 0] VAR49; wire [ 1: 0] VAR67; wire VAR17; wire VAR37; wire VAR61; wire [ 15: 0] VAR46; wire [ 1: 0] VAR11; wire VAR41; wire VAR69; assign VAR78 = 1; assign {VAR61, VAR41, VAR17, VAR69} = VAR53; assign VAR49 = VAR2; assign VAR37 = VAR78; assign VAR46 = VAR83?VAR47:{16{1'VAR12}}; assign VAR11 = VAR7; assign VAR67 = VAR80; assign VAR64 = VAR84 & VAR73; assign VAR16 = 1'b0; assign VAR82 = VAR64 ? VAR16 : VAR40; assign VAR35 = VAR82; assign {VAR65, VAR18, VAR26, VAR66} = VAR63; MODULE1 VAR9 ( .VAR68 (VAR68), .VAR45 (VAR45), .clk (clk), .VAR31 (VAR62), .VAR5 (VAR52), .rd (VAR64), .VAR57 (VAR63), .VAR44 (VAR44), .wr ((~VAR10 | ~VAR29) & !VAR52), .VAR36 ({VAR10, VAR22, VAR10 ? 2'b0 : VAR48, VAR4}) ); assign VAR51 = {VAR18[23],VAR18[9]}; always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR56 <= 10000; end else if (VAR56 == 0) VAR56 <= 1562; else VAR56 <= VAR56 - 1'b1; end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR19 <= 0; end else if (1) VAR19 <= ((VAR56 == 0) | VAR19) & ~VAR76 & VAR24; end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR81 <= 0; end else if (1) VAR81 <= (VAR56 == 0) & VAR19; end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR24 <= 0; end else if (1) VAR24 <= VAR24 | (VAR25 == 3'b101); end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) begin VAR25 <= 3'b000; VAR77 <= 3'b000; VAR70 <= 4'b1111; VAR55 <= {13{1'b1}}; VAR54 <= {3{1'b0}}; end else begin VAR55 <= {13{1'b1}}; case (VAR25) 3'b000: begin VAR70 <= 4'b1111; VAR58 <= 3'b0; if (VAR56 == 0) VAR25 <= 3'b001; end 3'b001: begin VAR25 <= 3'b011; VAR70 <= {{1{1'b0}},3'h2}; VAR54 <= 1; VAR77 <= 3'b010; end 3'b010: begin VAR70 <= {{1{1'b0}},3'h1}; VAR58 <= VAR58 + 1'b1; VAR25 <= 3'b011; VAR54 <= 7; if (VAR58 == 3'h1) VAR77 <= 3'b111; end else VAR77 <= 3'b010; end 3'b011: begin VAR70 <= {{1{1'b0}},3'h7}; if (VAR54 > 1) VAR54 <= VAR54 - 1'b1; end else VAR25 <= VAR77; end 3'b101: begin VAR25 <= 3'b101; end 3'b111: begin VAR25 <= 3'b011; VAR70 <= {{1{1'b0}},3'h0}; VAR55 <= {{3{1'b0}},1'b0,2'b00,3'h3,4'h0}; VAR54 <= 4; VAR77 <= 3'b101; end default: begin VAR25 <= 3'b000; end endcase end end assign VAR20 = {VAR33[23],VAR33[9]}; assign VAR79 = VAR40 == VAR16; assign VAR23 = VAR21 == VAR65; assign VAR42 = VAR20 == VAR51; assign VAR39 = {VAR33[22 : 10]} == {VAR18[22 : 10]}; assign VAR73 = VAR79 && VAR23 && VAR42 && VAR39 && !VAR62; assign VAR1 = VAR64 ? { {4{1'b0}},VAR18[8 : 0] } : { {4{1'b0}},VAR33[8 : 0] }; always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) begin VAR34 <= 9'b000000001; VAR3 <= 9'b000000001; VAR53 <= 4'b1111; VAR80 <= 2'b00; VAR2 <= 13'b0000000000000; VAR47 <= 16'b0000000000000000; VAR7 <= 2'b00; VAR71 <= 3'b000; VAR76 <= 1'b0; VAR84 <= 1'b0; VAR83 <= 1'b0; end else begin VAR84 <= 1'b0; VAR83 <= 1'b0; case (VAR34) 9'b000000001: begin if (VAR24) begin if (VAR19) VAR53 <= {{1{1'b0}},3'h7}; end else VAR53 <= 4'b1111; VAR76 <= 1'b0; if (VAR19) begin VAR34 <= 9'b001000000; VAR3 <= 9'b010000000; VAR71 <= 1; VAR40 <= 1'b1; end else if (!VAR62) begin VAR84 <= 1'b1; VAR40 <= VAR16; VAR21 <= VAR65; VAR33 <= VAR18; VAR27 <= VAR66; VAR15 <= VAR26; VAR34 <= 9'b000000010; end end else begin VAR2 <= VAR55; VAR34 <= 9'b000000001; VAR3 <= 9'b000000001; VAR53 <= VAR70; end end 9'b000000010: begin VAR34 <= 9'b000000100; VAR53 <= {VAR35,3'h3}; VAR80 <= VAR20; VAR2 <= VAR33[22 : 10]; VAR47 <= VAR27; VAR7 <= VAR15; VAR71 <= 2; VAR3 <= VAR21 ? 9'b000001000 : 9'b000010000; end 9'b000000100: begin if (VAR3 == 9'b010000000) VAR53 <= {{1{1'b0}},3'h7}; end else VAR53 <= {VAR35,3'h7}; if (VAR71 > 1) VAR71 <= VAR71 - 1'b1; end else VAR34 <= VAR3; end 9'b000001000: begin VAR53 <= {VAR35,3'h5}; VAR80 <= VAR64 ? VAR51 : VAR20; VAR7 <= VAR64 ? VAR26 : VAR15; VAR2 <= VAR1; if (VAR73) begin if (VAR19) begin VAR34 <= 9'b000000100; VAR3 <= 9'b000000001; VAR71 <= 2; end else begin VAR84 <= 1'b1; VAR40 <= VAR16; VAR21 <= VAR65; VAR33 <= VAR18; VAR27 <= VAR66; VAR15 <= VAR26; end end else begin if (~VAR73 & VAR84) VAR53 <= {VAR35,3'h7}; VAR34 <= 9'b100000000; end end 9'b000010000: begin VAR53 <= {VAR35,3'h4}; VAR83 <= 1'b1; VAR47 <= VAR64 ? VAR66 : VAR27; VAR7 <= VAR64 ? VAR26 : VAR15; VAR80 <= VAR64 ? VAR51 : VAR20; VAR2 <= VAR1; if (VAR73) begin if (VAR19) begin VAR34 <= 9'b000000100; VAR3 <= 9'b000000001; VAR71 <= 2; end else begin VAR84 <= 1'b1; VAR40 <= VAR16; VAR21 <= VAR65; VAR33 <= VAR18; VAR27 <= VAR66; VAR15 <= VAR26; end end else begin if (~VAR73 & VAR84) begin VAR53 <= {VAR35,3'h7}; VAR83 <= 1'b0; end VAR34 <= 9'b100000000; end end 9'b000100000: begin VAR53 <= {VAR35,3'h7}; if (VAR71 > 1) VAR71 <= VAR71 - 1'b1; end else begin VAR34 <= 9'b001000000; VAR71 <= 1; end end 9'b001000000: begin VAR34 <= 9'b000000100; VAR2 <= {13{1'b1}}; if (VAR19) VAR53 <= {{1{1'b0}},3'h2}; end else VAR53 <= {VAR35,3'h2}; end 9'b010000000: begin VAR76 <= 1'b1; VAR34 <= 9'b000000100; VAR53 <= {{1{1'b0}},3'h1}; VAR71 <= 7; VAR3 <= 9'b000000001; end 9'b100000000: begin VAR53 <= {VAR35,3'h7}; if (VAR19) begin VAR34 <= 9'b000000100; VAR3 <= 9'b000000001; VAR71 <= 1; end else if (!VAR62) if (VAR79 && VAR23 && VAR42 && VAR39) begin VAR34 <= VAR65 ? 9'b000001000 : 9'b000010000; VAR84 <= 1'b1; VAR40 <= VAR16; VAR21 <= VAR65; VAR33 <= VAR18; VAR27 <= VAR66; VAR15 <= VAR26; end else begin VAR34 <= 9'b000100000; VAR3 <= 9'b000000001; VAR71 <= 1; end end default: begin VAR34 <= VAR34; VAR53 <= 4'b1111; VAR84 <= 1'b0; VAR83 <= 1'b0; end endcase end end assign VAR38 = VAR53[2 : 0] == 3'h5; always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR60 <= {3{1'b0}}; end else VAR60 <= (VAR60 << 1) | { {2{1'b0}}, VAR38 }; end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR30 <= 0; end else VAR30 <= VAR46; end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR43 <= 0; end else if (1) VAR43 <= VAR60[2]; end assign VAR13 = VAR53[2 : 0]; assign VAR8 = VAR53; assign VAR14 = (VAR13 == 3'h0)? 24'h4c4d52 : (VAR13 == 3'h1)? 24'h415246 : (VAR13 == 3'h2)? 24'h505245 : (VAR13 == 3'h3)? 24'h414354 : (VAR13 == 3'h4)? 24'h205752 : (VAR13 == 3'h5)? 24'h205244 : (VAR13 == 3'h6)? 24'h425354 : (VAR13 == 3'h7)? 24'h4e4f50 : 24'h424144; assign VAR6 = &(VAR8|4'h7) ? 24'h494e48 : VAR14; endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/aoi211/gf180mcu_fd_sc_mcu9t5v0__aoi211_4.functional.v
1,585
module MODULE1( VAR8, VAR1, VAR7, VAR16, VAR13 ); input VAR7, VAR1, VAR16, VAR13; output VAR8; wire VAR10; not VAR12( VAR10, VAR7 ); wire VAR9; not VAR18( VAR9, VAR16 ); wire VAR11; not VAR3( VAR11, VAR13 ); wire VAR6; and VAR5( VAR6, VAR10, VAR9, VAR11 ); wire VAR14; not VAR15( VAR14, VAR1 ); wire VAR17; and VAR4( VAR17, VAR14, VAR9, VAR11 ); or VAR2( VAR8, VAR6, VAR17 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o221a/sky130_fd_sc_hs__o221a.pp.blackbox.v
1,375
module MODULE1 ( VAR4 , VAR1 , VAR3 , VAR6 , VAR8 , VAR5 , VAR7, VAR2 ); output VAR4 ; input VAR1 ; input VAR3 ; input VAR6 ; input VAR8 ; input VAR5 ; input VAR7; input VAR2; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/or4bb/sky130_fd_sc_hdll__or4bb.behavioral.pp.v
2,008
module MODULE1 ( VAR7 , VAR2 , VAR5 , VAR12 , VAR8 , VAR16, VAR17, VAR1 , VAR15 ); output VAR7 ; input VAR2 ; input VAR5 ; input VAR12 ; input VAR8 ; input VAR16; input VAR17; input VAR1 ; input VAR15 ; wire VAR3 ; wire VAR4 ; wire VAR14; nand VAR10 (VAR3 , VAR8, VAR12 ); or VAR9 (VAR4 , VAR5, VAR2, VAR3 ); VAR13 VAR6 (VAR14, VAR4, VAR16, VAR17); buf VAR11 (VAR7 , VAR14 ); endmodule
apache-2.0
sergev/vak-opensource
hardware/s3esk-openrisc/dbg_interface/dbg_registers.v
11,103
module MODULE1(VAR85, VAR5, VAR58, VAR90, VAR29, VAR55, VAR66, VAR52, VAR77, VAR62, VAR76, VAR72, VAR92, VAR18, VAR47, VAR79, VAR21, VAR34, VAR31, VAR64, VAR20, VAR60, VAR33, VAR39, VAR73, VAR22, VAR11, VAR36, VAR26, VAR82, VAR61, VAR54, VAR50, VAR40, VAR12, VAR7, VAR38, VAR28, VAR44, VAR75, VAR3, VAR8, VAR63, VAR80, VAR68, VAR17 ); parameter VAR32 = 1; input [31:0] VAR85; input [4:0] VAR58; input VAR90; input VAR29; input VAR55; input VAR66; input VAR52; output [31:0] VAR5; reg [31:0] VAR5; output VAR77; output VAR62; output [10:0] VAR76; output VAR72; output [3:0] VAR92; output [1:0] VAR18; output [1:0] VAR47; output VAR36; output VAR26; output VAR82; output VAR61; output [10:0] VAR79; output VAR21; output [3:0] VAR34; output [1:0] VAR31; output [1:0] VAR64; output VAR54; output VAR50; output VAR40; output VAR12; output [10:0] VAR7; output VAR38; output [3:0] VAR28; output [1:0] VAR44; output [1:0] VAR75; output VAR3; output VAR8; output VAR63; output VAR80; output VAR20; output VAR60; output VAR33; output VAR39; output VAR73; output VAR22; output VAR11; output VAR68; output VAR17; wire VAR6 = (VAR58 == VAR25) & VAR29; wire VAR86 = (VAR58 == VAR35) & VAR29; wire VAR37 = (VAR58 == VAR41) & VAR29; wire VAR83 = (VAR58 == VAR57) & VAR29; wire VAR59 = (VAR58 == VAR78) & VAR29; wire VAR49 = (VAR58 == VAR13) & VAR29; wire VAR2 = VAR6 & VAR90; wire VAR65 = VAR86 & VAR90; wire VAR9 = VAR37 & VAR90; wire VAR19 = VAR83 & VAR90; wire VAR69 = VAR59 & VAR90; wire VAR71 = VAR49 & VAR90; wire VAR89 = VAR6 & ~VAR90; wire VAR91 = VAR86 & ~VAR90; wire VAR87 = VAR37 & ~VAR90; wire VAR53 = VAR83 & ~VAR90; wire VAR81 = VAR59 & ~VAR90; wire VAR42 = VAR49 & ~VAR90; wire [31:0] VAR45; wire [1:1] VAR48; wire [31:0] VAR74; wire [31:0] VAR1; wire [31:0] VAR16; wire [6:0] VAR10; assign VAR45[15:0] = 16'h0001; assign VAR45[31:18] = 14'h0; assign VAR45[31:0] = 32'h0000; reg VAR46; always @(posedge VAR55 or posedge VAR52) begin if(VAR52) VAR46 <= 1'b0; end else if(VAR66) VAR46 <= 1'b1; else if(VAR65) VAR46 <= VAR85[0]; end VAR27 #(1) VAR88 (.VAR85(VAR85[1]), .VAR5(VAR48[1]), .VAR4(VAR65), .VAR55(VAR55), .VAR52(VAR52), .VAR23(1'b0)); VAR27 #(2) VAR14 (.VAR85(VAR85[17:16]), .VAR5(VAR45[17:16]), .VAR4(VAR2), .VAR55(VAR55), .VAR52(VAR52), .VAR23(VAR15)); VAR27 #(32) VAR67 (.VAR85(VAR85), .VAR5(VAR74), .VAR4(VAR9), .VAR55(VAR55), .VAR52(VAR52), .VAR23(VAR70)); VAR27 #(32) VAR51 (.VAR85(VAR85), .VAR5(VAR1), .VAR4(VAR19), .VAR55(VAR55), .VAR52(VAR52), .VAR23(VAR84)); VAR27 #(32) VAR43 (.VAR85(VAR85), .VAR5(VAR16), .VAR4(VAR69), .VAR55(VAR55), .VAR52(VAR52), .VAR23(VAR56)); VAR27 #(7) VAR30 (.VAR85(VAR85[6:0]), .VAR5(VAR10), .VAR4(VAR71), .VAR55(VAR55), .VAR52(VAR52), .VAR23(VAR24)); always @ (posedge VAR55) begin if(VAR89) VAR5<= #VAR32 VAR45; end else if(VAR91) VAR5<= #VAR32 {30'h0, VAR48[1], VAR68}; else if(VAR87) VAR5<= #VAR32 VAR74; else if(VAR53) VAR5<= #VAR32 VAR1; else if(VAR81) VAR5<= #VAR32 VAR16; else if(VAR42) VAR5<= #VAR32 {25'h0, VAR10}; else VAR5<= #VAR32 'h0; end assign VAR62 = VAR45[16]; assign VAR77 = VAR45[17]; assign VAR76[10:0] = VAR74[10:0]; assign VAR36 = VAR74[11]; assign VAR72 = VAR74[12]; assign VAR26 = VAR74[13]; assign VAR92[3:0] = VAR74[19:16]; assign VAR82 = VAR74[20]; assign VAR18[1:0] = VAR74[22:21]; assign VAR61 = VAR74[23]; assign VAR47[1:0] = VAR74[31:30]; assign VAR79[10:0] = VAR1[10:0]; assign VAR54 = VAR1[11]; assign VAR21 = VAR1[12]; assign VAR50 = VAR1[13]; assign VAR34[3:0] = VAR1[19:16]; assign VAR40 = VAR1[20]; assign VAR31[1:0] = VAR1[22:21]; assign VAR12 = VAR1[23]; assign VAR64[1:0] = VAR1[31:30]; assign VAR7[10:0] = VAR16[10:0]; assign VAR3 = VAR16[11]; assign VAR38 = VAR16[12]; assign VAR8 = VAR16[13]; assign VAR28[3:0] = VAR16[19:16]; assign VAR63 = VAR16[20]; assign VAR44[1:0] = VAR16[22:21]; assign VAR80 = VAR16[23]; assign VAR75[1:0] = VAR16[31:30]; assign VAR20 = VAR10[0]; assign VAR60 = VAR10[1]; assign VAR33 = VAR10[2]; assign VAR39 = VAR10[3]; assign VAR73 = VAR10[4]; assign VAR22 = VAR10[5]; assign VAR11 = VAR10[6]; assign VAR68 = VAR66 | VAR46; assign VAR17 = VAR48[1]; endmodule
apache-2.0
sittner/lcnc-mdsio
vhdl/source/can/can_bsp.v
62,946
module MODULE1 ( clk, rst, VAR33, VAR166, VAR136, VAR21, VAR47, addr, VAR181, VAR4, VAR156, VAR132, VAR127, VAR237, VAR176, VAR23, VAR149, VAR124, VAR154, VAR167, VAR250, VAR212, VAR232, VAR103, VAR16, VAR95, VAR209, VAR178, VAR111, VAR88, VAR217, VAR100, VAR192, VAR223, VAR50, VAR189, VAR267, VAR73, VAR213, VAR214, VAR229, VAR79, VAR146, VAR26, VAR151, VAR224, VAR7, VAR32, VAR244, VAR240, VAR46, VAR61, VAR165, VAR49, VAR120, VAR10, VAR236, VAR126, VAR56, VAR248, VAR78, VAR99, VAR66, VAR67, VAR202, VAR206, VAR193, VAR169, VAR84, VAR133, VAR110, VAR257, VAR92, VAR22, VAR83, VAR36, VAR162, VAR148, VAR171, VAR82, VAR239, VAR145 , VAR188, VAR94, VAR102 ); parameter VAR191 = 1; input clk; input rst; input VAR33; input VAR166; input VAR136; input VAR21; input VAR47; input [7:0] addr; input [7:0] VAR181; output [7:0] VAR4; input VAR156; input VAR132; input VAR127; input VAR237; input VAR217; input VAR176; input VAR23; input VAR149; input VAR124; input VAR154; input VAR167; output VAR250; output VAR212; input VAR232; output VAR103; input VAR16; input VAR95; output [7:0] VAR209; input [7:0] VAR178; input VAR111; input VAR88; output VAR100; output VAR192; output VAR223; output VAR50; output VAR189; output VAR267; output VAR73; output VAR213; output VAR214; output [8:0] VAR229; output [8:0] VAR79; output VAR146; output VAR26; output VAR151; output VAR224; output VAR7; output VAR32; output VAR244; output VAR240; output [4:0] VAR46; output VAR61; output VAR165; output [6:0] VAR49; input [7:0] VAR120; input [7:0] VAR10; input [7:0] VAR236; input [7:0] VAR126; input [7:0] VAR56; input [7:0] VAR248; input [7:0] VAR78; input [7:0] VAR99; input [7:0] VAR66; input [7:0] VAR67; input [7:0] VAR202; input [7:0] VAR206; input [7:0] VAR193; input [7:0] VAR169; input [7:0] VAR84; input [7:0] VAR133; input [7:0] VAR110; input [7:0] VAR257; input [7:0] VAR92; input [7:0] VAR22; input [7:0] VAR83; output VAR36; output VAR162; output VAR148; output VAR171; output VAR82; output VAR239; output VAR145; input VAR188; output VAR94; input [VAR200 - 1:0] VAR102; VAR28 reg VAR40; reg [5:0] VAR129; reg [3:0] VAR186; reg [28:0] VAR220; reg [2:0] VAR72; reg [2:0] VAR75; reg VAR130; reg VAR100; reg VAR119; reg VAR89; reg VAR57; reg VAR141; reg VAR155; reg VAR45; reg VAR157; reg VAR266; reg VAR238; reg VAR221; reg VAR197; reg VAR35; reg VAR60; reg VAR228; reg VAR267; reg VAR96; reg VAR1; reg VAR52; reg VAR97; reg [14:0] VAR58; reg [7:0] VAR18; reg [7:0] VAR185 [0:7]; reg VAR41; reg [2:0] VAR183; reg VAR98; reg VAR117; reg [2:0] VAR87; reg [2:0] VAR153; reg VAR192; reg VAR125; reg VAR249; reg [2:0] VAR199; reg [2:0] VAR108; reg [2:0] VAR211; reg VAR235; reg VAR103; reg VAR245; reg [1:0] VAR182; reg [2:0] VAR204; reg [2:0] VAR139; reg VAR36; reg VAR246; reg VAR101; reg VAR179; reg VAR85; reg [4:0] VAR46; reg [4:0] VAR259; reg VAR251; reg VAR263; reg VAR224; reg [3:0] VAR187; reg [2:0] VAR15; reg VAR43; reg [7:0] VAR222; reg [5:0] VAR109; reg VAR173; reg VAR250; reg VAR212; reg VAR223; reg VAR216; reg [8:0] VAR229; reg [8:0] VAR79; reg [3:0] VAR13; reg VAR11; reg VAR34; reg VAR208; reg VAR61; reg VAR213; reg VAR174; reg VAR116; reg VAR8; reg VAR81; reg VAR80; reg VAR201; reg VAR163; reg VAR265; reg VAR112; reg [2:0] VAR114; reg VAR159; reg [7:0] VAR209; reg [7:6] VAR135; reg VAR55; reg VAR162; reg VAR12; wire [4:0] VAR227; wire VAR247; wire VAR131; wire VAR27; wire VAR105; wire VAR137; wire VAR86; wire VAR180; wire VAR210; wire VAR30; wire VAR29; wire VAR225; wire VAR215; wire VAR70; wire VAR25; wire VAR195; wire VAR107; wire VAR196; wire VAR252; wire VAR106; wire VAR50; wire VAR226; wire VAR134; wire VAR198; wire VAR144; wire VAR51; wire VAR241; wire [14:0] VAR254; wire [15:0] VAR140; wire VAR142; wire [3:0] VAR190; wire VAR77; wire VAR19; wire VAR64; wire VAR91; wire VAR184; wire VAR261; wire VAR2; wire VAR48; wire VAR218; wire [2:0] VAR230; wire VAR59; wire [3:0] VAR63; wire VAR118; wire VAR256; wire VAR143; wire [18:0] VAR168; wire [63:0] VAR164; wire [18:0] VAR158; wire [38:0] VAR231; wire [63:0] VAR104; wire [63:0] VAR38; wire VAR172; wire [7:0] VAR205; wire [7:0] VAR233; wire [7:0] VAR170; wire [7:0] VAR20; wire [7:0] VAR264; wire [7:0] VAR150; wire [7:0] VAR219; wire [7:0] VAR253; wire [7:0] VAR53; wire [7:0] VAR115; wire [7:0] VAR68; wire [7:0] VAR17; wire [7:0] VAR31; wire VAR145; wire VAR203; wire VAR138; wire VAR62; wire VAR260; wire VAR42; wire VAR69; wire VAR6; wire VAR147; wire [5:0] VAR9; wire [5:0] VAR243; assign VAR137 = VAR33 & VAR166 & VAR226 | VAR34 & (~VAR213); assign VAR86 = VAR33 & (~VAR166) & (VAR100 | VAR226); assign VAR180 = (~VAR131) & VAR33 & VAR119 & (VAR129[3:0] == 4'd10); assign VAR210 = (~VAR131) & VAR33 & VAR89; assign VAR30 = (~VAR131) & VAR33 & VAR57 & VAR166; assign VAR29 = (~VAR131) & VAR33 & VAR141 & (VAR129[4:0] == 5'd17); assign VAR225 = (~VAR131) & VAR33 & VAR155; assign VAR215 = (~VAR131) & VAR33 & (VAR57 & (~VAR166) | VAR45); assign VAR70 = (~VAR131) & VAR33 & VAR157; assign VAR25 = (~VAR131) & VAR33 & VAR266 & (VAR129[1:0] == 2'd3) & (VAR166 | (|VAR186[2:0])) & (~VAR142); assign VAR195 = (~VAR131) & VAR33 & (VAR266 & (VAR129[1:0] == 2'd3) & ((~VAR166) & (~(|VAR186[2:0])) | VAR142) | VAR238 & (VAR129[5:0] == ((VAR190<<3) - 1'b1))); assign VAR107 = (~VAR131) & VAR33 & VAR221 & (VAR129[3:0] == 4'd14); assign VAR196 = (~VAR131) & VAR33 & VAR197; assign VAR252 = VAR33 & VAR35; assign VAR106 = VAR33 & VAR60; assign VAR50 = ((VAR33 & VAR228 & (VAR87 == 3'd6)) | VAR19 | VAR64) & (~VAR232); assign VAR82 = (VAR77 | VAR261 | VAR91 | VAR184 | (VAR246 & VAR106)); assign VAR19 = (VAR108 == 3'd7) & VAR21; assign VAR64 = (VAR139 == 3'd7) & VAR21; assign VAR171 = ( VAR33 & ((~VAR166) | VAR232) & (VAR228 & (~VAR223) & (VAR87 == 3'd6) | VAR19 | VAR64) | VAR33 & (~VAR166) & VAR267 & (VAR129[1:0] < 2'd2) | VAR33 & (~VAR166) & ((VAR108 == 3'd7) | (VAR139 == 3'd7)) ) & (~VAR245) ; assign VAR134 = VAR47 | VAR239; assign VAR198 = VAR195; assign VAR144 = VAR86 & (~VAR82); assign VAR51 = VAR196 | VAR82 | VAR171; assign VAR142 = ((~VAR52) & VAR1) | (VAR52 & VAR97); assign VAR190 = (VAR186 < 4'h8)? VAR186 : 4'h8; assign VAR184 = VAR35 & VAR33 & VAR166 & VAR250 & (~VAR176); assign VAR91 = (VAR250 | VAR125 | VAR103 | VAR35) & VAR33 & (VAR36 != VAR166) & (~VAR203) & (~VAR138) & (~VAR62) & (~VAR260) & (~VAR42) & (~VAR69) & (~VAR132); assign VAR203 = VAR250 & VAR143 & VAR36; assign VAR138 = VAR35 & VAR36; assign VAR62 = VAR125 & VAR61 & (VAR199 < 3'd7); assign VAR260 = (VAR125 & (VAR199 == 3'd7) & (~VAR249)) | (VAR103 & (VAR204 == 3'd7) & (~VAR235)); assign VAR42 = (VAR125 & (VAR108 == 3'd7)) | (VAR103 & (VAR139 == 3'd7)); assign VAR69 = (VAR87 == 3'd6) & VAR228 & (~VAR223); assign VAR143 = VAR119 | VAR89 | VAR57 | VAR141 | VAR155; assign VAR226 = VAR267 & (VAR129[1:0] == 2'd2); assign VAR189 = VAR267 & (VAR129[1:0] != 2'd0); always @ (posedge clk or posedge rst) begin if (rst) VAR100 <= 1'b0; end else if (VAR86 | VAR82) VAR100 <=#VAR191 1'b0; else if (VAR137) VAR100 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR119 <= 1'b0; end else if (VAR180 | VAR82) VAR119 <=#VAR191 1'b0; else if (VAR86) VAR119 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR89 <= 1'b0; end else if (VAR210 | VAR82) VAR89 <=#VAR191 1'b0; else if (VAR180) VAR89 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR57 <= 1'b0; end else if (VAR215 | VAR30 | VAR82) VAR57 <=#VAR191 1'b0; else if (VAR210) VAR57 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR141 <= 1'b0; end else if (VAR29 | VAR82) VAR141 <=#VAR191 1'b0; else if (VAR30) VAR141 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR155 <= 1'b0; end else if (VAR225 | VAR82) VAR155 <=#VAR191 1'b0; else if (VAR29) VAR155 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR45 <= 1'b0; end else if (VAR215 | VAR82) VAR45 <=#VAR191 1'b0; else if (VAR225) VAR45 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR157 <= 1'b0; end else if (VAR70 | VAR82) VAR157 <=#VAR191 1'b0; else if (VAR215) VAR157 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR266 <= 1'b0; end else if (VAR25 | VAR195 | VAR82) VAR266 <=#VAR191 1'b0; else if (VAR70) VAR266 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR238 <= 1'b0; end else if (VAR195 | VAR82) VAR238 <=#VAR191 1'b0; else if (VAR25) VAR238 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR221 <= 1'b0; end else if (VAR107 | VAR82) VAR221 <=#VAR191 1'b0; else if (VAR195) VAR221 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR197 <= 1'b0; end else if (VAR196 | VAR82) VAR197 <=#VAR191 1'b0; else if (VAR107) VAR197 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR35 <= 1'b0; end else if (VAR252 | VAR82) VAR35 <=#VAR191 1'b0; else if (VAR196) VAR35 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR60 <= 1'b0; end else if (VAR106 | VAR82) VAR60 <=#VAR191 1'b0; else if (VAR252) VAR60 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR228 <= 1'b0; end else if (VAR50 | VAR82 | VAR171) VAR228 <=#VAR191 1'b0; else if (VAR106) VAR228 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR267 <= 1'b0; end else if (VAR137 | VAR86 | VAR171 | VAR82) VAR267 <=#VAR191 1'b0; else if (VAR50) VAR267 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR220 <= 29'h0; end else if (VAR33 & (VAR119 | VAR141) & (~VAR131)) VAR220 <=#VAR191 {VAR220[27:0], VAR166}; end always @ (posedge clk or posedge rst) begin if (rst) VAR1 <= 1'b0; end else if (VAR33 & VAR89 & (~VAR131)) VAR1 <=#VAR191 VAR166; end always @ (posedge clk or posedge rst) begin if (rst) VAR97 <= 1'b0; end else if (VAR33 & VAR155 & (~VAR131)) VAR97 <=#VAR191 VAR166; end always @ (posedge clk or posedge rst) begin if (rst) VAR52 <= 1'b0; end else if (VAR33 & VAR57 & (~VAR131)) VAR52 <=#VAR191 VAR166; end always @ (posedge clk or posedge rst) begin if (rst) VAR186 <= 4'b0; end else if (VAR33 & VAR266 & (~VAR131)) VAR186 <=#VAR191 {VAR186[2:0], VAR166}; end always @ (posedge clk or posedge rst) begin if (rst) VAR18 <= 8'h0; end else if (VAR33 & VAR238 & (~VAR131)) VAR18 <=#VAR191 {VAR18[6:0], VAR166}; end always @ (posedge clk or posedge rst) begin if (rst) VAR41 <= 1'b0; end else if (VAR33 & VAR238 & (~VAR131) & (&VAR129[2:0])) VAR41 <=#VAR191 1'b1; else VAR41 <=#VAR191 1'b0; end always @ (posedge clk or posedge rst) begin if (rst) VAR183 <= 3'h0; end else if (VAR41) VAR183 <=#VAR191 VAR183 + 1'b1; else if (VAR33 & VAR107) VAR183 <=#VAR191 3'h0; end always @ (posedge clk) begin if (VAR41) VAR185[VAR183] <=#VAR191 VAR18; end always @ (posedge clk or posedge rst) begin if (rst) VAR58 <= 15'h0; end else if (VAR33 & VAR221 & (~VAR131)) VAR58 <=#VAR191 {VAR58[13:0], VAR166}; end always @ (posedge clk or posedge rst) begin if (rst) VAR129 <= 6'd0; end else if (VAR86 | VAR30 | VAR70 | VAR25 | VAR195 | VAR196 | VAR106 | VAR50 | VAR82 | VAR171) VAR129 <=#VAR191 6'd0; else if (VAR33 & (~VAR131)) VAR129 <=#VAR191 VAR129 + 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR87 <= 3'd0; end else if (VAR33) begin if (VAR50 | VAR82 | VAR171) VAR87 <=#VAR191 3'd0; end else if (VAR228) VAR87 <=#VAR191 VAR87 + 1'b1; end end always @ (posedge clk or posedge rst) begin if (rst) VAR98 <= 1'b0; end else if (VAR144) VAR98 <=#VAR191 1'b1; else if (VAR51) VAR98 <=#VAR191 1'b0; end always @ (posedge clk or posedge rst) begin if (rst) VAR72 <= 3'h1; end else if (VAR51) VAR72 <=#VAR191 3'h1; else if (VAR33 & VAR98) begin if (VAR72 == 3'h5) VAR72 <=#VAR191 3'h1; end else if (VAR166 == VAR136) VAR72 <=#VAR191 VAR72 + 1'b1; else VAR72 <=#VAR191 3'h1; end end always @ (posedge clk or posedge rst) begin if (rst) VAR75 <= 3'h1; end else if (VAR132 || VAR51) VAR75 <=#VAR191 3'h1; else if (VAR130 & VAR98) begin if (VAR75 == 3'h5) VAR75 <=#VAR191 3'h1; end else if (VAR36 == VAR263) VAR75 <=#VAR191 VAR75 + 1'b1; else VAR75 <=#VAR191 3'h1; end end assign VAR131 = VAR72 == 3'h5; assign VAR27 = VAR75 == 3'h5; assign VAR261 = VAR33 & VAR98 & VAR131 & (VAR166 == VAR136); always @ (posedge clk or posedge rst) begin if (rst) begin VAR40 <=#VAR191 1'b0; VAR174 <=#VAR191 1'b0; end else begin VAR40 <=#VAR191 VAR132; VAR174 <=#VAR191 VAR213; end end always @ (posedge clk or posedge rst) begin if (rst) VAR117 <= 1'b0; end else if (VAR198) VAR117 <=#VAR191 1'b0; else if (VAR134) VAR117 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR246 <= 1'b0; end else if (VAR132 | VAR19) VAR246 <=#VAR191 1'b0; else if (VAR196) VAR246 <=#VAR191 VAR58 != VAR254; end assign VAR77 = VAR33 & ( ((~VAR131) & VAR197 & (~VAR166) ) | ( VAR60 & (~VAR166) ) | ((VAR87 < 3'd6)& VAR228 & (~VAR166) & (~VAR223) ) | ( & VAR228 & (~VAR166) & VAR223 ) ); always @ (posedge clk or posedge rst) begin if (rst) VAR116 <= 1'b0; end else if (VAR132 | VAR19 | VAR171) VAR116 <=#VAR191 1'b0; else if (VAR184) VAR116 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR8 <= 1'b0; end else if (VAR132 | VAR19 | VAR171) VAR8 <=#VAR191 1'b0; else if (VAR91) VAR8 <=#VAR191 1'b1; end assign VAR105 = VAR91 & ( (~VAR61) & VAR125 & (VAR199 < 3'd7) | VAR103 & (VAR204 < 3'd7) ); always @ (posedge clk or posedge rst) begin if (rst) VAR201 <= 1'b0; end else if (VAR6 | VAR163) VAR201 <=#VAR191 1'b0; else if (VAR223 & VAR61 & VAR184) VAR201 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR163 <= 1'b0; end else if (VAR82 | VAR163) VAR163 <=#VAR191 1'b0; else if (VAR201 & (VAR199 < 3'd7) & VAR33 & (~VAR166)) VAR163 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR81 <= 1'b0; end else if (VAR132 | VAR19 | VAR171) VAR81 <=#VAR191 1'b0; else if (VAR261) VAR81 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR80 <= 1'b0; end else if (VAR132 | VAR19 | VAR171) VAR80 <=#VAR191 1'b0; else if (VAR77) VAR80 <=#VAR191 1'b1; end VAR194 VAR207 ( .clk(clk), .VAR93(VAR166), .enable(VAR117 & VAR33 & (~VAR131)), .VAR152(VAR134), .VAR65(VAR254) ); assign VAR48 = VAR1 | (VAR186<4'h1); assign VAR218 = VAR1 | (VAR186<4'h2); VAR160 VAR234 ( .clk(clk), .rst(rst), .VAR220(VAR220), .VAR132(VAR132), .VAR237(VAR237), .VAR217(VAR217), .VAR120(VAR120), .VAR10(VAR10), .VAR236(VAR236), .VAR126(VAR126), .VAR56(VAR56), .VAR248(VAR248), .VAR78(VAR78), .VAR99(VAR99), .VAR107(VAR107), .VAR50(VAR50), .VAR82(VAR82), .VAR3(VAR185[0]), .VAR121(VAR185[1]), .VAR1(VAR1), .VAR97(VAR97), .VAR52(VAR52), .VAR48(VAR48), .VAR218(VAR218), .VAR2(VAR2) ); assign VAR230[2:0] = VAR217 ? (VAR52? (3'h5) : (3'h3)) : 3'h2; assign VAR59 = VAR15 < VAR230; assign VAR63[3:0] = VAR142? 4'hf : ((VAR186 < 4'h8)? (VAR186 -1'b1) : 4'h7); assign VAR118 = (VAR187 == (VAR63 + {1'b0, VAR230})) || VAR132; assign VAR256 = VAR77 | VAR261 | VAR91 | VAR184 | VAR80 | VAR81 | VAR8 | VAR116 | VAR246; always @ (posedge clk or posedge rst) begin if (rst) VAR43 <= 1'b0; end else if (VAR118) VAR43 <=#VAR191 1'b0; else if (VAR50 & VAR2 & (~VAR19) & ((~VAR250) | VAR154)) VAR43 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR15 <= 3'h0; end else if (VAR118) VAR15 <=#VAR191 3'h0; else if (VAR43 & VAR59) VAR15 <=#VAR191 VAR15 + 1'h1; end always @ (posedge clk or posedge rst) begin if (rst) VAR187 <= 4'h0; end else if (VAR118) VAR187 <=#VAR191 4'h0; else if (VAR43) VAR187 <=#VAR191 VAR187 + 4'h1; end always @ (VAR217 or VAR52 or VAR187 or VAR15 or VAR230 or VAR59 or VAR220 or VAR1 or VAR97 or VAR186 or VAR185[0] or VAR185[2] or VAR185[4] or VAR185[6] or VAR185[1] or VAR185[3] or VAR185[5] or VAR185[7]) begin casex ({VAR59, VAR217, VAR52, VAR15}) 6'b111000 : VAR222 = {1'b1, VAR97, 2'h0, VAR186}; 6'b111001 : VAR222 = VAR220[28:21]; 6'b111010 : VAR222 = VAR220[20:13]; 6'b111011 : VAR222 = VAR220[12:5]; 6'b111100 : VAR222 = {VAR220[4:0], 3'h0}; 6'b110000 : VAR222 = {1'b0, VAR1, 2'h0, VAR186}; 6'b110001 : VAR222 = VAR220[10:3]; 6'b110010 : VAR222 = {VAR220[2:0], VAR1, 4'h0}; 6'VAR123 : VAR222 = VAR220[10:3]; 6'VAR37 : VAR222 = {VAR220[2:0], VAR1, VAR186}; default : VAR222 = VAR185[VAR187 - {1'b0, VAR230}]; endcase end VAR24 VAR76 ( .clk(clk), .rst(rst), .wr(VAR43), .VAR181(VAR222), .addr(addr[5:0]), .VAR4(VAR4), .VAR156(VAR156), .VAR132(VAR132), .VAR23(VAR23), .VAR217(VAR217), .VAR7(VAR7), .VAR32(VAR32), .VAR262(VAR49) , .VAR188(VAR188), .VAR94(VAR94), .VAR102(VAR102) ); always @ (posedge clk or posedge rst) begin if (rst) VAR125 <= 1'b0; end else if (VAR73 || VAR19 || VAR171) VAR125 <=#VAR191 1'b0; else if (VAR82) VAR125 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR199 <= 3'd0; end else if (VAR19 | VAR82 | VAR171) VAR199 <=#VAR191 3'd0; else if (VAR125 & VAR21 & (VAR199 < 3'd7)) VAR199 <=#VAR191 VAR199 + 1'b1; end assign VAR6 = ((~VAR61) & VAR33 & (VAR199 == 3'd7) | VAR61 & VAR33 & (VAR153 == 3'h6)) & (~VAR249); always @ (posedge clk or posedge rst) begin if (rst) VAR159 <= 1'b0; end else if (VAR19 | VAR82 | VAR171) VAR159 <=#VAR191 1'b0; else if (VAR6) VAR159 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR249 <= 1'b0; end else if (VAR19 | VAR82 | VAR171) VAR249 <=#VAR191 1'b0; else if (VAR125 & (VAR6 & VAR166)) VAR249 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR108 <= 3'd0; end else if (VAR19 | VAR82 | VAR171) VAR108 <=#VAR191 3'd0; else if (VAR249 & VAR21) VAR108 <=#VAR191 VAR108 + 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR211 <= 3'h0; end else if (VAR249 | VAR82 | VAR235 | VAR171) VAR211 <=#VAR191 3'h0; else if (VAR33 & (~VAR166) & ((VAR199 == 3'd7) | (VAR204 == 3'd7))) VAR211 <=#VAR191 VAR211 + 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR153 <= 3'h1; end else if (VAR19 | VAR82 | VAR171 | VAR12) VAR153 <=#VAR191 3'h1; else if (VAR33 & (VAR153 < 3'h6)) begin if (VAR125 & (~VAR249) & (VAR166 == VAR136)) VAR153 <=#VAR191 VAR153 + 1'b1; end else VAR153 <=#VAR191 3'h1; end end always @ (posedge clk or posedge rst) begin if (rst) VAR12 <= 1'b0; end else if (VAR82) VAR12 <=#VAR191 1'b1; else if (VAR33) VAR12 <= 1'b0; end always @ (posedge clk or posedge rst) begin if (rst) VAR103 <= 1'b0; end else if (VAR64 | VAR82) VAR103 <=#VAR191 1'b0; else if (VAR171) VAR103 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR204 <= 3'd0; end else if (VAR64 | VAR82 | VAR171) VAR204 <=#VAR191 3'd0; else if (VAR103 & VAR21 & (VAR204 < 3'd7)) VAR204 <=#VAR191 VAR204 + 1'b1; end assign VAR147 = VAR33 & (VAR204 == 3'd7) & (~VAR235); always @ (posedge clk or posedge rst) begin if (rst) VAR235 <= 1'b0; end else if (VAR64 | VAR82 | VAR171) VAR235 <=#VAR191 1'b0; else if (VAR103 & (VAR147 & VAR166)) VAR235 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR139 <= 3'd0; end else if (VAR64 | VAR82 | VAR171) VAR139 <=#VAR191 3'd0; else if (VAR235 & VAR21) VAR139 <=#VAR191 VAR139 + 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR182 <= 2'b0; end else if (VAR82 | VAR86) VAR182 <=#VAR191 2'b0; else if (VAR232 & VAR103) VAR182 <=#VAR191 VAR182 + 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR245 <= 1'b0; end else if (VAR82 | VAR86) VAR245 <=#VAR191 1'b0; else if (VAR232 & VAR103 & VAR182 == 2'h2) VAR245 <=#VAR191 1'b1; end assign VAR145 = (~VAR250) & VAR35 & (~VAR256) & (~VAR127); always @ (VAR132 or VAR213 or VAR250 or VAR239 or VAR27 or VAR173 or VAR263 or VAR145 or VAR171 or VAR103 or VAR204 or VAR82 or VAR125 or VAR199 or VAR61) begin if (VAR132 | VAR213) VAR162 = 1'b1; end else begin if (VAR82 | VAR125) begin if (VAR199 < 3'd6) begin if (VAR61) VAR162 = 1'b1; end else VAR162 = 1'b0; end else VAR162 = 1'b1; end else if (VAR171 | VAR103) begin if (VAR204 < 3'd6) VAR162 = 1'b0; end else VAR162 = 1'b1; end else if (VAR239 | VAR250) VAR162 = ((~VAR27) & VAR173) | (VAR27 & (~VAR263)); else if (VAR145) VAR162 = 1'b0; else VAR162 = 1'b1; end end always @ (posedge clk or posedge rst) begin if (rst) VAR36 <= 1'b1; end else if (VAR132) VAR36 <= 1'b1; else if (VAR21) VAR36 <=#VAR191 VAR162; end always @ (posedge clk or posedge rst) begin if (rst) VAR263 <=#VAR191 1'b0; end else if (VAR132) VAR263 <=#VAR191 1'b0; else if (VAR21) VAR263 <=#VAR191 VAR36 & (~VAR96); end always @ (posedge clk or posedge rst) begin if (rst) VAR130 <=#VAR191 1'b0; end else if (VAR132) VAR130 <=#VAR191 1'b0; else VAR130 <=#VAR191 VAR21; end VAR5 VAR113 (.VAR74(VAR66), .do(VAR205)); VAR5 VAR122 (.VAR74(VAR67), .do(VAR233)); VAR5 VAR255 (.VAR74(VAR202), .do(VAR170)); VAR5 VAR71 (.VAR74(VAR206), .do(VAR20)); VAR5 VAR54 (.VAR74(VAR193), .do(VAR264)); VAR5 VAR242 (.VAR74(VAR169), .do(VAR150)); VAR5 VAR258 (.VAR74(VAR84), .do(VAR219)); VAR5 VAR90 (.VAR74(VAR133), .do(VAR253)); VAR5 VAR14 (.VAR74(VAR110), .do(VAR53)); VAR5 VAR128 (.VAR74(VAR257), .do(VAR115)); VAR5 VAR175 (.VAR74(VAR92), .do(VAR68)); VAR5 VAR177 (.VAR74(VAR22), .do(VAR17)); VAR5 VAR39 (.VAR74(VAR83), .do(VAR31)); VAR5 VAR161 (.VAR74(VAR254[14:7]), .do(VAR140[7:0])); VAR5 VAR44 (.VAR74({VAR254[6:0], 1'b0}), .do(VAR140[15:8])); assign VAR168 = {VAR233[7:4], 2'h0, VAR233[3:0], VAR205[7:0], 1'b0}; assign VAR164 = {VAR115, VAR53, VAR253, VAR219, VAR150, VAR264, VAR20, VAR170}; assign VAR158 = {VAR205[7:4], 2'h0, VAR205[1], VAR170[2:0], VAR233[7:0], 1'b0}; assign VAR231 = {VAR205[7:4], 2'h0, VAR205[1], VAR264[4:0], VAR20[7:0], VAR170[7:3], 1'b1, 1'b1, VAR170[2:0], VAR233[7:0], 1'b0}; assign VAR104 = {VAR68, VAR115, VAR53, VAR253, VAR219, VAR150, VAR264, VAR20}; assign VAR38 = {VAR31, VAR17, VAR68, VAR115, VAR53, VAR253, VAR219, VAR150}; always @ (VAR217 or VAR238 or VAR109 or VAR104 or VAR38 or VAR221 or VAR140 or VAR205 or VAR231 or VAR158 or VAR164 or VAR168 or VAR216) begin if (VAR217) begin if (VAR238) if (VAR205[0]) VAR173 = VAR38[VAR109]; end else VAR173 = VAR104[VAR109]; end else if (VAR221) VAR173 = VAR140[VAR109]; else if (VAR216) VAR173 = 1'b1; else begin if (VAR205[0]) VAR173 = VAR231[VAR109]; end else VAR173 = VAR158[VAR109]; end end else begin if (VAR238) VAR173 = VAR164[VAR109]; end else if (VAR221) VAR173 = VAR140[VAR109]; else if (VAR216) VAR173 = 1'b1; else VAR173 = VAR168[VAR109]; end end assign VAR9 = VAR66[3] ? 6'h3f : ((VAR66[2:0] <<3) - 1'b1); assign VAR243 = VAR67[3] ? 6'h3f : ((VAR67[2:0] <<3) - 1'b1); assign VAR172 = ((~VAR27) & VAR21 & (~VAR238) & VAR217 & VAR205[0] & VAR109 == 6'd38 ) | ((~VAR27) & VAR21 & (~VAR238) & VAR217 & (~VAR205[0]) & VAR109 == 6'd18 ) | ((~VAR27) & VAR21 & (~VAR238) & (~VAR217) & VAR109 == 6'd18 ) | ((~VAR27) & VAR21 & VAR238 & VAR217 & VAR109 == VAR9) | ((~VAR27) & VAR21 & VAR238 & (~VAR217) & VAR109 == VAR243) | ( VAR21 & VAR197 ) | (VAR137 ) | (VAR132 ) | (VAR103 ) | (VAR125 ) ; always @ (posedge clk or posedge rst) begin if (rst) VAR109 <= 6'h0; end else if (VAR172) VAR109 <=#VAR191 6'h0; else if (VAR241 | (VAR21 & (VAR250 | VAR239) & (~VAR27))) VAR109 <=#VAR191 VAR109 + 1'b1; end assign VAR151 = VAR223 & VAR50 & (~VAR82) & (~VAR19) & (~VAR64) & (~VAR101); always @ (posedge clk or posedge rst) begin if (rst) VAR224 <= 1'b0; end else if (VAR151 | VAR132 | (VAR124 & (~VAR192)) | ((~VAR250) & VAR212 & VAR167)) VAR224 <=#VAR191 1'h0; else if (VAR149 & VAR33) VAR224 <=#VAR191 1'b1; end assign VAR241 = (~VAR127) & VAR224 & (~VAR250) & (~VAR265 | (VAR114 == 3'h7)) & VAR33 & (~VAR166) & (VAR100 | VAR226); assign VAR239 = (~VAR127) & VAR224 & (~VAR250) & (~VAR265 | (VAR33 & (VAR114 == 3'h7))) & (VAR241 | VAR100); always @ (posedge clk or posedge rst) begin if (rst) VAR96 <= 1'b0; end else if (VAR132 || VAR21) VAR96 <=#VAR191 1'b0; else if (VAR241) VAR96 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR250 <= 1'b0; end else if (VAR132 | VAR50 | VAR125 | VAR101) VAR250 <=#VAR191 1'b0; else if (VAR239) VAR250 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR212 <=#VAR191 1'b0; end else if (VAR132) VAR212 <=#VAR191 1'b0; else VAR212 <=#VAR191 VAR250; end always @ (posedge clk or posedge rst) begin if (rst) VAR223 <= 1'b0; end else if (VAR239) VAR223 <=#VAR191 1'b1; else if (VAR132 | VAR137 | VAR265 & VAR86) VAR223 <=#VAR191 1'b0; end always @ (posedge clk or posedge rst) begin if (rst) VAR192 <= 1'b0; end else if (VAR82 | VAR171 | VAR239 | VAR145) VAR192 <=#VAR191 1'b1; else if (VAR132 | VAR137 | (VAR86 & (~VAR250)) | (VAR101 & VAR250)) VAR192 <=#VAR191 1'b0; end always @ (posedge clk or posedge rst) begin if (rst) VAR265 <= 1'b0; end else if (VAR132 | (VAR33 & (VAR114 == 3'h7))) VAR265 <=#VAR191 1'b0; else if (VAR189 & VAR223 & VAR61) VAR265 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR112 <= 1'b0; end else if (VAR132 | (VAR33 & (VAR114 == 3'h7))) VAR112 <=#VAR191 1'b0; else if (VAR265 & VAR33 & VAR226) VAR112 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR114 <= 3'h0; end else if (VAR132 | (VAR33 & (VAR114 == 3'h7))) VAR114 <=#VAR191 3'h0; else if (VAR112 & VAR33) VAR114 <=#VAR191 VAR114 + 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR216 <= 1'b0; end else if (VAR137 | VAR86 | VAR125 | VAR132) VAR216 <=#VAR191 1'b0; else if (VAR107) VAR216 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR101 <= 1'b0; end else if (VAR137 | VAR19) VAR101 <=#VAR191 1'b0; else if (VAR223 & VAR33 & VAR36 & VAR143 & ~VAR166) VAR101 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR179 <=#VAR191 1'b0; end else VAR179 <=#VAR191 VAR101; end always @ (posedge clk or posedge rst) begin if (rst) VAR85 <=#VAR191 1'b0; end else if (VAR33) VAR85 <=#VAR191 VAR143; end assign VAR240 = VAR101 & (~VAR179) & (~VAR251); always @ (posedge clk or posedge rst) begin if (rst) VAR259 <= 5'h0; end else if (VAR33 && !VAR131) if (VAR85) VAR259 <=#VAR191 VAR259 + 1'b1; else VAR259 <=#VAR191 5'h0; end always @ (posedge clk or posedge rst) begin if (rst) VAR46 <= 5'h0; end else if (VAR240) VAR46 <=#VAR191 VAR259; end always @ (posedge clk or posedge rst) begin if (rst) VAR251 <= 1'b0; end else if (VAR16) VAR251 <=#VAR191 1'b0; else if (VAR240) VAR251 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR229 <= 9'h0; end else if (VAR111 & (~VAR213)) VAR229 <=#VAR191 {1'b0, VAR181}; else if (VAR73) VAR229 <=#VAR191 9'h0; else begin if ((~VAR127) & (~VAR223 | VAR101)) begin if (VAR252 & (~VAR82) & (~VAR246) & (VAR229 > 9'h0)) begin if (VAR229 > 9'd127) VAR229 <=#VAR191 9'd127; end else VAR229 <=#VAR191 VAR229 - 1'b1; end else if (VAR229 < 9'd128) begin if (VAR82 & (~VAR105)) VAR229 <=#VAR191 VAR229 + 1'b1; end else if ( (VAR6 & (~VAR159) & VAR33 & (~VAR166) & (VAR199 == 3'd7) ) | (VAR82 & VAR105 ) | (VAR33 & (~VAR166) & (VAR211 == 3'h7) ) ) VAR229 <=#VAR191 VAR229 + 4'h8; end end end end always @ (posedge clk or posedge rst) begin if (rst) VAR79 <= 9'h0; end else if (VAR88) VAR79 <=#VAR191 {1'b0, VAR181}; else begin if (VAR73) VAR79 <=#VAR191 9'd128; end else if ((VAR79 > 9'd0) & (VAR151 | VAR34)) VAR79 <=#VAR191 VAR79 - 1'h1; else if (VAR223 & (~VAR101)) begin if ( (VAR33 & (~VAR166) & (VAR211 == 3'h7) ) | (VAR82 & VAR105 ) | (VAR82 & (~(VAR223 & VAR61 & VAR184)) & (~(VAR223 & VAR261 & VAR143 & VAR33 & VAR36 & (~VAR166))) ) | (VAR125 & VAR163 ) ) VAR79 <=#VAR191 VAR79 + 4'h8; end end end always @ (posedge clk or posedge rst) begin if (rst) VAR61 <= 1'b0; end else if ((VAR229 < 128) & (VAR79 < 9'd128)) VAR61 <=#VAR191 1'b0; else if (((VAR229 >= 128) | (VAR79 >= 9'd128)) & (VAR19 | VAR82 | (~VAR132) & VAR40) & (~VAR213)) VAR61 <=#VAR191 1'b1; end assign VAR165 = ~(VAR61 | VAR213); always @ (posedge clk or posedge rst) begin if (rst) VAR213 <= 1'b0; end else if ((VAR229 == 9'h0) & (VAR79 == 9'd0) & (~VAR132) | (VAR88 & (VAR181 < 8'd255))) VAR213 <=#VAR191 1'b0; else if ((VAR79 >= 9'd256) | (VAR88 & (VAR181 == 8'd255))) VAR213 <=#VAR191 1'b1; end always @ (posedge clk or posedge rst) begin if (rst) VAR13 <= 4'h0; end else if (VAR33) begin if (VAR166 & VAR11 & (VAR13 < 4'd10)) VAR13 <=#VAR191 VAR13 + 1'b1; end else VAR13 <=#VAR191 4'h0; end end always @ (posedge clk or posedge rst) begin if (rst) VAR11 <= 1'b0; end else if ((~VAR132) & VAR40 | VAR174 & (~VAR132)) VAR11 <=#VAR191 1'b1; else if (VAR33 & VAR166 & (VAR13==4'd10) & (~VAR213)) VAR11 <=#VAR191 1'b0; end always @ (posedge clk or posedge rst) begin if (rst) VAR34 <= 1'b0; end else if (VAR33 & VAR166 & (VAR13==4'd10) && VAR208) VAR34 <=#VAR191 1'b1; else VAR34 <=#VAR191 1'b0; end always @ (posedge clk or posedge rst) begin if (rst) VAR208 <= 1'b1; end else if (VAR34 & (~VAR213)) VAR208 <=#VAR191 1'b0; else if (VAR174 & (~VAR132)) VAR208 <=#VAR191 1'b1; end assign VAR148 = ~VAR213; assign VAR73 = VAR213 & (~VAR174); assign VAR214 = VAR217? ((VAR229 >= VAR178) | (VAR79 >= VAR178)) : ((VAR229 >= 9'd96) | (VAR79 >= 9'd96)) ; assign VAR146 = VAR192 || (VAR217 && VAR208); assign VAR26 = VAR217 ? (VAR208 || (!VAR100) && (!VAR192)) : ((!VAR208) && (!VAR100) && (!VAR192)); always @ (posedge clk or posedge rst) begin if (rst) VAR209 <= 8'h0; end else if (VAR95) VAR209 <=#VAR191 8'h0; else if (VAR244) VAR209 <=#VAR191 {VAR135[7:6], VAR247, VAR227[4:0]}; end assign VAR227[0] = VAR100 | VAR57 | (VAR141 & (VAR129<6'd13)) | VAR45 | VAR157 | VAR266 | VAR35 | VAR60 | VAR125 & VAR165; assign VAR227[1] = VAR100 | VAR119 | VAR141 | VAR266 | VAR238 | VAR60 | VAR228 | VAR267 | VAR125 & VAR61; assign VAR227[2] = (VAR119 & (VAR129>6'd7)) | VAR89 | VAR57 | VAR141 | VAR155 | VAR45 | VAR125 & VAR61 | VAR103; assign VAR227[3] = (VAR141 & (VAR129>6'd4)) | VAR155 | VAR45 | VAR157 | VAR266 | VAR238 | VAR221 | VAR197 | VAR35 | VAR60 | VAR228 | VAR103; assign VAR227[4] = VAR197 | VAR35 | VAR60 | VAR228 | VAR267 | VAR125 | VAR103; assign VAR247 = ~VAR192; always @ (VAR91 or VAR77 or VAR261) begin if (VAR91) VAR135[7:6] = 2'b00; end else if (VAR77) VAR135[7:6] = 2'b01; else if (VAR261) VAR135[7:6] = 2'b10; else VAR135[7:6] = 2'b11; end assign VAR244 = VAR82 & (~VAR55); always @ (posedge clk or posedge rst) begin if (rst) VAR55 <= 1'b0; end else if (VAR95) VAR55 <=#VAR191 1'b0; else if (VAR244) VAR55 <=#VAR191 1'b1; end endmodule
gpl-3.0
alexforencich/verilog-ethernet
rtl/arp_eth_rx.v
11,582
module MODULE1 # ( parameter VAR22 = 8, parameter VAR31 = (VAR22>8), parameter VAR24 = (VAR22/8) ) ( input wire clk, input wire rst, input wire VAR13, output wire VAR17, input wire [47:0] VAR34, input wire [47:0] VAR9, input wire [15:0] VAR5, input wire [VAR22-1:0] VAR30, input wire [VAR24-1:0] VAR19, input wire VAR16, output wire VAR26, input wire VAR1, input wire VAR29, output wire VAR8, input wire VAR23, output wire [47:0] VAR7, output wire [47:0] VAR20, output wire [15:0] VAR4, output wire [15:0] VAR15, output wire [15:0] VAR27, output wire [7:0] VAR6, output wire [7:0] VAR32, output wire [15:0] VAR10, output wire [47:0] VAR25, output wire [31:0] VAR11, output wire [47:0] VAR14, output wire [31:0] VAR2, output wire VAR33, output wire VAR35, output wire VAR28 ); parameter VAR3 = (28+VAR24-1)/VAR24; parameter VAR18 = VAR12(VAR3); parameter VAR21 = 28 % VAR24;
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dfxtp/sky130_fd_sc_hd__dfxtp.functional.pp.v
1,644
module MODULE1 ( VAR2 , VAR5 , VAR11 , VAR7, VAR1, VAR9 , VAR10 ); output VAR2 ; input VAR5 ; input VAR11 ; input VAR7; input VAR1; input VAR9 ; input VAR10 ; wire VAR6; VAR3 VAR12 VAR8 (VAR6 , VAR11, VAR5, , VAR7, VAR1); buf VAR4 (VAR2 , VAR6 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/latrnq/gf180mcu_fd_sc_mcu7t5v0__latrnq_1.behavioral.pp.v
2,914
module MODULE1( VAR15, VAR18, VAR9, VAR17, VAR1, VAR27 ); input VAR18, VAR15, VAR9; inout VAR1, VAR27; output VAR17; reg VAR20; VAR8 VAR3(.VAR15(VAR15),.VAR18(VAR18),.VAR9(VAR9),.VAR17(VAR17),.VAR1(VAR1),.VAR27(VAR27),.VAR20(VAR20)); VAR8 VAR16(.VAR15(VAR15),.VAR18(VAR18),.VAR9(VAR9),.VAR17(VAR17),.VAR1(VAR1),.VAR27(VAR27),.VAR20(VAR20)); buf VAR19(VAR24,VAR9); not VAR12(VAR7,VAR18); and VAR13(VAR6,VAR9,VAR7); and VAR14(VAR11,VAR9,VAR18); not VAR4(VAR26,VAR18); not VAR5(VAR10,VAR15); and VAR25(VAR2,VAR10,VAR26); not VAR21(VAR28,VAR15); and VAR23(VAR22,VAR28,VAR18);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a211o/sky130_fd_sc_hd__a211o_4.v
2,348
module MODULE1 ( VAR10 , VAR1 , VAR5 , VAR2 , VAR6 , VAR3, VAR4, VAR7 , VAR11 ); output VAR10 ; input VAR1 ; input VAR5 ; input VAR2 ; input VAR6 ; input VAR3; input VAR4; input VAR7 ; input VAR11 ; VAR9 VAR8 ( .VAR10(VAR10), .VAR1(VAR1), .VAR5(VAR5), .VAR2(VAR2), .VAR6(VAR6), .VAR3(VAR3), .VAR4(VAR4), .VAR7(VAR7), .VAR11(VAR11) ); endmodule module MODULE1 ( VAR10 , VAR1, VAR5, VAR2, VAR6 ); output VAR10 ; input VAR1; input VAR5; input VAR2; input VAR6; supply1 VAR3; supply0 VAR4; supply1 VAR7 ; supply0 VAR11 ; VAR9 VAR8 ( .VAR10(VAR10), .VAR1(VAR1), .VAR5(VAR5), .VAR2(VAR2), .VAR6(VAR6) ); endmodule
apache-2.0
hoangt/NOCulator
hring/hw/buffered/src/vcr_ip_ctrl_mac.v
45,233
module MODULE1 (clk, reset, VAR224, VAR214, VAR165, VAR74, VAR161, VAR116, VAR244, VAR177, VAR49, VAR10, VAR71, VAR110, VAR251, VAR264, VAR171, VAR107, VAR120, VAR64, VAR266, VAR231); parameter VAR235 = 8; localparam VAR227 = VAR12(VAR235); parameter VAR113 = 4; parameter VAR50 = 2; parameter VAR95 = 2; localparam VAR170 = VAR50 * VAR95; parameter VAR114 = 1; localparam VAR99 = VAR170 * VAR114; localparam VAR142 = VAR12(VAR99); parameter VAR191 = 4; localparam VAR59 = VAR12(VAR191); parameter VAR237 = 2; localparam VAR146 = VAR237 * VAR59; parameter VAR270 = 1; localparam VAR87 = VAR12(VAR270); localparam VAR223 = VAR146 + VAR87; parameter VAR220 = VAR239; localparam VAR40 = ((VAR220 == VAR239) || (VAR220 == VAR178)) ? 2 : (VAR220 == VAR202) ? (VAR191 - 1) : -1; localparam VAR57 = VAR237 * VAR40 + VAR270; localparam VAR140 = VAR12(VAR57); localparam VAR31 = VAR140 + ((VAR95 > 1) ? 1 : 0); parameter VAR72 = VAR144; parameter VAR117 = 4; parameter VAR84 = 1; localparam VAR228 = VAR12(VAR117-VAR84+1); localparam VAR20 = VAR95 * VAR146 + VAR87; localparam VAR63 = (VAR72 == VAR39) ? (VAR31 + VAR20) : (VAR72 == VAR144) ? (VAR31 + VAR20 + VAR228) : -1; localparam VAR160 = (VAR72 == VAR39) ? (1 + VAR142 + 1 + 1) : (VAR72 == VAR144) ? (1 + VAR142 + 1) : -1; parameter VAR263 = 64; localparam VAR217 = 1 + VAR142; parameter VAR76 = 0; parameter VAR198 = 1; parameter VAR101 = VAR97; parameter VAR133 = VAR68; parameter VAR148 = VAR166; parameter VAR135 = 2; localparam VAR83 = 1 + VAR142 + 1 + 1; localparam VAR150 = (VAR148 == VAR127) ? VAR135 : (VAR148 == VAR166) ? 1 : -1; parameter VAR34 = VAR88; localparam VAR219 = VAR99*VAR235; localparam VAR106 = VAR12(VAR219); parameter VAR118 = VAR108; parameter VAR42 = 0; parameter VAR4 = VAR212; parameter VAR6 = VAR181; parameter VAR258 = VAR132; localparam VAR174 = (VAR258 == VAR48) ? 1 : (1 + 1); localparam VAR183 = VAR174; localparam VAR154 = (VAR4 == VAR212) ? VAR174 : (VAR4 == VAR268) ? (VAR174 + VAR183 + ((VAR258 != VAR48) ? 1 : 0)) : ((VAR4 >= VAR187) && (VAR4 <= VAR138)) ? VAR174 : -1; localparam VAR259 = (VAR4 == VAR212) ? (VAR183 + VAR99*VAR150) : (VAR4 == VAR268) ? (VAR183 + VAR99*VAR150) : ((VAR4 >= VAR187) && (VAR4 <= VAR138)) ? (VAR183 + VAR99*VAR150) : -1; parameter VAR218 = 1; parameter VAR200 = 32; parameter VAR98 = VAR79; localparam VAR210 = VAR12(VAR99 + 1); parameter VAR197 = 0; parameter VAR141 = VAR55; input clk; input reset; input [0:VAR146-1] VAR224; input [0:VAR160-1] VAR214; input [0:VAR263-1] VAR165; output [0:VAR99*VAR140-1] VAR74; wire [0:VAR99*VAR140-1] VAR74; output [0:VAR99-1] VAR161; wire [0:VAR99-1] VAR161; output [0:VAR99-1] VAR116; wire [0:VAR99-1] VAR116; input [0:VAR99-1] VAR244; input [0:VAR99*VAR99-1] VAR177; output [0:VAR57*VAR154-1] VAR49; wire [0:VAR57*VAR154-1] VAR49; input [0:VAR57*VAR259-1] VAR10; output [0:VAR83-1] VAR71; wire [0:VAR83-1] VAR71; output [0:VAR263-1] VAR110; wire [0:VAR263-1] VAR110; output [0:VAR217-1] VAR251; wire [0:VAR217-1] VAR251; output [0:VAR106-1] VAR264; wire [0:VAR106-1] VAR264; output VAR171; wire VAR171; output [0:VAR263-1] VAR107; wire [0:VAR263-1] VAR107; output VAR120; wire VAR120; output [0:VAR106-1] VAR64; wire [0:VAR106-1] VAR64; input [0:VAR263-1] VAR266; output VAR231; wire VAR231; wire [0:VAR57-1] VAR169; wire [0:VAR57-1] VAR147; wire [0:VAR57-1] VAR66; wire [0:VAR57-1] VAR238; wire [0:VAR57-1] VAR41; wire [0:VAR57-1] VAR162; wire [0:VAR57*VAR99*VAR150-1] VAR233; genvar VAR194; generate for(VAR194 = 0; VAR194 < VAR57; VAR194 = VAR194 + 1) begin:VAR250 wire [0:VAR259-1] VAR128; assign VAR128 = VAR10[VAR194*VAR259: (VAR194+1)*VAR259-1]; wire [0:VAR154-1] VAR225; assign VAR225[0] = VAR169[VAR194]; if(VAR258 != VAR48) assign VAR225[1] = VAR147[VAR194]; assign VAR66[VAR194] = VAR128[0]; if(VAR258 != VAR48) assign VAR238[VAR194] = VAR128[1]; end else assign VAR238[VAR194] = 1'b0; if(VAR4 == VAR212) begin assign VAR233[VAR194*VAR99* VAR150: (VAR194+1)*VAR99* VAR150-1] = VAR128[VAR183: VAR183+ VAR99*VAR150-1]; end else if(VAR4 == VAR268) begin assign VAR225[VAR174] = VAR41[VAR194]; if(VAR258 != VAR48) assign VAR225[VAR174+1] = VAR162[VAR194]; assign VAR233[VAR194*VAR99* VAR150: (VAR194+1)*VAR99* VAR150-1] = VAR128[VAR183: VAR183+ VAR99*VAR150-1]; if(VAR258 != VAR48) begin wire VAR247; assign VAR247 = VAR41[VAR194] | VAR162[VAR194]; assign VAR225[VAR174+VAR183] = VAR247; end end else if((VAR4 >= VAR187) && (VAR4 <= VAR138)) begin assign VAR233[VAR194*VAR99* VAR150: (VAR194+1)*VAR99* VAR150-1] = VAR128[VAR183: VAR183+ VAR99*VAR150-1]; end assign VAR49[VAR194*VAR154: (VAR194+1)*VAR154-1] = VAR225; end endgenerate wire VAR216; wire [0:VAR160-1] VAR29, VAR245; assign VAR29 = VAR214; generate case(VAR72) begin wire VAR207, VAR153; assign VAR207 = VAR29[0]; VAR134 .VAR141(VAR141)) VAR153 (.clk(clk), .reset(reset), .VAR269(VAR207), .VAR182(VAR153)); assign VAR216 = VAR153; assign VAR245[0] = VAR153; VAR134 .VAR141(VAR141)) VAR245 (.clk(clk), .reset(1'b0), .VAR269(VAR29[1:VAR160-1]), .VAR182(VAR245[1:VAR160-1])); end endcase endgenerate wire [0:VAR263-1] VAR246, VAR168; assign VAR246 = VAR165; VAR134 .VAR141(VAR141)) VAR168 (.clk(clk), .reset(1'b0), .VAR269(VAR246), .VAR182(VAR168)); wire [0:VAR63-1] VAR112; assign VAR112 = VAR168[0:VAR63-1]; wire [0:VAR99-1] VAR61; wire [0:VAR99-1] VAR176; wire [0:VAR99-1] VAR102; wire [0:VAR99-1] VAR51; wire [0:VAR99-1] VAR189; wire [0:VAR99-1] VAR90; wire [0:VAR99-1] VAR254; VAR46 .VAR95(VAR95), .VAR114(VAR114), .VAR191(VAR191), .VAR237(VAR237), .VAR270(VAR270), .VAR220(VAR220), .VAR72(VAR72), .VAR117(VAR117), .VAR84(VAR84), .VAR141(VAR141)) VAR15 (.clk(clk), .reset(reset), .VAR214(VAR245), .VAR262(VAR112), .VAR47(VAR189), .VAR125(VAR90), .VAR209(VAR254)); wire [0:VAR99-1] VAR3; wire [0:VAR99-1] VAR158; wire [0:VAR99*VAR57-1] VAR38; wire [0:VAR99*VAR31-1] VAR205; wire [0:VAR99*VAR106-1] VAR253; wire [0:VAR99*VAR106-1] VAR196; wire [0:VAR99*VAR227-1] VAR77; wire [0:VAR99*VAR227-1] VAR5; wire [0:VAR99-1] VAR124; wire [0:VAR99-1] VAR193; wire [0:VAR99*VAR99-1] VAR94; wire [0:VAR99-1] VAR56; wire [0:VAR99-1] VAR221; wire [0:VAR99*7-1] VAR130; wire [0:VAR99*8-1] VAR21; genvar VAR156; generate for(VAR156 = 0; VAR156 < VAR99; VAR156 = VAR156 + 1) begin:VAR100 wire VAR216; assign VAR216 = VAR189[VAR156]; wire VAR190; assign VAR190 = VAR90[VAR156]; wire VAR257; assign VAR257 = VAR254[VAR156]; wire VAR92; assign VAR92 = VAR244[VAR156]; wire [0:VAR99-1] VAR222; assign VAR222 = VAR177[VAR156*VAR99:(VAR156+1)*VAR99-1]; wire VAR152; assign VAR152 = VAR176[VAR156]; wire VAR215; assign VAR215 = VAR51[VAR156]; wire [0:VAR57-1] VAR37; wire [0:VAR140-1] VAR203; wire VAR67; wire VAR103; wire VAR25; wire VAR24; wire VAR111; wire VAR149; wire [0:VAR31-1] VAR123; wire [0:VAR106-1] VAR145; wire [0:VAR106-1] VAR16; wire VAR226; wire VAR81; wire [0:VAR99-1] VAR1; wire VAR105; wire VAR22; wire [0:6] VAR26; wire [0:7] VAR18; VAR28 .VAR113(VAR113), .VAR50(VAR50), .VAR95(VAR95), .VAR114(VAR114), .VAR191(VAR191), .VAR237(VAR237), .VAR270(VAR270), .VAR220(VAR220), .VAR72(VAR72), .VAR117(VAR117), .VAR84(VAR84), .VAR76(VAR76), .VAR198(VAR198), .VAR101(VAR101), .VAR133(VAR133), .VAR148(VAR148), .VAR135(VAR135), .VAR34(VAR34), .VAR118(VAR118), .VAR42(VAR42), .VAR258(VAR258), .VAR218(VAR218), .VAR256(VAR156), .VAR197(VAR197), .VAR141(VAR141)) VAR60 (.clk(clk), .reset(reset), .VAR224(VAR224), .VAR216(VAR216), .VAR190(VAR190), .VAR257(VAR257), .VAR262(VAR112), .VAR233(VAR233), .VAR37(VAR37), .VAR203(VAR203), .VAR67(VAR67), .VAR103(VAR103), .VAR92(VAR92), .VAR222(VAR222), .VAR25(VAR25), .VAR24(VAR24), .VAR152(VAR152), .VAR215(VAR215), .VAR111(VAR111), .VAR149(VAR149), .VAR123(VAR123), .VAR145(VAR145), .VAR16(VAR16), .VAR226(VAR226), .VAR81(VAR81), .VAR1(VAR1), .VAR105(VAR105), .VAR22(VAR22), .VAR163(VAR26), .VAR18(VAR18)); assign VAR38[VAR156*VAR57:(VAR156+1)*VAR57-1] = VAR37; assign VAR74[VAR156*VAR140:(VAR156+1)*VAR140-1] = VAR203; assign VAR161[VAR156] = VAR67; assign VAR116[VAR156] = VAR103; assign VAR61[VAR156] = VAR25; assign VAR102[VAR156] = VAR24; assign VAR3[VAR156] = VAR111; assign VAR158[VAR156] = VAR149; assign VAR205[VAR156*VAR31: (VAR156+1)*VAR31-1] = VAR123; assign VAR253[VAR156*VAR106: (VAR156+1)*VAR106-1] = VAR145; assign VAR196[VAR156*VAR106: (VAR156+1)*VAR106-1] = VAR16; assign VAR77[VAR156*VAR227: (VAR156+1)*VAR227-1] = VAR145[VAR106-VAR227: VAR106-1]; assign VAR5[VAR156*VAR227: (VAR156+1)*VAR227-1] = VAR16[VAR106-VAR227: VAR106-1]; assign VAR124[VAR156] = VAR226; assign VAR193[VAR156] = VAR81; assign VAR94[VAR156*VAR99:(VAR156+1)*VAR99-1] = VAR1; assign VAR56[VAR156] = VAR105; assign VAR221[VAR156] = VAR22; assign VAR130[VAR156*7:(VAR156+1)*7-1] = VAR26; assign VAR21[VAR156*8:(VAR156+1)*8-1] = VAR18; end endgenerate wire [0:VAR99-1] VAR54; assign VAR54 = VAR61 & VAR221; wire [0:VAR99-1] VAR91; VAR240 .VAR57(VAR57), .VAR104(VAR4), .VAR192(VAR6), .VAR141(VAR141)) VAR229 (.clk(clk), .reset(reset), .VAR38(VAR38), .VAR206(VAR54), .VAR252(VAR169), .VAR129(VAR66), .VAR136(VAR176), .VAR164(VAR41), .VAR32(VAR91), .VAR271(1'b1)); wire VAR11; assign VAR11 = |VAR66; wire [0:VAR99-1] VAR267; wire [0:VAR99-1] VAR186; wire VAR85; generate if(VAR258 != VAR48) begin wire VAR25; assign VAR25 = |VAR61; wire [0:VAR57-1] VAR27; wire [0:VAR99-1] VAR52; wire [0:VAR57-1] VAR242; wire VAR159; VAR240 .VAR57(VAR57), .VAR104(VAR4), .VAR192(VAR6), .VAR141(VAR141)) VAR175 (.clk(clk), .reset(reset), .VAR38(VAR38), .VAR206(VAR102), .VAR252(VAR27), .VAR129(VAR238), .VAR136(VAR52), .VAR164(VAR242), .VAR32(VAR267), .VAR271(VAR159)); wire [0:VAR99-1] VAR30; if(VAR42) assign VAR30 = VAR52; end else assign VAR30 = VAR52 & VAR56; if(VAR4 == VAR212) begin assign VAR51 = VAR30; assign VAR162 = VAR242; assign VAR159 = 1'b1; case(VAR258) begin assign VAR147 = {VAR57{~VAR25}} & VAR27; assign VAR186 = VAR25 ? VAR91 : VAR267; end begin assign VAR147 = {VAR57{~VAR11}} & VAR27; assign VAR186 = VAR11 ? VAR91 : VAR267; end endcase end else if(VAR4 == VAR268) begin case(VAR258) begin assign VAR147 = VAR27; assign VAR51 = {VAR99{~VAR25}} & VAR30; assign VAR162 = {VAR57{~VAR25}} & VAR242; assign VAR186 = VAR25 ? VAR91 : VAR267; assign VAR159 = ~VAR25; end begin assign VAR147 = {VAR57{~VAR25}} & VAR27; assign VAR51 = VAR30; assign VAR162 = VAR242; assign VAR186 = VAR25 ? VAR91 : VAR267; assign VAR159 = 1'b1; end begin assign VAR147 = VAR27; assign VAR51 = {VAR99{~VAR11}} & VAR30; assign VAR162 = {VAR57{~VAR11}} & VAR242; assign VAR186 = VAR11 ? VAR91 : VAR267; assign VAR159 = ~VAR11; end begin assign VAR147 = {VAR57{~VAR11}} & VAR27; assign VAR51 = VAR30; assign VAR162 = VAR242; assign VAR186 = VAR11 ? VAR91 : VAR267; assign VAR159 = 1'b1; end endcase end else if((VAR4 >= VAR187) && (VAR4 <= VAR138)) begin assign VAR147 = VAR27; assign VAR51 = VAR30; assign VAR162 = VAR242; case(VAR258) begin assign VAR186 = VAR25 ? VAR91 : VAR267; assign VAR159 = ~VAR25; end begin assign VAR186 = VAR11 ? VAR91 : VAR267; assign VAR159 = ~VAR11; end endcase end wire VAR180; assign VAR180 = VAR11; wire VAR82; if(VAR4 == VAR212) begin if(VAR42) assign VAR82 = |VAR238 & |(VAR244 & VAR267); end else assign VAR82 = |VAR238 & |(VAR244 & VAR267 & VAR56); end else if((VAR4 == VAR268) || ((VAR4 >= VAR187) && (VAR4 <= VAR138))) begin assign VAR82 = |(VAR244 & VAR51); end assign VAR85 = VAR180 | VAR82; end else begin assign VAR51 = {VAR99{1'b0}}; assign VAR147 = {VAR57{1'b0}}; assign VAR162 = {VAR57{1'b0}}; assign VAR267 = {VAR99{1'b0}}; assign VAR186 = VAR91; assign VAR85 = VAR11; end endgenerate generate if(VAR99 > 1) begin wire [0:VAR142-1] VAR62; assign VAR62 = VAR245[1:1+VAR142-1]; if(VAR235 == (1 << VAR227)) begin wire [0:VAR227-1] VAR73; assign VAR73 = VAR77[VAR62*VAR227 +: VAR227]; wire [0:VAR142-1] VAR93; assign VAR93 = VAR62; assign VAR264 = {VAR93, VAR73}; wire [0:VAR227-1] VAR70; VAR213 .VAR139(VAR227)) VAR89 (.select(VAR186), .VAR255(VAR5), .VAR188(VAR70)); wire [0:VAR142-1] VAR204; VAR58 VAR184 (.VAR255(VAR186), .VAR188(VAR204)); assign VAR64 = {VAR204, VAR70}; end else begin assign VAR264 = VAR253[VAR62*VAR106 +: VAR106]; VAR213 .VAR139(VAR106)) VAR243 (.select(VAR186), .VAR255(VAR196), .VAR188(VAR64)); end end else begin assign VAR264 = VAR253; assign VAR64 = VAR196; end endgenerate assign VAR171 = VAR216; assign VAR120 = VAR85; assign VAR107 = VAR168; wire VAR23; VAR213 .VAR139(1)) VAR9 (.select(VAR186), .VAR255(VAR3), .VAR188(VAR23)); wire VAR249; VAR213 .VAR139(1)) VAR115 (.select(VAR186), .VAR255(VAR158), .VAR188(VAR249)); wire [0:VAR83-1] VAR232, VAR19; assign VAR232[0] = VAR85; wire VAR173, VAR36; assign VAR173 = VAR232[0]; VAR134 .VAR141(VAR141)) VAR36 (.clk(clk), .reset(reset), .VAR269(VAR173), .VAR182(VAR36)); generate if(VAR99 > 1) begin wire [0:VAR142-1] VAR172; if(VAR76) begin VAR58 VAR80 (.VAR255(VAR186), .VAR188(VAR172)); end else begin wire [0:VAR99-1] VAR1; VAR213 .VAR139(VAR99)) VAR53 (.select(VAR91), .VAR255(VAR94), .VAR188(VAR1)); wire [0:VAR99-1] VAR35; if(VAR258 != VAR48) begin wire VAR81; VAR213 .VAR139(1)) VAR8 (.select(VAR186), .VAR255(VAR193), .VAR188(VAR81)); wire [0:VAR99-1] VAR222; VAR213 .VAR139(VAR99)) VAR201 (.select(VAR267), .VAR255(VAR177), .VAR188(VAR222)); assign VAR35 = VAR81 ? VAR1 : VAR222; end else assign VAR35 = VAR1; VAR58 VAR80 (.VAR255(VAR35), .VAR188(VAR172)); end assign VAR232[1:1+VAR142-1] = VAR172; end endgenerate assign VAR232[1+VAR142] = VAR23; assign VAR232[1+VAR142+1] = VAR249; VAR134 .VAR141(VAR141)) VAR19 (.clk(clk), .reset(1'b0), .VAR269(VAR232[1:VAR83-1]), .VAR182(VAR19[1:VAR83-1])); assign VAR19[0] = VAR36; wire VAR199; assign VAR199 = VAR19[1+VAR142+0]; assign VAR71 = VAR19; wire [0:VAR31-1] VAR75; VAR213 .VAR139(VAR31)) VAR7 (.select(VAR186), .VAR255(VAR205), .VAR188(VAR75)); wire [0:VAR31-1] VAR155, VAR208; assign VAR155 = VAR75; VAR134 .VAR141(VAR141)) VAR208 (.clk(clk), .reset(1'b0), .VAR269(VAR155), .VAR182(VAR208)); assign VAR110[0:VAR31-1] = VAR199 ? VAR208 : VAR266[0:VAR31-1]; assign VAR110[VAR31:VAR263-1] = VAR266[VAR31:VAR263-1]; wire VAR167, VAR211; assign VAR167 = VAR85; VAR134 .VAR141(VAR141)) VAR211 (.clk(clk), .reset(reset), .VAR269(VAR167), .VAR182(VAR211)); assign VAR251[0] = VAR211; generate if(VAR99 > 1) begin wire [0:VAR142-1] VAR248; VAR58 VAR260 (.VAR255(VAR186), .VAR188(VAR248)); wire [0:VAR142-1] VAR45, VAR137; assign VAR45 = VAR248; VAR134 .VAR141(VAR141)) VAR137 (.clk(clk), .reset(1'b0), .VAR269(VAR45), .VAR182(VAR137)); assign VAR251[1:1+VAR142-1] = VAR137; end endgenerate generate if(VAR218 > 0) begin wire [0:8*VAR99-1] VAR18; VAR2 .VAR121(VAR99)) VAR43 (.VAR255(VAR21), .VAR188(VAR18)); genvar VAR195; for(VAR195 = 0; VAR195 < 8; VAR195 = VAR195 + 1) begin:VAR122 wire [0:VAR99-1] VAR69; assign VAR69 = VAR18[VAR195*VAR99:(VAR195+1)*VAR99-1]; wire [0:VAR210-1] VAR151; VAR241 .VAR139(1)) VAR234 (.VAR255(VAR69), .VAR188(VAR151)); wire [0:VAR200-1] VAR122, VAR96; assign VAR122 = VAR96 + VAR151; VAR134 .VAR141(VAR141)) VAR96 (.clk(clk), .reset(reset), .VAR269(VAR122), .VAR182(VAR96)); end end endgenerate generate if(VAR98 != VAR119) begin integer VAR185; always @(posedge clk) begin for(VAR185 = 0; VAR185 < VAR99; VAR185 = VAR185 + 1) begin if(VAR130[VAR185*7]) if(VAR130[VAR185*7+1]) if(VAR130[VAR185*7+2]) if(VAR130[VAR185*7+3]) if(VAR130[VAR185*7+4]) if(VAR130[VAR185*7+5]) if(VAR130[VAR185*7+6]) VAR179({"VAR261: VAR230 VAR265'VAR109 VAR13 VAR157 ", "not VAR126 VAR78 VAR14 in module %VAR143."}); end end wire [0:VAR99*7-1] VAR65, VAR33; assign VAR65 = VAR130; VAR44 .VAR236(VAR98), .VAR141(VAR141)) VAR17 (.clk(clk), .reset(reset), .VAR131(VAR65), .VAR86(VAR33)); assign VAR231 = |VAR33; end else assign VAR231 = 1'b0; endgenerate endmodule
mit
ShepardSiegel/ocpi
coregen/dram_v6_mig39_2/mig_39_2/example_design/rtl/ui/ui_rd_data.v
18,402
module MODULE1 # ( parameter VAR65 = 100, parameter VAR69 = 256, parameter VAR87 = "VAR54", parameter VAR35 = "VAR68" ) ( VAR83, VAR60, VAR70, VAR40, VAR7, VAR48, VAR89, VAR91, rst, clk, VAR66, VAR75, VAR10, VAR30, VAR3, VAR28, VAR73 ); input rst; input clk; output wire VAR83; output wire [3:0] VAR60; reg [5:0] VAR6; reg VAR62; assign VAR83 = VAR62; wire VAR49; wire VAR33; reg [5:0] VAR61; generate begin : VAR57 wire VAR72 = ~VAR62 || VAR49; wire VAR4 = ~rst && (VAR62 || (VAR6[4:0] == 5'h1f)); always @(VAR6 or rst or VAR33 or VAR72) begin VAR61 = VAR6; if (rst) VAR61 = 6'b0; end else if (VAR72) VAR61 = VAR6 + 6'h1 + VAR33; end end endgenerate assign VAR60 = VAR6[3:0]; input VAR66; input [3:0] VAR75; input VAR10; input VAR30; input [VAR69-1:0] VAR3; output reg VAR70; output reg VAR40; output reg [VAR69-1:0] VAR7; input [3:0] VAR28; reg [3:0] VAR90 = 4'b0; output wire [3:0] VAR48; assign VAR48 = VAR90; input VAR73; output wire VAR89; output wire [3:0] VAR91; localparam VAR64 = VAR69 + (VAR87 == "VAR54" ? 0 : 4); localparam VAR2 = (VAR64/6); localparam VAR13 = VAR64 % 6; localparam VAR27 = VAR2 + ((VAR13 == 0 ) ? 0 : 1); localparam VAR11 = (VAR27*6); generate if (VAR35 == "VAR79") begin : VAR52 assign VAR49 = 1'b0; assign VAR33 = 1'b0; assign VAR89 = 1'b0; reg [3:0] VAR36; wire [3:0] VAR67 = rst ? 4'b0 : VAR36 + {3'b0, VAR73}; always @(posedge clk) VAR36 <= assign VAR91 = VAR67; if (VAR87 == "VAR54") begin : VAR37 always @(VAR3) VAR7 = VAR3; always @(VAR66) VAR70 = VAR66; always @(VAR30) VAR40 = VAR30; end else begin : VAR51 end end else begin : VAR46 wire VAR94 = ~VAR62 || VAR66; wire [4:0] VAR31 = {VAR75, VAR10}; wire [1:0] VAR55; begin : VAR1 wire [4:0] VAR18 = VAR62 ? VAR31 : VAR6[4:0]; reg [4:0] VAR81; always @(posedge clk) VAR81 <= wire [1:0] VAR14; reg VAR25; wire [1:0] VAR15 = VAR62 ? {VAR30, ~(VAR10 ? VAR25 : VAR14[0])} : 2'b0; reg [1:0] VAR12; always @(posedge clk) VAR12 <= reg VAR19; VAR24 .VAR74(64'h0000000000000000), .VAR93(64'h0000000000000000), .VAR50(64'h0000000000000000) ) VAR22 ( .VAR38(VAR55), .VAR47(), .VAR96(VAR14), .VAR39(), .VAR53(VAR12), .VAR5(2'b0), .VAR63(VAR12), .VAR8(VAR12), .VAR42(VAR6[4:0]), .VAR43(5'b0), .VAR80(VAR18), .VAR78(VAR81), .VAR59(VAR19), .VAR9(clk) ); end wire [VAR11-1:0] VAR29; begin : VAR92 wire [VAR11-1:0] VAR16; if (VAR13 == 0) if (VAR87 == "VAR54") assign VAR16 = VAR3; end else assign VAR16 = {VAR28, VAR3}; end else if (VAR87 == "VAR54") assign VAR16 = {{6-VAR13{1'b0}}, VAR3}; else assign VAR16 = {{6-VAR13{1'b0}}, VAR28, VAR3}; reg [4:0] VAR34; genvar VAR77; for (VAR77=0; VAR77<VAR27; VAR77=VAR77+1) begin : VAR17 VAR24 .VAR74(64'h0000000000000000), .VAR93(64'h0000000000000000), .VAR50(64'h0000000000000000) ) VAR22 ( .VAR38(VAR29[((VAR77*6)+4)+:2]), .VAR47(VAR29[((VAR77*6)+2)+:2]), .VAR96(VAR29[((VAR77*6)+0)+:2]), .VAR39(), .VAR53(VAR16[((VAR77*6)+4)+:2]), .VAR5(VAR16[((VAR77*6)+2)+:2]), .VAR63(VAR16[((VAR77*6)+0)+:2]), .VAR8(2'b0), .VAR42(VAR34[4:0]), .VAR43(VAR34[4:0]), .VAR80(VAR34[4:0]), .VAR78(VAR31), .VAR59(VAR94), .VAR9(clk) ); end end wire VAR82 = (VAR55[0] == VAR6[5]); wire VAR86 = VAR66 && (VAR31[4:0] == VAR6[4:0]); assign VAR49 = VAR62 && (VAR86 || VAR82); wire VAR85 = VAR86 ? VAR30 : VAR55[1]; assign VAR33 = VAR49 && VAR85 && ~VAR6[0]; wire [VAR69-1:0] VAR58 = VAR86 ? VAR3 : VAR29[VAR69-1:0]; if (VAR87 != "VAR54") begin : VAR56 wire [3:0] VAR76 = VAR86 ? VAR28 : VAR29[VAR69+:4]; always @(posedge clk) VAR90 <= end reg VAR32; wire VAR71 = VAR32 && VAR40; reg [4:0] VAR21; wire [4:0] VAR26 = VAR21 - 5'b1; wire [4:0] VAR84 = VAR21 + 5'b1; begin : VAR45 reg [4:0] VAR44; always @(VAR71 or VAR21 or VAR73 or rst or VAR26 or VAR84) begin VAR44 = VAR21; if (rst) VAR44 = 5'b0; end else case ({VAR73, VAR71}) 2'b01 : VAR44 = VAR26; 2'b10 : VAR44 = VAR84; endcase end assign VAR89 = VAR44[4]; VAR23: cover property (@(posedge clk) (~rst && VAR89)); VAR20: cover property (@(posedge clk) (~rst && VAR73 && VAR71 && (VAR21 == 5'hf))); VAR88: assert property (@(posedge clk) (rst || !((VAR21 == 5'b0) && (VAR44 == 5'h1f)))); VAR95: assert property (@(posedge clk) (rst || !((VAR21 == 5'h10) && (VAR44 == 5'h11)))); end reg [3:0] VAR36; assign VAR91 = VAR36; begin : VAR41 reg [3:0] VAR67; always @(VAR73 or VAR36 or rst) begin VAR67 = VAR36; if (rst) VAR67 = 4'b0; end else if (VAR73) VAR67 = VAR36 + 4'h1; end always @(posedge clk) VAR36 <= end end endgenerate endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor4/sky130_fd_sc_ls__nor4_2.v
2,275
module MODULE1 ( VAR7 , VAR5 , VAR2 , VAR10 , VAR6 , VAR1, VAR9, VAR4 , VAR8 ); output VAR7 ; input VAR5 ; input VAR2 ; input VAR10 ; input VAR6 ; input VAR1; input VAR9; input VAR4 ; input VAR8 ; VAR11 VAR3 ( .VAR7(VAR7), .VAR5(VAR5), .VAR2(VAR2), .VAR10(VAR10), .VAR6(VAR6), .VAR1(VAR1), .VAR9(VAR9), .VAR4(VAR4), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR7, VAR5, VAR2, VAR10, VAR6 ); output VAR7; input VAR5; input VAR2; input VAR10; input VAR6; supply1 VAR1; supply0 VAR9; supply1 VAR4 ; supply0 VAR8 ; VAR11 VAR3 ( .VAR7(VAR7), .VAR5(VAR5), .VAR2(VAR2), .VAR10(VAR10), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/xor2/sky130_fd_sc_hdll__xor2.blackbox.v
1,272
module MODULE1 ( VAR3, VAR1, VAR4 ); output VAR3; input VAR1; input VAR4; supply1 VAR7; supply0 VAR6; supply1 VAR5 ; supply0 VAR2 ; endmodule
apache-2.0
finnball/igloo
infra/hdl/ram.v
6,044
module MODULE4( input clk, input VAR3, input [VAR31 - 1 : 0] VAR9, input [VAR28 - 1 : 0] VAR33, input VAR20, input [VAR31 - 1 : 0] VAR18, output [VAR28 - 1 : 0] VAR29 ); parameter VAR31 = 8; parameter VAR28 = 16; localparam VAR24 = 1 << VAR31; reg [VAR28 - 1 : 0] VAR6 [VAR24 - 1 : 0]; reg [VAR28 - 1 : 0] VAR29 = 0; assign VAR29 = VAR29; always @ (posedge clk) begin if (VAR3) begin VAR6[VAR9] <= VAR33; end if (VAR20) begin VAR29 <= VAR6[VAR18]; end end endmodule module MODULE5( input VAR12, input VAR22, input VAR21, input VAR25, input VAR3, input [VAR31 - 1 : 0] VAR9, input [VAR28 - 1 : 0] VAR33, input VAR20, input [VAR28 - 1 :0] VAR30, input [VAR31 - 1 : 0] VAR18, output [VAR28 - 1 : 0] VAR29 ); localparam VAR28 = 16; localparam VAR31 = 8; localparam VAR8 = 16; localparam VAR15 = 11; wire [VAR8 - 1 : 0] VAR33, VAR29; wire [VAR15 - 1 : 0] VAR9, VAR18; assign VAR9 = { {(VAR15 - VAR31){1'b0}}, {VAR9} }; assign VAR18 = { {(VAR15 - VAR31){1'b0}}, {VAR18} }; assign VAR33 = VAR33; assign VAR29 = VAR29; MODULE2#( .VAR4(0) ) MODULE2( .VAR12(VAR12), .VAR22(VAR22), .VAR21(VAR21), .VAR25(VAR25), .VAR3(VAR3), .VAR9(VAR9), .VAR33(VAR33), .VAR20(VAR20), .VAR18(VAR18), .VAR29(VAR29), .VAR30(16'b0) ); endmodule module MODULE3( input VAR12, input VAR22, input VAR21, input VAR25, input VAR3, input [VAR31 - 1 : 0] VAR9, input [VAR28 - 1 : 0] VAR33, input VAR20, input [VAR31 - 1 : 0] VAR18, output [VAR28 - 1 : 0] VAR29 ); localparam VAR28 = 8; localparam VAR31 = 9; localparam VAR8 = 16; localparam VAR15 = 11; wire [VAR8 - 1 : 0] VAR33, VAR29; wire [VAR15 - 1 : 0] VAR9, VAR18; assign VAR9 = { {(VAR15 - VAR31){1'b0}}, {VAR9} }; assign VAR18 = { {(VAR15 - VAR31){1'b0}}, {VAR18} }; genvar VAR13; generate for (VAR13 = 0; VAR13 < 8; VAR13=VAR13+1) begin assign VAR33[VAR13 * 2 + 1] = 1'b0; assign VAR33[VAR13 * 2] = VAR33[VAR13]; assign VAR29[VAR13] = VAR29[VAR13 * 2]; end endgenerate MODULE2#( .VAR4(1) ) MODULE2( .VAR12(VAR12), .VAR22(VAR22), .VAR21(VAR21), .VAR25(VAR25), .VAR3(VAR3), .VAR9(VAR9), .VAR33(VAR33), .VAR20(VAR20), .VAR18(VAR18), .VAR29(VAR29), .VAR30(16'b0) ); endmodule module MODULE6( input VAR12, input VAR22, input VAR21, input VAR25, input VAR3, input [VAR31 - 1 : 0] VAR9, input [VAR28 - 1 : 0] VAR33, input VAR20, input [VAR31 - 1 : 0] VAR18, output [VAR28 - 1 : 0] VAR29 ); localparam VAR28 = 4; localparam VAR31 = 10; localparam VAR8 = 16; localparam VAR15 = 11; wire [VAR8 - 1 : 0] VAR33, VAR29; wire [VAR15 - 1 : 0] VAR9, VAR18; assign VAR9 = { {(VAR15 - VAR31){1'b0}}, {VAR9} }; assign VAR18 = { {(VAR15 - VAR31){1'b0}}, {VAR18} }; genvar VAR13; generate for (VAR13 = 0; VAR13 < 4; VAR13=VAR13+1) begin assign VAR33[VAR13 * 4 + 0] = 1'b0; assign VAR33[VAR13 * 4 + 1] = VAR33[VAR13]; assign VAR33[VAR13 * 4 + 2] = 1'b0; assign VAR33[VAR13 * 4 + 3] = 1'b0; assign VAR29[VAR13] = VAR29[VAR13 * 4 + 1]; end endgenerate MODULE2#( .VAR4(2) ) MODULE2( .VAR12(VAR12), .VAR22(VAR22), .VAR21(VAR21), .VAR25(VAR25), .VAR3(VAR3), .VAR9(VAR9), .VAR33(VAR33), .VAR20(VAR20), .VAR18(VAR18), .VAR29(VAR29), .VAR30(16'b0) ); endmodule module MODULE1( input VAR12, input VAR22, input VAR21, input VAR25, input VAR3, input [VAR31 - 1 : 0] VAR9, input [VAR28 - 1 : 0] VAR33, input VAR20, input [VAR31 - 1 : 0] VAR18, output [VAR28 - 1 : 0] VAR29 ); localparam VAR28 = 2; localparam VAR31 = 11; localparam VAR8 = 16; localparam VAR15 = 11; wire [VAR8 - 1 : 0] VAR33, VAR29; wire [VAR15 - 1 : 0] VAR9, VAR18; assign VAR9 = VAR9; assign VAR18 = VAR18; genvar VAR13; for (VAR13 = 0; VAR13 < 2; VAR13=VAR13+1) begin assign VAR33[VAR13 * 8 + 2 : VAR13 * 8] = 0; assign VAR33[VAR13 * 8 + 3] = VAR33[VAR13]; assign VAR33[VAR13 * 8 + 7 : VAR13 * 8 + 4] = 0; assign VAR29[VAR13] = VAR29[VAR13 * 8 + 3]; end MODULE2#( .VAR4(3) ) MODULE2( .VAR12(VAR12), .VAR22(VAR22), .VAR21(VAR21), .VAR25(VAR25), .VAR3(VAR3), .VAR9(VAR9), .VAR33(VAR33), .VAR20(VAR20), .VAR18(VAR18), .VAR29(VAR29), .VAR30(16'b0) ); endmodule module MODULE2( input VAR12, input VAR22, input VAR21, input VAR25, input VAR3, input [VAR15 - 1 : 0] VAR9, input [VAR8 - 1 : 0] VAR33, input VAR20, input [VAR8 - 1 :0] VAR30, input [VAR15 - 1 : 0] VAR18, output [VAR8 - 1 : 0] VAR29 ); parameter VAR4 = -1; localparam VAR8 = 16; localparam VAR15 = 11; VAR5 #( .VAR2(VAR4), .VAR14(VAR4) ) VAR1 ( .VAR27(VAR29), .VAR11(VAR18), .VAR34(VAR22), .VAR7(VAR25), .VAR17(VAR20), .VAR10(VAR9), .VAR19(VAR12), .VAR16(VAR21), .VAR32(VAR33), .VAR26(VAR3), .VAR23(VAR30) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and4b/sky130_fd_sc_hdll__and4b.pp.blackbox.v
1,349
module MODULE1 ( VAR8 , VAR4 , VAR1 , VAR2 , VAR3 , VAR9, VAR6, VAR5 , VAR7 ); output VAR8 ; input VAR4 ; input VAR1 ; input VAR2 ; input VAR3 ; input VAR9; input VAR6; input VAR5 ; input VAR7 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a31oi/sky130_fd_sc_hd__a31oi_2.v
2,350
module MODULE1 ( VAR1 , VAR3 , VAR7 , VAR11 , VAR5 , VAR2, VAR9, VAR10 , VAR6 ); output VAR1 ; input VAR3 ; input VAR7 ; input VAR11 ; input VAR5 ; input VAR2; input VAR9; input VAR10 ; input VAR6 ; VAR8 VAR4 ( .VAR1(VAR1), .VAR3(VAR3), .VAR7(VAR7), .VAR11(VAR11), .VAR5(VAR5), .VAR2(VAR2), .VAR9(VAR9), .VAR10(VAR10), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR1 , VAR3, VAR7, VAR11, VAR5 ); output VAR1 ; input VAR3; input VAR7; input VAR11; input VAR5; supply1 VAR2; supply0 VAR9; supply1 VAR10 ; supply0 VAR6 ; VAR8 VAR4 ( .VAR1(VAR1), .VAR3(VAR3), .VAR7(VAR7), .VAR11(VAR11), .VAR5(VAR5) ); endmodule
apache-2.0
ineganov/bare_system
hard/system.v
6,415
module MODULE1 ( input VAR81, output VAR19, output VAR85, output VAR40, input VAR44, input [1:0] VAR42, input [3:0] VAR43, output [7:0] VAR67 ); wire VAR56, VAR58, VAR61, VAR18, VAR74, VAR87; wire [31:0] VAR9, VAR52, VAR10, VAR1, VAR36, VAR31, VAR70; wire [29:0] VAR2, VAR84, VAR83, VAR14; wire [3:0] VAR28, VAR29; wire VAR62, VAR35, VAR78; wire VAR57; wire [31:0] VAR38, VAR25, VAR27, VAR3; wire VAR76; wire [31:0] VAR53, VAR64; wire [31:0] VAR45; wire [31:0] VAR8; wire VAR16; VAR15 VAR88(VAR81, VAR56, VAR58, VAR61); VAR50 VAR80 (.VAR81 ( VAR56 ), .VAR58 ( VAR58 ), .VAR18 ( VAR18 ), .VAR74 ( VAR74 ), .VAR2 ( VAR2 ), .VAR9 ( VAR3 ), .VAR5 ( VAR62 ), .VAR75 ( VAR28 ), .VAR41 ( VAR84 ), .VAR47 ( VAR10 ), .VAR6 ( VAR52 )); VAR73 VAR11( .VAR81 ( VAR58 ), .VAR7 ( VAR14 ), .VAR5 ( VAR78 ), .VAR47 ( VAR70 ), .VAR6 ( VAR31 ), .VAR59 ( VAR2 ), .VAR12 ( VAR9 )); VAR77 VAR48( .VAR81 ( VAR58 ), .VAR26 ( VAR35 ), .VAR23 ( VAR29 ), .VAR63 ( VAR83 ), .VAR55 ( VAR1 ), .VAR54 ( VAR36 )); VAR65 VAR39 ( .VAR68 ( VAR56 ), .VAR18 ( VAR18 ), .VAR74 ( VAR74 ), .VAR87 ( VAR87 ), .VAR26 ( VAR57 ), .VAR55 ( VAR38 ), .VAR54 ( VAR25 ), .VAR27 ( VAR27 ), .VAR66 ( VAR9 ), .VAR2 ( VAR2 )); VAR17 VAR20 (VAR87, VAR9, VAR27, VAR3 ); VAR51 VAR4( .VAR84 ( VAR84 ), .VAR62 ( VAR62 ), .VAR28 ( VAR28 ), .VAR10 ( VAR10 ), .VAR52 ( VAR52 ), .VAR83 ( VAR83 ), .VAR29 ( VAR29 ), .VAR35 ( VAR35 ), .VAR1 ( VAR1 ), .VAR36 ( VAR36 ), .VAR86 ( VAR14 ), .VAR46 ( VAR78 ), .VAR82 ( VAR70 ), .VAR79 ( VAR31 ), .VAR57 ( VAR57 ), .VAR38 ( VAR38 ), .VAR25 ( VAR25 ), .VAR76 ( VAR76 ), .VAR64 ( VAR64 ), .VAR53 ( VAR53 ), .VAR45 ( VAR45 ), .VAR16 ( VAR16 ), .VAR8 ( VAR8 )); VAR22 VAR72( .VAR81 ( VAR56 ), .VAR26 ( VAR16 ), .VAR60 ( VAR8 ), .VAR37 ( VAR67 )); VAR21 VAR33( .VAR30 ( VAR61 ), .VAR42 ( VAR42 ), .VAR43 ( VAR43 ), .VAR37 ( VAR45 )); VAR49 VAR69( .VAR81 ( VAR56 ), .VAR61 ( VAR61 ), .VAR60 ( VAR64 ), .VAR32 ( VAR53 ), .VAR13 ( VAR76 ), .VAR34 ( VAR19 ), .VAR24 ( VAR85 ), .VAR89 ( VAR40 ), .VAR71 ( VAR44 )); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfrtp/sky130_fd_sc_lp__dfrtp.blackbox.v
1,337
module MODULE1 ( VAR8 , VAR6 , VAR5 , VAR3 ); output VAR8 ; input VAR6 ; input VAR5 ; input VAR3; supply1 VAR1; supply0 VAR4; supply1 VAR7 ; supply0 VAR2 ; endmodule
apache-2.0
tmolteno/TART
hardware/FPGA/tart_spi/verilog/capture/fake_telescope.v
5,010
module MODULE1 parameter VAR13 = VAR3-1, parameter VAR20 = 1, parameter VAR19 = 1, parameter VAR17 = 0, parameter VAR21 = 0, parameter VAR9 = 0, parameter VAR15 = 3) ( input VAR12, input VAR7, input VAR10, input VAR1, input VAR4, output VAR14, output [VAR13:0] VAR11 ); wire [31:0] VAR6; reg [31:0] VAR26 = 32'h1; reg [VAR13:0] VAR28 = VAR21; reg [VAR13:0] VAR25 = VAR19; wire [VAR3:0] VAR24; wire [VAR13:0] VAR23, VAR2; reg [VAR13:0] VAR16 = VAR9; reg VAR22 = 1'b0, VAR8 = 1'b0; assign VAR24 = VAR25 + 1; assign VAR23 = VAR19 == 0 ? VAR25 : VAR26[VAR13:0]; assign VAR2 = VAR17 == 0 ? VAR23 : VAR21; assign VAR14 = VAR20 ? VAR8 : VAR22; assign VAR11 = VAR20 ? VAR16 : VAR2; always @(posedge VAR12) if (VAR7) begin VAR22 <= #VAR15 1'b0; VAR8 <= #VAR15 1'b0; end else begin VAR22 <= #VAR15 VAR10; VAR8 <= #VAR15 VAR22; end always @(posedge VAR12) if (VAR7) begin VAR25 <= #VAR15 VAR9; VAR26 <= #VAR15 32'h1; VAR28 <= #VAR15 VAR21; end else if (VAR10) begin VAR25 <= #VAR15 VAR24[VAR13:0]; VAR26 <= #VAR15 VAR6; VAR28 <= #VAR15 ~VAR28; end always @(posedge VAR12) if (VAR22) case ({VAR4, VAR1}) 2'b00: VAR16 <= #VAR15 VAR21; 2'b01: VAR16 <= #VAR15 VAR26; 2'b10: VAR16 <= #VAR15 VAR25; 2'b11: VAR16 <= #VAR15 VAR28; endcase VAR27 VAR18 (.VAR4(VAR26), .VAR5(VAR6)); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a21oi/sky130_fd_sc_hdll__a21oi.functional.v
1,428
module MODULE1 ( VAR1 , VAR7, VAR5, VAR9 ); output VAR1 ; input VAR7; input VAR5; input VAR9; wire VAR8 ; wire VAR2; and VAR4 (VAR8 , VAR7, VAR5 ); nor VAR6 (VAR2, VAR9, VAR8 ); buf VAR3 (VAR1 , VAR2 ); endmodule
apache-2.0
parallella/oh
spi/hdl/parallella_spi.v
7,958
module MODULE1( VAR3, VAR56, VAR26, VAR17, VAR50, VAR41, VAR36, VAR31, VAR64, VAR32, VAR19, VAR67, VAR44, VAR33, VAR6, VAR48, VAR34, VAR21, VAR43, VAR12, VAR9, VAR10, VAR52, VAR22, VAR63, VAR61, VAR60, VAR40, VAR11, VAR7, VAR8, VAR15, VAR66, VAR47, VAR1, VAR69, VAR42, VAR2, VAR55, VAR14, VAR30, VAR38, VAR5, VAR39, VAR20, VAR58, VAR4, VAR53, VAR27, VAR35 ); parameter VAR54 = 32; parameter VAR25 = 32; parameter VAR65 = 2*VAR54+40; parameter VAR37 = 12'h7fe; parameter VAR68 = 12; parameter VAR28 = 24; input VAR4; input VAR53; input VAR27; input VAR35; inout [VAR28-1:0] VAR34; inout [VAR28-1:0] VAR21; wire [VAR28-1:0] VAR24; wire [VAR28-1:0] VAR18; wire [VAR28-1:0] VAR62; wire VAR3; wire VAR56; wire VAR26; wire VAR17; wire VAR46; wire VAR51; wire VAR23; wire VAR13; input [31:0] VAR58; input [1:0] VAR20; input [3:0] VAR39; input VAR5; input [VAR68-1:0] VAR38; input [7:0] VAR30; input VAR14; input [2:0] VAR55; input [3:0] VAR2; input [2:0] VAR42; input VAR69; input [31:0] VAR1; input [1:0] VAR47; input [3:0] VAR66; input [VAR68-1:0] VAR15; input [7:0] VAR8; input VAR7; input [2:0] VAR11; input [3:0] VAR40; input [2:0] VAR60; input VAR61; input VAR63; input VAR22; input [31:0] VAR52; input [VAR68-1:0] VAR10; input VAR9; input [3:0] VAR12; input VAR43; output VAR48; output VAR6; output [VAR68-1:0] VAR33; output [1:0] VAR44; output VAR67; output [31:0] VAR19; output [VAR68-1:0] VAR32; output VAR64; output [1:0] VAR31; output VAR36; output VAR41; output VAR50; output VAR17; output VAR26; output VAR56; output VAR3; assign VAR46 = VAR24[10]; assign VAR3 = VAR18[9]; assign VAR23 = VAR24[8]; assign VAR51 = VAR24[7]; assign VAR56 = VAR18[6]; assign VAR13 = VAR24[5]; assign VAR17 = VAR18[4]; assign VAR26 = VAR18[3]; assign VAR62[VAR28-1:0] = {{(VAR28-8){1'b0}}, 8'b01001011}; assign VAR4 = 1'b0; assign VAR53 = 1'b1; VAR59 #(.VAR28(VAR28),.VAR49(VAR28)) VAR59 (.VAR57 (VAR24[VAR28-1:0]), .VAR16 (VAR18[VAR28-1:0]), .VAR29 (~VAR62[VAR28-1:0]), .VAR21 (VAR21[VAR28-1:0]), .VAR34 (VAR34[VAR28-1:0])); VAR45 #(.VAR68(VAR68),.VAR54(VAR54),.VAR37(VAR37)) VAR45 ( .VAR50 (VAR50), .VAR17 (VAR17), .VAR26 (VAR26), .VAR56 (VAR56), .VAR3 (VAR3), .VAR13 (VAR13), .VAR23 (VAR23), .VAR51 (VAR51), .VAR46 (VAR46), .VAR48 (VAR48), .VAR6 (VAR6), .VAR33 (VAR33[VAR68-1:0]), .VAR44 (VAR44[1:0]), .VAR67 (VAR67), .VAR19 (VAR19[31:0]), .VAR32 (VAR32[VAR68-1:0]), .VAR64 (VAR64), .VAR31 (VAR31[1:0]), .VAR36 (VAR36), .VAR41 (VAR41), .VAR27 (VAR27), .VAR35 (VAR35), .VAR58 (VAR58[31:0]), .VAR20 (VAR20[1:0]), .VAR39 (VAR39[3:0]), .VAR5 (VAR5), .VAR38 (VAR38[VAR68-1:0]), .VAR30 (VAR30[7:0]), .VAR14 (VAR14), .VAR55 (VAR55[2:0]), .VAR2 (VAR2[3:0]), .VAR42 (VAR42[2:0]), .VAR69 (VAR69), .VAR1 (VAR1[31:0]), .VAR47 (VAR47[1:0]), .VAR66 (VAR66[3:0]), .VAR15 (VAR15[VAR68-1:0]), .VAR8 (VAR8[7:0]), .VAR7 (VAR7), .VAR11 (VAR11[2:0]), .VAR40 (VAR40[3:0]), .VAR60 (VAR60[2:0]), .VAR61 (VAR61), .VAR63 (VAR63), .VAR22 (VAR22), .VAR52 (VAR52[31:0]), .VAR10 (VAR10[VAR68-1:0]), .VAR9 (VAR9), .VAR12 (VAR12[3:0]), .VAR43 (VAR43)); endmodule
mit
fabianmcg/usbc_tcpc
src/Rx_Module.v
5,306
module MODULE1 (VAR16, reset, VAR15, VAR2, VAR23, VAR26, VAR19, VAR29, VAR20, VAR5, VAR9, VAR12, VAR10, VAR6, VAR14, VAR8, VAR28); input wire VAR5, VAR9; input wire VAR16; input wire [7:0] VAR12; input wire [15:0] VAR23; input wire [7:0] VAR19; input wire [7:0] VAR26; input wire [7:0] VAR2; input wire reset; input wire VAR15; input wire VAR29; input wire VAR20; output reg [15:0] VAR10; output reg [7:0] VAR28; output reg [7:0] VAR8; output reg VAR14; output reg [7:0] VAR6; reg [15:0] VAR4; reg [7:0] VAR21; reg [7:0] VAR17; reg VAR18; reg [7:0] VAR25; reg [5:0] VAR3; wire VAR24; reg [5:0] state; assign VAR24 = (VAR2[2:0] == 3'b110) || (VAR23[3] == 1); localparam VAR22 = 6'b000001; localparam VAR11 = 6'b000010; localparam VAR13 = 6'b000100; localparam VAR1 = 6'b001000; localparam VAR7 = 6'b010000; parameter VAR27 = 31; always @(posedge VAR16) begin if (~reset) begin state <= VAR22; VAR8 <= 8'b0; VAR10 <= 16'b0; VAR6 <= 0; VAR14 <= 0; VAR28 <= 8'b0; end else begin state <= VAR3; VAR8 <= VAR17; VAR10 <= VAR4; VAR6 <= VAR25; VAR14 <= VAR18; VAR28 <= VAR21; end end always @ (*) begin VAR3 <= state; VAR18 <= VAR14; VAR17 <= VAR8; VAR4 <= VAR10; VAR25 <= VAR6; VAR18 <= VAR14; VAR21 <= VAR28; case (state) VAR22: begin if (!VAR24 && !VAR15) begin VAR3 <= VAR22; end else begin VAR3 <= VAR11; end end VAR11: begin if (VAR23[10]) begin VAR3 <= VAR11; end else begin if (VAR26 & 8'b1) begin VAR3 <= VAR13; end else begin VAR3 <= VAR22; end end end VAR13: begin if (VAR29) begin VAR4 <= VAR10 | 16'b100000; VAR25 <= 0; end else begin VAR4 <= VAR10; end if (VAR20) begin VAR3 <= VAR7; end else begin VAR3 <= VAR1; end end VAR1: begin VAR18 <= 1; if (VAR5 || VAR9 || VAR29) begin VAR3 <= VAR11; end else begin VAR3 <= VAR7; end end VAR7: begin VAR21 <= VAR12; VAR17 <= VAR19 + 8'h31; VAR25 <= VAR19 + 1; if (VAR6 == 31) begin VAR4 <= VAR10 | 16'b10000000000; end else begin VAR4 <= VAR10 & 16'b1111101111111111; end VAR4 <= VAR10 | 16'b000100; VAR3 <= VAR11; end endcase end endmodule
mit