repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/blk_mem_gen_v8_1/blk_mem_gen_mux.vhd
27
91985
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PFFltKdLY0A82yxFqahMaWdN+zxj5kThYAcsDyz3A2vhpKKQpGJvV8/AkpYYPyltKlIzJB6Md9uF AN2ca05J0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block obdm7XtHPDQGZMrK3kNZKnRt8ypfk4aZ9VtSDpnSwNdbgwrFg4uylDkc4YjBW8BFR32vEdXmCKFe 3L1bSMhXRkPXZ88hMJlBty0IcmSYNatn3RV9VG9yYtXM73zMkJ4NIx7KoDtvOCnGQpHNAJTknAv6 BNEUXajqHzh/vB/QNBQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nx2eU410BtrBCSzpvDl9pNpIplyp0nHGgzB9LvwnXgdhN5HNF/YNjnH8WXRfWZhIT380E9zFeNz1 cIYhUxogcuyFP2sgar0PDv645GG14wyLd7prd/d1E3Ur29iNukQkz59OjXTEIN/U9Gy3hPt+oLVA TwpP0P8RgeQqCkJY93IlvPGfZ/yeDQHrxDZUMFMxHHI51HM/LG6Y5RjcVEJMkX5GTsC4gSd5fEHc DWDREOSmqmG5Gmciy22xZEiB1SI044vcLqlJadcUhINRbAw0576LfZrf0pjCGq0s1+nEKeJm9MeA baA5VHd6hhXLwLD9jRkKDvFp76mdZ8cpvFpcXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block my8iGpxybuJuMik3+8MRqWVv3aAmCE4oY3Ij0YIUQTpme5jJv8e5DOlNoLmgXWhUlepBCUyZ1Ysj JGlFKQ8MBs9R5aa1TLi8cCVfI579Nm4AO6VpackDfb6c5/BXCbiBb8XeC9Q6z0hKyH6xYDDC0Z7w m1jdROr8ONcmGBJr57g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pEGUMbCVqav8XqUNf0y2o1L56804gb2pssAnfqbrEzVo5CXZ9MmyISfyPG7HY7huXkJ9tWIeWtYt bUG1XTbOUAj3uDqhigkZ4KnTE/68izmD5rgLlGDQ1sI7w5GLUgtjCBINeZsiQZ8IbdNK2b2sCu2x 1k1tcyPPvRv3myvuFaOhmiYYyCNc8F9T3cW6mq34yHrMb8GcN1rGLFkL16mdIcoRSSN9znhYYcLe 21llq9uuuR5MD7mOGEYx4bKUQGVdPOHLC411Ms5bCd0IbhTC0qWispRkmO0D1uXT6TguY5Z6gKTw vMvXdJYpwStmSqzikX3kYI1zljpfWHQ7HMzzTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66352) `protect data_block a8U68t86Z3o/C3FQDJmOiqxctxRfzciGtiexeWX5/tf0YTY0s8Y3Y0I7bLXU1IZx4byo3l4tenB2 kusR0ylj1fHEU0otcwy6uhkEj1fBdDkfehty39aVpUPBuagKC3Im1ayCXGtzUoPoild7NpYA10ZW 2jGK3qYKQ69zfUu41oM6AJChIYA3g+BEc/OAgj2t+TnQHXwT2LsyuAmSYIFbCJCyTAyyPtpIr0Nn zBrAnG9wzCjZkgFSy8ze/vycqshGS+8Y+IyIzi3+Sa91w1OZfG2CvRoPx0zArX82JxTm5TmlFU1N Uo+UXTX5EZWlBfzrPAZfGpUtNkJslzlT3Eb3G5/98LCTJb/3TGWjL9PinvuEoEGzklGYjPZneyNc XZNYLESXa4QUcj1iroZRElWxvh5eMXAkwSIIoNIenJJFM1Q17TMT0idV3R2tNPjGjSg+ZEUqJliG Cbu6e82qUxu/r08gMVLhuJ4yw9opI2mndnh8faE77agfLD1UJVsp5GM90YaDngbn9CjJNTLDCtDJ 1YhNhCDlszRo5Qm1ArM3sOm6P8K2vJ0lSPW/Jpn7ZAUW4z8lUTcrLDB/X6YztwcFLpGIrBglHhIX 5NISCDR0AYL0Q0aOl2HmdBgF/hu4+Qdjx/Ya1UP0hF3t8upC4DOPdLYl8vTMGYrQeK/XBTh5dGi+ H0MJwVWdCUk0mrEGMvcl1lYvwPYr1inGd5ttzpm9OFZalPoJlNvq8MhbOuhdw9y6WCfJRD3fr1GH pBs0Qx2pLTMxcpOuAYGLupIYrJyMl+CgIr6dN5i11acXmnlkftgCqvdiWt1ADFuQDS6vMlb8xWRP H3/WAN3BiHEsSmTuqQEJkMhBS9SPMteSgJjYuNiKzXRFSTCO2/Db4pq1EJA4b3VnrfqXqr5rLtsj nyMcMENcvv0ROoHS0CWpdvgUCbeOtGyfyk/0blI9ZRTpMpNirsmbMNjLRMKoMu0vjAGgTRUYKw2x PxPhI3eT/aBuqbjxQox7A9VCCmmFJw39wYsOqjdZ4hE/UEQOoq40hJHR0tGtqwwFWtpNiG/vd+ND YUEVOZXgHQogHIbNKNvidjC+j4WxTX8r4McBAP4tkzoGswouPXaQvnC3cNASv3HT39+RjQuHJhnJ IbV7x3scjd7VoBupA+LPFxACxCbnPOtDPPiJUaob51ndNl4KG+A/99JxATTfmT9Iy/UtlwfKLWsE H6gnHamAuygEvVO3Ul9bQFhLb/7Tr9qJabvhw0aItvfwex+4r5GbH/m9C/7CDr7N1AkrzZRAo6vB LYpk+7k+zz44bzcQGxRQ+3ZCYLBAZCxWYSG0BsH7l/GfxAwYOB01t0G39XO7GpefCLk7UhZOUceV +KyLziOEY80Z7ncER4EUFQ+GI7HenyL/9m8yiipibF0nsPBNd9i1ZYJhXTbUWPjBE6N1hKHsicv6 EgmaKgc0EY0haEi5Jkn5TZZGYF0XMIXs541sLcfDUu2NyUA+idMXBkmthwvceA7N6jw04fr67WKT DoxRnZT5MnjTrOeltx0gh2lTaddl+pWAekkop0EQt/rPtpEstZY3vlPOla4gg4aJsYlFZqtHukKf AoP2GG2RoYnjt4kd8pFKSuVwGXCGhHlxnlDzMynwlA3qfIWSnYxhIXFdYxSqjD/aduxgD4oB2lw8 ZfZB6D02+1kgAl4CixPB64JDd3D1BBXJaA39Vb3Cg0qB/kDQtMe2dDBAKNTyK2sjbz18YFAy1QZS IApSGo8E+JFb5VPZMrWHOActhVutz6gKuvMfjTI0zxZ9MEM5CAh9Lec7TAj3oCB64zly2Jwz2eDu G/OO5ag82qbd3FidjTCjGZRsIXdkWBYnKiy9cz+dYFNRkozuF1iREik9ieBtALoprO8fgJmO27S5 yJ4QQ9o1/gbCohLfzAiULwGIGUa9gmGU1gX++2GB/nrC3XehdfV1VNygWlssc/vFfiDyO3XlEmbB sK7UsixXlAFQMTsNtzOQrVqqnXccbCaHU6OPLOcUes5jdgiEMIx4uZY5UGIm8tRuHOOwDXccvYgq 48G10taO/Rwamhq2xa3FLP7WpkZtxO9F/KRrnJMuABtKlWt8WIaQxOPlU65R3xgrxPvwBGFbch04 vX7nTp/qynBU4S2IA0J4OV6/Jdc5cmJpGC6KZKbKLRSWugJBtyOmvuYMCwWR4+kt5vfkNkKihP05 g8qaCsIRa3Na6CJ7U/OoBMWMkaTVE4YtBrFsHc5ygj5pk7ScfAoIGmFWLi2w5RDOEsY/fddBqdF5 smt05WMw4yR8wsNK6T8WcDnmCpsdih7ZBoqou0e/TcxNBaHOcUChDvf3ZHakNP1juM+rwMDMZZQ7 aNG6JX2kUSvQG3xl3MTSTLIJ1W+3Kr14AsbcQxy27BXE3BPb1EDrSrLNdo5qYdCeul2fja/GIyX3 Oia1UDpa3Y/6o2KTBPRZu391pzpM2oK24mkUmafw1pv3c5r2TbalqhJzIhCsYxCM2h1EU9fsSP7w 0PJh2tL8hqoCdNaeI5Tkxr3DSom8Anrm+w+e8AcyDomDbY+S5q/cRgP2e+nxGr8GVdTBSBjBMK24 3EpyMLNM7UzMysH4bLKlWNf0mzEzvQJOAPhgVIwkm+V1R7rn/yuYzYBoVB/PEF6vrxItLXYiWOBJ IyDtENmti0R9VOu0dxTDgjmL4SyhOUHLTgk2YnYoNSBoH0rz2cuMh5G/F0EaowL0I++bwCBxlSNm ycbrPxYF51AhP3Wyb5MfGlO3JbP6OEc8UgCnSS6ZnvRWOd7g0gHLk1AdEvbu5gez4gaKFl9bXhmZ jD3p7de3dF/TYGnbeBxugL6MXghO5TnLCjDVI8L/Kg1MO4w9AAhE5rcRlbZ9MYK9tAuZ5TAl03G7 6iKgKro7CRFyjcoNAS41j234X5vWkIvBlvI6OvTRDQrQIEr4dInM8tk+oXCowQQrFIzCilDPHe75 leQ1Dt6MeyYFFnfq7oBFCoHJMisRuBC13xZCKsPMEKBzwNPMFocVz9Ud9rx59lkqWsGobkd+3H83 ABhb6bMuMRC2EoMXo9trlHlcmTyxGC0IyaQlvjSbUYqHDCRT8NB/e0QRA/kWbDHdMIER8/OLwamq Dg7nk/+zDsocmQhl2JClBEmqdT6EVacw2rY0W4mInosQs4MHfGK6eT94JdoY4cG96N0j9LJu4uUS IdLUSIzCrIIHDRuZCaXiB5IsrEqTG1QVkVZA8Bv3FRKnqzSybeE83iGHoPB5o/gWuVeoNlqJfjIa F728gKbH5W/YBPryRwqiiOzMb9NZabtPWsl29n76jNwCHagGOQZO8jXvSoS9OP1im3tPgZN0vbb0 nRTnRK7VwAcPiUDaRh0wzUXw9z/uYV26wv4z76qLql7xKeuxRbFaEjVxJxQIgYCa1nq/1FJktDyF JEP1d8yUxMTIWF7p0V+13ZKu+xBIkD0/lJ/SP4mJdU2ODTfCA2Zl2s5foXIQo8LkLSJA5tKnuju3 2gJbppH3Spv/n6fvBjs3LtB+VrDvwZnMTIkN7EIdqBYpNHPuQUbjezuWcerW0YrUdT3tw+mq4NGW btT8PAq5NXAgJWlMVvgW4vXIaWcJdumNOhwLRi46hIico7qVbEi2C4E06KHvY6crlxI0QN+cUVZI ACpF3FyGQp4CD2wMQwsyweBeQITuPeY3eJpxxKWIDSBB4o9TByjToBpaMsdWimzNUzh6QTMv1aaW CyiXrwybWk7SrN1pqv9JiLdsakT+w2SLZgo3QMQXNuIJmw5zmZ/5cqlu4mzCqP7yhXdQn3EjJ7rE UGTc8ub3te9xoH33kz7Bm1ZnG2KdrfcSTUf6YltqYwFf//1WRSSvGf4bI6hcrJodwwV4+ocbuYUF dZe1xkhA3PqcKFSrSr1TmxS/wHq9iQtNk4C6wF+GH4RwJHPb25btqsbnnQooFI4B78AdHBC3+Y+T 2qkH2ptjh+A6a+q6v9dp7wm8MNSqAWMtVR4fUzGk2jVRtpPb3asYrnUXJU5+JvcprxZeDSYlmHS9 JBx3kq27pdu77ZHtNgmv7DevsYarW81nI9byNRA/eYm9lI2clzRqB8GFR/gIvSBu2nNj0PXCMWoO 5EExDq48Ltl0OhGxW74EhJhu3kam3pDzDdcXMOsTQF/8XhMGnzEaa7EYQdnM7R81Ji6WjSGzuyIJ Qvn++2MPVMflQHvcdcz4wRZYi0N5cyZm9EvcGxU5stSmBjddBXsQqeefRZjX+tTcTz9EKpKqxShH 5DpdQ3Kpzye82dkri5rwf/JzHNXZB7gzEul+ekvf1yVn8WrtqWxcxQFpCnpvwvwcxZ9A9rtWVSfs f05pEaTvrF1OCJzAUNNuGz7z29DGVSCN8lz7ACzJsLc9pLwkw7eOI0Mf5FRqAll6aFbBvSmcufNl vjbYdK8zSOOr3v8+C18bVrFmofsp1uAzZa/EAhdo9jv51odTVgM3UubOrpdqb3jypI5gFJ+e2d+1 Cc/ckiz3KvQujgANYLgsUxxFVanreiooze+SxBKOGAcIx+7C6ysNZGdawxwMVvRd6Its2tjy/nnx x0j3Pbexk42JYzNWxtbU4z2l++vA9xZn2rpi2Zgzi7ahK585H2Hw7GJclYJop+EYslN7Qzg9dNvw 3aYyEzm4o+lNt6IoI8G5KW48P0QJjVQ4b9e37Vs4zElpz/uxYqU1lsAgnyAHJhhUffkHRb6Knzfv VoWkUrUdX/Zz8ceoykL45nDjTdHN28qkoGnPhUO9876ZwMC+gFBOU0J+Vt5/72Xwdf4TkedRNiYj NTWmtPHX/SWtPEsJ3Wnsme8yo/7hwhvOj/2PCkeDDk7KfinZmKVqm7oiDBgj3RFOk5ZRAcqpNYZ/ s2WDlMd/pypkEoNCAYg1TQK3o98/vDAVZE3m3okVrPjr6gIoE41DaB7Nw7eNLBQ+L+FNKCk1Zvj/ TbP4lSpbwkftVqVwMf9FkX+4BwtnnqQuU3I7zNbfwNbJWxg+ShMOZmlsJvpXKHyw7+QzYMXpc2mo 9288treID9C1HHg2WC5FBho4+CsNunav0e94i4cS8s//GpGC2JxL+2Q9p75qunke9kUjSvkwJ7YI 6Pxkhn0dJaSh6HCDGj+Sdlx/zkhFaL85xzySdJU9EirznmAhRMS/eWzgg65FjU5j3ayYcdrYf3Eg RpVEKULIQpiack6+JiK7oov6GX+3s34+rqyHRszklujUJ06lxy3U5/mldPOuXo0TFHD6A7nCrzkO GRQlD/Ye1/5Qt1IEoho3tuOTvyGThmxx04Q98fu5hFLC80463B4pGmn9XjqE9iEuhIzdpmp6U/d9 tV7PK2MPHTOO6itIglDhweeYnGf3xI5cmDB3SDAgikO5N/k0M/h3SE62uNNj4H01E7GWCeWLUq13 Z1ME3QT88UYXnZl8sQt5+oR9tm9ctep5UsH7OQjb0Fy8o6m1mDuxBiRi02FwtOXShLTlQmx+WFkC BI/cmrHFNaii5EC/JQSjIX6etewdv0s7Es3THd27PBtU9nRMQoSBXoJO+DeKXS2ivs5FTIqll2zE LL+gfKCtVM0IG/7Y6GYh7hM/XmBilVnWdLGMlIuafB/AvNupIze5LXdecQT5+8Ds/gxaBQZwu7g2 3rT+MhdnVRp0V1JUNHhfkNZsy8IVAEReB4sbbytIO3nQAnTSjpWaixpxjMER4tzeJHoe6MU9aFlQ Ygqi1Nx9aMWcLA02zO2CeZt77MdCo5b97kYa5rOZM5ybjOPi+xgMH9LJtf43Twb6yk5Aq8C7Nvwa +GymQWeDFPeKTfqL4i0YxCagsmrvBH7PVtnuWkGYj+Qc8KP0F+Qg6nNR7KOUN/6eCoEjn5OA9zwt zCcsyIl3gm0dDyFWIdpXBpEvSfX/wy5I4PY0JbPiEdwqqoUmYVhAHH8xxPQ7b/jLWGvRhfyPq+VU taecyn27515xlyIxn5LYsXi7Gy6903argo3ihNbrMGEx9JhfyNxqPnN2ST45hPze8HGxATRqFpqL CLFf+ja/moSPHDVtda6wZ1RZ9/EE8mj3mXrvyfe9KcZSRZgBt8orpsqQjq/D/9K7K+woxcASOAAH xumBo6xLXQwU4xLXEFWt7R/ZEvfsYPIEXQN4Y517DUAlIY3kjdif/sL8FmoeA2xJHmQKBYb3jIS0 I5TEl2Pl2FQaaGJ4j9hi2Rhfh32CId5F9WkHNc/22a6YY7Dtg8FZaGBagEaYwNAddiGsSqxNgYW8 aoDliYCfHOlR7So7CJU7dgObrNBXyrEf58PDoBqZb4U28b0Fhj2/6k1N/o+IMHDTDrZeZTjMtv3h siJ3m86frKbGmKEzKLx+4zs47ooTqYlkh1IA1HVxf8JbMhehjWX4wYdNzzKfJ2vow53P6nBQ1hE4 dsnfb5VrztlT4jEHDV1xFrxipdLk1xuzS3EiV7hxqot7mdlmAGvWsKfLp7PeCa8RbbqilQIWlYA/ uOAiluSsX1hBfnGDvTL2jXeBJYerNIXfM9VfsNGDocOR7yLoOvDa9P8Uhn8HJEqE8KMFLhJe4t3N dXbZVsAdav9kykswg0U9jeSEvr2xM0DtB6lQa0dWdMOeisBpbD+0WehFDZZ2d8lqiy1ltTPqLpWU WSG0G5T6xdANaQkcHG9uOL3UQl39Q2l56OqLIkOKqJmLctHKPg7db5VR7bM4PyyEqt6R7aZfD6k+ y2Q0TxEjG7YnwYi1wPen3/YXGvosG5Ib1tw3HdITclNeB+aUubSIJrtPhkxV0cLoya9iY/474lDN 3QIDxzlPxezJOIC6DYZiXsw2UVWCpNP07EWa+vKmvkEVxuK6qqwZB0zqEryhwrYwbX6y/VvjbX9e 3polAcqjAysoEFMQF0MyztCgCLQxhVlrtIdwKyZLHAyiSuSNgYZc/VhtcOqS+8obWEbUvZp0IaM9 NyuSr9EAltybenseduXJOFR5xYpZ8iDxuAuyVdnRFc7L+bvPUAJmdM1PYQ3tSO+o2bdFlUdv6cTU eLmBt3FBdKXPxTA6o892JqF01n37ycz0t6sBOD5amFvWvlz8EhyIgKnxIb2T/tZhT148vtt6NAXb Xgsm+Ma8v4fzMft7VflcvkwiXQaIZiNahl8fegHu1+AvuZNltHAtsKCKgi6fLmUvvKf3CQeUAO2X tizJsVjTBdX3rJRWP6qNV/GVYccY00Y6U2U/RwGKLTQSHSVPo/M5dxWAOdC5oBTCbkk4Vw2ZDStT yGIxF5SKLogxcsnNj6juX5G06B22HV0dz9w/QoYlE4Kmzik6iE//mhuGjXIPwFLyu8tn+MU2Rg/Z oViN0ZL0bchqqGbLyb0O6bGvZTvqYCeoQQ75wllEfdsFR+2WdtulZgNt5cjDmrzTpX5lDS1nWVwZ zAuLpn587mhMMngtxQVK1UnfWWS6TYJBLeM3NzVOvskJAV4RDSg++FLyWiV/Bl5pTOKyBppC5UpL bDgEMHnTMGV0phn2PthJN1NU+eHCWy5rqJbSC+eiFNwEJTr6pku4h+qnFKUj2ZduIAY9OIrWJPW3 Nxmg0KySvzTj1KX2FdPdKGS5MQGd7JkIIHq7s+HsDCi3c4rYMEqI4AnnP9PscQO4VmxTLJhqMaG3 Qz20ZjiCij1nwo+DvpagX6/sJWm56bYuSm3enBPod8cqe/aczpmk/uKbUr1FvTAIGp27QwdW5TQ5 lpN9bKvRUSkT37QZeFxNUbBh09X1LY5bg982IGx+Zc5qXuIRjkgFMWAgE96waQyuTIpbkUFAfFr2 7qf+bYkdCt61C4e/lsYPagUCuM09U8zl93umfGmvGPf6j9DCM/h0Cj3kYXKsrxdeD/CViYLjodtl 9bc8KhyiBSCTVVhdqs9UX0Pm7if8VKMHUee6Y+5e9pBgosjGewh/xtv5Fzn5e2kZW39ZdQhkBI9E R0txJKz7WjK/2l6lqySBA0ZB/NI0pzVNk5dV8xM3EO8vNYjT1CDwJjcjmzI0eoQ5PSiWqH3o0QGw 1XbJg0SxncI6grHfIDreDwvY75BLmseMNwvR2CTusI8jOgWViPEgWL1KfCOt3qHBujT9SinKDzKQ KNVNf1WeidRhQbRp6rkvv/gpsZjfJQEph0Nkoaeq/BUnCghI/PMrAXkwLTW96p4aAMPM/JxN9jmA W78mmThytHPRezau8ZHh2qn4sWgvqQtipjIB9T3kJEBObkHJqgUCndojtKk+cjBewn+FY9tKmk3P OC+94l0WMJRZcdN2kX6nhaoCUzbZ48LHJB/eEIWoPHr76DtoEVHC0yVS6mFDOJgEmkOoWhi9bHWM jwpZJ7dUaZ7HeYcT55geb3QHDojuVG0seiiJ3A2LZdVgJ5M0XjzeyCyRBDMCEkr2IZp/L8HaEcnE UEya28qvVWQifgmCp9GZeYHle2p93RQ6d3YueX6eE7iE6RvSxEkP0VzOorEjAY1n3uZVlTqqXm9S izBjguPio0U89VBzBqzqRaXyn7mA/o24UzoysWemPSEooa9SlC1bbKrUgY9l7RR+RGNsMy3hZTKU YCLi4SZ7Ssy+oFf3YO9nMRFPshOJr3EQvyvhLAe0KXPK/eun52EsvfYSA+0ofw4g3TPOHw0SAudB KH82G4GvD9YOuNsZ9SxCdKmVFPtgsF01UhV6lIiQ39MqPkRuZ+tLXdspLI+dvGl+3O4zyYaUREb1 EA4wo1wpr80jwP6AvPL7S7DubWjgHb4nt0bmVJ2YgRGtiTBvaNoalZhNJNa5msmUDsyoTVb4yMwv 3U6MpxBbX7Slc8ot8rEnOPYQ93V1n2/byVXYhcEX+KJ4swM10p9BguT2avf5T22851SXQ2xvl/mB SiE4iJdruCGAs6oqv+rkOv1TuLfvFnlE6NR5e8hK9D6TTVhZPeUQ6OheAxHI3JlCML9W5N1qoCqM ay8Dr6PV/42zUeqMC3hE2bC4WIbLhIrmbp0cVBXC6JAdegO0TfHYIhjTE+CucdtmuSySdg1I4vxx 5qT3SySRqhjTcUOqmzVbQU3Xujp5XcsXKo7XsbK23/sCMcM40Y2vcW4aU3im6cInQRDHlShpPCNt ttCG+TPBx0CbbCpgNx1a8bzJKBlO9eSt5iujSH0aiiN+j3gCNi/1gDnkpcM7Bfd8NYdJG2Ga7Kzw 3XdjnrC20XsjArtovR86qa0dFEW/w9vZOAFyOwlGIz6CPBxQisd2c01nyJH93bIf5SHYYmFbARTI kPhtb3yFMAdMsNFqOiW1p/w85Ie7e5R6VHQiU/LcKtbwn+sinq6NSEfbak882ovcy9WF0zviPAwH nno1qhsy+nWw4HkTPfxIpA4W79+05H8k1yZ4GRTpLhruknCf2JoU77mIUfe1XKhZHiY0tE5Uug5B R+QZw+5P5S2HNinECESTbRB6zG+wfB16tP3k4u6Wt829o6dTAVzEJH+sgrMDwkLcN6s07KVSoneA gdMH3QoIyCkyxcTUMZJ9TDyNLKZNqgPT/TbZGKPPQYkKQrLmbaz8hhXX+RcnBuJhLpXtuFpJk2SO Qs8SLfn65HiYK8SaDDA06rsji4lIJQImgR80VIOlU9ZghvDlYApFsVefGTmCu7C+rvbbdJf/UDg5 oKisvlD3S1B+LnJdauMXcC6qXk4iIwt9oSGaw+xz4aKxKB/fmcxiLFb/fyhb3pXwhoMTxyZfI/gJ gNWFYTC2ZbW8a66K6JtMCAMDYDbuy5PrZI85svkxgmo232cu6mLxiWViwEe/PquKpUtwEdmnkDfF DmuqGG6rDpTy8UqCDtMf2dogJqUvB3o6zaQndMJiizbNaCS1rPPdinlTulFpL/qnGhY4VJDLNMRm 2tVh+VWR7J7msjI1HM4n66mR+rQ6SuDnd+61WhWMkr8+xY82T7d9j97+uWB4zGcaWMzwiJZsnK4k sAGMecXvGMDiWEtiMuchFTlA/WV/y5beWDUdPgdVEUP7pkQYKo/hLXSK2QZptTz1FUeJTi89zsT4 N2sZlD07f4M3V+zd0JdVPWusmbq2OeKzLn0rkZYAvBSskARlV3ShgpcXEJpkCrVPCKVu2VwsO8To vcyn5dHPAuO+0c1tmxHAcsQtFHX6ZMLniyU8CvKErsjGyUp1AUcDuU4ElOC4zvzHKuGwMV0sn3qW A/iwAjEI3JL9VlVIhznWdPuSZq2hD6qGX8GTxacadP26xEuzsbU1jg1sshV0AKN95kMFbTK+O3Fu EmgjjrhABhJWsEbqZKY65kk+hxTa0OMJKzrLFcFlnNo3lU+4zi9ZoKenj+AWBERaBGAvKkzye2nZ EG61OY2T8vK8UBBeD1Wt6klQ9b14lKXU3U3wGf1+QsE20UHxWrseFrX1pCnC5vRvjrdXuCtRH+bU QYI63AM5hFH2gsCvool4W+oqiGVNNqURvNgPBAVGPARwmQijAuSURvj3I4YHXpLPuNzsD+BZT7Sf Tf2H4PnsGQ48mzyxGzMvGYVOE0ZxFJYrHFxiQL29s8j39i5Ms7WkONeL8s84UzEqLqdSZbbzJz8x bToCOpcJXbJaa1tq6H0JA5RujEUl4aMbDWlU9pHzKhPxXR+RjYO912dYriflhDpzpliE6w/Lc/EB mq6BSZFD9oaThXhyuKNVJ0yVBwNWKvLqGauzluAWAS9wWfN9FbiWy+FEICeBmqbR/Bb5nvAewjdA 1KPEvq6ruHTAx2HBoOO/DEi7iTLF3P3ZEwiSM/MFtMBA1caZA+WI688wEEJbrVS8jqu7LZiJdHh1 GcKJ1MSXtao/nohxTyAQIXQ7rBRPeg+kts75jqRlXcGZTJTm0qRWkfSEJcToFltRq7Ls9ulR33zA zRgNPChaMVnrQkqj7bsocAaL0yiwAyfqV7mdAoQK1oh5AkVLnFbD154E+ArDVxImSS9/Xb3/Y2K1 Zi2N2gbKB26bDOdjbtoS5ryMch+rIdHDALQf6JVMXWz2YgOeM3g2yCTjHBIgc78OR3o8hk8xIIYF Tb4PCRfe53Bhk5nfIJ95acS9ss1DmEWaO3U9qwg1f6Gi8CBIjke5SZ/rOUxGXVS/TGSwYlgZEqey POpQf87N3L/iKPu1CieNvii51lwis3Scs6Rz+yEYtYqtJlGQT5c8HzAjC3bzz4q92nIL+nbtzVh6 VAFX9ZQzvsGD1IubYa71BDmpwNV9Do7DS73cN7dj5OFe1rp5tTlO3XNZyzF/eRei7Rl9rZyXrIsV Fy+2nalneGilFCJ4Oji5QrgiTZpMofqfNKtSxy/kLQZ5nLdM5yNjNVFoZ3cIkOcknUd7nplibMEl xUCqohCjQajRrvsKq2WnqGhcmDo/+datBrBRAMbXKDMAaQ6X61f4eyf2RXs53Yra/GwLlvgl+BjQ 514wZ0YZU9lU9Stip3XvAHynACEn8aM4eLYkv2xp0UcoX4O8imM7WK/pgn+OCQK+ZktX5Y6TmQXO 43l463k6tduVZbhrAl1DnmcgcpoLGR4mSA1iAdZd01BCKfV4aHsBkWK17fkSkON0/dQ7vbzIh9QG BHWS5lNjvfLWIgLUm9IzrpQXlVqshbt4WbU75rp4CCTlq+/gAyiZ+qPExevx2S8e4OjRjIiS2idV K2zjwSAoXSb6hC22mK9Ue0jRL5iu1VOXpDj5zofsTx+veix8wEDmE7ewVa8IUB13ujINXuaaa5TY QMXMzx1oPRO4CLoalYjZ1wpII2+dUzuUQl3qyLtCebiRvWitWoku+Ua/X8kyoLCWE+7W8m+7e86H rSD0cTR4gMVWcQgJAwwRHTH9/cIUtgc5dJpFOhnrEvylMW3UbkOsjH1bERMJFB+TyWrgvOLJJr/x 7yjnnKhWPjFQiz+MLA+P1fcv2Uz9a1rGtxUYHO09BswScjzEfL68kuQsmRgR8RNCK8WEn4ws4MAa OINBWve67KtEqRRkJnbscSiws8RLvDyK1W/MyDRkLUSpik8AJN9+USszYSnNNsAlk99TfGiizT32 pI7vhIW0SjcNGYOb+tgVm+A1teQbq3UBMi+v5uGAORQRa7BKwYhZGQvEac4kVMpHqUlJokAZODHX h5HquymAb26PDXkz+xkQ0kEo7N7qMwxg2he4zdI0BEti4+l+Be0mVLxD0S7nlWXJk9xJwKV5F0TO fw9WoQR6SfY6rFYdXDEMInV6WoKUx+mnY9avQ8o7M1BO5ut9Dnr41vyQMylbokfQWkaJdbFRBWhk HIalnRpwkVTsQ5EFUAxl8QFIH0FJhvLdH3CPErD2maoqCIGIxRGyXbASPi+YpRvsclK8+f2YXgjt IFhFpXs2dskBetdeMoGII9IhPiPKtF/r7r1Yd8XwD+HxusNUZnHBYa2datc9nVnwooMW9jPmzewj 8GgDRUoO7et9Hgjzo0/vxu9/jk5utFJApbqaj6K/1Zsr4jA/+OvN8HjVb6FwD9rADe9J4x3AoCQ4 K6mWCxfjJUAk/XnOLyIMolpz+r6HmsF0Og+ccT+ztXGK7A66ZfgAPGlQrdPO/SThfhhpB/IQjqnv Jb/ZXtocYaPIoqEM4SK4t1p0AvXgX5V8v8MueIlfqzhCe5yP6vYC0qHdAxx7lhYNp9QVHlruCgw/ GCy9hwN56TUPbsWIQoCG6eWJFVZ/zYSmqu579Ig95/oCPOhFqI67MOKBPo/2FHyoaPEKYpAtptzk GVY/yw5+J+DZlsivXZlBzzo/SqwA+8BPAMaHlx8EM94ZK3KJn0yQcUkg/NjWOvhKihB3fh4O402a dxJ0KwYv15b9JK/fhOvxgUWfdj2dGs8B3RQtVOYl/SMuC6TAdvjAAla58QW2ZlzJut3q4pR9Irq5 EUpxS6Lr/IxS2OqUcSW7j7WFrzeetqXmMMU8pp6wWdNkL+0ce5hfVXPzzFKtDVeA+0UZ82DtO1Df ZqtbTUDZyzk/hEvQFo53bDOIGkHxQKL2c+oabY/I6L98eq99lvSn/YmE7tSNaW7L5En8/nD4bMgz uhyfNbFW34gYuOuxa5VzvABcllGQltZ9IHwpl8fzgMhmH5IXhov1cyrrzazwCoJpaaXSup7Mqcxp AUnwAcL5f3lqgVNP5/maDuh8d3DIAgx/WhJNaiEgQh2uBiEtkXaBSDLmt0R2kxEZvWOHBJvBzB3G yWCaWEmFlHy9n6srTIxAGgY5KjOnrrUEKvFeXYvnGIKyc4lgLanXStyACvEZf1jr50A5990WuH+n EZwpFzOd1thCh7Wy74tZ8SPO4DRfefZUjd70B3lQ6UwJ52ewmp5QtV4xzglsvAW4m7sZWnyh0cWy R8kxpVH8PYVGvtolTS+92KWLnNG1bG+ko+KTMnnJfx692oUNY1Ok6adVX95ptgaua9nBo9xjoZwK yHdKroyFV7CPOMeLMlACkksQOIpGjeSKphvwR454vDI/t3oyGlS+Ec0n31bjs45apWW2Au6/By8K f95m75yq4gPj2q+wYlkdqAtqdamst/WkVXK13qrBLqVoRZ+8HxFskskN969QmAsrJ31FnBkf6CbM 8DSTzFbXFPv/ll1lQI1CqYWuboppCJeDUqXwhQpcH9GAxQFe43yEqC3HhPnBcYzXlQfKp/1yr+gR nwLbV1LQNd0HK3+exPj0roMvMfcxe9iOY038kIlCGJ1VcJ4UeVIjFiY/F4u2q0iV7UqwgXG0H3Qv T5lqQKNcWiI20+Sc989Dfaj6KhRrq8VGK8gAqnyW0gbTocXDVfigMwbyxc6mPL+ka1gavVLcISi3 TFk64qUYhqUyNjSnnVUs9f7KmZuMrixshuNEZRbHRpLwpnIbYRD2kSjWOQKk9HOi9z2c4BIttExQ jq6jgLj1pcagDL25JrZF/8a6NLUl0CLnwwBwLXflCE7TGIZY5TKzmufnhhBvQ1yYeyI+nRWjEo7X i7W3qvMQ3QgwETWRi1KbmWMTM9pIEkLz6EMDnD7ruDy5aZYoncnre8fdSXBYB2zSy8fJBWIJEcMr f3BORpeHqQlkI7RQNaBnZJJnZkPgyaU3wpLS0ofOXLWA811rGF3ygJYAo2DNfwf2gbCpsy7gHcfE R9Jid3XkH4lQVfBJJnwF0MGmFV6FO5s50g9031OKhNescODHG68328qV3S3/FeL7CL8TCAtvNVaE h9ByglsfxCXxAmTJZDWtpcVFsMHUqJNstWZMaNxmZCwr6o7RgyvIdHu6fd5KzR82+i7xiCmjavLQ b1tgjb7qQcSp2q6NwUHlJWg+geSXugVVOXSc4E0miiwuhRNpz8WVAR4wzoptyKQFAdYtJIHY0iPK tkSX1gDF4mqUdv5RaCDe9zHdxqHrw+qDazuKczddF8q9aGC/l2qrL4ttJmfeef4JSx4kwgQeIix5 Kd/lzaAZPctvEZc4Dy8x5emeTGh9mJNSduU5YcLa7OU+TDbjmJt71hZTIGhNhoRdhEApXvLSdsBV pF50cyfUV4tbRpli8Z2++hFgUn0Y/ViaMbc/QtMmuaC88Fr6RMb/9SuPS/xGAbV1ZYmG/3fzqkLY 0He+SfyFstMC1mabQB8rdcSUJvsaKO2/46uT2emikFQe6/m4Tb+224dh4uw7pW/kUcRa8I8KIHVA HNUba/F4fVCKBItVB91BBUxYN9wUX9QV0arbSPwbdH+Ioa79v6It7YMA9IrIklq8yUKyNuyg8PFy anNM9W5oVL89Ag5IJjGxF1tUn36ZudKMP83zZvgJp/TkSoqahHptBDfeQwFJ+bglkUasEYRU7oqM rbLjOWGcam5mYqHpbmrIzjbskV745peCo9eR+r21Y64La9OKuSm5fEngo9egyDut7qs0o1PlOvsH cxEW62pAhqP6QjK2oH+MguV0BE5sv2Q1UvGyM0aG654lNIIuEiaCFyaqi96ruONITHfLHyc4VNBH CZRG8jq5eN4B5e8PYG7cxvxnNyAm98KUPZ0vmDsDaJn2GhVSw6l2ijQms6N9Ig4yAIJjD2bN6QD6 asMtRrsgzomfYbgGFXmCkEw4tXQBrdjKTogiCFG2SzFWV8fZ8T47jUHDpOfweMAIZOYyTQnlCAsY Rsu+DVUeFva1T92pSsl6PqnbcEZbttPBxQpFLsUQsf/O1j1lSXasV3k5he+hY5WYAO25/TjHU20x MkU1kZL8lU0i6cnl36Wqp9BQ6lUl0GvsArDcm4uMvTNzjT4T2agIcQs9XqogEnd1d/zuHuF29rtT vhxXALWkL7cDfCLCzkBFPQ5PlIeNj4MHsbNbAwHTqd1pz1nkVjfrcTq8STWgceA74QOFliQd7tuU F2kHgOMi1RKuK+qlDFe+Z5gKd/sRf2oS5/Wx+v27k+SDQrLM21VHl0Zplet9C3A+FZ0kofJ6JKE2 uKhmgvixTznd0gul2gbvpoCbS66CPma09D9xZi1D1GUW5RcAevVccJO8xEM4kYtJV+gdF0ncKzwj 7uZ+PLyeWFe4IUMLAhZHkjS7apAl7QuIz7IenoYlTf8htq2W1qA6bi5T/A1Wj/66nXkx2I7F6iiO JqMFXCF1oPfCsNKpdEFsWm237RCS7ErN+70AiG8L3EVNq0EEZ2yEqXr2PAPIKOfm8l3G/m6XLheR g9AQDuHpYY896ZvWrXtFS/XY2YXssUlYy3YnPO6PdytACm6kLudPHAN4wNHc+Ok2L/xoH6fR+jjh lBZX33iBV7f+HWO5CIqJ0mZH7D3i1LSJvuvaUHlPsoBKv67fJyR3ClClq2CAtxeCxc9szaEXgq7K 58CFj6mpUaZpiQERNZiQ2rZuq8amzt35spAp9EnylzkvBoADZ9xtjqV/bUBuq6G4E5hHYYEAjfnF HV4dGg/K6/m3LB0YenBHoLhWsyxr2kQhO6atWMDlB8Vdg4YtSiQ1EpUDw2J4VZ3YUnpmQQ7dI59a IVcEeqA8nYRLfuVZk+WT+45PMw1nCZVEJRe7c2vHwW3dY8RFrmVqARuI8a8qyn5hEKUfoL5gxNmA 6IRS/mfqUTKLhJxskAWim/Ozaha8/UcS2KByOG3HBSP7mayPENLHgkFQBkF0/vDLrJmYd49T+jP4 SkMujI6FjDrRrmuLvlLX6otJqg1pI+tIRThx/vtIUy3ZCLXWpy2vxK7owykjZ1pGi/hmy7dlZfFw gYzsPkkLt/DwrTazfyzcdX1ijOLbetaekkcmaArjBHa0D/vzN/+d8YXB7tXqN63YddI3MbD0oPuf uWIL4y8snvxtaA5/YStw8dKROa5nT1+3hVOCFXO7V/ra+j5OniY44mPvwmUjGp+vN+caqGz8FGpS 63uJp24I5a7Z2oCu92taP9hwv6EFCS6kkzy8IcdcuJr/h0xAVYD1lsfsZx+AsuN9GsD8YjEx+KDu EnuPraTxiOCyipBCfF/inpffFwzmaNMo6bCNNPwkjw5CqkOKnhIed9SWYs0+tbReyk3RZHFHLIfq GIcueO+7cs80FocgtGDjuxlokYddHGkpYlMryzl/E8mLiS1ZNlhwLvuFigEKTGoakOT9dBmgVyHa E8vKKWzcEWhpKceSCCPzdrwBgxGSvZjCigINoBp2yil+DtY67iMBJPPyd/5pod8XdMNx8PFYgQpz p3tWUhAz+kvidSvoaL98jmsWai2zoSxBEigTSL3DzUqW3fnB4Wb/vke861koXHZ+j5496CFUX72k 4DeMSa8D4l6DEWKxRRbRcE7fup6lBPl/7tmniK66AYy4kESW2IY3ZFhFcrC2EEV57KdRe/Omp0Iy mlvxoiklQlpSBaVgQcRvCFyVcXpEOyMYcQUBSQrU2AfxFC9NtUvj/ExrVJY/Qhg18TlEvdu09BJ3 FUyjBNkzO5HWNvuGt9OSPhZvg0hsAulhOkm142iRfPZN0a8PsPUvqt2ON0D/aANroZjIfJwJkRkQ BiWDjWCK316oOHObgqibzv4Bpwekkfm19jJBqpP3YJFcHOJnCd81F7Jp0sJOfELHo9tIJkvb6niN rP3hyWvUlGZUWm9/urhQJgf/hcBXgqFsC6oUfEdGl7WRcs7BH7ekY0vOnSlfU8EfSFco6lwW1wQm VmLmpRcd/5LARtA0rVZj88kReLlJUVxI+7lTWldb55M1CJDBZcZvxWGzqmWTB0g87/QrdK9W5sZJ z09jJmYYBhmsSl8N2rkQ1qs5v56/yq/rkZ76aoG4u0VGZ30Yi6xSi1drlGu9YQSbvrXtpW1CLIaz kgbnlIEaBXYNvWf2ohuTD2/7OpNu+MGptxJpA6nNfp4sEn11Dg6VFQ8M1OD0pvVircHtC7pikKGV lpEPzRT4HBQWfyDRvDt2pidz6w2SK+X9wTWbVCAl+d9LJoYdHDq4d6M4ubNHDnhTkeJlcSfXYM7V UptBC1td2cSqBmIo6SqNp1r80xtd9nOUZPpKauN80OhFg4S0Bwf0czapQSPrfFwkejuXvuIWN04U i7nhYoLMYXhzej/+cdiKSNe9xYhuhSApnJrTAVmJfxARo2vSJjWi2nEiMJSt0ZjOR2nxrPfVeS7A T5Xwev4h1R8aQYuDJhXoRrfWN/PxevTL0egeWMNndWpFLa6r0bb1QQuqD4Qsx9rc71+EHLlgxw82 q9MIMIBIC9KItWzHq1AQfQIDJd5h3p5FlB6IvibI8CZXHE5kHQwkyUBGUs5RtYO5BV2ZRvXTs0kv dAbZmruU2Tdr0FEWqDNTT2jiI97w3IwAWw9fWe2UscptFvkL3AN8VVZ2TGNiO2L9CLTCrA4Dm129 w52wLy1Sw+LYlOTqZKqyKASXUEWry3OWcf+SbOc60opPjyqFtSM+IT74nvF22AfFdHDFn8FGix9T WzOkEf2BlAYzlw7DlK8KEBlUd8jWoY0S/EfFdrVtFd5/LMqpblc3IrUMCPwxeOVbiPdp2Q1kQA1l V8A4cblabnj+GeSfju65M+IJHnif+BL7JmtSk+jy0B8ctFR828Y1g1fWyhp/7WdaNlDUsG0ITjkw lS2VLP9i0eGtcYZEdeJW5GlfALtcMslmxPHAdoi7boQKY+PAobNlynXYAhQCBv6Fq6kJf/usMT/P 3b8AucNK1DH5IqwlAHY6IORsHIuUDAkJ/n9tTN6K3QZSb26wOEZu6KNCm9ia5ve5OaDAWFg2+FgX t2z9uWOgJDPHdNY3BZpdzqoiOZYyrDhHv2fHPZ2vLxv2iOAWPVzLQVhMExuAp4aq29jg2D3LlYbU Khmnys+gbbJTa/Al9wSFmWS/WC5atimyMnZ0itdzYYp3lBQBjBabM1S7sRpzGHpd494C+9U1iDg6 kAqxU809ushTBx7prxiAK0osWgU3wIIJm8TcGld81byOXTYCB5A7EyxqgVXUHVjqpTExpQ3CxExG NqDJnJfCq/PMOm9xsdVJ5w4x3IOxnPzjPoGzXMUNcOJqlk9ZuyJ5EcjcK71VsUDeiykWcqXEhLDq 9iSoY13zNZURK5LYlcCIeyEzlIcILzHTNWvs+nfvTDUDIEcqDVLMA/wMzZcsbMWubUsU04Csb1JD qQ9qdXuCKchgUP3EyPOcNa3aP8ez2m7I+v7mtcaCzer928pWHHvJZJn//O7QTEc7oBh+4d+V3NEB +iHTi25Gb9ZVJYeVB7+DekbTofB7qI3gB2aOz+UUWQfxtw2gEvq4D8XCl5MnYJMONntTV4bUoLIw /HmXsSQUdVI9JAk6YC07rLcl56UKHO1lRqEhoLbvVff79GC6L06PjrJlbOn3UcN6rFWlSxWlMjcE DDN1JLbTNplMhlJsO5mSGciSL3xbh78/srk2tZii26Fdk5tqD7rm+WJVSONTHIREQGNTz841agWF vFcIjXLkn3vY74Klo/pEPb7gmW1EkgL1dqhrsH/WeTO0N3AetcTTK+yQieqHT3rDJByk4LGlPe/F 3dKSuhEZk4sxhXWMgDpZJy3s/Q9f6p6FRNyrkF19fdj+OHmkxxwEOG8nC7Rc9/+4gkW4U6bdAiLI ESgjikbxiViw9087bGPKzzG76u+2h2hVtQjUc1jqdJdURdTJXrw95X/+pnkB593uoW17vMx2mRgL jrRojpsdNJ79fwn5df8lMZzis72tzo1w4HipPEwTDeHZkp16spocnQDuVwZjN4Fvcrj7hfW6MtNE xLHUOJi3fQM61aLrSz/84F8/Rcyl3TiLJ829TNIHroerXf4uKbuqUJAG8puOJFshsqTNO+hS98bV vQXqCwd2FdLG77Xl01CTjr5FTK1WynKsaCtJVoADPOV9y9v5bGvvAJFGQ4Xq717oD3ICM9E6uNv9 cY4j/KHEYzlBg5XD1iDJxizylyGh1eETAQdpZ8vidkXvLqsXxEbNufffh5kIXzsqtQa8FOEAo/p5 2shxNq/1jRQuB0xf6Iaql2SeAvwnF16O9sO1LP2kXleMTKl5IAOs4j2L2xRbUm6gSmac38ah3Dze M81bri/ux4Vfsoeq6FsNo9cQjq89vnpkKfqWEdRBTOGRbWk7DKp5utG0gVc4Zv92eHrvXJzqdbA6 dNusjGlhIvVXGpEjq5QLsvRjjIrs7sPvL7+fb7SozNb+e2X71hQRe/VMJaGhfn5S8iwi7PKzVIHR SdfDu5jIJHOsNwylG6h6LpfDTfbTFKH9WOwwYJ7Cl2Q1PZPsmO6csBCKQzPmCJMC0clxmvui4dXT 3C2PjdAqYH1ZM5TaImw4v8N+ln7Hda+XIBuiQW49crr19sN5ZnENOzyOjHVvl4Bu9+7wTPZ1Sbhh jKkqKXOmxGew9X5B4eV9bEMCclRqfNEI6RD0W4w15gKJ8/ViVSa82suVoI/AWdcHHsplPb7LVPV7 c8u+xySKF8pk2X2To3oULDXin+KEpSxGoBS2dhzGCBVPBJbEOGJZInozj3F7oSH2vu5Yaf7mC7+y ve1zCdvPhmFQSr3hHDmup9FgjpuEFJhNzNpwKJBBIBj7gRquXqa/hmIy18TzErx67QapUlwVtK8j jMOIZJCXcDoTzIZxUcAEGzyXLYfmQ3PQXz5UjNDjdL/EzsEz3tC8MGPi+1tGToeu7+N6dpEHw2/r GVyeI0jhfdZED2zce+OquXbFBDsN7sfwhqdZeUZZBdr8a9CDmt/dLMsP+f5qenrUlrX2oOb+cSEO LuhFxcdGSioDuU1+7v/JznT9h/Xsnft8W97TUzaUfJ8kXau7utAXl8qpyPuqXklij6dGZFYgNbjb GlpQ14CsPBEa6hHpIJLn+sCXyjrTu7k/w+zw/rZfTCTYj7yyKiuFAVghkZbAY/lOlxd7bSMYIWu2 kToWzNsun6cIyI3N1JGdZQCtWM5qFZYIJdLIzJ2tvx/QWK4BglIEHnEzIUrsiKYth2Djf968jyN2 PmnYKj/PjcpJBoLiWjI6f2qoXhZoM6yFPRJjvpXpgjEXEbL7RSrL2f1wxhEgizoum+JBmhuyZ8Cv ECKDpdyevAd12Igke3JRxcgwNwbSMH/aMqgixBWl9qlojZBu0y7Pl+6kr2ta4kXhgwVLplo2XfS3 oyFgzPuxBA6hCot65PUQ5pALgdmkaGXZGkJ30H/PCPJRBdVyzHHfJEfuV0Aa5TlcSjMoqbKSdJBG +5KwH6cAwRgDMuERqzVqiXwJvhGnp+1zFyxC2Mso9ybzCRyqzP7IvMzUY8Ugm+CjVrmDzeFJEpEn e9fG7twrv8pibikjK6SC6BavXJyKqx5eKB7cPIl993M9SATQ/uk4zdlxDNKVXSw7epzOT5ml+hXh Sv7wXzimsFwGNJFd/MPUorj3+kc1lGSe2yeiunfT2zI0o9CcpVI1gzQANoc1dPPbe8i1/qUR4chf 6VNxiULC2uliypGTo7elO1MnwT/7j62BCrloDB1piOlGiOyid06HwdSnHVZtzysmdhmDZtwVqiDZ gIuiWt83mL5C4e8Zs6KUMeYjkMm3Hifm6aX3Fo9Q2hl1sdPWUF+DnHRN0/ta+/TSnqrTp9hvohWq MTQNGsRjGP5QmzslXg/5jtcxq/viU3KGw/nkR4JsdVqmecxTfYO73mFA4ubAZJh/HibHhZLFMxo5 KysRYFyGhcVDQYyg+mXCNosIOxBDpN5TSmvtUclFfIFeAv3n2NeKQ5jxXc0vFADLE74E7znlL+96 hBO5fpS1D4JZFQOlRCy+Zl+Eioubjg6/RUrY9sxyyU4HbQ6EcziSn/ECKQUPphMrYo+Bdwvm9/22 D+UhU7YES3vL734sx9pDh49+x4S8HYUAWzu7a1MbFi5Grt4st7mvbp6mRnG46De36jhMxb1K3m+s BODg9ron9B+OdLCCC1j+pf+aecAc3PuZndysSBp+n4sjm2L/QXQVDJFcTs605uaDixG1llRukkB7 RX8Bxks4wGg0gYlfTI9T1FTXJA4KYCX7rAR59nVI7RAfzmnSYjG40FSFcQvkRscvRf1uGzRyGLTq huRLhmKmHERklteB/32lENajYc//yKkmSlYYmn0Un0c3sPAck88cBuj7wM1NGiwaCeISYGGmOEqQ MYFWbZu3Q+nSoThyQUoBFIwfS7vgFcWYIpIFalxB5brrgE2V9HG6HyNTXeqtLotNoxOB5KjHGVpR FEcpgXj7j9/sf3TRUsRbI7X/KsqiMOV3HRzi23u5AGozgHXKktj/pn0kQ105wE1OTyLnM/n6AHeQ hVcaklGIYcaVl0tgLNWY0bWc5LiqlwSJo6G16XH5FByixCs5Wab/oZh2N/RH6EtZu59/A1rXE1xc I4UQKECNZc+lZXVRp2QNm7EF3Dd7xqZgRLgXyWFPmqnGoKqmVuzxhEWTt+qf7K17KdgZaxTaOovj hm6puVZs4YbR91x5xO6ztzQh19llR9LzzqlCI9hTpXqZa10IBvTbbtTNFnUkmXKW6/EKifxOC6Jo 4lDveI12VRN5PotHPUmLumApNDlc1F6T3E/R3780NPLl3yxJTIqsxQh298SFhDz7p3LiUTVte2Us m+Pe8egKezHJ43y7wlkPWcvXlUEEb5r+5TVwsoAL6TebTm/n39JFImPF4nOv6sKxd3SfwSd+EPXn MQ7arb0aoIN9f1NPmZuxS0Ai5NNy7OIiX4dVQRMY41XFhKlzCCGFdl920ztLAIn1CWh5tRYqu4nv mSpHykhsk7JMMcU83Zg2aCIcIt76lvGofyxgQ6SsK6ks+VqULodCUwFnow0AOfFAKFd69KOQq9wf MIqHS35d/VsU1wZmSYuxJde8c5ls9iyYtQ0L+5GQ3J3AdmjunrIHh48J14DhsBA65PfIVRTuZZLE 4bNHDSEmX02PwVB/rYvNLNKU3mxUzjn10Dw/Girbt3g/01ysT3pJlbznxp0t6xXPzXBZNP9SM7nU HdyHObEbmx4/W+0p2R04m9+jsFg8gkhdFVkKIHEqx8VSdBVqs8TVZzRQbega1lpuMQKiuZD8TdI9 ebVhvzYz39wiNN6T/Ni6h6nsJBq5W+w5BulfrqTimW9nGMHbHjYrNnyREp36gpjwBqe0yLt8Jbqr B9sy9m970vjMOGDhJBBVncpLs+BvSJip0UPUV5qisNruE5qpk/tlBMqNMBq9/C3JtJHNi9aqEi8U sPLro6rDMDfrLsyG4/KBy8SdUdrgHSutcUXp9AETMD9AWXe9u8I/djb0dDCLLYc5mJ6t0Rd2dxqh ORWc/+cgJQq9L7XybQN/9lHeRyAetuu0f8nLwfCQneiCWQApGytKzPtbveAy+aczbjolySZ1hUqk GCNIsAPKthOfzQstCVTmd487afx9iDyFYexg08nzLGGD9bAiyf6wFcZKh7ksvefnKfR4RlC7kH4s xclP/iCiM8FBQ0ho549BA2QH75ga/UtXuBcYMkOjFwvZ90ovchiBCuX8dehboaF5w+7B4D6nqbzc 8Ia3CdJ4SsoPbFRyYjX9+CUcFezHsgOjXNpnRjWJ+mp1haQEDdAC7+nFQk31snRBRQ6GRcWw4Nfb sjCoQMQQWKEXsDO4MV4NFCN8O7/qX0t7uBn9X3AIPJOZM9ZQw8mtaYuts64HdWBDqRZcJnfmDPbb 6W0ooJHBcTW5P6bqLYBDeTFfl2giucqtpr/e7Y1z2cYk6IQux2UVumecywK7JzSq+c6wfpjTAX7k fzGsp8Usp2q3kIz3ZOKcAh6bLkMdDExs64rzpO0dQFtlFab98O5tt/2AO6bfxgWLn5GoHKslBYIo a6zkUCwhazFfFq+wXTMqMZjD2quevckCnJptzqwJCUJip0MKFXzNMTR92tYZBbOUHoSbKkjctC/s 1/5qWG42WO8uKrZTD6b546Bp1Fscv3gTVR44BUC7KDkCTk2esF5fLNajNBVqgSotDTKA0Z4iIjl7 5GN1d68FjtAMTMnxiaqaXWwoQbC0zOUrMxTFeL08h5m+HnLBciNl6Qiu2b4UuXQTOTzQl5I6mhHF jtiuLaNddNOXdShhTzjNqSBK/DwaMP6Syf+o1AINiiMk4B3xVXyrIWx4WeNBDzcvntFWpjDm8ShY B7FFKNVn2Sxwd2SjNB4HQXwFrUn+LUH7oabr3rT95Hip2n/24UVfyhydXjW7twvRFET6j7fFwfgN F221nSZ5CEHjc5AyX8QZMk0Tz7JOd4+jEhVDULgJfc+8x/7G3vRIeO85Xtf1qaJoPd8qy3frfmYh pEvT4B+iaJQqa/lf6VnBhD5znp6hcRwW49ha9Vi+NStO5zX/HnlXfxiOWgwbS8SiM84MmPB9P19l N7N7q5O1OEvtaSyNHah91/0ntdzv/WFrBHxDq/8A7qSczRGBURqmnflwv7AY8PvYd2SfcsgliGjc Msa01pcKESGQ0mrUDTfBPne7VxdwP0vxhbC44jwh5X0b8jvaJtPBawXF5/FfPcpPEA4qSmdt6zip oKxfQKr9WkcpGdbCXNXeMXt6dGs+BmJAG5VPCiTKxK4CXPOMBESdfYFUpDhJBNHrK+MD7UTdT8z1 s3AsZXFC/hWyx3HRUykwXSG1TdD8J0fSxREnkHZ9Dh8S+jf415+fGSq/WAyUIF/k7w/PV9g5KBb0 RRZ3YFwcubfxwZNiFuO7tSD+Po3T02lFhQ7zpJk4A1rH2klnbhK7Sm+AtXp/JrEMmtG05ue/yw4I P0s+7ttr22tqa+pyUlye932RJMBBvyDhx9x3CPDHI9PAnRgZ+f+n8SwmdY4q4bmIxNIj/mXV+A+X 0kXnEIiCOH+Uw2MKJgUcbJi921lgnOdkQiQOfrqzPMjAbwy8ixGvd8TT56YS/4evdguo7BBsX61T KvJmUVz7/8uKZ/gHgcXng78WuQdcTM7W+mCj74f6FI+iUbmCsnupTpyPJNcl/w2Rn+fNkWcnsKqI 93/S5xhR2IyYH1yIDIXBf/GNcCt4Fi9x7lAsZd7y6ZCXk4GrMqEi9Ll2uK8v2wd6RO332hmin7Y5 OIllPM6hnweWvRvMJEGp2SAY7klK9HpLmx49r8Khmxg5pl8kFLU9/aamTCTrdKePZFUnRgZ+c5Pu qDiWSe2tennDKJsLwP2vLf881e/BLC/nWv1aMOW9ful3Xa2j5W7UP2kaYLprSi7CbeSe2onnj4Ff DLQXefbBKOI1WD+2yMMyQnsE7zGHsWq7Ue5Ede2ZKIhiuObW8YrOOOw+vzXPbXweSlY6Q+qWdsAK 0T8RbKqIrP+m9+KiOoVW379WzzdAEjmMuy5gKb0IxVMC5A0p8+5nhmXiplMJAXYuWH25I3PGLTpJ FVPrMAQQfeOFZz9ZnY4mupoK9Ymh/v+4y+QYVYH6zJC7/O2lWdxqK9agv4NdmrnUdMyFKm+oRbnl SYlhQ6GntVLo0SmVqhWPL6I7ryvbt8XSpvoBxuYq6o+jxwehtc+0G67K62UTfDdYSK68kAf6GCFi ilW8qbsEUoD+vjmrfCG1I6+oqyY1WatHHKhHXTcb65yPIOYJX5rrKpPqR0u5KGjzaW6lAe/DsrpO 6oPXdd3SMwpwkRwJbZa30DqPDh+S8wgG/8JrL9G0ucRER3tqfW3+Ax1JYERgM8jZi6W/QM6Dy8ck grd6ehamLtlN9cECJCNDrevK7GHTNyao1Aes8tTC5u9DYxm+Xvh8HQlRAJDq9RW2iq4YmrVJgO/F eyBKrp6zYPTMvvp8nJIHFx88WqAuNPiigDpwoHUkQWeUdC0bJ0pC2ooql7w0e/+IrjI0jpRAXwVE cNghFKYKhGzr43b4ic5e9eDkc7hVO2LZs/FlF9W0r+ESj5DXAd5sWTxPVGPE5IyZincrhU702OLU CN5LII1I9NKVpcneTB1Jkl6c5vcMLhn1Anqu25gizDNo4XVgNySbo1+W7NMYE9HqUy+I6xwpTvgL zt/BTTPykoopNWaLY2C1kA8O+FyHbyOHKZFrh1WKUVX6DBpIgFdGDZMwmbLKmFmp6dB6McCmJa3+ 14rsX//2WwfUbsI0jJqdVKIcLRefGz/Xbiin1y6DT9gJEhsZ/BbeaY2nGih39LEWt2v20ZoYhCJ0 hv+j8LNRpQRSS49qCfUg/4ElUZFOdU03DV5FpHG0zYcq+oeem63jD7aPTQaQbkEANH6C3ErRYKC2 ad+tia8nAmB9/1tOGXpzXShXreLcXRux8G2j7KoPpqsEqX48AMg6MiwtgdNgmqmcPyVYqR2oqraY eMVOAIuw2ts9fS3J/PeDDKy67+EkwwfkQ2ri4O2mBIJnNczd/Xq/3PFc8BKnhQzCmAAndus7t4yL VpFKxZKo7btWscHYQe1hYIMYoLMVRz8I5k7EzeMutiIxwKbHNUvuDyULt8edLn4C2g3/KU4GAa0G d/u3vwhyEH/0UTl+KoKzM4jkZ4xlV5nuXSQ8V4xf4n+kq88giCfSN7l56gF4PruVgN0HvpNYpTeL iy+AJ0oKbvS5mFH4OvDO841q04nwytKUuXXVFTHBOR1YoY0JwmV8Olkrq02iX5hWv681rhikzSdP Wog8AnzCbZVPMVwoV+zxcHbauLtplz3+PTTbQxOFnZB/a0dbUP4BNBEq2CkaeC1CpVbWUia/y6wE c5g0k+scJgGjIQjMNd4dQxFi7TPentRGQo/+IBFqMnrIOHPuEc+riEdnT3qtjBI0RbTv6woEdOVM gGN2gMTKKBUmSiH/wqQqJA/6S4/1t0GMlgR+RypzLtov67mdNd6zvSldRzjMTI7SPKRCx9jfGA3c rycory50WUaMwTNZMiiez1lkWIOZzJDB796C0W2jz31h/GJsMQvRBuex0hTly9PC5gqFfxfcngPp VMZ8G+p1Qtn1YOCG8fbL3ABwWyWDROTs+JNdQLkDTo6q0kZJVZm4Lm+c4YVzD60EJLnH2TQCk0bx c4QPGsm1BIwywi20xGKfscAtB5BjhB2L0OL/ww9LJhfP8ts8vm0WxI9Cg+zqWM39DISX0/CNyUS8 IMwFO0Mxb5OwkBoYnqHf4iVajsoni5k02fmE/I7gRG3lq0E/uiiCXfAeFRbc3FXZaNguu23StMm6 Y4wyqrGHM1V7n4g4TLecoGCjnqNAUAhOKGe4mmwKzh11HjWFRi/YkoSlTLsVrevfepcdxjwlFF7K 8yUUsNsYjXFYog+OOQ4TB5EhNQ5/1sZROE2LIx0I64tLjOVFyqW6V/eEdcv0R+QtHrSBJkyWLpTj +SePO19maJJGD4W9qIpKCf2UNsL6+dZD3aB1uQCxUuS9CqiSmyT9Wunv8tRBj/eONbCwqybEfKk2 n1Lc/+56w8p9sD15PslKJ9h6UKc8nxOvyOJBukqoAW2U3+hlbr7JRT2ipNP7okwL5zJcSk2RJFfd Re64+BaazveD6pbPLNxjPFxueUdHfpUHwW/1ODaWma2uZQntHiNi+cWiRMjwMvUM1eZFKwGwdVrD 3aOJRcPuDBejnY9J4pLvQLOCxBk3002gxv64sZ/nTvhrgkCNqJv4pgzSzCisZwTJa8+B6wZV/6Qw t71aHaiheUqBXeK1Q/rseCJRxjoJTsMrzeoldLFjUjwOINyfnODz5PtYPIGm1WaV0e/mEkwKvGz9 S1hb2K6V/Tt9HF40H1KifgmtECv1rb1yIn/Tk3B2DfI9AUG3Ab9rwEIqNkySZ4UuKqcGMfTQ31cE sawZftEVNZ5S953pk4xceUJ8KMEmcDysPa2mS5DGBSt2Ws9k/nVvb1sry5mxJnrKFgutGj8/RwB7 /vSau+LLKfqzIMrPYAbg4o98eEnQNUjTsQ0eJDBZFkvWa3ALAltRVMNQnlTKfA+gR5dPA8+WbuRj pr5Tzge07AF4HVoVVT1HFVy1OEkOXAAMn4gS/bnAdREL48JCmL1XGwMRwYq82AcBuLgY8Bil9kh1 H1vGmqJzKjvwdeu31H1yhwmZEV9gyd8WObSYP1/uklDO016QY3kxbl45TXmQR9j/mEEbc7ppgsWr jn8ymXppbcm8vM2eKN/g1wAj5VGwSsbdyhqpSDtW/Og4nQS/IKNkF9W4nKgfy51YS3iYUiubszD/ 9JtoaITHUZ+QK2ALjQFUjegmymJEYNp8H2A+Yf8OAL9cLACH1WxqZgX80DI+CBNiOTNPuo5X4U2Q od9Jchsvm9Q6YfuLXdgke4llorN+JU0ykXAboxsw9DaI/QGZ0fQrCXAqYs/D78PmLJdY69kir13b pAuel5ZIw0ySbHlt/Iegf809xShr+6J/jdzteyZJCYXN/zZG8wmTdn2pfVni6dJ1nF3QezOCSPQb K3HYA2OyExJsI81wzrgAZ9VhsZ4BTkAku7BMahZP6JDcABuRM+Vpz75eSbjs1zqbcHfmLax8sTF0 2o4C7T4kUl5QrS9YZZVkJ9wcbYfYwD15f/jDppzvBAf5G31jnT6G45sFPbcvsz747jCu5gOYmmjI EMpUhTmZJg48xT17SRkH/iWx7bt6BmScpG7SN4TTCA7UtPyeTvVL3itr9C6I7spcyJIJc56K5wEe PsMtWIWfmdgZ0vGKhq1yGoz0Ga6ZYS6aIthZdx54nEsMGR0nyr24Ky4zrSe/JjTI9avUpUOtlMOQ VCv3wfYAtjD33Bjhhdw6he4fD2vDKDfnQ8D1efkPJg4EpAMaf/ZswlIkKVaT8PmbMgkpEKUkO9ny czM3G6pQSuyDYDMpkSMwt+r6KiYevHLasSl2WZt/E2T4NZQ95efmXVEXpnH0Aer4PJ+adbkGkryg vuoMGiwQ0FZ5yWxEgPhdH/TNaDJXHZ02SVJpXJ5lfpwgFUVXSno4NiKYwgtEzXRgh8AQaBHpFi9z MwTT0qhTe9illHau13yBhN93ejNYgBtcMxUkThqAwSYle4WthzC1WhXSWS0972Rw1orNzhM5ROsv UWwHJuJ0u39kk3feDboQKh4TWTChrhxd+KXU2bHjE8SjPcJTriOkDck7YsVY7+U46YwPiGuheAKy kXeXwYjndRr5o5Di7rc2jceoBxrdOxbzYKGwouHpMp1vQVG71wvdbJTHt2WMOPdSVdj5L2W04+uR 4h33pGK2sg9mBK7FAaZfLL3iede8ql8MhbnSjZAZYfrITyDNYfuk0AzoUpzY4xaEtxOmdQrWMLVR NfmK41OlG5N5PWtVEuVp8QWw2VkeEQESEH+138wtNesFoGLCyfHnq39gEc/6toI7jvO/X2UgRrRc tsbb6hzVDov2U18c7MHtB2N6Olb45w5VUaxULhyz8I+rhOcOjd7ik0GGl2aW7eQ/6nWpPr2AcCb9 UJpriSxLpo5k7Fb1hNXDRhS//W7fiGvf587V2RX8zl7WyxSfYitF/74qDZRs8KKWhU7z2JbYWWVO NZNAQm9RTHA6KqtnCjSpi8s5ouBxLB/D+Z6feOI9xRSZLY5A4iKxhT7hvUwjBWEMx7sFwXQa2iwA 456VZcYPdMngmBNncIjXrxmu/jHh621knaSjoBW9gBqzeXafRd4pAtKW6j2khuJ86Xv60zSviLR2 OSTVYm8jd9iaCD2pFgqqqzEp9b5VW/XD+REQPYDOHCWoZqu/c4Ls+OFuqfCuiRz3KhzyH7DsATLL UHnyYihIz+axTCznM1X5kMcDnL8TWfSbwQCfUSuBu5BoYi/pOjIC7sx4YMCuJp5Dn34N7VEwinLS FgjJVPaMpt3VVSn16DeTJU3QyxfynrkQHmGRID5Vt6BVjfY8ZbnimV2uYu3pvwx5H+dVZAdBqF9j I0yhI2YBbDIhPVdm7tgpgyQwHjlOUJEdEdBZFiClpszKPjQjkh7LGVrr5GZtKiVrqWD7PNNFXVqu qwua7WrHWeM4NTRdCUX/WDKppTmy2z5A/WPKpqwqSAOroLhNMfiVH+0WTZQpnWW4tRdLK3egohgw /2MQ+kSBJ24gUNIrEkuw+QKiCLe94Z5UfG3I1bHqfvPRzAY6U9b1A3WyAqYbdzGb2upZ3vSDNbYY 2/fTIw3Y63mxKJcaOTOGhvoxw4eQ6mC9/3PdvHScZWjPQ4B+JUSkGg6WBhi3eBsvVXQ7KPFbpeZ1 DjEyudvH0eU6JOP06stYUH1bbd3Vv+0HA1X5MgJJWMngKDAw43TD9lp4krUdCYEh8RcoMiLdvJxn DWi1Tk0SZ6whdrPRY3AwvB2POYo/T/whZSeELgL+JExtksowpOrLX5wbqF1N0jvUjvbIA92Qzczi 9xHiF0Q/9mK5NYXAtFSjphEdFgN6DLYyT+S13DICiWPnhDuVuNOQw2LK7N5iHwzzapWAp37s0Xs4 Hr2WtBbFO5R0Ov7oEa3F+/BMc7Am3IDlP9UsvN7GZ9+gn65KK9jfIxkpw+gtaAthpWx1GFr/hcQT xwmmEJvLqDddC4Ua/PRVUWJioLv1SDLK2Q3V1MAizkOWJrXJdwsgMm6AG8zq/7XPBq0RD8/UcXy3 n7DDjGQk73+jfN4KzKit/yLQxwu5nEuu/REGMi2Gj/uo1S93tVEsKHH4L4xc0CioYCBo7tnVfYS8 xq7BcHKrC5pGjs1jIYoxcKK9ettRnp/AqnheqaXz2XIThA26GWigFfe2tKkZIC+SC3EjiMNVWFEG mGQgq4YgWreh6JQUHsL/kbiwSEEwZIBoqa6VW2XqsCoe6wJipWuIWFKvZvH1Znhqv3Cs5P9gorjz TTXizK8L+xSI+qq5Ez/IVajkreJxmbxWYlxRNDyZcJIvqV4rgKlmTZnlfTIaS09bIwVJf2FtJ3df xgiihrnFdm76vSEQX6xoQR++d4fFvdqqYkg1gnYKvFs+0bioPHHsOUcQzCVxlTe39Bn2pkB5UJQN Mw3/cOlTsQrMU7F7pWz8Rpnm/e1iqjp+6yERT717QrYV31FPQYnr0fZa6S6mRq6djsxBqrW7+jX/ nN3Kzme4tiiX84lDbXlwJSkarvhJiPj36e/7/nFSQP3RyrRty8a0LGrK2SJh64I2CiBI5oh2uju+ drKKi6phjrghaQqSC2A43oNtifkvlz6cCsZ29pnSCbKlRHwIzy3NV1wHYYlnVNxsukOXV87CoATj PEZ00U1DooefsBxepniGh/+SdpiFvv//iDRRdollRLBJ1zb8QFJyPm2J99SmreYF6f7tAmcW23W/ NoFRglU7wJrt0aVFu1NX3OO8fGOvd1A6qthBnC2G6RMovpoAkzSw2w6g9Wcy/LcAb0MDLVg6k6xD uDdM8LP4dEifl/0o/qhxSEO+vNofZLHZBPAt7bfEVtfIrvn4Nj8JFxCiIoQSaeiEuChH/p+hKcuS 3eZQRkRPbXnZ19YB3tZX9t20v2/t6idwgp1MZ9j0XTUFTYtv7iXLf3KXxd7KsEZJuqH3rYM9BQyI Uk3VNJCTIrCfDA0VVHNumzbUTE+NMS8HoogzuTYJl1OiwBtfaUMl2OUpNf/G57Xna2QGvAXbbTAE SwuVDCkqd/2JhEywu4n8Hvb/lzM/+rOMnBpfu+Yfk0tXxfkgVg9gN8DJH2BtS1B9bmeAJjC0KBba p5aHeqfUwMQy3nb5h4SYb318jUAc009O+pRbCq0BwhOxr/jVYbtz8gffch89igLduWdITlmxd/JF P7OwSg1kxnaFjuC6XKBGtPiSgFCcqBVQPUOHpvlODP3E8T8EAmzTvAsR75v0qzrlvyUTcunzRZch C4TukASvL22OnFeYY22hCsPOuchO1jAOKf38eycafH5itxvHb7fVj9ipU7LdDfh6dJ7FVlJLkSCU UDUkUECz/tMIq01JomcNhaQZ6uL7DyAIqB0TaCeYxZeUW0Fbe75tXx8SpUS4idrh89oLsLjyFxsc S1FM9R0AQ4JaBRfuraV8aie5NiV+YSL9OvJ/deIQaRsNZnqVKOJ/c2mzbWGPRoN91tjK/wLRqaki mvcmjH7O6Bl/UEtQJ1x550bGP/W5HvjrOQRZWD+ThZs1NdfdTtwmeK+MvtO6sfco9jBmIbamZ0jg 5yXjyZpRXzf+cB9XytS0JlXco3b6JrFgOP3wwK5C7QImT3L7FsbbJ9VlASjwDLxduX6u3WcuYrpU 8m1/uZAC7B8/uFBcCSRiYlEUS/I85wRVk/ychhgpLMD8FsD5g+wKDS97nPEOaIwA4wJ+iZ2e1aew ovoKeiHv74Vr21jQhe+n8KrMsG35SQXmTA+FNekRjMQ1tBPziW86rBU8LpSzdjgiSawF7WuB3Pcb +kxwjYNYwKQp2w0kjnFAVm9AkKXqb7O8AOBzQwmZaDo4kYsTcBCSgkbUf+2zd4Dk3rpyT9V7+m0b s9hQ4t1LXb677Mmcdana+U7MTOgTIFQAZOaVrsJMEizGtbhSHaic4T/8VNlSxS/gCiR2QpXpts8E weSLlU5W1ke8asbWkhLIuagN4jfiaAtsqdke0RBuAqLq6IhgVo227R7ZGCudQD7XBCdNaNryUPbS 7lWqgeasU1q9tSuYSHfCNKu7kYFZP08PYgDLqwVJrfuRGFkbfRL6P4N4xbc4hYnOcy/TuSDajUEc WxPXcHwFnO3MvDuDtns9B+vDzGQjMu/HgdV53YwqVcmcLNDa8SyUmiYwZlONQ+xMPH5qNXfuVpvh sUnZwUxBisyH9dtfwypxLFcq308DDCmrUgv8OWboBUiptoJ/BAftD/qvoShWGmmxoLjlwBEqojLy LWCc0skVng0zB7B4ngj4IjBwdmi09tIqpdRbDlhymX85tzIBo8wW1ffdr5Hktv7wQ9l9ftKKc4dv /4AkYG9A3G+RRRsEcbH3emDl1jYvPuug3ow9YCPQ34ukmLKRihwZYbVjlzWr6ZVuKXHP1WbfQztU aoUwARqYU9ElCcOUDO6YKeFTPfvWFxa2jK2m1RPaHxNkpcVY4S5GuZmQ2dNEhCwXpq5EB8JLQpGx sPMbxA0lBYiuCxCVHFTel/pgMw0afH1OAJCMjDt4+OzucyR6AAG8UrZ4aBKxXIzgsXMjrKPDm9b+ mqp9panvZVWefW9vfKcJYDuS/JAdfkoo8n76qTnkplCIwaSvBDGO/igQHkHNeFK10Nso+2AF1J2R TT18FDc5+PiZpPy0aNtjLZ1hKmnZOwJ1WcZ3uQQJDEx0WMY37sfKhuqwHPFh2/t7vGH0g1cwCIgi xoyLQjzqODu2onlDWkpuU0Lcl1NM52aJo7TllyGfBLBGjf1Z0/u8oRbC0u/MYkpZ7ZmcqG4d1PZS DLSJIvzMpvmzDVacQOso+VxW+E3kxeF/0bln7R4MTfAjVQzwwY/rs0ciawR9MHh76a1QDgQGLOyN X1Rfp5ZFvu+fBGDzVS1bnhMOxUu5RyOhqzgeDrSDONA7Wf3nYHdiW4hNSAr8JbuuhOJQiICT4KX3 BfK0ytrLqE6fPsQFztoNvGjh/vY4c6fqGFXZK+j7VAgvpN7YSpNFM/eJC7Lq9/FYLet9Cpo4Bh3c 2M3S+Fr9G2I0khHdhvQLgwtvyoDQW7VNNydom2DiwPjXqFLa+hHnX+XQPwFeqvgI0MadaJfkixzN 7KZxUQULsKMjxjD7UnRnvrexY/3qiBPT6flSoLOo78dBqIp/Ef1k/vk6DWYgysY12rfsJ0pW52z6 SzJP8NCxRa1f69KtVVIblOqHEgH9vRPu/kePm7VmTcRcsBhfoZoOHE7d4U3N7riLRMiu67bE4dxi ST1s7OfvGT2iWBcELon5f1THzqa6mwNMMMryCNEHnpYfBF4errBHfP5ccU0Snme9BFIpeyvAnWcB wEaDj4dHiI1s/0ufgkykMcgD6WDkri5w+3gKJ8DFoOFrTxGTgbv8D2KSMeNN4KkUUzjBLb+kjUna CmZC6f594QOO9umiuadru+FdIOcNcp3Yp9hD1f5YLFOTOs827o1ghsLaL+z8ZCbdlmOLj+KWHppT MyMMpKwxCYOtgpwYK2zl3PEh/8eXvsCzaXEBhNk2egxeOQZS7rZgsAQJyN7IqRzuq8eYg6v17UoW iYk7IpJrAqxAFH36tMWKbIVHH/NDwAWDrhPDnrHj9Bdn//c59zAbU+cNuyyuivzjTPea7d4dCav+ fRssOQudwJU/DlFrBRXZ/Q1dhZZb3tKVh0l5MIkInqyb48AFAREYvYnYIrtBxXxCYeUShuVdfEMY px8bDhdpvvh8dO1zubxNAfWn3wJG163SKppAEjbPndpJcHvaGjcOBszTNY8XxgXlXS8PCKo/GObn DWva6buRrkTcrCrxpLqfE5sFIkAhQAt/zrRYFtvRsd0gDYWt+rWspv90ExCV3jhOjdSc3ZCPAR5B t4R7LVDb7e/T1A5bK+37sUlKIYe0XBKb2jCZBPIY9FvsxAGZIAgMM/UozDS8Mt1qpn1qfODDrsdt WvNBmvh/HkiPpMnFEsuf+5vE0LBrdJ6ZoqscidUObTIQ3gIh2YTsB9hKjikO6+rc8Tv9rY5CDmlE s2y4yLsKO3OLbyNagvGTo4hujky9ZwxVGn2WOm8Ty97cbvxAZdgP+dkwUrasXrfKV/7XsTSc/R+F WGXhizxY7nOk4xpjgksr4+5dqHTxccH/ta9A/xbfUVSIK1C6KYSUX5Jqk5m2QfRx5KS2GIEu6MS7 qjANh0xmvMJ6a1KGt2Y7QNJT78a5AX2q86CmUi4moX7opIkcE+4f0fCJtNCRfsQevO/bZMJOSvkZ hq2AB5ju9J08lEsKV3vguWGj60ZVh8v3GPj8xc9+tmYwXsRQ6gSFmMQ4u7V7U6SvJcQs+nlkgtmp MDlKN2vbLXM6R+Xbvudv0woZD0yUXohoHDY7sbF2/blppueK2C+/INCLUuIxMuRukXC+CTLjoSIR rhnaLYDD+baipCT3vUU3kZgtkHOWpvIfTjDj6OMUO+1Dxk7f25OUTrdfflq8Z9wP7o8A1tAXWWXq 7XhmXRvOTp2WFA207rHkJ8ldIABAef+KHIUd7TaeSuS5mrhChmE6nlcP3CSjFdRHe0NhwyTAjyAr uRZZYn3UU8XH0dlmvfiV7j8RkfoPYK93lD3UepVOYXbJcSAVDtw0RCQ61XWV4YR5f+QqdKpsBWvq PISEsnySTnnXi7hccUjDAigG7dHgyf0mqjcO0+oZAoAJt6F4JVyWaPivEQDT4rhQRPpQL95SF7sy Xh+pVthMK1wx/5sCNxrZ4jFA1VDX+Ti2oISD9ruy02P9ASj2Z6LWG2q0yjOhs6i4kJUieF99E8VB +OCrBxi5dUx5i7ah/xHMYPDzMGTm7/lVBiGncFAx6a92lK8FVQbvTMaNbelAeXdyAFAbZ0Wi37hv Aeef0jOiE5DoYFHJKttaU3vocx9Xn3foXDUnCZiLYVC5H3Fkc41MurABwEi+9NqyCI7Ev+OzhHh2 oMiUJTsHEDMCFC1rOko7VsNgUN/uFpxjXyS+7KUe0xZs0WPFbmu89sZvS3hjrjxHlODfkp+J+hFk DLtu7fq5NDwaTd+Yv3jrkpdl5Oe8+9svIdPiwTE5I66XNL028eadoVP1kl4v2Wl5E8fc0w+mPFBP yTZiHE4oXkVhOcUq7tY0pznzNvhDFVIyWIJPebxDt7Kw7crFGuUW8rZ50pTW0tF6w7IcMHcFnbXW Qc+gCt2n42diWzJnygoEB2Wou5/p2eFu/VBljrNhbmQIwhsic+nsP3nCOJPbyW+TquzMsR78z0y/ oyFGMzQtDN9Mq+thkh2RXIo4qI4b2P/eXntCDts29gP8SjtBgPapZNlGoVl3zt+GKd5sKUitliHB UdvEYOBYIkfWSqJFYBkhu+0eudxP2k9QJ1drjQ6Gz54n+Q7U/J2hijgcTw0Hk+W6RG8n/t8DfhUm 6LgnzuGyYR36R3Ti8kY//i3/4XsPrnwt2tDFiI57izM05KjttQ/AoDZQcYQ4LIOyyj9Udob/wmtg a5FOhapKHBbcianwE8IYAgncKoK2Aws5XVdaa1U80ohNlErZZV6BtQoQ/w1Q3uLK0/MaG3dSSX68 heb8NkNtbtMcwu73dCtvAsqQUT/HcEwxYg8nxibyWgSW6SVYc5uecHH4mZXEl05uuOv2iOsR0IdA izlKBe4S69zZIEZQo1XlTUvhpaud5L+cRgZ4bik1kiwzq413I/2VDRgnxuPKXfA3Fiv1piJkCrZ5 rzsQfGKpYQFWIVER0zQHsUwt1H3dIGWtNm5sfT9bEEcxBdITn6cwAIDUCSg3RojaukOKtrqiAe7C Bo3yUWrJyrHgjFDtTzHl0Tpi7fYqMllVcRcILkmZaNxzws1YopqDa9HvdwKWZ3oV4pHEu1LKXr4f slLZSPJ9u5+dmcLRvlSVUDe52HfY1yglDTV3c05eV9U/EaYJ5zGrHkA4r2Kl1es43Vf/XEGuunZZ 49iLQ0hjTncLcsyea8VJPgnF8AfKdhXkTZfmpvwLhoCvw2CqQiaxBNd8CQr4Q6+xIKRklu3z5YB7 3qyVV67Np3rV6CUtxgTHxF6CI/Spckr4k7iwid8NuoWKBkVr30YSKkGcrpmR5WOc0PfkU+QsTjX/ ou4U9mraTKmkuQQEzDIJHjmm55a9QqS/7Os99GSeIpQHtHntvVz1BKUAddmJ2DoXcHlWbGpyOp0G /jNCrb1XLZovjQ5+90J+B95k1bjodHoQVKCuSarDIOAiA9fDdW2IXspdkEjDlFcpeKQlZcTQDLMy AucbBpOIv4/wNtP3WDjBcTJ/7d+x3CQ6DaEGznLTZ+OnKzFev894McJBPNmzAxjPAaGkM8VTN0Wi w4ueSzL/cJIP4iCQtCLaKqbK7BWQF2pxi7JW8m1BQBQHJYvP2lqcyydu2P8TM6x+1O74+D20yaos 10z0drmgzZz5t7Jw9wAldoF+FS/2YPzgAFifTG9WppomldZZW3dbgMvVGp6P4Wp9Y693UQbp53My sBQv81XhZGXDrl4Bg8mHjrJmwFMAAz5YdiazDgOGUOhC5RQgOUetYBd4cjlQ+PjYaVDXFU9NQdvy Crb1rUJZ/eHzkNgLuZczYsPO+ex/C/O+6UJaf0nBKd2KaVK1iEzXw3OZeho2gpjq+H+iGiwr+12L 5oWgkM9Ze+p4zJ+TaBT7Cq7T5Tvq/sAfWi0ex5aeZt7+9/pHim9hn+9gLVql1b43gp4I9T49JcPE /js/L++0n2TYpNvaCPwVa1yeMrH4eCSwJRwZqenB8EfbV7jO8t3/Og0hocGATxJ+XzkhTEwplpuq lmLRR5MbUb+FVnPFifFSXNMsa6Cj6WjCrK+Hby+uBOFlrVmDKYNJELaocOYzAfQlBJDY0l6YAigx jrHWtm05xLyCN1SHJbQw9z4xIv2GfwTh/8lVXKOKgmnWVO6OGsIGaISB/gblhmo4OvV6/RY5fPTr KTgAqvvp50ESmzfF/WTl/RNjPrC3SWhSzRaTBmb23Hf0PR5hX6PEebC4A9HqxwE0qFmbp2RXLeT0 Y/FgmjJBIbYl5KqRU/uqSAXl7kfwkaZy+FP5CmHKOwxmrn+FetBIhU6shsiT1KTpNSHgERbjpVgV Mt2dUU4v0m0YdOGgnJ6QcoEOV6R3V6KoA5qsY0B6zTt5DrWdbl17mzBY9kZHbyzrp2j+N2VXxEEA VTSx1OZuITrIjT8eI0G0mj2zgsoSN3IRgPeHANzcq8x8HD64VLItDw/l+Lv506zwY2BMsPnZNPBK s6gL958BR/K0AC89wnF7hE1BfP0uSIMaKDAXfRoOf2vOFZ/yBiRq++y3lE9JIK1tksyLFlHS6Ixc 4ObGxPtT05Q6s3fAgrUYgfG+ROyn5ZTS+grfcbA6Nrpo6hrS7T2VvWmJqIbOY1POG4Jda1ZAEW9x Vli40b5YMr5M7Fo74iIcn3zrrhNUIQT0//QGi22ybr4t70tDWEVCNRKgBwD6BRbO776yOxuQC9tu srB5mfRg4g+D5zOMKPmOLs3IiB3JAIQaQnau2YZPIGMyYL4Vhki//KM8e785H1zxLdljHZKnC/3w LKdIeAMG3VLhM2hv/D/mY5OlvqEGtGqFuyvVowbykLrDKueL3IkuNu1SSx9O0GcTV85S5Mbxewfb ta4uFLseI1lAQuC8uLKX5f3UNkamEBNLqiu5g/XoyOPrnflAmQNBccuM0hMdampeGeNxKhkeEgES uX80pFNpwvWwiGN3KpRilePcjP5xtRq1CUPiKoHH6R16ZFZP6ncAsLbsWBwOIvuegQ8stGV7dL/D xgR2I6sE3oDchPBlNC377PR2d2otgs24PgSD8hkWgjM6o5rmRAtFZJ6SuTxOH9CeYTWw9zfZfLXU UpuyOE/FyupfkVaUrqDer3AeE8PMKtI7KQ+qck2N7ISP8h7Bmlhk1pqYbFK9G97m5/RcKVH6BplE sbNHzfAx+Q4f9n7Cs0DNm4J0OopX4O1RpkdGKMOIVQg+njBAoHvcRFVLG2cLb3ND3msLTwn5A4oP tTP3sEiQeiA5MIfNxhPbI/TFzTfV+qJztejQeAWRWPov++xYpPo6lwZI4Qhrms4d1BfB8WVi3Lze mxcAkxxILBJx3fJLNdRMtB59JxrFiqOuH4j8khWZcKOVT0WFeVzMjBFz8IkdMSLaa29WJyPJfrXM tB9dD0Q13Jq1PV+M2x2dG5X4QFAlY0r9EJ/FZeZx76Wu0GmAOQJWJWVgif/roMNdI4+x0Pewbziv w6WmdIntXTSodtww8HJHlGhiHkOKGLDjZ0l+WhfkIFLtjkc6MFUVfjRYPFq4YObFemLM9i2+u1vw um4aouZmdxJ6Eq3PdBlOStZwO0+vvk0dfn934RI61i3XPIyFm45faQG5q26VGZ+cGknZzx3SKqaI WJNp1EH1ObJrnTKTHC48VaJ5wqu/MfRh+40rgzjA0PLYGWovILsPPpZfusklaAvDO0brUSgQZXcd HT+TMRv2hwjtGgsMS6NyrnCp+RLihIWOXfAavs4rFvBisEJEPjmqsTqb1UUPLrIwgZO4FUcBlDG0 6F1DbCCvabb4BdIUiX5wrTnBRrqu7bt47ghlhgmkulZk34YDgvTNPhnooOMdtqVSTPx6lXWzpX8X TLkakbwck8gap4tbyFmvOidWVz+s6R2Vf2w2K782VTUDtmBC3Wj7mARsgo2PvHWEn6+X4FDxXuyv biiJSYu+mkUu6rZ+iPFaTWnVzC+LP1zkIecpm0B1jfoNN1ZTtxuvRZizv62t1Ma6zjEzSF1iQe25 yAEMARsvSk/IP6FS/cw8z4lPIwzk8AEZ5vZqJUO/seqCMrLag5C5nGNVuJlLUfQDS0v3372BwSdg +MRcdV9I+r1IK8QWbU2uhXAYhK5kTXylFu00V8vuIvwUQtJTBK6n0vOKDg+jJvpIZjZqNrIKKcnj fOpuRaG5NlNraakPipB3TF2eFW6Qz7ZPf4OeXt93PMkRQHWT7xo5ukz5/3+KNirCt2wAjoDxKRm1 0xVAfK/hfYMe4GOWKzxwQYTnb5IR6NEyFs3LG/8n2LHCTUoKAv7V3MnDgq/rSWkaAewMNfT5lg3i 5WFlhP9MdMNq2l78SY5SatpqzdWZBfF9O+ly8n9gfMKrZpNP16/vy0pzP+xHze/iQpyP9onwkFEK 1s90oUYnh3atUq4tEhnT/dvBEusP3fZ+RM73Upp93/C0nFPJsB4gI2R6el0oBCmBuXaz1oMf5C5X tAtJSiGIvhI7LrMDSDuSO3GHPlD4e9cqkiqb8BFjeN1yQGfAgkHTua9Rlf1+AGTl6PAgTwAwjask 7v0IzCDnGmNcue5U1bKFiXBBNRotU7QjAImd7ff2g6rk/z/juz6H1CMAEUii0dOlZ0h71F8WS6Qe WAXp8ILzBnTquB6HBRPCiAyPXwT6S9IMVPjPyQC9tsJNtKnDfDLD4S493ODHy0G8cTAdztv9vUoS p018ZjVi1E9SdyR6ewTwwhvDUUaRGVLljwulDOddzj/o1S1B7cKoTSZusRBPDmJldZbzJ7/CCQKC K2a9w6GeB/eQWQLnV5BKHWbzwf1nxPexg7EMmwZc7er7LBE7M+75RNmDvJqtHvvqjoN5OEk2QgXS mkL8kLoKmtwIxnrz5tvC/V+UXq0UZJcZLZlDyhI+0UQcUae69HQzzUcVn1MkjZAZ4K/nj5Li/n7v NnEIdiiUA/DHWygN/wOopl7cc8CxxcKt0WeVH5LWOD4yMOFb4D77aMbZMUVEnzA1pGsHmb/7UZFU 0taofj9/AHasu7JrfxH1LjcbGXvFk0IHpYuOjk8Oo+y3bbrLNcGt26BMrzHNF24AdDgOnAfTf649 6x3ySQYbF5Zf+xxpKw70tCqGC5f6Q3R9sEezWuR8ducwoUrmsHdDOIlX9ItzkPUjSjmPG+dKmcBe HtIrG6lu7h/Yq4rkVTakxHvz/O8A6dIL1EGFnU9XoFgamAV9iXENkTfyjgO8J25LPfwuMIxHY5c0 wpeNNfOTyhyM/tP7pHj5swNXrhg4GckGqBB46BWPEljGRa9Xo6H0Vo61LfLYZj6eQAEpLc4laNDB SOZbQjzDGQaVGfJUtCZw3oDW6avnWcRABqpw9rt7PxX44f0MIHOMwNdAgK5yh0r5nhmLBe7w4rtb pN3s0HH7DIVOx32GXPKLcFyiYVosdlmOXl0YwkXm9KsFaK1BbvBdchCfbpu672tZxyUHDEe/m+Y9 6TU/qVkFVkLxfJ/VzOjXmb0Buv4FGcPACET1PSq3RBB1giCmggFYylyGNMQ286fCfk2Qcb7Cwz7W H4gz8ZsC8+kQPz/t6XDp6IEaeJEqdrTyg2aNAXZbB4Gsz1EAoCfzRSD2cw9+MfK17TxQZEwVkzOo S//BO5++bP0Ar7doHm1VpOc71GsWDpOY4T52y+NaZf69sToYLbnPsqg6dn6BUQaWeJjqSf5VYFF9 Qom649DipQiH2bYRdVgjEsd2hxzuIRx8+dGCvrcjpOWSvtRjLcHKlHNP7DU5YKj5aD4GERf3B9Vw CeT21ir831D/7CNt21teqox/FPQOElyTVpMzDgD/vKUeLnwxK4MVwGO/Fr3zK7R0K8z2P3gW9SEs Q1riE1lls10XxOHTG5WxDBT2pHlhzLvwxKJIocMd5Cwi5RPVYROZIh2ken7aKWQA19/VJmvu25Oa B/h/Dp33gYHNxrPed5qHU77LmO3pSXsiilTxOtqYXIyWz96hqBQI5P38DjVfi8hiDPV3tZry0JI1 JYGtfOTh8xCD2wrLjFnu3vJ070Om1WgtQzpth9/OxBe/4acxrkevLA39NLPyX4/R9S1MW2uE9c80 MDnQ/X0+5RF9eCvisE6F3cAGqevdUtVFvoGZoEgrceCLpFUx2smG6SOHaFlMPI11yC16iUVL9RMw SZ3cJ2Dj2x39TTUCg4s6x20zTLdNGJzF1cQ58LiTgDCn/4XApPIMBAHJz1ug1Z7TBe5LhBdHqPWX Y5XjWbuid4+EYandFnOlLindR+BePf052nu8ZJd5K/d9zRYqYWQIMmTfubpF7Ad6WMS0fH8n5tgn iqGxJBBEVJA7DQ6pR1/ERHr8GHSoueDeLXQ2AvRe/K6sd2OhoPO2VVxuk3MR2g5vKeT3d2b8Bzn0 KVhuNslFB84VfeMe+VsVg+/H9+rCB9B707MesHh6WWRvF3tJ94ym1JzduOYVdrJanH5sZv3Nd0BZ xfcHwbLh3wKqT8EzY4XXNwph2YfhTFUmSEh62+o2S2O6fsh57MbbZXX5ObZH7lXGaB4VG59qL48x DrbZsX5sjHv1ZlN5clFf1InYPmrjHlNm75FJM/SrZqAwvTZVhS5Ep1G80zI6+ry0Shp9BtkY+Gxz lBlERgxmGBm1lsc+XE8TYmIClfJw4Hmvhindi42/8ylkBn69e+DVUmlbnsDVA6B3hosknQ/4qFU3 YmtvGUlKG4hvsWR0+vWwkHl32GqEYD9OpHGqbmTQaKJHlO+0LdmEEBpNRHeNIpFjvbd+ZTQz/scz +g0gmvxagFqsFCYrlpQo+pMxYUqDJtGGMBwzQTL/U8wWj0VXiu4EdnOCqmEOFvomqoVrd0InuTkQ GFh3qBELSXshwOlTfsWDW10NIq7tLIt6pdIVccy/Rh+nEgqx49hwkGUplTKgYgF6mZCSyjKRG+vj wD/vsm7FgCkavj7wz1hJ+Wp4JVV5ZBxqPC5PNMIXrCjTR7XQCOVdhvXM2Gdb3xGWk5lHdQhDn/2B kaDYs3nBWay4UULg47Vmt92X9qKVQWR9RYMp5SDeJUKfS98LTVqrSGZe50sWhcW36xQ3kisASnmY sP87f+LlmtdK9NdKCtmqjgVtlo1qULQHQf9Xywvf2DTCp9Xz3mqSAOT9cnLs5a470QgFKi24r5KS 0KyhMZwxaod7Y9+AT9GcpEucRnYZOlZWEMUkjOlsUlP/WsfCbVOo48h9iokiKS/m66sGcNsbHTqe qxxEW+UAQLxzzaW5umuiMdKFrkg63O3qo4uyEs8/z64JZJyt5XBzoO8zvbXc1sxOiGeeTa8RPsLP H0WEmvJCxTmQnYaY3dT0kDfOpZKqBC90IiW+/ZYJ0iCTqOxIRXOIGGHuJ7ZEl14Gu52dY8X+5lHn q9vmNOVOWwwOe5jfcb/1LCeB3HfTzd9ChcIJVAM5Mv5wfUPnpKyxeDnlxuuXXmHMoPsja7MUCxSR obomk+aMeH9Y37o+YgfcXuIlmqvjnGuVE6jJWWYwufFv19LzfXVQInuN/qgCDlt9acLhXKbnjMbx BIi/VUxIXUgg05Q4LGv2fUAUGCAmzzh6hF8m0S+rrRSPg80N5D/pWXI+FFzvZffbiYlg9FX7JTBU GSZ3RqHWt8icdhFij2fsyb5p1UZKuTQM07h62O8vX29IWZwpeXVmK322gORxnjxpGmHNQYhLw6fH 9Jvr/iZLINgnaWIL9NkvfPv8OTjlnc5vrmISnrb5+/+aeJTpEYgYyfrhqjKfaNDgxJcN+aVEieAK uiaVsUg9imyIcCr/qio+agzmj43ItlhVhncORBoiHEZb4rSFVoi724Z+tjl9QgrXemJ0JlvINbUV VYJVKmL/ihI8Vzv8x1ltzdrXAnyFDLuTcaC8f+P2SO7ZCkW+kFRKCcsC/HTGjfC6QWQ+MgQAXts5 4Alr8wUOIG5HF9vNJaNSXun+ZlMJYsbkt4CLJXi4ONgdmV0UMxSfYUM2UxnXoE+SnRWFa1qWcx3U bzzB4liKdDo0+mxTQoYgAfFR+S0sWPDlnWezmU+xU4jICsi3UrApxJIel1bkv1ZYGmvskUA7G9eG 1/Sj3APyyFdP1yhne9fFQXlrls1+xfzfYdSKbBiemsI2iBI82McoAWAEF68v/bfKnGkpmN3tNwjo J5doyd+qPu4WBQXv+VVob3um/Itq6fi8Bkcb79NAVvpJ6jB53c8a0e6hR8nAJO4IupkPucVsqpOq rIOcdP5216Q8PajR+SL+J2bAabyPAw54wLcyl0vsXk6zQVtbt3MWMGOBIfoy5uYedRS/X3yBcpHy IZuMandNFYpYxseJrbPt8+Qf4XB3UoviSw+vZmr2/vLDswL+Fw2rx7/tAuffWOSlQTG1XaCgf3wO C08Tk7ahhSsDrDVzovBWZNO816Z24aGsskGm3QxROYtWTKzy4kqcseW/m6wlRq7s36LqWklC+SYt NHxOi2DIHfmDiD6vdaAI1jwe5uCmYWMClBDE8fdiVXmTifqIRHo5kjTwvRjpywj+3YxkZVUyoUMG LtKPSdTp1Fni4/EvGn1NnaKQpbqU0N31xJGHbI3PCAEXqKGRip1gpvFObhDTNP9OOIO0mEWupZHY 7bPwmtew9VyFF5fawMGxR497wt4KrgI57Fop0YaODZA2JkTxHRtY1+buc6GywUI4e97gLoq65l6D ifE44ybwDhGGDpbI0Vj6DwrWgHgiChRqIMwagYqWDVgsawg78EHBoUNFHOHbOSkb+rYjPZ3QWkpB ccE6gdbUGu4G44UFWoc8ZFoEzJMhCWJd+Ax28NeXTdBa96lgDVin0LTPfZD9ZA/698WeBjCJEgSy vyhrtALJL4rlkWxoKztrPVdFcXwUbG/tpdGZAzKNp6rr7U21HXW2DdEY39JkVC25xFL+tILLPFB+ RdeU/ywkOymxfH51yOKMfqacXtZlHT7n/q++MQZyJV56X0wWSCSVmDYU8sT1EtDhZuiKQ3aM5yHl 68To7PBGwwWN4+YhpvtRITXRemgYCbveZhmQaGjxIQ/a5RcdviY26x+7he+ss1uBf+JjQA39MeaA 4AOdYOaKrZSoYj+eR5MmSP55ls+/ECt108sN41xPRcneSJW6+7F6X9y/oSBmrNo7pR3Wmc26A0Y8 DupvDcKIt8sQ77e7GOKyXmeqqzri4o1wwbWtguIbrOSW3Wi490yAE+hVz0OeV213HCMLY/SYT7hl dbW2Iayq9bgJBPytK0BBSoT9jiFYGIGRzxiyti42QmjguRuTZwW37fnHQ09lBXbPeod1wExj5TC/ 4Kk0RZUnIkNOzkQFgNR0MMdzm55568s1HRFQYoCck+NgD6YLjP44yDr9EArYio1H/JmEn6lSMQb6 QkJmHww0fOxB8QBA2s1Z8h3/WbEEMogoKgGtLq8MQIsz96Cgv8aeQP8trMA/ioyXt9taOKseW+9/ tBWqPSUojpuoUXutI7tgCH/z1qZHuMxg7NCtmI70HTP4lpTXSIxfPD+pwU3mV9mrxQoxMefB/hCf M2Kq+POzvl2LGlgFy7SkkX6/oKr1jPbhoH9RIIuBLJzo5H3vvSsAq13jroX2+QocMwStefKNzqnu rkX85U/afyFUtdpFGRN+/xwGTNnwgiDYUHMBIkw5kOZNebs/sJ5rkX7CjRAvxE3GVJIXkCpV3QC6 EeLI6GzTNbk2htImhv7rd6gQp7cruedTmpUKd5IxSe3dTGZ4jop1jZj5HctR213S5XEo3msNp0UX 21+GWyJjL68K0F0sZxQx7F2jp7gAAit8BQESxxswZgP3N/Db6+bLGiDOL7KtwZ7eiPulbrwws9Xu BeB3hbdnXtc7pzlTUf4Yqmr35YOFe1K+GjwCFLpYw8JIujzE2t3E1CgLDrocTTvm+Pv26omie9rv RM8hSKHFsEtFvBHDGvkZqERRbVdh5YYEIA3hmSrzNE5Eb6heYd4jOgxv/q+ss85inRZ3BPcwS2sQ dRkBVg+nTnM9r+Vb1YNGyvRDPfSVMWcvLaiwyy0h7ZVD8eD3SuylVz3m9Jt0rMK0LMoIXzyWILFw 5YutqgZygqr1SWvEMjFabW/3xTrBPc6YpUzslQcUG2MthYeXKLsdUkpglODUhk0tUZR46ATZTy1P 7YREoLzmEYMBM6saAgFPXl/MNQFhOdAscrdTRZq78WnIdTLpQa3b/ykLvFyYXbfGjTIFnZAc/huO YEoNV4aJlKkUJb5ZQbzx8Czi30Bg0Qb03A9ULjVZaGaSJ0a2V89itb3jekPziUA3J3Cvbk3dkgZd 9kcXPJfAGlgwFCakh2Vw8tZBKQX2tOEA9oKfBT9BDVr1OtZu1bPhCyaUgOv+qkaVDu8MRv2DYwD+ kL3E2UypkZBI+9pnKMo2Rv+JUxWpR5P4xa3rw/1lQoz3ICxGxSds/Mj/h/iQWQHfW4M+LieVcT4r mO7YR6HgHnBKaFiQabU8BtRz5vU+ULyYye2ERaHODVnVD5mZwTM1lTRb1c3zH0y0c1IbTZ/vWjYA pYX9z1opi9ysWyLdE8yHc9nlaUmCLXGro0Bw8ArGK8UER+oy3HIApGJazRQuulx3YQ5Z1ug628Ew LlPSg0Jr2tWnl70My7TWEP/ENxaFUyzhtY5RIZZ2TFujWc2l+s5U36V0tKSE0lACaOgA7qt4fAg4 3fOVM4ELDKpAJzaK6guDwgbV1BkoK5vIfxUDgC6Reqjbq+RLs7NmbmTVaAeaDbdWVBr/JlebZe/h yO9Rvk7FB5nubdMyrdOT65aOHkfFoJIOUBIslVjajQZIlVPmmX+8ieXOEhmagXB/lnKlkFA5vmDw MdcStdHCuBaApdAwWAT4GPcYfNitIE1SKs26ozJjvE382Nj8CIDrCOhcTAYc8sm2YobTdM6lg82o qOrDLMOafh6DRF8v7AOUBi9odt7LaoHRg/Hfu75f5pF+/OJt1Yg3gXx/UzGc7WcnxlPBndinSUej ntHsR+Boxr9ffqDf2ISwyf26hRelQEdHbInZFJH3/rBuP74dUn0dJo+SHXzezkIo0tT5E3tU2BzL Bi10NnMHLFydOmuGE9VgqZs5UO9RmjCaORo9dMwF4YrSXM26e4oRxy07UwDxBhoLpqIUEDzVbfsO BFoT6aVcbRjVnPYKYf3U64vhLrmQbvfzKvDGCwe6KLkLcdEsnQ+HBHDafW+VQbFqzGiQWzz9B7+B bZbVZ1fJyzNmO2q5+d5uF6N5GvgSQRXhe2cgVEdXumMQlFNrxlYI7rEc20BYuJcO+6eIo+tDYZe0 6Zsfs54ZuveUm2vvIIIDz29qQ6GUDSCL+icDg+mIK33VOkStjF4TrhUZ6uyj7vnWgaIYDtwKq8z1 TCDL+P0qnlhrnJtsl6NpCY2EkLOkJGExgHsR1U7LO9JJtoOqcWrO2icwVM9SMTmRmVFs8ak49gsc Zcb0j+KJ+ShwECKZSiGr8v+mx571Ny+gkm2LqJi10UJ5+sV+U+ozZfgCdRtwXEK3kmaOg11PeehG ZRCSRBSe0nrVsrlUWUATZjxv22RU1+VAfmlcdH+djSGHiqXrYmz7MKBzPAK1kqnVpFdNRHJ1RODF q5a5IyiBus7zfyEl0NuG73Si/IdPnkcnaXY6nmA5m9+7lqEfUTfttQhQ87tmX7hSEKsKB3VdKqrk Qj/k6ASxz0fHBuXHTFlP0qMPumeLflYqL5TQQUPdyNt33HAe5tOu4ci5rK4xDZ8dr1bdjAXWsSOD 7k2mQ1yvT0gMcz6K5GX4nH6MAR5jtBo77DefVldknNMmuS5F+roO8iE0GGgmOP+dkP6uAI2dQAkp rPlb2Rq2Ha8lEQ4EHrX1Sqh6g89idxvgfDMCPsG5L/DuhQZ66HCtVS6DOGI5OtHT6cTE73yQkP2m Uo0xH2i1oRl5pLWE8ydjtwwuxNnVeXL9nnAuSmiiqOrXzBWO0+b9GCA5tlLe4aAWtmgiRQK5co6R rNtk70PNm2yDasBNgXWW4cMkDeCjU030ywViNTm3Qak4FXLeeB9WTHOEPCeMjWngVPc3f6qizQiE lVfLIy+3Zh2+Bf5x1hWHeFlA6Z6va1gISETrfIP6L56xH3lClkejoFcOdZiBBWKhlvmtNmos9872 fo223AWKIqvowEjygRVWahpyCts8yQKUv3K9gHlVG674s+6N9iyN/z32xFTgMfTqePknYxf8bWMk 4Ul0wOcegIp4nKR+7XOhsSlcjOIQ2vPIp9vx2UIVTjRf8QFVSAmg2hrGr/iUVQKDhsi2J5b6Pfnj 4/fxd/f12oMzzFeQAdPiCzXg7F1tmKgyGpYcDm7bIUT4/16k6Au57dSDmUxvFko4LBx+X5+g+3zF R+IXvn13ZvBMCQsWTdvTQE2XuCwUH4l4F3A1nDTMM5lYDBxaLaLAKa9wCgYz7PvvmW4OEIFGqxAI JL8Dd9p9SfN89juSwfj2KH876i2y9n3m3eU19QW5pDymPlfQYeAn+2vf/kGU/8DP2D0bNDPbZj4i q2u1ZyfTIUzvOCl92/1AqcXgGVgnBTgo2bmrHL5nRas/W3gYurvHXyeKDfLPwISUnzqjlZn7rAlM eb/qXRTGn3lr3s6CwUpR+eGQjhVw2YLYfEn1ePST39JY4z/2IN4vCAyPNpSCee3ZHv/3QaaaSRM6 VFrmxfq0YlfHvxMR9KHpgFLKpk7ObmE0glh0Nnmnw7qU5aAOL9nfjyRKgyoVagS99DxGaKDRyhCY tTVRIfwlllW5sHVHMbwGrbvX0z2/eAfTHYpcS8rpAs3pWHQjW7qrKPn/l0F1AczSGIqXcIzwGp3E n2+a7a3CPiz+vifbKZ0g3w/u2+Y4ZBLUoA1+lMG3G0SnNfg3PhdCyMypwfh1F13Z9bve0FrdL+Dy h6Z28dLpUUr8m1f1VM/DYfreLUp4QMPOl0vaJwZHfdFHp2laDWbQRX6Hkaz8y8Iti6xzNz3tYVwk IJY2StAYudGo5gqUgrzMHrKQYLzCEFpjzQDo53+7Nwap7njZVWeGEBFSLhCu75N6Hf/FUDk6ugjs UOJ1zmPJXPFY3Ifn31369VrPsF5Wbl36p3rSbpGxsoko7QIIS9xWpHsyB6eIdnoxdi9U0vMUZqA4 3RZqWYclUKglV5BnB+6DsdZxQTFpMrYU2JTZ0v6Ub6fCuSTYfZZllIhge1IcUNCDxGmJ0YiOkyHw xKM+tJ5qButPdSBJ8VTGErFSIi+oOTQNerBKyLGm3MGjv4g6x7Ya5uCjjzaw1HJc7b3xtBgoVQzz ebNEVzusA80kUg2qfK++dYHfsF/RvHsELLJ7kKJYiU7kRvFqEe6g08pcZ1MXVBuxfdrQ6JshvYNP 7bTZAP4CvVZbkJDsW19a4di0LPgSOnj+ZafS00jY1eL3xikxsSsvz4PEgVW/qRwKYkKeHNc7QCPH Ve3yJYWkFiRnd1HYzz2l33acURuoBLcp3iZg000dSwB3lz5lrjEv3JOoa6If7xvTbsZK7MLR8VeB z5A6tWC7oVYjfJMVLoGSFhSUcc2lmV3SqEXem0P5zHHMU66DryQeQKKLhS03mEGbkIQaQ5XRqPw6 Rjmdvb172IcAo6ysmeHHFRJQL+vg+HblIuWYnW/p7hr8ViyujXo42UGRcVz8GdL6phZ6Zx5iKVQp vHTw8oPzVYGS5tb+yKwjPGf44b/SBzOQqou6uAZTdIKXDD3mOTf1X/scZ7NWVda+Kj63dzGRhJ4S WvRD38y2GZGnn2Y2mV8Nh6LdeqARieGMdnlciIIubO+vdICnS35Gw30MPzg4rqrJbTJrLu4/eBrE BHSoKvHE4mLy+yeyhblMEF4vF1TGd7agyaheWAF9gzzkdlPj38FnoG0g0uMANN1a+jI9f3xRTe1m khFlR1ubt/P3kfokiAO8qNvhAwfI5jh6gKf906P0aWKw3V0pH4lUzgflnhX4WiDhZoYFzFgioe4n QonnYjsxcNmTMxMO/D3LTMXyxpttqAQpgEkJo+GGyyHTUBNikEpGyGAhXZkr/ahReYtA/amBXRJQ SOjGXH+q/w7PqNlVf5f6xyKq+HwjbPeWfpohP4WHQzFQbDTz5evr8U15TKNfHtAgO2AR4wUJTzk3 fjpRMd1k8Oy+Fi1loEsy/DDZS2aUH+Jmm/fasYiqcxcKGjMj+VQzeM/41cOjgzuKBG/LRp4BBN9x cwyHLkaqcJ8+wdBBy1hJyu63gIGuRphJz3JrzvU7Ls+aoMXXDhU9Ua4c+q3I4C9oByF/EM2C8IlQ wFJE9AveDFnJgESZO5+60MD3v+geH3DJr6DiN1t38CwOr+WYoMs/9n9fDp8bHnsdb6tdvSpNzyIM 0cvpIIgKpeguoVbih5IYtjBcumC1g0Gcl0av6mhZOc7v12QWFLzP5wMmRrRv7iNTdmr4LL79NSe5 WfPiUrrJSoMGgkN2qIzK5F2qKjnunlCVwgHVkz2jzrVV+nr/d9AZVlzEHnO9fqbxoDGz6Tf2/yhP EoNLzaVN6W8TJiet8YIzrr81BIVdkgN5bydq+w/owrWe7S7EksDfpP2vobw8JII9J7ceW0+aM2Xv ob/7lHYfTbEt1sJ83kG9oMCTBLyJMNn/1AvXBdqPG7IIOvfHzlbhDelmmPMpZJw1cXGqEbDJY48+ NuRU9e01niceAfScsbJpVU5oa5YoyR1i/f2ZEtmaSlVEXuxYWl+Wu6WFZR8LN22NaKkxcaJaNuIk rTBaVZaA4NUEe8cC2egfz99dhMs8NM+dyaYSDvvTcy40LtiV5q5ZzZjz3M7jrywxk2LRvbcDfQ7z nw7Bo/Yb4+gyhlGXc1AZUuxENcm5XDv2CIAgw2YWK0iCc8bKMpPerTQ4VDdskSmFamYudcjs5aON F+4aR1YwM7GRbzxaUkn1gRQsNQiFcG5K57syWetUwo/hdcXhgzwLZq6qO2yQyf/OrQDpIvqRuEQ8 HvuX1glKQEK1l1LnLmza+CgCKmkNTgJaP7b1E+JsB2xgzpKqUHpw4565MFva57mb/EuRnYEXISqO tA8wn2o9zwBFNLu0KdKblHBsPX8MR4ZFDd09GmRUWUa6apIXAW863ixkyGIYn/ciEN26taCGz1pm dQTjA+i+J1Hv/7Rv3jf3glpXROiP7AFeo5xeGNYphOUkgPtFfp0dHtTPzu9+d6NJSW25t/iTeHwX WSly0uttJ5DQcmGZvqf1Rd82gPWGRwljYAyaQTR/UqGnSDjVu16y5/akL//GIxgENhg6Jn2kRpHB e1B4+xyohvs8JWFIHPrmFf587pxJfUJbYZjH3A1etEbF2SAyZH21D0MALl0NAAix2UGOuLzhtZdq KKD00Szj8tUri6ZaunQoG97gDW+9rvB/U7ps9oe6Y70xNLNu2eD2Wu6rHQslx0kig9mDz5hNQnMv nXzxmzcRVbxltnBRYwPojaGEcYCg0E4szD8OmkP87KCwfJfO3cmpGe/XIftnQvT/m9m8d5423+9i efsR16oMt1+14eZ3V2UjYfz+qMmENMk/MLzCP8OW+oFT3jWZ0yWjjDMMe0h6k/PXGTnQoDoKRvyl 3r4QJUAui36GzagjRpHPtM7kBjp3wQeQ4rvDquDF0YF8pVErvdGnm3JnZ7TF4cmNeiRcmlAhxjbi xfNzM1hvHne+PMeS+QGcGec0YIgWEhhBoSyG5mzKvrF9ZUX0H86NWvzfUJhmwjOEmtgkLn35ehg7 pZiVrlO08433Xd4p13ZiiaHsC2iRT6EGKCvWDWkx0Ppzt8SIkOauieCcCtLMN3dhKiZPKVYfjLgu iM1n3JWhIaRk2dB04bj4R7LzPkFcFntK7mgA6ZJGGfwuPR4IBknkr4/j3IK2khIVaU3tLQkbDoEw BGqY/eQOyRt/cm63JLZxcs76f8Hs6qeoHmHyWZbKqGg3an/qMLXjrGSk7S1+zkANKsfMI5IUEMFt aEzAszxQu+Wp/9C7lIoQKlOKhJf3zS5EvAOzguDaDJEypZpu1s/VArLVSiA8riHFalVhqnKNSTEc L6S21EhdE91twRFMegpruCeyK/l8MpuoHM8ihIPZGSQsfEiL3SWfNiubFqQPwAg7og+bcRUKsZc8 QX49DForIRspsMg24qTZmim1nfbtI++DlXy3I1X1NAGdbEcF1SAwHq+qHsylmSpsLIFnihlKDFsm Vx+2gtRf74vw8n+oDKLgaKOr3daAZm5pZNKtNRCLQnTrlBGlhMCS1c0XIxcYG32+8coksbmkjxtn 8CC/AT/R1e/+WjBb3Z1tyNQ6CIlKewvEsYVTkwMwz7eVQvrYoAqAQyl/8gDKIL/qsAp8OlS5tMj2 76d/A/49naTCccURv+6Ksv/O66CSkkQXFqencipGRFF7eYZgCaCV0Z8vEydsgcr2vOmJf0Lr8wON 1joqRGUWdqRZIJjnPP8BiE8eP95NbEyhLxF01HCk7hpPjxNjU2VJjL5VMwy+iReN+Bh3JX7lQcx+ +sLT3p7tibdNO1GFbUcWV33/Z8+GIeBeEv+wrQAl+5OYP7fmWRbS6xiAiEsVAn4zVt6urlB2vIP8 kbcEyxztv5+cDaBWDs/ip59fhIVaqEPHI7WbwmevWoI4N3Sh9FNUs3LCtHxY/98R3WLhgQQBiVmS 6C72YQ7gUXI/dxmtYqdVA1VVVPFibqQKwp0dzux0x1mL0qzpHxHAt3RqT1/zrRGaI0CYBS5S+gxn 1nz0DPKOO93BUKC8xIUOVVgjpIB73EbufdBK8F6PsFo/euZJydwn5cIu8v3v/bn9Pot/pqsfcA0g b5H72kTzynMnVK+1xw0n82SJt+S9Rw8UcEzVj9wWFlFiNTs1tpjDtryohF5i/lH0XzvmdJFrtwRf umB1YJtVz3lFI9UREfU3yt3Ri019m+f6tAA92djo8k8Xtdu+f687qduZUNnzDNaHvk90R6KWICVU 3NK26FQRB8LVPFkxSrWzDUpwNnaDjhfFfBbFhQnO8Ovlmcb8MYzNheMIPi6lMkhFRVKeKyqhtBGN GnE5z2m6sbt7NelW+2vfrJRspll5KeyKzKafGGcPg5xrmBVgdv08XQWKCvf6zTYzRRCBseHHvMmA TV7RJYcW3vjW5MIE4GUjj9Ge2zldsJLW5gCD0A4ZkKZN1W9jsi5yPU5OxXbImta5z5AG6IHHwdG8 a7PsMjEZx1LjTfUxzgLgb3DJTE2Sfc/3LPTqgIC6w02G+oYE4C56zS0K7FwUwhvyInYou4gHffd/ 1weg0dM4pbEBcJP+hkCl8VnU8894b1YBegxxfRhDE7DNd8Lyj7criEz6AlUlUGf7EiVEFt5bc0SF km5jHX9nGvXxC0zlCftNaieWMpsmc4WnV2IIAFCCL5NjIFfiRoX/2E7Z5Nzbq1NXcBy7RR0bZ+3W mPAxFdYgtJ4/I4fspH1a5wsBDLyDwvb3e2jCPl69NgqaJ2+FQ1fDd7Kw0BnRo0tpqWBUq85DwJlU a571QT8nKLnYoW8DDzsGvgBHkaguwqumwQFyTWXWkow/tnEA4c//3fOc8uSUhk3t+3zixIy8xZPc g9RWpk6LhVOcnIRV/4d/jigba5nQry3595pRHWt1dVCL9EjQPgjDuqmRD+wNHoHR31qNhVmaK4Kn j+QIHAl+sAjZISRJBM56G9oxm5Mi3HT7fP4FnJjvUpsfRLIRp7JudvERomzV7Oka9L5E5XgdeoH7 qFE6aGQoyO0GGFHzd0rlIrntxROcY+ICthYvG1hYkfvyjKcpHGhYS5R1GoQ24cHRn/rOonQOsu5q vtXcDgYQw/DAnSXRzgwDKvhVlFL5Uge9FCEZ8Ur6SJvXcVJb4mvq4yaY+ncZZeiCYIN3RfVZ1/Am lUlorqM19wGlE0awycv8aV6v+Nqs5hPHw9eBHWQHQa//Flwrmog742Iz6VWtOEhpKjjTOIkour/g 5wj9qbjge7+NESwvwE5rOjs2gDufi4mSna1Ms+gAtkxp6fgtx3uPICfiNQ0cjq2r6sXjS500uA4M 1W/NvavKyZu6YaFgbF4eoOXj5KyEmRo5qRurlOc1H9Y2qr1vVU7gAqZCQleOqfxIXOX4PoHEkjQi edJn0Dhhy4j/QmiC0Wj1My/duL9S3dNhvAsKv9u5V87b/BkYF5+OVVJKy3RZoUQy+/c1ApVkXz6G ilpsqnrD5gWA46QfIgH3eUE4MF6NRZ39472rYD3RyhAlVvbpmE50tyW7LmE1wLE/XlSr5xZGVHHR tJIBR2Wp+tE4DnGeFbf4hCbcqJEqbhKYsNESnhsck3XNQmgJkcpn1Mg5UoAnoQjEXwIeMi2Vf4Oh lprl/Fe9zhgu0tiaOR+GqDdfk4T6jqNjKjkdU8o3ZFUDV4ybg2FE2rFXoRSclhfhNWlEbDA2MDPO iDEKUbzo6GLQ5MJZK6azfbb0IHErIg1iuxOVdcApoxwyT2bar7h7WHLlq2qacokDd/cBmpFamo+C tSgoSciIocsiadycx2mPD3dXr+Dr7PR68JEfdUVyCXO9qWU5dyBcGE/Vd60vZ4bbHWxNUwlhdp0g Zo2PRu92i+oe/rfc/977uktKuo8duADVmS9J0DpticUt8eZbHt3Q9bMeE/mG0gFIKyIuKzWREt8s yJBXg54NDXjdpMAKO1ttk7aUqnWFffGArv4fD59qCHrRTHJCYxyPSn1orEgeIq61UkYJaWN4pds2 Afsn7dXo+VOecrKdohDF8vJYGv6S4HlErcdbu1TZP6yOqQ80gWTmSQA9GyVzQqcspxN5H0HxnbHd jyEQyV35o11iWW+lyQv5SFyXZm3q9jBoTRmK5m4o5f9Vu3cTy+FMv5iG+kLKQkHJgS7w0CRwqU57 N9OfYJc8saH2Hi5GvylZp0K21JEYCzcUrAgQBxV48e+KRsTzqPpGAKW1aNdux0CGffKiNe6G0wdr KGfMDYlTBCfEOoG2FWcQtsudCSMJG5vQOfhj1JZ0EJ+T2x0OOCJYJBwxyapMbuUuivqGJNwopf4b Qtj6nOA2R6gE1eeCrZx53SKezF7nboXyfs0PlwFkcwnk7C3w0ZmeT8WcTYFIZJ4/s7nCORGVXwpW tK2sVtOyBANFrRPq0wH38Qbm+GUtIGqbBYpmvQ0KWkq91BFk6sogjLy8CKUeqIkwx37NdcNSgLVp avFiesoFTfqKVSObGHJ8dJIq/WX+p357H+eni9SncUZ6BayGwROMlGrlIFYh0Ny6Ubq8Zunu5dFC ojjdSmb96hinXpaDviZwkq0U/RAxSHiDSKo7lBTiROvrsR0TZPmX4EEmEknvuNbPaE7VW+7K64R2 NU6hhSutDh0v2Fc6xI5B/3ydkNwXjc9gQDRt9ki44m3ySKg/0ywTg9Lj4E9Yt9kW3mclAd2SCx46 v2GvDztycQlW27TJJZDseGUGlfYwFVkil9RDeZjNKJ8Qwtcs0KWgd4St6y9lOpPIroH4gC/vI3gq /oZOGn2VwNWkWFaoT8ulQ9zZ5pzF5OhFco1aXuAMmzP0ItHxhLr2jKW1XH4YbBI6SNLiiVdpcDZu PpbPphXhm9Oi1gJPlDEnmAcGWQUmK9KHuD2HftyMokJUrV9cyUD6oI6rLMhPfkiYvbFn+kk2nw5e MzzkMUy5Ml/wKVo5xSvzk+9OGVDsPt0wq8j2g7PLVLWWGEI6mh6jB+o0+FQw3ePvLPWFUnD/BAET dJBgDS8qt/yGPoZbC9yYuYz4gEqoWi7V42ZtRXsXggIlrEIZBVtjj2GWrqpqmDlBgH202Nq/fz2P 9UQeGVmw80GSy9k3CFQgsrxU5djcs2sgpFBu06gJtAGvFGdYRRxUs7l2shlg9t3FcYC6wYcz7CS7 mp+wUM8ic0xwYqNLAY8lYllYBE9iHghDAp/tMNFCM3Kq2fyxsJQkJEq7uQZQ5Yj/9O78NtICkHoS nNznKX9hOP5K9C4q8P8rsgCnHZ/JthcgG1XsOYnc7G3nUaXsUMVnnCcznRtDUnSoxXauUAlPptCv jG0VqwflTcJ453+/4m4MczMNjGUedtDVXH8ITUjqzb2RO/VruxfHYtFZjdRP6BFC/c2WhVVIo8/7 +8NBr6KWtzhUPISnJXb0DlVM2wzjnsRWWfyTevlui0qecEpEyrBTu8RcCgoCm69Ij8vqHWO7og0S /l7yG5bGvU2HHXTXV7uqBg8/hIOuihtEBT+WjBrDrd42JmWmNWvRnpOt/wJLSI/OIZc3bt4GGyGC NnwsqoRCHycn8fiEd0W7wT9QdsKQWahd37KcAlrhZAuTc0q9jhxviHIgWqMyVDBDEeM3iZqPqZQo VpDliqNgrwpSG8m/Z18k2brtl+qbk1MN/7dbB+WOhSkqIT4a5fPogewhqwF6wGUmlTJdRXbim2rS mHOhqpOICplaNSx0wLSCZbtVPiCz08NIYFAa2BetFglLM7OovYL4B9hJ0XOBhPpfXAzI7kMz3zyw t1Pfz6LXWrdDeZrq/zs+hoRmyLIWQiPdNmmNtu++t84IAFILIlo34CPbrcHHHMLTcjGZe8fl+xBb 1aTnr3ZJlhRowN5G7k3tW8rrzKoKH/SjQNNgkzc41OyfoLeskidKcQ6GhYF2EByX6A5ZbyOJgviu lK3AOlfSGyJQZxhjmRPXJLDLSQKfESuu62BFtklnTeAyYWMzjOx3zrN9Pp11QL6S6sv69lOzggaY 2BRd9dzUj3jJv8l+/qa3Hk9dRmKYDRKCggoqpbj0rOEq6pN2LED1EMSw3KuQIWrUTD9QyZ9Bk3aW 8VoTLwQK17HpvmEFWELTr5R5Dbdp3emI53a3D0DAZfyB5g0TvJBUczZRlqW8HjYKMoXovog6ZUu9 0LmCdUc/sVCBn2fvudtxAEdsdwP8uwx+VkL9y2J93F4aNxa4SD61HF0SmQoCWqIcjGRfkpaveVkf WkCokVMn4YuMPKNMWzbyT5Ks59hzG2zTfC3uMltgGd/ztOXUTOKx6m6WHTjaK093/BR4fSbeYSXX npKsEzT84Bd8073hF8dRKixgpBq/4nnYeAF5kCHRulDD8uLSbm5YwKA/Hho0i/OyiEUMWLMgUymb zPAADiaBg5AlWIbIUiQCyQMQPydVp85fxpJktTeZoNZkaOVB6U7qnidEe5M03W2CsX+uAlCw0V5D Cj4QKxzkKkOUS6L564ojax108LzkA8kk/ldJVcZQ6pX98pyaYp81jt41Y0RmYwSnT03BO6yQRoem gnTIvH8W6Oc6zNhpWML6HnUlipPO1G3BavwUx4mZ246s/5GYObyAaCJuqCTk0jeChK+cP41qW0mb 6lyN5M7FgOUZJVwdLS7k9AiPZGnyk9HZbqgJhuWQUVDzhW0pZdjRKd267v0GyJNmpoqe/BYrO8Qm 7+a7Fr3b4kKoBzPU7LTK40+HXPQLzorzYEZCN25eCr+hbldxsbAOf+CArUej9mexx/IYL7oI8uLl iAoyTzSziCtemBcWmBxJCXA1PIdCVvVS4b5nyyojYj6Y9RHL+EJqU+uhln2e8meGnFLo8PmkItEU VioNXOI2o68s//Fp63TzgcXiFHIXDAQyBZqoEAIvjgT9sKl8HP8ojfSMPz2te9+hhpAnQ9oaLctb mXcCZIgwff9w9g2AW3r3b9d4ReP2AESv9sy+/o/5pHEMsF1P8UCxR2y2CVd42Ytr76/AMN4RPhw5 JSx/62ZAo0URqjoxOKEJ+eVYHKQSJ/f8HQ7Dj7k0QlstSTFarzZea95y8/A7YQ8NiUxjlpSf6cQp yLIGImkfrj2bFTNna8tGhS4b3n+9scKOv5tRMYijxq8cQVoflXmRSu0RZtlFxuR5loajHckIJKG4 HPi7oGha1RjlOOGAFEGVvw8nmqMOlqE46ElR4G1VGrDjd+323JcP6EnWSx6y0tXeiO7gMVi9YFCW BMv9XvDkwGMqhT3BE1rpuK37ECKJOnnAKkuBFM1p10Z5JFXPt3cJhQpOqeQ+BG3V2jKqWiX1/MdO EIOl0memcIPWJ5gqcnYf2XmonI9zrcgwzynfjwKoEbi+vMGq6U8DZyDHfhXL02/FuQM1B2sFODQc rwstT5+eyHt23o7ef/Bfk/g7M9xTLJNFDfO0wLbXXXqDD4kGz1WEUPyEyyoPvDDdeGpuEHkR1p7e VeB1VuxFisY14ObIPCxTEG5EUW20c3DUaFdI1Tr9CnsO3RElkbY1wHtzh9UhyFF2qSt37EXkcXUD 4w+RtMjNmzRR0q1JwzNwTD3c6ED9FDCOjVl8Nqx3RK+cT4M4HYhgeKJjLk+LFQDFkv6DAQB35pTp PNpG0p6+Qkj/uq5AhuuLCVW2/kohVs41BE+XNaK+/dAqnG6eE1MTrG016xc/jjJXMw3yfyA86/bP XkQMY4VuJI4oUwaJvNzSso3llWiBrvhZ8A3+Oop5lg+OnjJ1QBVW8cGHxXMUifcGVRknkt9Zf6Dd kb1i0SL519svUlwiZmepOgqkknZIYEzjXP6B1rVoWq43vRQlMs43lA2VUrnT9GJQNKPG505327BB pJ7oFGbvUioOaHkByHhcgFdiAd8hmzplSg6BNlhR1AP7tNyFyJMERfcTyGzS/5E1ULjADJDofgX2 kF4byi9iX8av2EtcmaSCKuI/b9QtbWSLdh0QzZdhNnOR8QuY3y7fqeQHu+3CF1Y1p7iLeewJb15S iiB+vCr1h2XvPD3Sy7/6nz4PsUFXzAbu/MUvzchmM+Qzud1cGk8CYTetYo0+3J21YAO2mw5vgSqH Tsyu1wb48Ffbh2BNyNvB5dMOF/PxnuwWrX5K8WqR0T7yJq6fGDJcueg9n7NNZywbb3dmxC3MzGJ6 +Ut8ggfYRfkrH9df4t2YrrSHEXSROzvwXw3Gxl3KcIQV0FK2jU76WzUvgk3vtz3+GqOaTjK6D6lS SC3G4bA+do/5HQDfK1vgTfVLC5LHiFxaQyBChZPvO2LeTksGmb5X/xr5XlC/QkfZgf0wpE1WdIao 7mivGNj1yVO1r+AH1hjclWGN9kv0gzQOaKSbJAZy83GG57yS3xwPAMw149Tnb11yyUhr+mUC/MeL 9wpksTHTkz+8MeGJDsLNq1o7LiD5KU3aR1NdGvSYLFkF2c0o9m1kPyvXG2Inc+/FquU27d8ydPLp prA4r9Clnvr5afYFj6MeDmMJiLeUzqy7M6qWN/SGfMrujlRd7BIYJw0C+MxIUdc2jONWL4p2/fdG dB0s4PmItcbu401DHjMAmXZW6CTk+cz8E5965RXcI41/Ek3ad6W98J26K1QeRakYhGlpQlKCIm0M e3BfZ0vpCClaOBRuNv+FPxIiWJ+B5dJReMqGTTwj/nGqnu4lXsZeJs9uiWZDOSF2mJgFVxZqfVRh pHVhGEAtSuAnqcLGdBOLTsDmtbnYnS9lerhFchQMgw+Jm9w5nWSp3tZLjvgC3Lg6y8f3aKCo6k5D m400yaQgeHgtF/0RHtLREBromd1xbvzlESWi8F0GET+CGr+aGbqBhBkUK4i4+r0JzhD8od6Uq9ar wLQWDofm8Z0ZC+vGcV8/zIifm/L68E2gpIGs1DCh6lBt4tI0hdN+6TUD++pwV7zoDvBg6yaQCDC7 i0ox6mjPC9VvM91h5u0tBw7soBxUDspboPLP2tfMoAPWT7WoAVlKSUj9bke/h7J+M5dl4+pPs+AJ QjD5PBE2wlOvdUROGNHDOz6/K7G1wyfWfk5O+TsLWII4P9Eb++zIRfv0+fiEr6lFa0R2CBEmEp8e QYGegm48jD7mzZ77OJt2sZHvnUGKGTcGBWKkc/1fsImhAv4EU3gR28He/jjT7ARN6CbaJ67zbzTH cmP0Hv6JAC3wf1EVXh6CJbasoQPkn7iXApyHJMvKaRHB3/B7IzLs2oSnlYlev01mk0FSqkpRZRrB WEDlA+qLONnrAyoX7bdbk2ZdJyBG49t8Hiio71URA/+MxEOwPjABxXQdTLDkhAEm9ohJw/7QVrkE jKWQhBRvr996dbwwkP4ym6zy17FAApjXuVLL9/GUIqCA4QtTIg4F/HH3Un0mKFmF0eU2ZMQ/RYBf rn+pVFceghRSmB8m7Ncp5nbL3CCOmlHKD3gp68nvY0JHzotFZTsERyukVEWbCravUt9bIb3Jt0XW ul10oojAZk7lO+rQpeo4XfBbDem50C5ke8I5IJN/3AEkYcaqbvayRZzx16WIOR1uNukF8hO+m1AC jjWOdqyjYD4YGtAo9+BMhgeVkj/TWPLr6uhDYzPVoUWbuRLInml9YOutKmEN40DR9US68HDVyzWY BMJunPVhbGgeDX3td/53tl7IekPs7bgspZDZF7irJWNWM6EMMeq8TjONohfIIB13RKSQ2bLWQgfU ypCs26R8Ltg/dpVTgpvW35yIBqQ5+hyXmens1e6+3jBc32lpSxFumOwMjsvWDVAJdOfCL5NUyI52 Y7bJAUhA0tHgfsfqZ3TA+OAK+I6vJBg8smChfVTVv/o08ZMoC0QSPTcJL3bBSJayHNkC2IjSC1Zg C0Juol1BtPgIuBr7dYTlARhMHva3N/qrtbcGUCg14ZAtoePqBzfT5ssp4tYtTf3VBtVMhpla067J 47h6bKktjlxyOJwIm9JxxtQhax5gQq/loZjmA9gw3/VSan8w5nV/MzmIIzlDYFIj6wE3tcriE1wW i53QvCx/UW0UCYo/NQXse921fgu0qLeSmz5zIJ1aX5n7JMXGQ+6j1L3yJmc9arIMUS6+6G2Sezps FAYCY9z1+Omo4tOM81W3VGXoaQK4HyDyl6qcmDcNfEanzxAZd+ejgJNU+cpP1Z6Ob+j3QnOGeuYh qCL7IWVNbTXlBqKyitjUtUZI12VZK8ZnAS1eB2zi0q8Ig/rSGpj+rTZz3enaiMLAbODBIXp3uUSo YcY9A3OFLNmkYzQ83hL129gHRMZ7sJHrO6YXscEHVckPw6SudOva8k4wx/hlmlsSECt5HnYqVitK ED3RbOKwtTolCYHgOKqDSDopOvkjVdLaTl+ckJlU17fcyN7RdZQpMincf5TE1X/aSGQG0EMR6dMG L0Mo6yudtct2cZ6sshqnlXd96DprgqJj1gB7mCE+wQgrbfncXxlsa5gkmPlFvrp31n76Cc5eLEX1 5u1/LDUxPceSp0uTKrE7AGDxrsLx4x6Wgebmg3Rvwp35Nr+m7r1d+MoW8L85OZpJQsBu97csGsyP OJd5eknkUbx1w+fW2nl/EyDAlqDSoIOXm5lupjvbncj9UoH+RFlg2WxHnaJY5pa26oMwRwrpNWn1 XqRgZQ2lxrdyhIJhezXOPD1VONs/t/r0hEUjHXxFnKrkiRwDv+ti8v73YiL74joWR/CVQk3Ok3v5 tiFCCZhAFMZjk1cCF3Pp57RmvHUvccr+0142eecEC4pS47vxTlyDw65o7ydjL/utQ4Q1Yej/m17P qfcp8u4euQCX/atNiCphgAo6143XokkBshS5me/1LohOF9ajo4Lr+lgdgpgULkbEFBG9zJNscZG6 dGjyE4xwaWeJA7GwvwBTpDdkPzth7/gUYc7Cn/sJ6hUAsmMae2a1bwW+8J3vSZHbYdodNAUT/GT+ /bvIAxnGcAIe0NgGMpr9LDhfdYzxkGeNp+ADV8p3TlM28/FEFYY3pipT+VbHJDjA+3ENHSOqXSVs MDmRLJHYbMeOne8sADvPlQhCIjqh0KtQRBWX7JWt+hSPEc6u5lU09ZUBoNHwlWVvU0HbVkgBEvHk lyHq6XtHbUhlgBKbcuRFHALoQoi0T4bu73wD9BWoMVzgtJrknmaQirL2D61yLz2ARilpb1UBV4z5 BOs/fg0QvO9aHlpmbRM2baYeEudSFjGSk6ajW2UBYCvZYbwKSuAd+JfWV7/SafV9pOPk6OZW+ph0 tJWzL9u7AoDshUmqONBNn3ECm3Tn59TNB7wPrXi/elyzXDlebqD3SqTMczU7oHo3OyB7KmCoCXEN g+mx1n0nJiabGz1nORecr8n95xj2zVRSS3e9/R8wQxQRbyQEvGLUC55NZ6CqlpUm8gYmuZ1NfT28 yWZcGW50fIqwP5yX0qDEHjTvLrafuKiD40wBJ/5t9738TT/UukIkT9IOyzTqIyODA8zVFZ1Q/NhI CqhOn8UBCBI1M3leUAJHNdGthAgeyfXuofhiDelfA55VLEIsQTozfg5X3qUrwAZ6UvnHmNYQgsUa xkiAWDiD7bVyebCuR5pXdMJPkXIyA8t1x6ulI/Dt0O8gS/kh2gPc+3RGbs/YFRPEfZLEF9ZQP8JW SKEl7JrTRPtrhVfU8PDhGlMH+wYIeITyFNwUR0vgPdgh0uOkaSMPwdvcy8jrRZ0HHfeiZBvG4/N9 ZsRqJ7tnZlWwrh8hLxqOG03LPyCw+CSrjuOwGRlCHc8RoiS5Ml4REwtZNrseVEWq0cqlTdjOajC5 Ymj3rYpCm8+3ApXfOmFu76D/LZgFlOZFdL4Zdi+rG7LQF1rh4dyYF375BV06hQ69Oczh/IZWeSdm OdGn8Zw5SXdvjQe18w1ic6bIUBQBp5R8febtU1Ju9j9Om/V8KEps1xRBClcmFzswntfY+dBToJ87 0Nt7aoiQAG/DGBHVsoZ2Ll/mYUqDI1RYC3gPAkIWbH3YV0Fcg8stW+JvvWRtevPfZtshHQ+/I1KX DexxxEivB/24I4qSwSMgq3jYJeAaHI65ONSEASUjvWoTnoWoa2KneTsUVqM9k3naJw7qLZuJdmqZ uvLJv+pEFrRIM2sNVgzcXHffzJmEPZsTOT6ktR+Mbq2uy9rGBp4lx5GmKq7flS8JMhgSC1Q6xQ7T q7np7gwpCVawaswIUJSAtGl1RDL3pm/kIqBwaC7NMPYqUk8Zo+4UBeIcJBt19mJuJioM4xL2u+SF VYImE3YZhjIGq19OqTZIaTVzBWCc0qdu+IVGSi77b8MTg/nahtEouV/VGzAtnsBBZBcq7roA9xSZ xyn+dwRDrYcJL2rC3m2+e1Z087egR/aJXny1gpGjRo8m+oRkDYUr81PRtpPe9Q6CEZ6Kq3LyzAJ9 3QKRemEvqNXBRfGFVtZEr5yhpBdRh3lFgnOFbkGyIpRjEMh0p563R/Fa8xEvHNwIa2RNN0daUKPS 8LwpXOe4dBCrEiWp+8VvvJREbQL80M5OEIX7CbzwF7nwVOnXEI92JNkmCQG/FO0oHF9SquKQM/Vj gJHzwp5sglQ8RwdJ2HcHPQn+BJcxTgDhHh7qmtQiafS5QVoo1hyUXhTAcOikYbOcOTuPLC9MLmlN ZZMAZ6uVD11WIEuW8xcTmApZ6rn09FSmwRmJSL/5xHXHC2mbnMEMTK29/Q73oeBr37gI6QDS+5k0 Re25U2vpvA8fKmkJCiJazCe+GFxhjmmZAQn0OCr5vRNozDyq7cO0lXh6l2Uljn++JiURX4dixc9D w8L8fcdmRrjv+R9E/VPYbuZuGfXiA9nhcLfvuBicHsZFtw8tsDH7tUCrFJ3IHRjavGUYT4BwP46/ JkMVMwqOA+bcWOZ4gDDCRYnQu4bTcGTUozmWlf+TsHzjv5TUmpy5mQJ4iH6MaEJFqwXxSzKxxaWh Qz030CuV0aTDecpg1m1uVv/WaL8fjfIdvHKjqHbMHud3JZAU7ZxqTTuKs9j4x6Z2F3XNkT+G3pZJ eHvfwnEOJX4xc5X0uZe2bJCFWJanDoODG3vv+B6MAH8JQiBPkW42MSuRBQQG5pyL4oPbH/jAzERw yZZIOceVHi0/98cWkCuASR96R6VkFJz1944p2ruf0OEUIKZ/R+9S2QTNlVh3+odIili0YqofB39h azIkl7icqSYFXrm2vqIQzru6fqyWaQ49HvPp/6DiG3i13/HcvxiP0RPr7sRhRaDmOr63ZWLEqk3u rqJ4B5U/HY4D3wTHt5ODsOjoO+lVYqzMqnh93GE2N+7NC7Wk4M+1x0xXDlwlRNZ89UHmwLlxXHE+ VBRCuUQlilbulG9YWx4FAIbBA6TkpADyCEH83exhdweVe4PqZ7jAWfJ3hjQNM1/V5JF+BEAvUu1U l2Q/CJwbzzb98/WwyjL2swqdX2ymOBSZAi1VC+OOvr06J3v1sYkA942Z8/s7A0tT6/qZoNoM6SJp 4fPEJCAbD8yfNchN5Zvn/gjJ1E6CSXEzjZzSXpc3MyrvUJTWocrCNTxG9HZ+Paj2U/0D052DdIq9 +j+k0mAechp/tsjGvKqPEJ4F1VHM5ELZjbQicqHXRuxzbt2zGTyZdRgK2Sg638gIqnRqyPFmZeWs ZxHLOMoqN97jKc5tMtkyBUwsl3cRrXtf5rHGpIKBmrdaxpqCwxQlKkAmW076nMeP0QgKCI7KJ9a0 CcKAHsfegd83IMDrED9ulGGueV4H4cvjj3yIaqcltRLIZKVd/RSGiBBLAlxRXIObrA4deDTYStix IjAoULVQkTiH6CuSBC+f/35MdEJ17pLFGRXhDE9OjcgyDDjnDMgXRJI8KOKdQ0d1SlxCIowzDpi6 /ljM7wpyC7zZFPxYqgD/XhUuMpnDIQ4LQ9wnsxQnmNnfl2kTBcRUvo2w2IdjgPKM9fJJYKHsqi0s tWjvK8tPovI8S/ego5sj8dE/WSboOLERG0KV/igD8Yf41wBkNQkem9lQDKAyFl+W8HyIOIKl1PC6 Oh26qTS9GdCrwimML8v7EBajhmRneLamRgI4L3WSJNUxL5v2nUD7pf+A6nb0dvS2lSsP9qs7Abk8 BSAkGr0hYe+0m37v0Ev8bRTA5sTGcB3rO9mALWLUGn6AUYofiD8gYLgKFtrXKrDpJILobIXod4oU T9QtqxIB3NgBFx0DRpEchJNgMuv7riWBYMWIGlHd1T+nYL6mArxZQ7TS9K7NpVmtQ+ZtqFp/r23v poJObLnOUZhUWQxDovSrc2aN+m/C0WPPSZuje9onOss2xuTIn7BzppCa/Br+g9/s8kbAWdBttBIU Oo9Sf9KVAzLbBpnmBwcEzwQCk6aSz+CA0jx2dsFOB0DyH/M/ucuz6Y5otxAsCmNRIvU8DMN7wPjx 8bRSeGoZ7GocGJs2iZ9Tgt6rePgNrYYr8TGgHpOXgdcvqlPnug+VhtrqEC30VPPOSkJhC5ZkJq9Y P7rzapBvUUDQ0Me7hZRuYY4tmUWG1uuVMCpRk0oNdzCNbUsapn7GVL0CSv4F89wmo4DvujeBSaaI lpEQToojQjRwFVONfpXtG4G5iGAMH1mdlKnpUXaquulLYOTdpgLNb7j7mWaVrZaG5Elkn9zBHfgh 3EMctBrOaGrqq/P85LZfORE5kOFBuLSeXjM/qAAMmTVl2poTP9EzAe0mGBVhvNGM7w55DdSvewVt COzJ/VaNz2mUK++mmjED47etGF3gsfURtI1m8Mj/DDK0X7i0Nj6VeXld93hwJ8GX/HybopgyE94k v0IuGDCK4GFxTQ93MbmxLou0853EdA9ylSi74eUMPf5xPH0GBNz5nCYGW34jKzI6RR1Ps/YsOOc9 lK3c5tvrDB4dvwsIRsW4ngZwsqP7ON9MxOdjcQNZ1DQwGKg6yN0wlD/Rsz7Z7Zzd7sgHBHCa3zdV 9AHRDI/Em4GIBcsqdf9wy3qe4WJaror4zqJ8CRm828pak4TDlttprIhM3uMrwsWmLAupg98arA49 LKaGjJS01ukirTKz1Xpxu2PD0+Bcem/JkstriI04HQAA1D7LRRJBKmRtH+MJQASCgKX2cMk86X6S 2KJxjs5aaddboWhBHG4YNqpM9uzhcjE0Ol8MnFk/Rd0nCjvAMJEao+XJYWtQdWuZX1I8bHXH7QpJ pi7b1J4QP31eDQQzP5R3q3h6z9p5ynWjLrpM45MJAXIVe6VWH9CrpsQyI42p1QTiSL6pXgG7YXsh 1wbcCjzkD6x9rzzZsiT1BYv9hAftoxgaeHYmBzmAeoXVW4ctBT62o92/Ph+/sXJn9cJnbSOWzh8F 1B9GyLwgUXsASu2XtOtPHvYCoXalnOd1kpI9w3nZe7rXI7JwavPrpEigPwU3iR3ij92cPzAWT2YI zjb/WNQ+zZLKhUQz2OJl4X37ui2K4tobUN5RUKFfAxk0FjKXTysH2x3mbnbr/z8EIdPMP3L7lAQI sDrgteAkmN5UPFZxjBW3pLF/FdRotS9fa7bRcUcdMmlDfxvLMyEoh65nzegL45RqfWLZeUTSJLoY 0XTIX+e3ozb9rBHUnnzfgpZJXOhUM6/WsbR1AvqwgAj1e72mxELfqzG1PV8o2fyszHtLAMyPKU3V M1NAn20AJAGYfw8PP9mvQobN8IwaWunA1dLsA8iSKaopJT0fImGg4WjQrCsE6ZYys00w4gvwUcjQ Ra3POUeQntruTc+L3axvDvqLf2IJAB5PT1Ce+lt5/+vOpMjsqWlVHPhLB9BeUukxRI2uCJTs3Wut d+GlKyfuOKmyWu0UtFXvejuBwUZhgONeLmtX9clalQwSL0PaXrXkVnD5omJXYvyE3EyWxx2hq+aU WBy/RF/Uy63ZKky8MUcVCBEdiHXkN4cmZxxgVTha/W2z4XABvXsUTlTEqjW6X8ccbhEHTVKBDAeI /1U1K5nj4aaurQ+JzErMvo7qEnytfmlM7uqtza0hA2S7J9+nKy1cccX2b9j97X6DEl279orxA6v4 zwigHyjLORevwuQu8qm9/9gkXZRWJkTEzBuGzOLsy6dklkzLfiIEioyv/DOJAHYjlhI+XHVPE6k5 ftIPbV0hi+I/htJLc2LHSaQQYwkD5Q07mXqIZ+Ny6QcHOjw4L7WHtpAVsVT+/r4ZbjhLfU3OLcDU Knty20wVG6w93LXtxWvY3ouBE89DqcGtQstNQd3XdRa+mejVfgNdXbkIeZidFr6fxwTsnj+2No4k R5cng8c5Y0fYpf3t5VG4u/nK2CB/pq60XHBN9rupxG78RG508KApnu+qgdSDGus2L+zBsNIPI4Gs 6VgSu3fUZtAJwu1z37A5Y1ED8ypI248pL3iwzPfra+YGFfpfQNI3jZNjX1HbPl5iVg6XcMnD7KTd +BWgb0z9+MCyLtsySnixeS2syUAEx2M7pIRBIi1bO/ySwnbLRbmUosvGqxzJqDmL+jeZSNibUnPq WDnjMy4zfO/mzLqI5b6fYFdP06DMvDHeT4llBtGU99t38MfwIb/Quz7pU3XO8iICxcIna2JJcICU kNPPY0Zn+WMzs+a9djTNqYM/p+TYvNyOHgOaU1qULmCPDwLJt0wvzQKaunXKdeZmDnCJ7WItNNE4 4pJfYaixhdK35uJcS58FWhCK4nVm1vwBW1ZPtYGokH8UOZ14rF5gub+g041rx4+5zyRgZlbq7UgE xc6at+HJr7A8WqQmqFfx0418Z2wxL2iDsqdaWUOv4VWwkccQ7CvTMo8gFPmwFhUk2LVg70xIexDJ OekzpClYfadjgWd/SQZUmkX5nZ4VwG+Puk3Mtd+1s1Ui0kVcMyFYGgoD2UnX9eMCGhJwzdBh4zuf tUdcbteobDMSJeFXQSa21aIyxQ6R/Yl71S4S+uZDC72hAhf8gnkMjebPXzQ/SjqqkFjkWxgkVpZ8 EHPLrV7kd4AY+zUEd7T3JXp3aR8ihGih+JS6j6nVV+2rfYN+Hl94jEkDSyztV11XXHdJUfdoolY8 YmjUfdIYQlAN2DqIvLcs5M9vL1tbTSkykpDTX+76BIPY2b83OSekZpJp2LmEBKW321qNXhX1b460 jTGT/8WScLVVNfXaI322KgnSXDvWkiqgKpbC193mrAP3LHYW7QE0BxDOC+nvPhmUxqdo+89wJmgx exTaESj2QdVSEjqYIw6S0gT9g2M7Pmm8m0Aq553Wt0shXHsndcDJbUenX1EJ75eplNg+9RMdhsiT CjdggAjXyCjvPJ2torHM4HcjhhlEUEgfK8mD+y1H21/R6Dx34E0VaEExy1UFtdENtdNhk38vd+rq sni13NAuxvrkhzwtJhIoOwKsnOk/jN2iLSgnEYwMvo2s9zeC0yGjqRtsNtIW3gAv+75hEN72woen UkpSyuYY82ImpreGOYcoK9bFvqKPsaIR5H7dE7IdQbpocuQwogw/Gz4GaRTvdGaF6VqEpDyL0q9B sCGr6TIHeXVxbLw2EmFHsHmagp6KK9F9ETW95kJrZU07jvKrkcp+RnU8h9btFGZF58yyyKb0U/V9 c0ugiNlK/bEmCirdwVEABgsMxAn2AM+0L+3fYgZwMUjXkp5rcgVaq1EelDJUx/Ftnr6ZBfiK3Zis jQCtiNpZExz5Bu/UpmECkk/QRU5+Qk98tpNxPlvZ798TrxBJKse5evnbuVvh+1yXeU2VxcUtRowe y5uQ+wVCRnLtxokBx1OMKNnUnm2dFgwc3qLFU4L13vPucAXFI/JC9LIlqDDJgicR9pE7Sx+xyJvw X/Itj7TAI/l4jWHQ76FMmI2Jglc3UW/6eTSgXnRkURH41AdlesRkprYGZ051RXlcei6M5CGevMiS ZWi6/pP4qYDVoo32mV+ACvFlpvQpqn5VOHALFUCFW0jSvtnUw/Nb4FQX/gJ/iixZBeVcCWkMaGl7 CQCzLx4GJ3ZRzJYOaRmZoqKmzt+0iGTxWFaEc+XONyu381hnYBsDYZNPkuMBlC84McdAz7OdXp8T I74//VlPbtn92PTPwD2biE5A2sqDMrQO4DR8eqzxVFiTRFVaK2UtIcS4Xjj8kPsU2GBNWJtsqUVW QfVyOwRQ8q2mPclU8PNsjEnsRh3FZlY4rIUexvQ/U2ApKUN2pwOm3ujYZCuweg17N/7lS7x8Cbps a6wZ03lkfV9vGyZrL/zKSf6wfAsDvFwOp3iqYLExiFzwLyxIq3qrhGFvS6jdrmiPscxj6vr4/mjH WVRwDvCd3NwRPE27y2Kc0XwYm5ZaFv0H/hcsSLBPR2jHK44+wdcBX/aC8uLNAWo0ER+uCCufz8YU CuTNAxuhS9PoJDwgRI4FQIZvQwKPpd0TX0jhXObAIM1I3KJdcvfuJNbl4Mva6kjc1b60PyGElfLK 00kJV7TeDFLlgtpMYhF0goLPj4WJqQ+exJerHy3rYUU5u9+4IJoxqnH+uaXG1aptOQmOBnhDWThF GNbI9HCI4j02v54MseSx2BiPYnRJusVbkgHhVFLExxyfxkoixwxAbykDUm/sGgsaVzSvSIr3fpeb LRyQBb17T7MbLNE5M6VJX9cg0jTa9gbcM3D/zyr5ylarL1z4TwdusqENGhIgHPMz0ltEnVYf5Kie 3uQjlX/yaRZgYXGbep0wVnFG21ren11khc+gVNeuNhwL1/J0FpZqGb2cE3lkk1C/hOnrzdGzQIUp xUTvcNWPWkULyaadMwRX7gEh97uEg1XKdJRUc1YJtueDouWDMNpaFLxcnP688drrPbSNhmlzzLfK 06J42jNuXFmpCrg7QMnoq6lB4rNJIanzKCae/zBAARt9w7B3dQHSa5Q1Xofj7JAx39VOE9ZxGxd0 tVdbAQa3Ic5u26JqW6b8AHjoNcKuPdKi91wEuTzJysgen/6u/KO4MnlHdM/XaDPiNDmBZ62aWtyy Ci4NJ6HT56lEOhPui1yuQgd9c8N2ccKaMMYzSYEBmSEEItXbUhxCYY4x2azrQ2o1ECFsYqzLndqM R13huYfHUV85Ov+VlWPWODUYVmcgPi/TJxly0UZkbI2dCPwQHxOgkSPZAEvZaepDT4Rm7Wsh9TJc nO8Hnh0YSnZX7fu8NZ5wRl1Jj2A7hwsZIKIRwT7UrKTmj7eaZ42AvdUnfKns5SOa2Vef05sPhSnd s3Ws0v91QkVrWv4fH2tJSamihm4cyqanKnNQSign/9D96yFeWzhXkW7YwlhU5KBgOyzu7zkiEsbc 9M7elOtyiUHDDSmpueiITEr9Ok79qBAMaV9IfXFrf6u7yM8l8h4IYnrplEH21+Kl2A/qMnsiT4Qk VBDp9mcGKyYZeozTqNz6d1FQI4sFPrf5dhrhMl7j0RcyXcELA9k0mPkkpNic19ReY6lyPfs+rQaD YfVfND5xVmbcRomZIoydIqlzsIS7KjzC5azBLYwbg/JNyt1WkjdNqfj35AjVjUFVj1NBfnH4ZTpQ VWrbcqfgGvPbA2/UsSJyVWXcqBfL7rWzZQV6mlHmH2MhsotlgbRnBtZ+5VWdXj6V5Gjdm7ESXJ39 57se9OeTFtmq8ZMnfVxGV9PYlH8ksfU/FxXQmk96lRLp8TjKl/4mlYskLKFVr2uod3NRamqMlspP Qu5lTS/HbnaOY2rwYyQKAstOzsIDr/X6W766wQfS0bwl5gKOzUJYrwLYV4goqA04MY2BsBY/4mtA +peDfIvoLxZTnfQI03Qscw7FCduFHq/P524XnRScmalvKo5bQvCEpQmFoCVIZOKMGeuTX+qM35mh DqDnFPLHH18FrN2VIzEF1wNudzz9gVldkEOvXSBbv0qv3b+fb2lr31gAapyM1e/x2NIAVDtuPr5i DKAHX3iDj16He0b3ntYs3m1/i9mDrnlk2g1gg/6OWQDewZqeIKflYPi0KgkJsnMiec3tiliSDnO1 j20FkjcESfsIRm+hnpO3XdHeU93GucWS8GsKBdNYMZUWt8bK344yRpAcYtyuDzJdU9XP5AIR4Vcl yjHuKiNSAAvEWSDRiiUcY+/t9hnGDG2RWchl4xVdixJPHl20wpndvbz6vg1j/5MzeWue54VrQ93L WYZ9RJAt6EWMpvhpxw1qAZIosn0SiXGBkNfWEy43XlzxuK4XFCKs9HsQSJm9eBlQ2FCVJ4pnjP+8 lXrcMRZ2KGzh/bmZdiXg9xH6Nu0VIGuBBTmAm04wznDmmAWuUZIOrRlWbGdcPmzn8lYnUVAijYL4 MUAOTeRz9fGZAFAA0Bbz4GkgnFfVe/MiB2vhYFkg4vu/QsZfCBKpVpFYf/wRP1K6m7C8aJMfZu60 p12oU6h6t405NffcUozHTtRGPtUw/TRgu92B3yMF4AletASINbF6W5UDKO0GXtbIa1ZSmAm6d12E S6lEO3FjV5osFA37LpVV/u0ds3euLr6inVYfih5L6zLSFp1GqoYZsvCxX6R0WG52RdEVLeuL4M+0 3akeC6PQQmWc8yDux2knI3uup3UTEqgWuz2aDcoeg5Q+tcW2YT5e57SKbOFNYP2HGF3XBqx9ZrQn mmDZZ58Sa53BLdKvPfbBkrBKgGK0YjZBI8aVTtE+2vTNRzNg+HCYN9S6+4ztcb/h20ojRdJkHXya WeBDr3xKxWp0cIsj95LviDLpLeVxgkusEdKTkuwJtx8sNZr3KgqFZ2wPBNdEqBniIx33Kuskavx+ Zp2KEOTJhldfANlpMLKVmtxJPjAyDa0wSLSt06qJS2/h5ZtY7vuGDpxV/j7xQ+gWJjk65UZRlQ9M w7maw7o4+YBFyiFOebvOn8dFgq30xFbq8kvbxQBAkZnHqJHCJFZL5k3ITa9PQt4u9D8U8hCUDzJj YyggJ62AU4tnTK2NIdoIMF6V738a8G8MSc4hLlaaSi8lHXgNCrlynhZFVaLL/j8a12fvLTxFY8p/ NxUOFicjaT3VycxdLOwz5tMlJG/fOBqvcQ/zjOqJthcOTeRrU4/CD0OQfI6hG/Zh8M0ZknJwSTza yqHPKhfDk0zcOSdAC+TXivWIu2O8nhbefZySwVEpUagvHv2hK/cPQ0ti5glUrlD3mNQ4a8kobkUt fCAm5mTif/9eYTPk3Kx/scx6Fviz2FF3DPhhcdl5vptqVdnyL/1dgI3LNQmE25a51c+mNg4VZxKj x66zIOWlIwh9FTRxG/NAbESbBq4vIahdkNcGZlxPIOY+1c2CIND639azu97JJutyPyLnbSggB8Sa Ix4xKzItcVn3GS/e7fiLutmA9ExggqZbFUIMyASy2cfJ/Fi2vY1FNaMCvF8s5afBoTzd0/Tdymzo JtJDyElFOkjaRo+npGoRzy2XPOm6a08V/9o638v9shVpM38oedq1+Ff15mfZ2ekzRiJFXJlfk8XO MPv3W9l6BzvX4ixuwzRNnUtCHrJV4X5pz4V2+Jb8lCNH/2+Q6FItUHDx+/zLFE+tFRTsP/7+wqUM DZCA2lVrXmstA01Y9NeUme3TmgoVGassuliVi7Mkl5AJjoeAyLWACwuWDHjkehUex2ChcaWKM5gS rtW0wF0lHT1h//1hqz3+zBOqcFErzSoA15VMQcaRCptjKAyeGleP3YgbJLmfbHQ79IpQKOER0TQB Pu68znJF8zSXUKy7U2yLtVVZOju2dMsI8zvnNwoztE12e56E8S+ksKW2f+7/FKEnbZ7DRklibrYP yPjuXruEoZ8mu+O7P92M+Mj7qh5ZJ3YjtcnwpqvDZ+lfVVfyJUJq0tDXZ/y/RDHFbbXatKMSMy84 22d+684MYMrSFTJl9qXnqw57c1nHJYqF6SYOrSy9wrrIaeCSfoL+EVh5EPg+/FLqdME6xl/uJSZA rp1vQikH3pZcnhRQL4JqjlmMIF1lzD/hfUS88L3vMY68wLJZW/2QVnKRmqc1SiCT+mfsaZ+STBFa ZPVFGHf/HL40O+hGorLFHlxNd5s76eUUxoOpJyS4yfAc98kpdfFbOOadt6Z2UWFvAFaOU5qoBOnl rx+BnUUZefyZuzHZpUgqtHsxu78x1PLMQKKp9cbX8qcz/CPir1YFlbfj/1xh0M3Ykn1Kd6+xHViX DwyJGiycMDTUS/ApTtcFtShf8INYLFotvV9omjpKhWbSpmX3MgkjiR406IsZORVEEj5ed0siY4UL /2Pg+QrS55nVsz99iM9TyKX8K8EKlRCW7W3Bj5LQv8xd2kATkhLL50fMxFYxuDnbXQvunRiqelAY 4g43tTRxcHih90OwAXugl2PC/ANXPWP66iM/DBaX2MGBkt/v1g5bCSfC0yRpnNdSMLHWQsyPq7Lf HucU3v5RnY0hinmEev9zxfDkJ1rB6y3uSTVAFYHmCb7QtRjE4AUZ9xDWL+du/9ovXafgQXE1dLgc G3BXdr4PeRt75HH6xRLupcXX4+31uCF+Gjn8qr87G3x5Zp23qtaGEK3+id5RvQ1vQGZNUleeV7bU Jpq0Ty1CcNjMvRiM9jDJ7xaQwXlg0cmlqZl//S4n4HAhNicF9herC6ZlwGuLCtnLe7p9BznqYPvJ ZLHwyY/vRUvVGN7GzHrkt7StS2u+ypP7bOT1jy6sqvcUMjQiWb4LAVMnmDQ/TrDinPfRBoEMrw/v cmkOUf7PyGbNNA7rEhMEMuog8YGmc7NvuWbEFTbBkHEAP7KZiW1s76th69pkZe9MUoHI6rq4sC1L bdpkFaFiACAMuPby0xEkhhzijsJ3JctlsOeAr19rg6p6uviJPr7PhCicd3f2sbSbthRQ25FkIU4r W+4PbiNDm1Ke+QYL0iGrXb5b8vmn3sGC5FlWTFo4qkAE//adxfWdDx1tIXwE5el+rWqSQU/uLaaU 0CENgt8mFSSbpla98P87Nha+8jQX4p2SdvqZNs3rB9GOoEoBAdt5W+Iy+uCHKtctHuExbGGVWr0A sjRvJJ8I1mcpDgontF1cWcRPXmIZ6xBMm9VeezRHCA1aeG/UFSi0qtzGt4O4QnB4lqVfzF2Y4GWW HZ0fVkHxYU2YhRS+w5yKwbxsnotoo9woeBXHHjJr4B1PeCCPez4KiaLqekhbfFSB/HCWmqGVw//L sOnT6pokKJHiVom0WiWc2opucWd9JUA9qzDqhUUiyFCwLRgcMeVBwjzG/1xBuO7rD+yAU5B1u0v3 K/5cVDaJliq0qrx9wkfHeUgesaS24TFqyBi44HSthJrYHTxsOLNgVLnQqw6l2IlmcURD0qYHQyQw eB8vXydWCRHoJcrYCZWLrJ35snxsXb8cr4Vp7Qfqnud7mlsf6beEpZ6MRz3vlLtLhEUKcCcFh5dr R/0LxcNWPjKShOlq4HoEz5bPWu9enjE9XQPKy0T+BKXmuhxwCyYSXbDuCxNezlPv1O+3kpMHhkYB tRzdgGefbmLnmi3/AOqbPYbOQl4CPwS3ZAFydxdPKDa3c2xjNRyz+S+ltdVkN/zvOWF823scbBQp 4C5b4mBKP0jpyk9fCeekkqbsQTfGsGid6otDHJfGyubtELiOp30i19G9RVF78UfI7+uJh9Xx+XOU PD5c6wl+jYoLQ/zFGKp5IPU1kOy9ebmBKOtHrQDNAQbiNNOo+GUpY6FFImO4LrZ3vSdUJ7oJT4JT qku33bJMRdGv0a63byr2WyBHjGgXYk0BfXS1DtGKf6ZtSIx1Yccit+SM+xMSQ4n2gofwX6qex7eb LScUlFcINDrVoLwp1htGN4rdbR15i3HHapaVe8zFL+qTWiE+bHILsgdKxz8vNxKHnJGD/CpLhdIO I7o1apSjyZoG2zCRm1IZ1xXq4reQtZXBcVI9FmxRmalS36bChfNvH9jDMUsQYkhU+bXgOXzvBinG x0pEw5wwT86XESkh6b/iwScWpUIrVpMnSdIi4d4OOC6eyV92IUrCnuFAsmuSlj0koRdWLqTAc7RG RTo0U/ZCsLErYurYSK3B0u8IKwYoeYYzIh7d53t17cpKle5ArFHRmTOH2kMCGfEkXgHxmyxBzvSW d4JrLh3YNEs+/3dJ9+VSDSJhBOapD83AxYwzs1ud7r8E1KrXZ9hPYn3pMFAjJ8/XA2wZ6peJnUuo teaXKLUiigC8XKNhsdFiZyfZ91jT0i5jotna6sfdSrgqY7xP6rBQ03fOKVfEfk20b4kw7m7hPZvS libt/fHjtKluF0Sv5PJJ6EZA+J1JvRiBK7nuBXwzl0hSIl06ADJOWjBFOhCrVVbxZmVWSpNGYLVH 8keqiosvQbg7shYK9HoUCW+6lqFUwF1KCdqnTYIlW11ACooJCwu9Apq6GimtM4cXUgbth/WMR8qk rFxVqu/Q+Y1PCk+WXFNB8lZfn+sIyWqhJE+sP23B8tTklA2isWWbHxhJYVRZgTYEhBE5pVAIMlAq bDAaHxP0TEb35kT47s1oYfZTMzk9Txq39DkvCwB3j4gj87mUGJQMN+OpbdsyB1cuHWMRYawW98tb 78Sm/n5OhlyBjUx01nWRE1nXZttp7Jl/tAcCB+JhG/O9E3BiXa3P9+QN1iATgBC+B4sVG/M+4TBi mki5LiwqLp4ci2dR0i3XivTpYOj7DCla0OqxItZqWdJ0e/hPPcfm8aO3jgN7iBThuubTGnJpi9J1 CCIyXaNd7ayBITGV+85E8dF/xhUzwX75KNLpi9z2thYzTb/T68B8L9H1tDYU1AXbWs2RzDM8l9Jx VAMetoZYb4SOGhuGS/+5b7aOVzlae6gxnNIv5tet6U6hUGJ+ieyO4rDcTMvnfdJ+ZfUR3uIbbO0Q CNIMWbA6WXfdc5w3yMt70RNqT+Ga8nrLNhaRW/KgdEDMw25esEl0Bna/NSa4oIywNVyC7PTt3mOC KXkGxNDvTy7ILbTULzO6Ckd65j+onirO+/YJ7//3zZOK7So/Lx2dc59sPwvtslKXVQ5H5XZqBvSM QuijqXqv1gZ4uODsqc0ZZTeNuv/n5Mu0mMfrOrH0zVWGR1NhptPHX0Zr3EUJTt2n6UTzugoFTBNj UEHDWsjLw3gdPjTEMNNQgBpLmoKJeQChkSI7bcXqTSrJQDF88z4mkmiEv/djRIRXdlAz4fINzjU5 UvLBTmFHXbyFMQx24OSTiUPx1T8I0q7HL50jKtOLc4jUDbg+GQMr/hJCp15HN9NluVN7y6CnLEEB hGlydDSVY1vhHBQfLndRx+27nxfiozs2rGdXu87YDyBEMWY+GpyU0VG+9+g9/o3VYmuIZdXTPvPv K6BxPfMoM4irBMNLWcLdtZNB9+a7s9RdcTXvhkbEFcCL58qnBLlUyi3/VMROLRQ4PLgEE0iRMC/v WYNBgrgfHJM3S38IMmpXEallPhGLiPev+1rbx6dc9tNFTvt3mLLGZiH5J6TrbVu+gC0BVs+sl1Iq wo6+2ZDSCoJeR6SpbJsWDhqCb7aaLllA/UEXgJNjTHdpMdYVS/lSB5W6MQX2GNDpY94adS6ZRDrV 5BB0v2hq3tuzPVO0U+hx9qp9tq8kUw7g4ZK9mMM6FHMrdGnBBbyOG2m5tl67dwQB3QWE2AvRZEnp XlrzYDnhrKfV5gCHU8sVvA2cSBctaLwfQq5mTpT3+0s7r1HXJqANQS1TAiDlf4psbfABpmxfMUHe gqHnsbNshyEOY6J6lZGVQr5d0nO8FA8Xu9VwuUHduEcDCPNkv3hDCP2lLGZBpj1dJ9TibSt4mF2l B1VlxoqvlJ1TRcv5ZHjDf5Wvrc5awx91tylOcvVit5xELf+lO+ncBmYGg16+z7HOIw1918arONhC 4iIR/1GiKF4YnADDvecSv9hWBGY2uBqtSC+I0U0zA/dMpZj4qlzcsqrN7Y9UK6PYen9LHQsChomL vbKhkSgyQmH+VpPtPaH7HEvHYEpRkR+UsA50O9VYJ0gF0wCyVRES1G7onVhsolPVa9guB8c7hTQz /BOL36VTbn7Y/yeCb1Sk1UfmKj6m4DFq/kgokWFsDSHSOE9G7kGNVOyP9c5YMK/6ht0R6s6X9bHh 8Mk0HWvm+sS7qOquUQ3rdmlCURAZH2udO2u/bre1GpQ0pUqMpxpEWdOo+eNnABOeodVttiGmd4nx mAUCX9nknLMUswvGNmvXNhTy6VpqpGi5zJLxfSk53hHqoSgtuFz0rBd+5D+g4RMeReWp17UgFKV5 q+YydYvgl4evVNgnefJaQFk5Ca6ZtIIlH6W9b+q66zLgVTZIxomWl+hvrHrlmVcUgILGVx2MLxTw FwCsheI6OxNjCXGjfv0qExirR8nvysZfPxr8jFtA1GEvK+WPwB8nWNYK/OJAjJDt2bSGsUgpwsfa ta17L5hbHNJjOj3cl3lt3TRv8XtvXmIC+zGXbDmqvKFQ1Gn8Eo38FuX2pbEoJ1lBzzodX5uk90Mg f4Clk0Ye5UXRqJ0quqeuTx4t6usHck96PQoCYB3WeHo3hiiQON0gNMC/vYWv85OHPCihsqcpbHwN yy6A1WwAFWjGvKt50iwmvc40ZdrNJoxXwymdraF6TAWJ02zGvC8qjHvQFvpyHRCjctf31efoD81n J2kGpGUBCrm0WiM+kkqgopAow9CVaxNA3FA1wo9TA4Tl3C4X0J3IPn1I/VYpVWWuQ4gV5Y+ZM9e4 vyhESZLDRq8lC8pSTMrup3vtI0O3VLWWhocW9bCrcqOXi8X7iYEd7G2QGTmmur83O141B6UMFq79 A2daY08sWf2SOZBzNThw9Vc3anYHTQdDDvOKiGX9Q/aPeGmJK1QAzIvwW5A5Gtsusf77l6D1rPVd 4pWatcpi9vY8CBvZChH04vUpv+yF1Gfp/3nOjUWJ8nW7fU/g0AcXvIagbxP5VDOq6KHouv/oEG63 9yW+jbIdIo/ioJ2u0H5lh7P46KyQWSNDCyh6gVQM8j3uM74cowa1RD455eFOUqVWBgjsaoYGsAap qCjMceFsns8mQoure6WiXEBs2Efndu4fCVXoDcVXf/UJTNyoiA4RQtrD4WEal+7Fd7PHCxUPWOUM sLDGvAtWObE5kCgwJWLi3zSXazaN0vUApKLuQ09iVNj/mU9i7oWfPItHCxRu5FvvJI4immTklvHR FTtIwgJ2xy8BGVYRrGWar1r02jBOOhZO71XsFku2hgN7zG5WeqV2uP55WNNZGeBok5tcJMYW3VHE Ky0GMVzKN3cDJKuQDsGKN36vQqsHT6y7RoMQXM4ORfRj3Hph641+Rc9NZV4CebppEcCYSY+Lv8nU K8wJBjrYw51ll9DPSmxyeTsQ2Yg4il7bN24rWcIWIa25w1O+2dglheQfZPz2TIB0jsmoSvx9mnZe BaIRbE43SPQnPbKUTPwfjQAHmN8xiyIfk8Ym7LQfNRJoIr4egWvs5vUXdndw1T0FiP2T83L/a/V6 ldRXtqj9KLyF243ITZ35z5uwIVzEhQ58ijA2eNAG53R9mO2N5SyUPGsBjwiUx2zS1BmAYqh7rQtR zNGDnc7r2SQGbSNjkZOYwX8QOGcP2CzLZ+qivyUY9aDKv5cyZOPuzzQyeUPjfL3ViSM7fUPbxJWi S+468IMGImFSzfq7y0KT0IfZh3Xq6LCcswYryHOENSx5JewBBCFyEqSXjZ4ecEQDcz6ck4Bov6uS apjSSoXVZRX5C+fdYrC7M0/BAjMHIx1mu5SI6/UelIZwNfzZYnFak/h0G3egT7WzMzJo4T8+m7Qh PC2gMH2hgRkH6q8P/NCrsbNeCVOemBb6e+PahWljMurcVX5BAyQokgvW9q3NKEN5PCCoOGye7/tV ytEDPKwviVCWS7kc1b5Mcprd6fVa6SnD/sLnKYx/wuxGE8ou46p30kGHuZzXdLNhW8VyJzuwrNUy DrEKH9TqqdwAvLetcwLMrnP18Ez3N0gkuWYQdXmZA2KcW0CjWAQQfLUF4g9Dny6m/gVR0nnaUegL fLRc9pMrO1wlyVOZQl/h30ISvARbgqUpmdpFhhJ2C6jutpT7ARzroz/jIsEnhLMiv/Vq8YjEeYiK i79/wPeOXsf/B9ToCiQd3TnAe+IuCXUdz2szqiSTwSymNtc+b2sL65lUVUuZq0wpFRdDyODKpy1D uNhTTkVj1Gl9OpMBp9Wu/sNAQ5ViuqUOET1TCISzXy+lYKAOgLUsLL5klVwgkDI97gcA2ZZrkOUT bZOOGVtIsecHFfS9lQ40WHTHqLOU/8Gq8tmKmgNOyXOZIse176cJ54r6JYxyK3TrzvZtlfoeidjE TLB9MqUZyVc6KwrueV3oqjTiqXU6RH7nbPCJdfdQLY7MnfUAa3I7uJ3vHIE92d/u0isxjDDvVtTQ gFsxtj1P3iA99Vnh3QkugbGDDA3ZZs2TXhUi1u7kT3ugCuOST5Sz6tSz93hW59Y5KeOvLupVkdcF LnLWBMG6zCAyhxAsgYwuc0tQIwu5AxUgAmuKaioN+DY2/GBgelCScSbS8zxM/WvTnls68zCl8+t+ fdeG2oKzxz9l29kGnZZE27uD53lQlpBxf0IJ8iRhVqY9OiuNGwmNTyU3HBFnVlGnvDHTMYZ43Qn8 0jegY5j2V13dWT8rXdCJgeSh6q5CsgB9expO7mGHDBrSbc5k0+2zzFQ9GH+9ngp1B/Xiwjh71JZm X64xsCauvZJSDvjvgdHtA/C9zVyzvCy+D7ptfzISrP94zEWNvvNVN9O3Hc4z8JI3Jto/81Yt0CXT 8oqs0yIiN5Gzz1cf9KtX0amioRP2t1rvrrfgT4SzYD/tG/+URcw9arTJ2c3iXzabZkF71/sABnX/ UninCuImmBeetYVyVNMPyPyX2yiMtNUzSWBtKadZwR4UDyyfimbCqm8qHWZYLTikubxP7hOBzj3v P8LJD4qT6PtQodTyLSunzgq3rr3X0s8xM+4YvTCjlB8H071hNvWBpg69fBoNRivjWuhYg5NF2WRf Ix/n3jriQttSH2FDTr7Mxx+dmEu7GhFVpmAly+627gcajJkDhcTUBIQIU9JAGB2zI0z9qtSDsllp 7LmVHdoub+TSdxCWOVLzY6H3VsqUGfRMz8qoGS/5wqXsd0wHISAe2LcAUSrB3/NXh+FffoGwzDe7 lzc30CpzXCpyoANeMLdBl3j7m3yWGP+F5kxpkRnfP07mG8dh+mtmDyUDFhTBIBdsqBR3qjX0YfK6 8TiODmFjcPIc1fli/AdpkLWLC4kvuvNcgxlgTrCpV6tIscdJV5UBSP6PdL7a3hUtO1g5Lg4xCX81 9sj4dig3B/1+9ZltrIGiFjU7eJUvTdwWS7Sp9+NXoT1HATp0DD8Q3I7aMSCJQWdtDK8ZWiel3Xel FYPS3BB1fbqbV6fbE38YQoSHpai07mq82q99KN5TkPxiuuebR//nwuPX5tby74y978sUar/7WLCb iwK3qGu+4nFT/Y0DEw2/2VUUratQrX5kVdr84zG3JYyYDJ1P4rFQde1kCnGbq3R3ZJMKkbmkWiZa rohwyGuhmVvQX2hf8gVABHQyjODzJ6mYy0crXJKX7obV0KK+B41U6o/HGYQkEbqxL9yPT5dZVv7r IOIfr+keEddShFP2uw3op/OZtfpyGlkPTOk25abYMSl8QPofAxV5c2YS3MHm+pP+ofz7hI+VEgc1 C6H8ek2oK/3MLOqPljhH2xSSpk2Qoo6FzUAqygqgB3UL5k3XJe3orEL/R3wELqBtsrYM2utiSba6 tmSirTcj2FJ3HvCbnAmrsRcPXECVv7LxHTGcguLaO+bHzA63aIZ3YeSEn5aBGA8JyFNVOUp+sqDH JBliIByYL5TONbXpo1eANkAnro8WGM8Q6ceyeoVXJmj7rQ9U9e9oGvwEqdpNG/cniEYSu8o4NqjP 8ans0SgMpWMC1VePg3mFqLU3F1tclkPCTugwtH9r/S5+D5oRz3BovuWXXISpxAscuS4rfzc4Dssl yTK0LNldX2lHtzV0iQO11mGpoz3W3KhKQ7q1xk5Xc9ZfMG8yJsfiKQe66Oi3X1wIBuNv5W1VqMhN mDKtuW1HvWz2fli+TXDiPV4PTiSgkQnX0c2YMWCUccpAGbPX+4Jzb/hNohRjZE+Rg6oW+PMgwn2A +PATx5tFrPwy6DsjV5q7RnCNRZSMgXd85rtl+9XxAtajD1LDfcqeQ1yAoY718bYPxKk2lEoH6KzR mLHq43OFWDc5xg8WP2iNjdJq7mNhQdykFiFQaTR7Jr1LzVszCF5Xh5bFLT6Potpe8j74eK9UFlrJ 7Ii3bayv6UzwK7X0Jh0i2htSUcCHERLIEIj+riee75X75MTHc2hQK2NwYKq4nOyIfd67z8lbUsqm FIR3FkIjzfKV/FxoD1Ov0OirNrB2nmDlaRgbHzUUVkIKlC48cp6qjfujlTlXyEjrdTLbVksOW9WM YLc9rROAWdee0gmzuOM5BWh2Aq/jbx/tekUGfFzA0RAbML49wpSn2KDMb5zhl1MjH3X7ialNVii6 KSUWaJ5oHPh5jatjhfl1GgFAjin/HARzoG1pmT04YhgY3dbvwHH5ed0/7K0nguS/pgtkhLHBM78t /tkSRigmdNsZlsPYbKw5vpfYuZ/xdWH5mxE0B75+6fJGzSFfnEs4M4FoYzwQPl/R/zw+gjrADrbZ sFFKUmN7Hj+dVjsAIxFLyowlQccePXR0HMmg7WwBRSluuajQaYJDW1ccpZn29A0aa++J9eCNeSod mtcn1IxXLwsTbuLDXve9vuX+35wYNwgGunthiWnUX7EMIPbHJF/0ytP4H36+d+cFcQVAWWSIXEls ETB1BwNIIa+mVecRcSYyYeza6URlQdyPICYxd8CRTsK/x7gh2FieQorGNXJ0O1I5Vqu8mIz/ltyq x3Ec1mgFIaS4HZGisbLK1FVCi/jcoDt90ysrMaX4ENADLuLGohHUCARpH8DNj/y0PNro7VsekHkS P4y2mpksof858R+fbEa+Rf0LERSLYHbzH2mi314ImsOSpYya0TM1wsxHOxkFytSeWdqLtf3zClTU eDbT3FAyHhIbhKSkdaSp2IxduH26SuCcmS7hlUMFYKKqv+Ls7BXAyY5Qw87O+BEXe9DFeEZMpEVR 5mqjCenRiY6L2nU5FlgsV9twTd5TF786NBI6+rH9d376TzJyfJTiCjGUFn2SfhwAnUfEX31Sj+pB dUBVmmhAXLY3SmLjxMcmIzrY5lxxvdHxfFYGRbStfSw6rfqvuptc3n8ADDusd25fp5yzv3WrIxMu zXDMAp8VqV8F3VWLWFRHheEurg9RyqxjqxoJr1sozKUUxlETyfPCospRQ5I43djaA0DwyBLI29Xw GbYBIXg3zPieus3Q8G7LS9rSPsqvJDqEg0oUL0lctXqCOO2Gr8v4YjOgMwalLFcF3UoLcToq6NLK nrycIOQ4M2culb1Ueszvy9e/9A8Za1bMvkhZDgTj6jqRsXG5858O8fuYLOmmDI24gIEUaZ8DgRA9 cPACbhVHIiI4Ok51Tr+rZAp5Goo+FL6da+RkSf2ii5AC8+zt2X6MhJNdfXuXeteugyg+3hNl7WdQ pDl8XzEP3dkD/g8GgI36KC99bp/NrYo+BRyVbm+X7RwkIdcOBQ/ohriVzBu/2YyYbDsopu3eEliM z3MKLJEQ8HwEKU0FpSvL5R7lK4/uMtxeyMEmjRMYjj/PFyixfOaQGQST4CQlfFgxz66YA5VQLMlK l0n+Ei2z61I8Ymw7BjxGNKH1l3V3qT7jZHjGNScveIip4oggi4lRNPSdpPKzEZFO74MnQWMVhpqq MBvhDcyhM2Hi6hNQRmiykst6Oeeoa21M5A2ra6VXgDiDPDbE+gtujT7IteQrxk/BUVuyf/cOebeP cnSuajpk+CwOHB73c57gpO/0k12VD8Q/DAZUYFZg+pvS1T5ruO8p+fypbNFQtuK5AlUUNGKLrQtH oyXpjjlCTPPkD7Zl5DMjvAvby+HzG5UnXIMnIXIlhB+RfqwAGMDdzEboKNv2fyFfjPneONlyU9YC hnbpiM/JeewPQkkCt8/PVCkkb6io/ITfG4QKAUQSZFxsmF2te64C5s1lj+k10M4xz8urcvDtZvcq laJmeC8oi/stfUYw54oAyNb2Q7LsNwOtbwYVQkk7Oks3Iowi2u5keZLOOeG4rkfyf3RNHntT2cyr iYVby3eVQpf3hPPK9Fwf5A7lgsqcANDj+1uHFf4Rb02cSpCzj1l8OeROMA0M7G2Jm7Y62HUwPbfi A3ITseZQEnJlWDTbNjdVH6C771pwkVLU5m73eKvGQD90hi+ROmUI8bVS5aRBWbGbCgXlle3sFOe/ 0SHByRm5HmksgXDQr8CNQL3rUqS3a7WCQDMspIXDIfRjqudVdJcRYBrMl4GxUUbUMSOjcnNAfQGP p3YDQR+00wg75HWgygKfijUeh1QFGDKRNyAaLNC+eH9xc/RBC8TUmteoClcqmvquH/b5XgFMaqXp MVy+AxdmMsbR+gVi5VBbGOGFH9rmHf7gAf3S0stm3ivlTxiKy+S0J9iTD1MSkaspwE8rgZMZliIJ pM5DpfG3myIlmxYeA6P29qrqvmZvBuZJWqRMrCPQY2Slr3m0pNS6KNiemER9HwxE/u89Mc5BSTmW 2lmlb72mQ5ETi+mfT6Q8xnxDisoNJqOuGBubis8vTSz2KR/uylQZkAx9VIccLjUZFxdatzo/Q4X8 tqWkAAD/7tBjpoHe+R3OTCFPT3tB8s9zEUCF+JFIKqkHhtq+nmDHU9b90a4middaDEoaU+NQwXfQ dKutMhyLK0sEFKt9BYnxXNokIpoKUHLUPCkXeUPNY0sh9I5kmpNPH1JjEMyO6QgNITFPsuqzg3eL A1M3P4MCzaZcSRTgcEulgPp5xHvVPF4WgvTSNM5XPqENCbj4uJ64quiPLVPlf7vfpeEqEBOSPPPt JmOhMetGHGc+qBn/TLBckG2ycPYPRNpg63ZFfDiUp+vHkiIsRaKkSV5xb8ecd2ZiLS2D2LUFMvv/ G7hrg6odd7zvQLm85oiIt8AIrEVe4e9r+GBUIw9vb0tnc4+LcmKd1rOZeEnmH0x7bMLPCuwzZsHj ACs9dIFkpl2D3QsbYKU27PV5Tcrb5cBVJPYi24S0yDZM6OGsnYScqgmju2tPTBqXylAsLzJLZ4Mo b9adY/yC42XZdrwwAS7t+glINwHSOVbR6+V4e1Hb+u+BbSDWQSEgeLss4BGp5gLqA/cO6Ftl+y7o /G2AK/kduH2ymCoSddfSrLLs5hSJP7XxX1CAaldP16pU3IOxks+rWWawgvshBcGSHNp+k4IPF9fO V10QSUhcoJYHiuuZE42QFoCeSzEwC+mPFhESk4tmUC0KSYbLCNn7KDHvkEq09PAgTFMJSKfhrvn1 KipG9XlBzSnoT+8qU7NDvN+tYXFYqNdmm+l8aZAFY4XPJyTNSKNRLmXxUIyxf6dayeqrtztNm58D yv1fMcoObzYFhgcBXefC4Qn83BIritRk+hilm4Hn9SZG4IdTF7I16B/G2pVtP4tcyG/fQG5ThAkM dSo1xp3ofreVeljjKjQ7JSQtLxl2NE0kqjo8dZmNb+HPqX1dr5gmxRiaPw/1Zqi8FHjf+48xAjmu BGsVGR2axUbkLiW+tXQxbLwWt3yxtEIcYrTAGP0X2etb67QESmBZn4FeULFHfggp2o0pOfI2dNfa 0x4klj5nJCIvsXOaxPmdCF7EHcM8V5BRXlBfwczD+mdhYyRMAzsI0jzuKpOudY5sD2JDiAcxk7gJ VUidUMT9TWpSTwQvnbX+z4Jyu/9Mmu8qvAOtz1Bg/Z6LmCmJ8jvMgZBva8+07t58nDRQHRyiEbMZ OkgzsRQitYfB1+SRUonhzgV9f6wUYLIqkci5PtsUkfgjn1+Jnt2R12kiLGh+WbOjBWOHENwiTMHj Gm6yNNXrSaRFzPe1t32bSDuazVD7l0kAZ+8C2y0y/GQOHnUsZR6gPGkAdKRF4807TyyKDyoihL5J SG9wpgijVtv9Mx3BO9+5Hh6nUwnFrVNZ0kMOmmQEfIWRmKhJMikrXm0JzWFSIx5SmFqFFmURXqsX OM1HkgJOvz+B+vvOEeH166osBvdaVKiDbFaxQyCLMDJHJ5X85Lhnps21s9HlziKBnpgxlvxVEfQH xkIq5fVA1thbxf4vkmIyCjYVgzeYWwKpu2ulmInSNrIjWjTh0PKwJbtwq/Bfi7958GIqNxNwhIzo i64wy2uIiQKGrneujoWB3/UyEb2iD5lYlxgwQiPXhsBhYJp3G3KiXKTJy8XqnAMeaonVodo7H8WZ EUbUrJjXMfACm2zsDcGHF/gYFcZQrE2UwOWd7gPdD3njGgZ932u3QWvP47yKXlLoNBFIK+PdPeU+ kSFZp9uhQBsiG0XY8A8vos1eXIALAJ43lLmOAg5pzdqYdDg7HxpXmoOtHDQ9RgfT+EfBfIaKxRBd /p6KWtgYmRTwqngrALm9b5hBiujFSXqDHs2vG6puwoLq6ZEmqXrIEu97uWYtsA/9tvnZlsh3in/V xXmJ8UpL1AwfV1zA9sf/4T/0mR12G8umxpvSamOY5VvBSXRQ05gh3xDs6mybrbzAMKhoobC5JSLr vjdOLaXvIGQW4iOWlTV+AFyRErpFHGppcWqU7C21FLqFEnnMeNd9/azwsqk9x5hvS3vlN9vMw1hp tZ2yOeymckn7jO7x95ohNdinBKJ/Ji/gnd6AgeEyimjJjqFidmWbIFKrtXVjBJoQJ8TE763tVMoV /zuUM9ofure3i+o4r38PYBBV9IVxTKe+1Em8Fo8EP7HGEYpm9L1UOWN8z7n6KDc1I3A3u9NGDb8/ sdbz3xxbUNhWxOBknDIdC+RoAZRExniGXwB6yHExq3iHGMSj9PqWgS8y7C1IYs7AAfsFvIMT16y/ BwFYWi3MD/odV/Heg4SGJX9cc+Ge5VxqCLR+1uiF68E/OL65gASQNQn9BKj4GenPiKE8HP3ZTfbR HXO+BmK/8rSK0pP/rVdtNMR6PstlfR+Spz2s3dLuyUNN4qVQEWUXuYKEhJb8uypKwnoB16sQwMps s1c3ssL15MZ9vLHvBrW/W0rVdRjxWJ4DaVVw07Mtl9qIBR35XZ1+9WT7HX9dV755ThKrfh5Yw60s W2xAbJCqRo0UnNMLOGSTd8sfHcLHQQ7X2hwvwFxTSIMm6fnbGvhsKnRuvJUri/iN/uBvTfxoSUTM z6pY2f1aoqiQMb9ExXVNdI3L8eXDGv3Zrrn8CHBeBEQQnMKrHtpahBgMVqrTHQ1jUenlXcKsaaux L9CGu64yJkJy4KTLU2RlubB6nxM6lo1bW3HQGNoNGPqmkeyI+6PxwsOzPAQhZKdiLEKrLP5AL4l0 ihszfmwVk4SMhDlghRrNr7MzRIRlwL2ot7sziVbJQllrJKRp8CImxEYHMxDK2r/zQBACv+bAmOGw RO7xwT7BePSmPNjqH62Y2IZ2xwV8Mo0i4LrpCeteLGAN3VZGqHU9vg4WUXChBetX3fpNcBs8EpMm 9Lm2sZiL1mTQGhB/a1KGCP7VinHUQfdNXurZ2Lt5r8UuIzHj4CRPBJiyAD6Ryzwmx87jNh2g/+i6 jPGt9bJiOcgfx+o4FZLYFcn4j1uADLOsrasRoXAR8pNTWOdHSowgp9QeTfQ9eb5+q+BrjhIS/Pds dxIT9B/1t+o/oIlj4X4YGYTxFwR81+xkYsS4kI/lJrK8VBVPoykx1VslBgBXHKlBUVP5By28pPN4 XXczn8Yx0Zzjj4/klZoRnkq5BRCQaW9g/IXOKPIdHzSn0+jd9gTzb4MCSx6bWaRAbY9buTZQ6dma jdr5F0s7opYz417AIhUNdYrrA3fyzzNxMIjWLlKePr6wf3olvZ5GGNUXsOIFn8SmoWhEuqXkH41v JrPWTNSZw/cteLZmlWJrI9k5KKXr2y7kjaxs0i//rH4eCFPz5nWMF/hlhGaicRiPXGheXytRCPiP Xux7DwQlQNPIhHOlDr4omb9IPG9loMFWFnsyD/k4XeWVf9aWT0Gdu6xwvo/UlRl0EyYxPmf+FNJJ q5ivmn2xAF+IsxMtx0liMY8fUpgJytJSkGnCfCxlM5aISLXzZj3JtT8a7n64nyjEmq1wgjR96aPj kEGxKgkipkse6sTrsA8we35eATcTrmTc9OZitAEmr2/rrgyI7Cmvko4K9lwfl3hiKcYj74zQ4/UX 4zuzB00UAJ3sp/Z8RdfzeQFlWbrsc6ZF0HX47wA6wrLD8b9e26a0olBRTqHhc4ErLJjjh7m44jQ1 6f6RP58yiPcW9Q3dAISKPjEaJ4MqE2nL4xq4PwcqA6On9mbdJsnuG0tfbLp3JnMwhqfs8DQFMNte BE7+9m1T1dG8rKxoPhMr/sQ1ZXqaEfgFxUgHFsaiORkwwe4DuMV4qECUlDQwMrPxcdxjT4LynY/E YdYL6LQXGdq+XrTN+BZ/3q9fKos98ltv1qk5PdtfEMVV38z6DGSmMYvmHoWi8ycB8w/Xh8hJv3dz AWnYF12PdGZk8o8t1e0W6xfAyJyKy0LABFfk7SsYj4SmbfDw1yEiPO/jKqNRHk+OhRSczr7vAPW3 SNBk9p/eE5E7MDEHowxHs1H1YNeds2/QyLvLakDOw6Snfu22SFvnAYcsEPkHAay3HWH7hpEhYUbV jCepL9FfZlixiaXm6jgqO9pfpX2odauPzLbgL8W6/5/0SJIjHFYX6HzlDNNYnkijGKfvuP7kDqec bIP9XS+3GYgclHFIcLkimQvIDJkvIZUu0LAClZw0zHqOochiBH+WaUdsT0TojC1jZDX48R0LYI3J sQNDKIBpAsJbw0NLOVQMhGkWbbkfxbkLULGcfgjK3dK34U0l7jMKJLg1mP8/HINU+Qvdc7YaZpmK /2KvOFMNUEt986tt5VTlQSRELra+43VStT0BXm2A3FsHyoJzG/dEiybvnrvLJX+4q0jK5woEAEap 7CaNncW7f6EkXcjiY0J5GbHrXBneeCkKbr7To9yOpFSCyaB1QqmqBnZXatcV4rG0wId5HwXrTndG e+cIQblSIsxCSi1QN7Wyc98p3btUWJWsj2N9SGifxrIsGVeXCd6eoh1JKPEI49QsFFLAWweRHHYi q5aLNIGEUY1MOMnAw4jeGSjqSci5p2hsKKlJzwEMaaIsMQ7qTfG+iLK/jBu/UUl/RA4cO3TSU9+C mskIxsTAhbbvC8LVjhq+NqihXJE9SLYXWrjiB5uiNxI1BCkgtkc87jO4zq/YVwz1gEwBMIcMbajt iimDqeYFHoL6MnuqTQH67tzYuNHw3iET2oF7fC5NsXFDyOUFzItC37cag5GX484e+9JuZubi2V6r pu2HWbnNjRPFoYQPBP1zTe+SUikMVpgzlswU0xtA+c/3U3jdehmR9j+bS1dytjA+wrn74tC7nK/U tQRabO8qYcUXI3V0v7tqYN7QzP51R/VpZdg+eVBL50mxWlIpPdmAhVSLG02sNjAksewTNwPbgqGc Hpdm5x1unrhn50YthdcoYQPY2hcUIpdfxUvsNYJ/+at2BzKeSKwR4AGDzmKOI/jaBCD0ZMl+y+MC /a5VOrGmuWiRqioL1Za+D2LYb8QMKS7h/dUo2v0zQkVCPIORvuqrvDX7uHzrCxFvO7UlzVXHHKnI gsyqV2rclRvAd1A09+bHfU2lFD28Z8qj9fXFMHsr3BdfvMfbuGECFhkY/vNcSvGeR1WDi7/E4B06 P68AV+ogMdsEBw2Lulf0dhyMmJCSSGvmEcKs/syCocXAmpLA59vnCffKKMMzWDletRGSTRVpUHoo xenDGKra4uE6i/jAPl5doxGravOfefxbd8u3RwwUVdcTL+EQq1rfrHMN29dFIVnigccsqLW/l2Kv bkmY9WS4rMEXoHQ5dvKXs2n++o04uhyaILiGO+5jybUBIpP/E4zMycKWY4g394+lo9XyxUDCT3Ph Izl+crpa1WhkaRNjUuyT6h16CClBc3MSoAvp7O5sOcnGMSSYeeOrXALmxiRo6YEzeDkrDaK94xYT RIywnfXq2OXlJ1YyjpThU9WRotCV0nLTHTEqoSyxmnrZ1TPEO2k3okL4LmEB2JqgnS3534LPRpYe ufdyVkAe1bEoz+oYlL7eZhewYhhnlKNCXh3B6IkeIiScIbVXaTrC+bVjjSKfH5aN6tbbkDY7qK7N yT2cwzR+i7hHvMfm8fEOl6WggdUiPKBANzNiMNuaYCZ52I054Qy2fhlKNpCcrUP4MSZ+qEkAGP4j so4WqnGK/XDjlBQ3IedYMTlrCf3bQrO0NLidcuQN05VNJeBF1bh4OCREH47Y7PbaR5PD1X9SZGZq 08Srqoo2896O+8jUIUIcfj8y2LDN/Aip7CZbV3NBBeMWLYoh5rqTMz9gJKLiOYt4wdM4uI4f5zkJ iOW/FdwcNlSeJxZUXBY8G/XRSk5jVD6FkHHK94b4LqbaHwISecfS7tF2Gc2S6YQotc+cs4ImTYSQ qnZ0lNx67FWmGsitZXoxnV9l+wJTue8HxLf+Sy39vbVuN0ODBrDB3xpTizU51l5gxnQZdle1XHut drZmuXZICh4E1BeTnkwmvG1jHYPzXLqxjsh6PflyDqgu0cxnW2zA5BMGXZzdM5ND5heniVYBUYwF mdbNPQDJD1ZavAMp8daVnVsf2rRJzevvMiPC0wMC5TsM2nM6/cwbg1PNS//cXgBGEx1Dzgtetyb0 OcyLrYquEvZDhPjHuA5Drw2RSDcI7Ci7DFiZThrrcqC6Q2jIkB4ny4Kcjs34VRUsS2CJrRuF+O0G CPqCihOtK4ggyArFlXTQoTzKgzanPbxBDCzT+kH04t5iUuZeguxI3s7WHZ43sq+f3w9NOxZbndIY nV82FMl3F+s4HRxu49TuWalM++W75TxXeDnxNebQ6ApBSlIbKFn15yje6CbW/TOZ2fOrUy8RXulH iEdfLemh1vg9srz/I1r57Sn9q400wka8ZAqEvtcMRKacDNmcZVXlAKa6+3ZIn42WetOT03XpRuSR 5qj4XsIa0jXnqHrmOIsIQLyz3nPxUJC+xBxBs5KvvKzT3q94Rzd9ro9J8Xq+qqgcJljfPM4PgAtZ FYwyCctfu4yyXQ7WpiV0Uy8cyVkQruQrSZ9oyvHOrKspmzH8r3nS5lWoW6YOoFnhJANMKrgUzu8x JBzFlzZJ1V5I8leV6pe4oquoh8anGxzjFU/oRqVkzWfXmvxQLQmlukBdvynmYMv4RIOiytM3eHFh ZqJciQS6kHQsAUGbKhxE/Hi4Otm7xP9ewjI72nDQ6vYKT1ndq1JqCKluBiyMiJw5t5E6rkHA91cy i50JHQMg1y3i9L/rH14njXPfOFqK0HnMPdZdJzUF1DtgsiWnIc0KrcyCBaEJ/N+Hv3hoLI5roZEs k2eLeN4fox/TVLF5sMDNbyjmMQV4siwpr/fLBOD38dE/UjyVveT1A06LnWHQB2OU5OUkKjUW9pI3 iETmZ9pjpNyEk+rET9FnCzeifn71aCX/7ONggCkz4ZZeX2/kCXYmw5t7hhiCRkpounEjbnkTDQRR s2P0po6tiIHZK8hc0wxBXybcAMqNaAfx3AIsIbafPCrYMiDwpaPV6DxgIjCE0EAqWzj2jB/LJ84y p49YU8hwT5twwNgFqvWRlilkps0P1woadydyAJfS4Vktx/9r+Ana5+fGfmi+aebgXKLV3FbNha6s 4jSQuA+mnB0ETQAJGGOd9lPakbMemWfi0X/czPJCl5TjxeoG+aOYOPBr3p1uqwPlF/0bJX0ocMLt /4x+mrWBuOVeTVsHFm4OGyYy2QeLcx9eDrr5ZPWQmt/WfqCHaIa5JR7Fbnq2tWS0tJOrn4LvWYKo 09wUhBHZAwgIZlU2dR3CYZm1oDb/EUbNYCXSgJEd7aaon1RDFTrfw1imsUWrP4RB994DIpjeiN3O y3WZOw== `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/blk_mem_gen_v8_1/blk_mem_gen_mux.vhd
27
91985
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PFFltKdLY0A82yxFqahMaWdN+zxj5kThYAcsDyz3A2vhpKKQpGJvV8/AkpYYPyltKlIzJB6Md9uF AN2ca05J0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block obdm7XtHPDQGZMrK3kNZKnRt8ypfk4aZ9VtSDpnSwNdbgwrFg4uylDkc4YjBW8BFR32vEdXmCKFe 3L1bSMhXRkPXZ88hMJlBty0IcmSYNatn3RV9VG9yYtXM73zMkJ4NIx7KoDtvOCnGQpHNAJTknAv6 BNEUXajqHzh/vB/QNBQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nx2eU410BtrBCSzpvDl9pNpIplyp0nHGgzB9LvwnXgdhN5HNF/YNjnH8WXRfWZhIT380E9zFeNz1 cIYhUxogcuyFP2sgar0PDv645GG14wyLd7prd/d1E3Ur29iNukQkz59OjXTEIN/U9Gy3hPt+oLVA TwpP0P8RgeQqCkJY93IlvPGfZ/yeDQHrxDZUMFMxHHI51HM/LG6Y5RjcVEJMkX5GTsC4gSd5fEHc DWDREOSmqmG5Gmciy22xZEiB1SI044vcLqlJadcUhINRbAw0576LfZrf0pjCGq0s1+nEKeJm9MeA baA5VHd6hhXLwLD9jRkKDvFp76mdZ8cpvFpcXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block my8iGpxybuJuMik3+8MRqWVv3aAmCE4oY3Ij0YIUQTpme5jJv8e5DOlNoLmgXWhUlepBCUyZ1Ysj JGlFKQ8MBs9R5aa1TLi8cCVfI579Nm4AO6VpackDfb6c5/BXCbiBb8XeC9Q6z0hKyH6xYDDC0Z7w m1jdROr8ONcmGBJr57g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pEGUMbCVqav8XqUNf0y2o1L56804gb2pssAnfqbrEzVo5CXZ9MmyISfyPG7HY7huXkJ9tWIeWtYt bUG1XTbOUAj3uDqhigkZ4KnTE/68izmD5rgLlGDQ1sI7w5GLUgtjCBINeZsiQZ8IbdNK2b2sCu2x 1k1tcyPPvRv3myvuFaOhmiYYyCNc8F9T3cW6mq34yHrMb8GcN1rGLFkL16mdIcoRSSN9znhYYcLe 21llq9uuuR5MD7mOGEYx4bKUQGVdPOHLC411Ms5bCd0IbhTC0qWispRkmO0D1uXT6TguY5Z6gKTw vMvXdJYpwStmSqzikX3kYI1zljpfWHQ7HMzzTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66352) `protect data_block a8U68t86Z3o/C3FQDJmOiqxctxRfzciGtiexeWX5/tf0YTY0s8Y3Y0I7bLXU1IZx4byo3l4tenB2 kusR0ylj1fHEU0otcwy6uhkEj1fBdDkfehty39aVpUPBuagKC3Im1ayCXGtzUoPoild7NpYA10ZW 2jGK3qYKQ69zfUu41oM6AJChIYA3g+BEc/OAgj2t+TnQHXwT2LsyuAmSYIFbCJCyTAyyPtpIr0Nn zBrAnG9wzCjZkgFSy8ze/vycqshGS+8Y+IyIzi3+Sa91w1OZfG2CvRoPx0zArX82JxTm5TmlFU1N Uo+UXTX5EZWlBfzrPAZfGpUtNkJslzlT3Eb3G5/98LCTJb/3TGWjL9PinvuEoEGzklGYjPZneyNc XZNYLESXa4QUcj1iroZRElWxvh5eMXAkwSIIoNIenJJFM1Q17TMT0idV3R2tNPjGjSg+ZEUqJliG Cbu6e82qUxu/r08gMVLhuJ4yw9opI2mndnh8faE77agfLD1UJVsp5GM90YaDngbn9CjJNTLDCtDJ 1YhNhCDlszRo5Qm1ArM3sOm6P8K2vJ0lSPW/Jpn7ZAUW4z8lUTcrLDB/X6YztwcFLpGIrBglHhIX 5NISCDR0AYL0Q0aOl2HmdBgF/hu4+Qdjx/Ya1UP0hF3t8upC4DOPdLYl8vTMGYrQeK/XBTh5dGi+ H0MJwVWdCUk0mrEGMvcl1lYvwPYr1inGd5ttzpm9OFZalPoJlNvq8MhbOuhdw9y6WCfJRD3fr1GH pBs0Qx2pLTMxcpOuAYGLupIYrJyMl+CgIr6dN5i11acXmnlkftgCqvdiWt1ADFuQDS6vMlb8xWRP H3/WAN3BiHEsSmTuqQEJkMhBS9SPMteSgJjYuNiKzXRFSTCO2/Db4pq1EJA4b3VnrfqXqr5rLtsj nyMcMENcvv0ROoHS0CWpdvgUCbeOtGyfyk/0blI9ZRTpMpNirsmbMNjLRMKoMu0vjAGgTRUYKw2x PxPhI3eT/aBuqbjxQox7A9VCCmmFJw39wYsOqjdZ4hE/UEQOoq40hJHR0tGtqwwFWtpNiG/vd+ND YUEVOZXgHQogHIbNKNvidjC+j4WxTX8r4McBAP4tkzoGswouPXaQvnC3cNASv3HT39+RjQuHJhnJ IbV7x3scjd7VoBupA+LPFxACxCbnPOtDPPiJUaob51ndNl4KG+A/99JxATTfmT9Iy/UtlwfKLWsE H6gnHamAuygEvVO3Ul9bQFhLb/7Tr9qJabvhw0aItvfwex+4r5GbH/m9C/7CDr7N1AkrzZRAo6vB LYpk+7k+zz44bzcQGxRQ+3ZCYLBAZCxWYSG0BsH7l/GfxAwYOB01t0G39XO7GpefCLk7UhZOUceV +KyLziOEY80Z7ncER4EUFQ+GI7HenyL/9m8yiipibF0nsPBNd9i1ZYJhXTbUWPjBE6N1hKHsicv6 EgmaKgc0EY0haEi5Jkn5TZZGYF0XMIXs541sLcfDUu2NyUA+idMXBkmthwvceA7N6jw04fr67WKT DoxRnZT5MnjTrOeltx0gh2lTaddl+pWAekkop0EQt/rPtpEstZY3vlPOla4gg4aJsYlFZqtHukKf AoP2GG2RoYnjt4kd8pFKSuVwGXCGhHlxnlDzMynwlA3qfIWSnYxhIXFdYxSqjD/aduxgD4oB2lw8 ZfZB6D02+1kgAl4CixPB64JDd3D1BBXJaA39Vb3Cg0qB/kDQtMe2dDBAKNTyK2sjbz18YFAy1QZS IApSGo8E+JFb5VPZMrWHOActhVutz6gKuvMfjTI0zxZ9MEM5CAh9Lec7TAj3oCB64zly2Jwz2eDu G/OO5ag82qbd3FidjTCjGZRsIXdkWBYnKiy9cz+dYFNRkozuF1iREik9ieBtALoprO8fgJmO27S5 yJ4QQ9o1/gbCohLfzAiULwGIGUa9gmGU1gX++2GB/nrC3XehdfV1VNygWlssc/vFfiDyO3XlEmbB sK7UsixXlAFQMTsNtzOQrVqqnXccbCaHU6OPLOcUes5jdgiEMIx4uZY5UGIm8tRuHOOwDXccvYgq 48G10taO/Rwamhq2xa3FLP7WpkZtxO9F/KRrnJMuABtKlWt8WIaQxOPlU65R3xgrxPvwBGFbch04 vX7nTp/qynBU4S2IA0J4OV6/Jdc5cmJpGC6KZKbKLRSWugJBtyOmvuYMCwWR4+kt5vfkNkKihP05 g8qaCsIRa3Na6CJ7U/OoBMWMkaTVE4YtBrFsHc5ygj5pk7ScfAoIGmFWLi2w5RDOEsY/fddBqdF5 smt05WMw4yR8wsNK6T8WcDnmCpsdih7ZBoqou0e/TcxNBaHOcUChDvf3ZHakNP1juM+rwMDMZZQ7 aNG6JX2kUSvQG3xl3MTSTLIJ1W+3Kr14AsbcQxy27BXE3BPb1EDrSrLNdo5qYdCeul2fja/GIyX3 Oia1UDpa3Y/6o2KTBPRZu391pzpM2oK24mkUmafw1pv3c5r2TbalqhJzIhCsYxCM2h1EU9fsSP7w 0PJh2tL8hqoCdNaeI5Tkxr3DSom8Anrm+w+e8AcyDomDbY+S5q/cRgP2e+nxGr8GVdTBSBjBMK24 3EpyMLNM7UzMysH4bLKlWNf0mzEzvQJOAPhgVIwkm+V1R7rn/yuYzYBoVB/PEF6vrxItLXYiWOBJ IyDtENmti0R9VOu0dxTDgjmL4SyhOUHLTgk2YnYoNSBoH0rz2cuMh5G/F0EaowL0I++bwCBxlSNm ycbrPxYF51AhP3Wyb5MfGlO3JbP6OEc8UgCnSS6ZnvRWOd7g0gHLk1AdEvbu5gez4gaKFl9bXhmZ jD3p7de3dF/TYGnbeBxugL6MXghO5TnLCjDVI8L/Kg1MO4w9AAhE5rcRlbZ9MYK9tAuZ5TAl03G7 6iKgKro7CRFyjcoNAS41j234X5vWkIvBlvI6OvTRDQrQIEr4dInM8tk+oXCowQQrFIzCilDPHe75 leQ1Dt6MeyYFFnfq7oBFCoHJMisRuBC13xZCKsPMEKBzwNPMFocVz9Ud9rx59lkqWsGobkd+3H83 ABhb6bMuMRC2EoMXo9trlHlcmTyxGC0IyaQlvjSbUYqHDCRT8NB/e0QRA/kWbDHdMIER8/OLwamq Dg7nk/+zDsocmQhl2JClBEmqdT6EVacw2rY0W4mInosQs4MHfGK6eT94JdoY4cG96N0j9LJu4uUS IdLUSIzCrIIHDRuZCaXiB5IsrEqTG1QVkVZA8Bv3FRKnqzSybeE83iGHoPB5o/gWuVeoNlqJfjIa F728gKbH5W/YBPryRwqiiOzMb9NZabtPWsl29n76jNwCHagGOQZO8jXvSoS9OP1im3tPgZN0vbb0 nRTnRK7VwAcPiUDaRh0wzUXw9z/uYV26wv4z76qLql7xKeuxRbFaEjVxJxQIgYCa1nq/1FJktDyF JEP1d8yUxMTIWF7p0V+13ZKu+xBIkD0/lJ/SP4mJdU2ODTfCA2Zl2s5foXIQo8LkLSJA5tKnuju3 2gJbppH3Spv/n6fvBjs3LtB+VrDvwZnMTIkN7EIdqBYpNHPuQUbjezuWcerW0YrUdT3tw+mq4NGW btT8PAq5NXAgJWlMVvgW4vXIaWcJdumNOhwLRi46hIico7qVbEi2C4E06KHvY6crlxI0QN+cUVZI ACpF3FyGQp4CD2wMQwsyweBeQITuPeY3eJpxxKWIDSBB4o9TByjToBpaMsdWimzNUzh6QTMv1aaW CyiXrwybWk7SrN1pqv9JiLdsakT+w2SLZgo3QMQXNuIJmw5zmZ/5cqlu4mzCqP7yhXdQn3EjJ7rE UGTc8ub3te9xoH33kz7Bm1ZnG2KdrfcSTUf6YltqYwFf//1WRSSvGf4bI6hcrJodwwV4+ocbuYUF dZe1xkhA3PqcKFSrSr1TmxS/wHq9iQtNk4C6wF+GH4RwJHPb25btqsbnnQooFI4B78AdHBC3+Y+T 2qkH2ptjh+A6a+q6v9dp7wm8MNSqAWMtVR4fUzGk2jVRtpPb3asYrnUXJU5+JvcprxZeDSYlmHS9 JBx3kq27pdu77ZHtNgmv7DevsYarW81nI9byNRA/eYm9lI2clzRqB8GFR/gIvSBu2nNj0PXCMWoO 5EExDq48Ltl0OhGxW74EhJhu3kam3pDzDdcXMOsTQF/8XhMGnzEaa7EYQdnM7R81Ji6WjSGzuyIJ Qvn++2MPVMflQHvcdcz4wRZYi0N5cyZm9EvcGxU5stSmBjddBXsQqeefRZjX+tTcTz9EKpKqxShH 5DpdQ3Kpzye82dkri5rwf/JzHNXZB7gzEul+ekvf1yVn8WrtqWxcxQFpCnpvwvwcxZ9A9rtWVSfs f05pEaTvrF1OCJzAUNNuGz7z29DGVSCN8lz7ACzJsLc9pLwkw7eOI0Mf5FRqAll6aFbBvSmcufNl vjbYdK8zSOOr3v8+C18bVrFmofsp1uAzZa/EAhdo9jv51odTVgM3UubOrpdqb3jypI5gFJ+e2d+1 Cc/ckiz3KvQujgANYLgsUxxFVanreiooze+SxBKOGAcIx+7C6ysNZGdawxwMVvRd6Its2tjy/nnx x0j3Pbexk42JYzNWxtbU4z2l++vA9xZn2rpi2Zgzi7ahK585H2Hw7GJclYJop+EYslN7Qzg9dNvw 3aYyEzm4o+lNt6IoI8G5KW48P0QJjVQ4b9e37Vs4zElpz/uxYqU1lsAgnyAHJhhUffkHRb6Knzfv VoWkUrUdX/Zz8ceoykL45nDjTdHN28qkoGnPhUO9876ZwMC+gFBOU0J+Vt5/72Xwdf4TkedRNiYj NTWmtPHX/SWtPEsJ3Wnsme8yo/7hwhvOj/2PCkeDDk7KfinZmKVqm7oiDBgj3RFOk5ZRAcqpNYZ/ s2WDlMd/pypkEoNCAYg1TQK3o98/vDAVZE3m3okVrPjr6gIoE41DaB7Nw7eNLBQ+L+FNKCk1Zvj/ TbP4lSpbwkftVqVwMf9FkX+4BwtnnqQuU3I7zNbfwNbJWxg+ShMOZmlsJvpXKHyw7+QzYMXpc2mo 9288treID9C1HHg2WC5FBho4+CsNunav0e94i4cS8s//GpGC2JxL+2Q9p75qunke9kUjSvkwJ7YI 6Pxkhn0dJaSh6HCDGj+Sdlx/zkhFaL85xzySdJU9EirznmAhRMS/eWzgg65FjU5j3ayYcdrYf3Eg RpVEKULIQpiack6+JiK7oov6GX+3s34+rqyHRszklujUJ06lxy3U5/mldPOuXo0TFHD6A7nCrzkO GRQlD/Ye1/5Qt1IEoho3tuOTvyGThmxx04Q98fu5hFLC80463B4pGmn9XjqE9iEuhIzdpmp6U/d9 tV7PK2MPHTOO6itIglDhweeYnGf3xI5cmDB3SDAgikO5N/k0M/h3SE62uNNj4H01E7GWCeWLUq13 Z1ME3QT88UYXnZl8sQt5+oR9tm9ctep5UsH7OQjb0Fy8o6m1mDuxBiRi02FwtOXShLTlQmx+WFkC BI/cmrHFNaii5EC/JQSjIX6etewdv0s7Es3THd27PBtU9nRMQoSBXoJO+DeKXS2ivs5FTIqll2zE LL+gfKCtVM0IG/7Y6GYh7hM/XmBilVnWdLGMlIuafB/AvNupIze5LXdecQT5+8Ds/gxaBQZwu7g2 3rT+MhdnVRp0V1JUNHhfkNZsy8IVAEReB4sbbytIO3nQAnTSjpWaixpxjMER4tzeJHoe6MU9aFlQ Ygqi1Nx9aMWcLA02zO2CeZt77MdCo5b97kYa5rOZM5ybjOPi+xgMH9LJtf43Twb6yk5Aq8C7Nvwa +GymQWeDFPeKTfqL4i0YxCagsmrvBH7PVtnuWkGYj+Qc8KP0F+Qg6nNR7KOUN/6eCoEjn5OA9zwt zCcsyIl3gm0dDyFWIdpXBpEvSfX/wy5I4PY0JbPiEdwqqoUmYVhAHH8xxPQ7b/jLWGvRhfyPq+VU taecyn27515xlyIxn5LYsXi7Gy6903argo3ihNbrMGEx9JhfyNxqPnN2ST45hPze8HGxATRqFpqL CLFf+ja/moSPHDVtda6wZ1RZ9/EE8mj3mXrvyfe9KcZSRZgBt8orpsqQjq/D/9K7K+woxcASOAAH xumBo6xLXQwU4xLXEFWt7R/ZEvfsYPIEXQN4Y517DUAlIY3kjdif/sL8FmoeA2xJHmQKBYb3jIS0 I5TEl2Pl2FQaaGJ4j9hi2Rhfh32CId5F9WkHNc/22a6YY7Dtg8FZaGBagEaYwNAddiGsSqxNgYW8 aoDliYCfHOlR7So7CJU7dgObrNBXyrEf58PDoBqZb4U28b0Fhj2/6k1N/o+IMHDTDrZeZTjMtv3h siJ3m86frKbGmKEzKLx+4zs47ooTqYlkh1IA1HVxf8JbMhehjWX4wYdNzzKfJ2vow53P6nBQ1hE4 dsnfb5VrztlT4jEHDV1xFrxipdLk1xuzS3EiV7hxqot7mdlmAGvWsKfLp7PeCa8RbbqilQIWlYA/ uOAiluSsX1hBfnGDvTL2jXeBJYerNIXfM9VfsNGDocOR7yLoOvDa9P8Uhn8HJEqE8KMFLhJe4t3N dXbZVsAdav9kykswg0U9jeSEvr2xM0DtB6lQa0dWdMOeisBpbD+0WehFDZZ2d8lqiy1ltTPqLpWU WSG0G5T6xdANaQkcHG9uOL3UQl39Q2l56OqLIkOKqJmLctHKPg7db5VR7bM4PyyEqt6R7aZfD6k+ y2Q0TxEjG7YnwYi1wPen3/YXGvosG5Ib1tw3HdITclNeB+aUubSIJrtPhkxV0cLoya9iY/474lDN 3QIDxzlPxezJOIC6DYZiXsw2UVWCpNP07EWa+vKmvkEVxuK6qqwZB0zqEryhwrYwbX6y/VvjbX9e 3polAcqjAysoEFMQF0MyztCgCLQxhVlrtIdwKyZLHAyiSuSNgYZc/VhtcOqS+8obWEbUvZp0IaM9 NyuSr9EAltybenseduXJOFR5xYpZ8iDxuAuyVdnRFc7L+bvPUAJmdM1PYQ3tSO+o2bdFlUdv6cTU eLmBt3FBdKXPxTA6o892JqF01n37ycz0t6sBOD5amFvWvlz8EhyIgKnxIb2T/tZhT148vtt6NAXb Xgsm+Ma8v4fzMft7VflcvkwiXQaIZiNahl8fegHu1+AvuZNltHAtsKCKgi6fLmUvvKf3CQeUAO2X tizJsVjTBdX3rJRWP6qNV/GVYccY00Y6U2U/RwGKLTQSHSVPo/M5dxWAOdC5oBTCbkk4Vw2ZDStT yGIxF5SKLogxcsnNj6juX5G06B22HV0dz9w/QoYlE4Kmzik6iE//mhuGjXIPwFLyu8tn+MU2Rg/Z oViN0ZL0bchqqGbLyb0O6bGvZTvqYCeoQQ75wllEfdsFR+2WdtulZgNt5cjDmrzTpX5lDS1nWVwZ zAuLpn587mhMMngtxQVK1UnfWWS6TYJBLeM3NzVOvskJAV4RDSg++FLyWiV/Bl5pTOKyBppC5UpL bDgEMHnTMGV0phn2PthJN1NU+eHCWy5rqJbSC+eiFNwEJTr6pku4h+qnFKUj2ZduIAY9OIrWJPW3 Nxmg0KySvzTj1KX2FdPdKGS5MQGd7JkIIHq7s+HsDCi3c4rYMEqI4AnnP9PscQO4VmxTLJhqMaG3 Qz20ZjiCij1nwo+DvpagX6/sJWm56bYuSm3enBPod8cqe/aczpmk/uKbUr1FvTAIGp27QwdW5TQ5 lpN9bKvRUSkT37QZeFxNUbBh09X1LY5bg982IGx+Zc5qXuIRjkgFMWAgE96waQyuTIpbkUFAfFr2 7qf+bYkdCt61C4e/lsYPagUCuM09U8zl93umfGmvGPf6j9DCM/h0Cj3kYXKsrxdeD/CViYLjodtl 9bc8KhyiBSCTVVhdqs9UX0Pm7if8VKMHUee6Y+5e9pBgosjGewh/xtv5Fzn5e2kZW39ZdQhkBI9E R0txJKz7WjK/2l6lqySBA0ZB/NI0pzVNk5dV8xM3EO8vNYjT1CDwJjcjmzI0eoQ5PSiWqH3o0QGw 1XbJg0SxncI6grHfIDreDwvY75BLmseMNwvR2CTusI8jOgWViPEgWL1KfCOt3qHBujT9SinKDzKQ KNVNf1WeidRhQbRp6rkvv/gpsZjfJQEph0Nkoaeq/BUnCghI/PMrAXkwLTW96p4aAMPM/JxN9jmA W78mmThytHPRezau8ZHh2qn4sWgvqQtipjIB9T3kJEBObkHJqgUCndojtKk+cjBewn+FY9tKmk3P OC+94l0WMJRZcdN2kX6nhaoCUzbZ48LHJB/eEIWoPHr76DtoEVHC0yVS6mFDOJgEmkOoWhi9bHWM jwpZJ7dUaZ7HeYcT55geb3QHDojuVG0seiiJ3A2LZdVgJ5M0XjzeyCyRBDMCEkr2IZp/L8HaEcnE UEya28qvVWQifgmCp9GZeYHle2p93RQ6d3YueX6eE7iE6RvSxEkP0VzOorEjAY1n3uZVlTqqXm9S izBjguPio0U89VBzBqzqRaXyn7mA/o24UzoysWemPSEooa9SlC1bbKrUgY9l7RR+RGNsMy3hZTKU YCLi4SZ7Ssy+oFf3YO9nMRFPshOJr3EQvyvhLAe0KXPK/eun52EsvfYSA+0ofw4g3TPOHw0SAudB KH82G4GvD9YOuNsZ9SxCdKmVFPtgsF01UhV6lIiQ39MqPkRuZ+tLXdspLI+dvGl+3O4zyYaUREb1 EA4wo1wpr80jwP6AvPL7S7DubWjgHb4nt0bmVJ2YgRGtiTBvaNoalZhNJNa5msmUDsyoTVb4yMwv 3U6MpxBbX7Slc8ot8rEnOPYQ93V1n2/byVXYhcEX+KJ4swM10p9BguT2avf5T22851SXQ2xvl/mB SiE4iJdruCGAs6oqv+rkOv1TuLfvFnlE6NR5e8hK9D6TTVhZPeUQ6OheAxHI3JlCML9W5N1qoCqM ay8Dr6PV/42zUeqMC3hE2bC4WIbLhIrmbp0cVBXC6JAdegO0TfHYIhjTE+CucdtmuSySdg1I4vxx 5qT3SySRqhjTcUOqmzVbQU3Xujp5XcsXKo7XsbK23/sCMcM40Y2vcW4aU3im6cInQRDHlShpPCNt ttCG+TPBx0CbbCpgNx1a8bzJKBlO9eSt5iujSH0aiiN+j3gCNi/1gDnkpcM7Bfd8NYdJG2Ga7Kzw 3XdjnrC20XsjArtovR86qa0dFEW/w9vZOAFyOwlGIz6CPBxQisd2c01nyJH93bIf5SHYYmFbARTI kPhtb3yFMAdMsNFqOiW1p/w85Ie7e5R6VHQiU/LcKtbwn+sinq6NSEfbak882ovcy9WF0zviPAwH nno1qhsy+nWw4HkTPfxIpA4W79+05H8k1yZ4GRTpLhruknCf2JoU77mIUfe1XKhZHiY0tE5Uug5B R+QZw+5P5S2HNinECESTbRB6zG+wfB16tP3k4u6Wt829o6dTAVzEJH+sgrMDwkLcN6s07KVSoneA gdMH3QoIyCkyxcTUMZJ9TDyNLKZNqgPT/TbZGKPPQYkKQrLmbaz8hhXX+RcnBuJhLpXtuFpJk2SO Qs8SLfn65HiYK8SaDDA06rsji4lIJQImgR80VIOlU9ZghvDlYApFsVefGTmCu7C+rvbbdJf/UDg5 oKisvlD3S1B+LnJdauMXcC6qXk4iIwt9oSGaw+xz4aKxKB/fmcxiLFb/fyhb3pXwhoMTxyZfI/gJ gNWFYTC2ZbW8a66K6JtMCAMDYDbuy5PrZI85svkxgmo232cu6mLxiWViwEe/PquKpUtwEdmnkDfF DmuqGG6rDpTy8UqCDtMf2dogJqUvB3o6zaQndMJiizbNaCS1rPPdinlTulFpL/qnGhY4VJDLNMRm 2tVh+VWR7J7msjI1HM4n66mR+rQ6SuDnd+61WhWMkr8+xY82T7d9j97+uWB4zGcaWMzwiJZsnK4k sAGMecXvGMDiWEtiMuchFTlA/WV/y5beWDUdPgdVEUP7pkQYKo/hLXSK2QZptTz1FUeJTi89zsT4 N2sZlD07f4M3V+zd0JdVPWusmbq2OeKzLn0rkZYAvBSskARlV3ShgpcXEJpkCrVPCKVu2VwsO8To vcyn5dHPAuO+0c1tmxHAcsQtFHX6ZMLniyU8CvKErsjGyUp1AUcDuU4ElOC4zvzHKuGwMV0sn3qW A/iwAjEI3JL9VlVIhznWdPuSZq2hD6qGX8GTxacadP26xEuzsbU1jg1sshV0AKN95kMFbTK+O3Fu EmgjjrhABhJWsEbqZKY65kk+hxTa0OMJKzrLFcFlnNo3lU+4zi9ZoKenj+AWBERaBGAvKkzye2nZ EG61OY2T8vK8UBBeD1Wt6klQ9b14lKXU3U3wGf1+QsE20UHxWrseFrX1pCnC5vRvjrdXuCtRH+bU QYI63AM5hFH2gsCvool4W+oqiGVNNqURvNgPBAVGPARwmQijAuSURvj3I4YHXpLPuNzsD+BZT7Sf Tf2H4PnsGQ48mzyxGzMvGYVOE0ZxFJYrHFxiQL29s8j39i5Ms7WkONeL8s84UzEqLqdSZbbzJz8x bToCOpcJXbJaa1tq6H0JA5RujEUl4aMbDWlU9pHzKhPxXR+RjYO912dYriflhDpzpliE6w/Lc/EB mq6BSZFD9oaThXhyuKNVJ0yVBwNWKvLqGauzluAWAS9wWfN9FbiWy+FEICeBmqbR/Bb5nvAewjdA 1KPEvq6ruHTAx2HBoOO/DEi7iTLF3P3ZEwiSM/MFtMBA1caZA+WI688wEEJbrVS8jqu7LZiJdHh1 GcKJ1MSXtao/nohxTyAQIXQ7rBRPeg+kts75jqRlXcGZTJTm0qRWkfSEJcToFltRq7Ls9ulR33zA zRgNPChaMVnrQkqj7bsocAaL0yiwAyfqV7mdAoQK1oh5AkVLnFbD154E+ArDVxImSS9/Xb3/Y2K1 Zi2N2gbKB26bDOdjbtoS5ryMch+rIdHDALQf6JVMXWz2YgOeM3g2yCTjHBIgc78OR3o8hk8xIIYF Tb4PCRfe53Bhk5nfIJ95acS9ss1DmEWaO3U9qwg1f6Gi8CBIjke5SZ/rOUxGXVS/TGSwYlgZEqey POpQf87N3L/iKPu1CieNvii51lwis3Scs6Rz+yEYtYqtJlGQT5c8HzAjC3bzz4q92nIL+nbtzVh6 VAFX9ZQzvsGD1IubYa71BDmpwNV9Do7DS73cN7dj5OFe1rp5tTlO3XNZyzF/eRei7Rl9rZyXrIsV Fy+2nalneGilFCJ4Oji5QrgiTZpMofqfNKtSxy/kLQZ5nLdM5yNjNVFoZ3cIkOcknUd7nplibMEl xUCqohCjQajRrvsKq2WnqGhcmDo/+datBrBRAMbXKDMAaQ6X61f4eyf2RXs53Yra/GwLlvgl+BjQ 514wZ0YZU9lU9Stip3XvAHynACEn8aM4eLYkv2xp0UcoX4O8imM7WK/pgn+OCQK+ZktX5Y6TmQXO 43l463k6tduVZbhrAl1DnmcgcpoLGR4mSA1iAdZd01BCKfV4aHsBkWK17fkSkON0/dQ7vbzIh9QG BHWS5lNjvfLWIgLUm9IzrpQXlVqshbt4WbU75rp4CCTlq+/gAyiZ+qPExevx2S8e4OjRjIiS2idV K2zjwSAoXSb6hC22mK9Ue0jRL5iu1VOXpDj5zofsTx+veix8wEDmE7ewVa8IUB13ujINXuaaa5TY QMXMzx1oPRO4CLoalYjZ1wpII2+dUzuUQl3qyLtCebiRvWitWoku+Ua/X8kyoLCWE+7W8m+7e86H rSD0cTR4gMVWcQgJAwwRHTH9/cIUtgc5dJpFOhnrEvylMW3UbkOsjH1bERMJFB+TyWrgvOLJJr/x 7yjnnKhWPjFQiz+MLA+P1fcv2Uz9a1rGtxUYHO09BswScjzEfL68kuQsmRgR8RNCK8WEn4ws4MAa OINBWve67KtEqRRkJnbscSiws8RLvDyK1W/MyDRkLUSpik8AJN9+USszYSnNNsAlk99TfGiizT32 pI7vhIW0SjcNGYOb+tgVm+A1teQbq3UBMi+v5uGAORQRa7BKwYhZGQvEac4kVMpHqUlJokAZODHX h5HquymAb26PDXkz+xkQ0kEo7N7qMwxg2he4zdI0BEti4+l+Be0mVLxD0S7nlWXJk9xJwKV5F0TO fw9WoQR6SfY6rFYdXDEMInV6WoKUx+mnY9avQ8o7M1BO5ut9Dnr41vyQMylbokfQWkaJdbFRBWhk HIalnRpwkVTsQ5EFUAxl8QFIH0FJhvLdH3CPErD2maoqCIGIxRGyXbASPi+YpRvsclK8+f2YXgjt IFhFpXs2dskBetdeMoGII9IhPiPKtF/r7r1Yd8XwD+HxusNUZnHBYa2datc9nVnwooMW9jPmzewj 8GgDRUoO7et9Hgjzo0/vxu9/jk5utFJApbqaj6K/1Zsr4jA/+OvN8HjVb6FwD9rADe9J4x3AoCQ4 K6mWCxfjJUAk/XnOLyIMolpz+r6HmsF0Og+ccT+ztXGK7A66ZfgAPGlQrdPO/SThfhhpB/IQjqnv Jb/ZXtocYaPIoqEM4SK4t1p0AvXgX5V8v8MueIlfqzhCe5yP6vYC0qHdAxx7lhYNp9QVHlruCgw/ GCy9hwN56TUPbsWIQoCG6eWJFVZ/zYSmqu579Ig95/oCPOhFqI67MOKBPo/2FHyoaPEKYpAtptzk GVY/yw5+J+DZlsivXZlBzzo/SqwA+8BPAMaHlx8EM94ZK3KJn0yQcUkg/NjWOvhKihB3fh4O402a dxJ0KwYv15b9JK/fhOvxgUWfdj2dGs8B3RQtVOYl/SMuC6TAdvjAAla58QW2ZlzJut3q4pR9Irq5 EUpxS6Lr/IxS2OqUcSW7j7WFrzeetqXmMMU8pp6wWdNkL+0ce5hfVXPzzFKtDVeA+0UZ82DtO1Df ZqtbTUDZyzk/hEvQFo53bDOIGkHxQKL2c+oabY/I6L98eq99lvSn/YmE7tSNaW7L5En8/nD4bMgz uhyfNbFW34gYuOuxa5VzvABcllGQltZ9IHwpl8fzgMhmH5IXhov1cyrrzazwCoJpaaXSup7Mqcxp AUnwAcL5f3lqgVNP5/maDuh8d3DIAgx/WhJNaiEgQh2uBiEtkXaBSDLmt0R2kxEZvWOHBJvBzB3G yWCaWEmFlHy9n6srTIxAGgY5KjOnrrUEKvFeXYvnGIKyc4lgLanXStyACvEZf1jr50A5990WuH+n EZwpFzOd1thCh7Wy74tZ8SPO4DRfefZUjd70B3lQ6UwJ52ewmp5QtV4xzglsvAW4m7sZWnyh0cWy R8kxpVH8PYVGvtolTS+92KWLnNG1bG+ko+KTMnnJfx692oUNY1Ok6adVX95ptgaua9nBo9xjoZwK yHdKroyFV7CPOMeLMlACkksQOIpGjeSKphvwR454vDI/t3oyGlS+Ec0n31bjs45apWW2Au6/By8K f95m75yq4gPj2q+wYlkdqAtqdamst/WkVXK13qrBLqVoRZ+8HxFskskN969QmAsrJ31FnBkf6CbM 8DSTzFbXFPv/ll1lQI1CqYWuboppCJeDUqXwhQpcH9GAxQFe43yEqC3HhPnBcYzXlQfKp/1yr+gR nwLbV1LQNd0HK3+exPj0roMvMfcxe9iOY038kIlCGJ1VcJ4UeVIjFiY/F4u2q0iV7UqwgXG0H3Qv T5lqQKNcWiI20+Sc989Dfaj6KhRrq8VGK8gAqnyW0gbTocXDVfigMwbyxc6mPL+ka1gavVLcISi3 TFk64qUYhqUyNjSnnVUs9f7KmZuMrixshuNEZRbHRpLwpnIbYRD2kSjWOQKk9HOi9z2c4BIttExQ jq6jgLj1pcagDL25JrZF/8a6NLUl0CLnwwBwLXflCE7TGIZY5TKzmufnhhBvQ1yYeyI+nRWjEo7X i7W3qvMQ3QgwETWRi1KbmWMTM9pIEkLz6EMDnD7ruDy5aZYoncnre8fdSXBYB2zSy8fJBWIJEcMr f3BORpeHqQlkI7RQNaBnZJJnZkPgyaU3wpLS0ofOXLWA811rGF3ygJYAo2DNfwf2gbCpsy7gHcfE R9Jid3XkH4lQVfBJJnwF0MGmFV6FO5s50g9031OKhNescODHG68328qV3S3/FeL7CL8TCAtvNVaE h9ByglsfxCXxAmTJZDWtpcVFsMHUqJNstWZMaNxmZCwr6o7RgyvIdHu6fd5KzR82+i7xiCmjavLQ b1tgjb7qQcSp2q6NwUHlJWg+geSXugVVOXSc4E0miiwuhRNpz8WVAR4wzoptyKQFAdYtJIHY0iPK tkSX1gDF4mqUdv5RaCDe9zHdxqHrw+qDazuKczddF8q9aGC/l2qrL4ttJmfeef4JSx4kwgQeIix5 Kd/lzaAZPctvEZc4Dy8x5emeTGh9mJNSduU5YcLa7OU+TDbjmJt71hZTIGhNhoRdhEApXvLSdsBV pF50cyfUV4tbRpli8Z2++hFgUn0Y/ViaMbc/QtMmuaC88Fr6RMb/9SuPS/xGAbV1ZYmG/3fzqkLY 0He+SfyFstMC1mabQB8rdcSUJvsaKO2/46uT2emikFQe6/m4Tb+224dh4uw7pW/kUcRa8I8KIHVA HNUba/F4fVCKBItVB91BBUxYN9wUX9QV0arbSPwbdH+Ioa79v6It7YMA9IrIklq8yUKyNuyg8PFy anNM9W5oVL89Ag5IJjGxF1tUn36ZudKMP83zZvgJp/TkSoqahHptBDfeQwFJ+bglkUasEYRU7oqM rbLjOWGcam5mYqHpbmrIzjbskV745peCo9eR+r21Y64La9OKuSm5fEngo9egyDut7qs0o1PlOvsH cxEW62pAhqP6QjK2oH+MguV0BE5sv2Q1UvGyM0aG654lNIIuEiaCFyaqi96ruONITHfLHyc4VNBH CZRG8jq5eN4B5e8PYG7cxvxnNyAm98KUPZ0vmDsDaJn2GhVSw6l2ijQms6N9Ig4yAIJjD2bN6QD6 asMtRrsgzomfYbgGFXmCkEw4tXQBrdjKTogiCFG2SzFWV8fZ8T47jUHDpOfweMAIZOYyTQnlCAsY Rsu+DVUeFva1T92pSsl6PqnbcEZbttPBxQpFLsUQsf/O1j1lSXasV3k5he+hY5WYAO25/TjHU20x MkU1kZL8lU0i6cnl36Wqp9BQ6lUl0GvsArDcm4uMvTNzjT4T2agIcQs9XqogEnd1d/zuHuF29rtT vhxXALWkL7cDfCLCzkBFPQ5PlIeNj4MHsbNbAwHTqd1pz1nkVjfrcTq8STWgceA74QOFliQd7tuU F2kHgOMi1RKuK+qlDFe+Z5gKd/sRf2oS5/Wx+v27k+SDQrLM21VHl0Zplet9C3A+FZ0kofJ6JKE2 uKhmgvixTznd0gul2gbvpoCbS66CPma09D9xZi1D1GUW5RcAevVccJO8xEM4kYtJV+gdF0ncKzwj 7uZ+PLyeWFe4IUMLAhZHkjS7apAl7QuIz7IenoYlTf8htq2W1qA6bi5T/A1Wj/66nXkx2I7F6iiO JqMFXCF1oPfCsNKpdEFsWm237RCS7ErN+70AiG8L3EVNq0EEZ2yEqXr2PAPIKOfm8l3G/m6XLheR g9AQDuHpYY896ZvWrXtFS/XY2YXssUlYy3YnPO6PdytACm6kLudPHAN4wNHc+Ok2L/xoH6fR+jjh lBZX33iBV7f+HWO5CIqJ0mZH7D3i1LSJvuvaUHlPsoBKv67fJyR3ClClq2CAtxeCxc9szaEXgq7K 58CFj6mpUaZpiQERNZiQ2rZuq8amzt35spAp9EnylzkvBoADZ9xtjqV/bUBuq6G4E5hHYYEAjfnF HV4dGg/K6/m3LB0YenBHoLhWsyxr2kQhO6atWMDlB8Vdg4YtSiQ1EpUDw2J4VZ3YUnpmQQ7dI59a IVcEeqA8nYRLfuVZk+WT+45PMw1nCZVEJRe7c2vHwW3dY8RFrmVqARuI8a8qyn5hEKUfoL5gxNmA 6IRS/mfqUTKLhJxskAWim/Ozaha8/UcS2KByOG3HBSP7mayPENLHgkFQBkF0/vDLrJmYd49T+jP4 SkMujI6FjDrRrmuLvlLX6otJqg1pI+tIRThx/vtIUy3ZCLXWpy2vxK7owykjZ1pGi/hmy7dlZfFw gYzsPkkLt/DwrTazfyzcdX1ijOLbetaekkcmaArjBHa0D/vzN/+d8YXB7tXqN63YddI3MbD0oPuf uWIL4y8snvxtaA5/YStw8dKROa5nT1+3hVOCFXO7V/ra+j5OniY44mPvwmUjGp+vN+caqGz8FGpS 63uJp24I5a7Z2oCu92taP9hwv6EFCS6kkzy8IcdcuJr/h0xAVYD1lsfsZx+AsuN9GsD8YjEx+KDu EnuPraTxiOCyipBCfF/inpffFwzmaNMo6bCNNPwkjw5CqkOKnhIed9SWYs0+tbReyk3RZHFHLIfq GIcueO+7cs80FocgtGDjuxlokYddHGkpYlMryzl/E8mLiS1ZNlhwLvuFigEKTGoakOT9dBmgVyHa E8vKKWzcEWhpKceSCCPzdrwBgxGSvZjCigINoBp2yil+DtY67iMBJPPyd/5pod8XdMNx8PFYgQpz p3tWUhAz+kvidSvoaL98jmsWai2zoSxBEigTSL3DzUqW3fnB4Wb/vke861koXHZ+j5496CFUX72k 4DeMSa8D4l6DEWKxRRbRcE7fup6lBPl/7tmniK66AYy4kESW2IY3ZFhFcrC2EEV57KdRe/Omp0Iy mlvxoiklQlpSBaVgQcRvCFyVcXpEOyMYcQUBSQrU2AfxFC9NtUvj/ExrVJY/Qhg18TlEvdu09BJ3 FUyjBNkzO5HWNvuGt9OSPhZvg0hsAulhOkm142iRfPZN0a8PsPUvqt2ON0D/aANroZjIfJwJkRkQ BiWDjWCK316oOHObgqibzv4Bpwekkfm19jJBqpP3YJFcHOJnCd81F7Jp0sJOfELHo9tIJkvb6niN rP3hyWvUlGZUWm9/urhQJgf/hcBXgqFsC6oUfEdGl7WRcs7BH7ekY0vOnSlfU8EfSFco6lwW1wQm VmLmpRcd/5LARtA0rVZj88kReLlJUVxI+7lTWldb55M1CJDBZcZvxWGzqmWTB0g87/QrdK9W5sZJ z09jJmYYBhmsSl8N2rkQ1qs5v56/yq/rkZ76aoG4u0VGZ30Yi6xSi1drlGu9YQSbvrXtpW1CLIaz kgbnlIEaBXYNvWf2ohuTD2/7OpNu+MGptxJpA6nNfp4sEn11Dg6VFQ8M1OD0pvVircHtC7pikKGV lpEPzRT4HBQWfyDRvDt2pidz6w2SK+X9wTWbVCAl+d9LJoYdHDq4d6M4ubNHDnhTkeJlcSfXYM7V UptBC1td2cSqBmIo6SqNp1r80xtd9nOUZPpKauN80OhFg4S0Bwf0czapQSPrfFwkejuXvuIWN04U i7nhYoLMYXhzej/+cdiKSNe9xYhuhSApnJrTAVmJfxARo2vSJjWi2nEiMJSt0ZjOR2nxrPfVeS7A T5Xwev4h1R8aQYuDJhXoRrfWN/PxevTL0egeWMNndWpFLa6r0bb1QQuqD4Qsx9rc71+EHLlgxw82 q9MIMIBIC9KItWzHq1AQfQIDJd5h3p5FlB6IvibI8CZXHE5kHQwkyUBGUs5RtYO5BV2ZRvXTs0kv dAbZmruU2Tdr0FEWqDNTT2jiI97w3IwAWw9fWe2UscptFvkL3AN8VVZ2TGNiO2L9CLTCrA4Dm129 w52wLy1Sw+LYlOTqZKqyKASXUEWry3OWcf+SbOc60opPjyqFtSM+IT74nvF22AfFdHDFn8FGix9T WzOkEf2BlAYzlw7DlK8KEBlUd8jWoY0S/EfFdrVtFd5/LMqpblc3IrUMCPwxeOVbiPdp2Q1kQA1l V8A4cblabnj+GeSfju65M+IJHnif+BL7JmtSk+jy0B8ctFR828Y1g1fWyhp/7WdaNlDUsG0ITjkw lS2VLP9i0eGtcYZEdeJW5GlfALtcMslmxPHAdoi7boQKY+PAobNlynXYAhQCBv6Fq6kJf/usMT/P 3b8AucNK1DH5IqwlAHY6IORsHIuUDAkJ/n9tTN6K3QZSb26wOEZu6KNCm9ia5ve5OaDAWFg2+FgX t2z9uWOgJDPHdNY3BZpdzqoiOZYyrDhHv2fHPZ2vLxv2iOAWPVzLQVhMExuAp4aq29jg2D3LlYbU Khmnys+gbbJTa/Al9wSFmWS/WC5atimyMnZ0itdzYYp3lBQBjBabM1S7sRpzGHpd494C+9U1iDg6 kAqxU809ushTBx7prxiAK0osWgU3wIIJm8TcGld81byOXTYCB5A7EyxqgVXUHVjqpTExpQ3CxExG NqDJnJfCq/PMOm9xsdVJ5w4x3IOxnPzjPoGzXMUNcOJqlk9ZuyJ5EcjcK71VsUDeiykWcqXEhLDq 9iSoY13zNZURK5LYlcCIeyEzlIcILzHTNWvs+nfvTDUDIEcqDVLMA/wMzZcsbMWubUsU04Csb1JD qQ9qdXuCKchgUP3EyPOcNa3aP8ez2m7I+v7mtcaCzer928pWHHvJZJn//O7QTEc7oBh+4d+V3NEB +iHTi25Gb9ZVJYeVB7+DekbTofB7qI3gB2aOz+UUWQfxtw2gEvq4D8XCl5MnYJMONntTV4bUoLIw /HmXsSQUdVI9JAk6YC07rLcl56UKHO1lRqEhoLbvVff79GC6L06PjrJlbOn3UcN6rFWlSxWlMjcE DDN1JLbTNplMhlJsO5mSGciSL3xbh78/srk2tZii26Fdk5tqD7rm+WJVSONTHIREQGNTz841agWF vFcIjXLkn3vY74Klo/pEPb7gmW1EkgL1dqhrsH/WeTO0N3AetcTTK+yQieqHT3rDJByk4LGlPe/F 3dKSuhEZk4sxhXWMgDpZJy3s/Q9f6p6FRNyrkF19fdj+OHmkxxwEOG8nC7Rc9/+4gkW4U6bdAiLI ESgjikbxiViw9087bGPKzzG76u+2h2hVtQjUc1jqdJdURdTJXrw95X/+pnkB593uoW17vMx2mRgL jrRojpsdNJ79fwn5df8lMZzis72tzo1w4HipPEwTDeHZkp16spocnQDuVwZjN4Fvcrj7hfW6MtNE xLHUOJi3fQM61aLrSz/84F8/Rcyl3TiLJ829TNIHroerXf4uKbuqUJAG8puOJFshsqTNO+hS98bV vQXqCwd2FdLG77Xl01CTjr5FTK1WynKsaCtJVoADPOV9y9v5bGvvAJFGQ4Xq717oD3ICM9E6uNv9 cY4j/KHEYzlBg5XD1iDJxizylyGh1eETAQdpZ8vidkXvLqsXxEbNufffh5kIXzsqtQa8FOEAo/p5 2shxNq/1jRQuB0xf6Iaql2SeAvwnF16O9sO1LP2kXleMTKl5IAOs4j2L2xRbUm6gSmac38ah3Dze M81bri/ux4Vfsoeq6FsNo9cQjq89vnpkKfqWEdRBTOGRbWk7DKp5utG0gVc4Zv92eHrvXJzqdbA6 dNusjGlhIvVXGpEjq5QLsvRjjIrs7sPvL7+fb7SozNb+e2X71hQRe/VMJaGhfn5S8iwi7PKzVIHR SdfDu5jIJHOsNwylG6h6LpfDTfbTFKH9WOwwYJ7Cl2Q1PZPsmO6csBCKQzPmCJMC0clxmvui4dXT 3C2PjdAqYH1ZM5TaImw4v8N+ln7Hda+XIBuiQW49crr19sN5ZnENOzyOjHVvl4Bu9+7wTPZ1Sbhh jKkqKXOmxGew9X5B4eV9bEMCclRqfNEI6RD0W4w15gKJ8/ViVSa82suVoI/AWdcHHsplPb7LVPV7 c8u+xySKF8pk2X2To3oULDXin+KEpSxGoBS2dhzGCBVPBJbEOGJZInozj3F7oSH2vu5Yaf7mC7+y ve1zCdvPhmFQSr3hHDmup9FgjpuEFJhNzNpwKJBBIBj7gRquXqa/hmIy18TzErx67QapUlwVtK8j jMOIZJCXcDoTzIZxUcAEGzyXLYfmQ3PQXz5UjNDjdL/EzsEz3tC8MGPi+1tGToeu7+N6dpEHw2/r GVyeI0jhfdZED2zce+OquXbFBDsN7sfwhqdZeUZZBdr8a9CDmt/dLMsP+f5qenrUlrX2oOb+cSEO LuhFxcdGSioDuU1+7v/JznT9h/Xsnft8W97TUzaUfJ8kXau7utAXl8qpyPuqXklij6dGZFYgNbjb GlpQ14CsPBEa6hHpIJLn+sCXyjrTu7k/w+zw/rZfTCTYj7yyKiuFAVghkZbAY/lOlxd7bSMYIWu2 kToWzNsun6cIyI3N1JGdZQCtWM5qFZYIJdLIzJ2tvx/QWK4BglIEHnEzIUrsiKYth2Djf968jyN2 PmnYKj/PjcpJBoLiWjI6f2qoXhZoM6yFPRJjvpXpgjEXEbL7RSrL2f1wxhEgizoum+JBmhuyZ8Cv ECKDpdyevAd12Igke3JRxcgwNwbSMH/aMqgixBWl9qlojZBu0y7Pl+6kr2ta4kXhgwVLplo2XfS3 oyFgzPuxBA6hCot65PUQ5pALgdmkaGXZGkJ30H/PCPJRBdVyzHHfJEfuV0Aa5TlcSjMoqbKSdJBG +5KwH6cAwRgDMuERqzVqiXwJvhGnp+1zFyxC2Mso9ybzCRyqzP7IvMzUY8Ugm+CjVrmDzeFJEpEn e9fG7twrv8pibikjK6SC6BavXJyKqx5eKB7cPIl993M9SATQ/uk4zdlxDNKVXSw7epzOT5ml+hXh Sv7wXzimsFwGNJFd/MPUorj3+kc1lGSe2yeiunfT2zI0o9CcpVI1gzQANoc1dPPbe8i1/qUR4chf 6VNxiULC2uliypGTo7elO1MnwT/7j62BCrloDB1piOlGiOyid06HwdSnHVZtzysmdhmDZtwVqiDZ gIuiWt83mL5C4e8Zs6KUMeYjkMm3Hifm6aX3Fo9Q2hl1sdPWUF+DnHRN0/ta+/TSnqrTp9hvohWq MTQNGsRjGP5QmzslXg/5jtcxq/viU3KGw/nkR4JsdVqmecxTfYO73mFA4ubAZJh/HibHhZLFMxo5 KysRYFyGhcVDQYyg+mXCNosIOxBDpN5TSmvtUclFfIFeAv3n2NeKQ5jxXc0vFADLE74E7znlL+96 hBO5fpS1D4JZFQOlRCy+Zl+Eioubjg6/RUrY9sxyyU4HbQ6EcziSn/ECKQUPphMrYo+Bdwvm9/22 D+UhU7YES3vL734sx9pDh49+x4S8HYUAWzu7a1MbFi5Grt4st7mvbp6mRnG46De36jhMxb1K3m+s BODg9ron9B+OdLCCC1j+pf+aecAc3PuZndysSBp+n4sjm2L/QXQVDJFcTs605uaDixG1llRukkB7 RX8Bxks4wGg0gYlfTI9T1FTXJA4KYCX7rAR59nVI7RAfzmnSYjG40FSFcQvkRscvRf1uGzRyGLTq huRLhmKmHERklteB/32lENajYc//yKkmSlYYmn0Un0c3sPAck88cBuj7wM1NGiwaCeISYGGmOEqQ MYFWbZu3Q+nSoThyQUoBFIwfS7vgFcWYIpIFalxB5brrgE2V9HG6HyNTXeqtLotNoxOB5KjHGVpR FEcpgXj7j9/sf3TRUsRbI7X/KsqiMOV3HRzi23u5AGozgHXKktj/pn0kQ105wE1OTyLnM/n6AHeQ hVcaklGIYcaVl0tgLNWY0bWc5LiqlwSJo6G16XH5FByixCs5Wab/oZh2N/RH6EtZu59/A1rXE1xc I4UQKECNZc+lZXVRp2QNm7EF3Dd7xqZgRLgXyWFPmqnGoKqmVuzxhEWTt+qf7K17KdgZaxTaOovj hm6puVZs4YbR91x5xO6ztzQh19llR9LzzqlCI9hTpXqZa10IBvTbbtTNFnUkmXKW6/EKifxOC6Jo 4lDveI12VRN5PotHPUmLumApNDlc1F6T3E/R3780NPLl3yxJTIqsxQh298SFhDz7p3LiUTVte2Us m+Pe8egKezHJ43y7wlkPWcvXlUEEb5r+5TVwsoAL6TebTm/n39JFImPF4nOv6sKxd3SfwSd+EPXn MQ7arb0aoIN9f1NPmZuxS0Ai5NNy7OIiX4dVQRMY41XFhKlzCCGFdl920ztLAIn1CWh5tRYqu4nv mSpHykhsk7JMMcU83Zg2aCIcIt76lvGofyxgQ6SsK6ks+VqULodCUwFnow0AOfFAKFd69KOQq9wf MIqHS35d/VsU1wZmSYuxJde8c5ls9iyYtQ0L+5GQ3J3AdmjunrIHh48J14DhsBA65PfIVRTuZZLE 4bNHDSEmX02PwVB/rYvNLNKU3mxUzjn10Dw/Girbt3g/01ysT3pJlbznxp0t6xXPzXBZNP9SM7nU HdyHObEbmx4/W+0p2R04m9+jsFg8gkhdFVkKIHEqx8VSdBVqs8TVZzRQbega1lpuMQKiuZD8TdI9 ebVhvzYz39wiNN6T/Ni6h6nsJBq5W+w5BulfrqTimW9nGMHbHjYrNnyREp36gpjwBqe0yLt8Jbqr B9sy9m970vjMOGDhJBBVncpLs+BvSJip0UPUV5qisNruE5qpk/tlBMqNMBq9/C3JtJHNi9aqEi8U sPLro6rDMDfrLsyG4/KBy8SdUdrgHSutcUXp9AETMD9AWXe9u8I/djb0dDCLLYc5mJ6t0Rd2dxqh ORWc/+cgJQq9L7XybQN/9lHeRyAetuu0f8nLwfCQneiCWQApGytKzPtbveAy+aczbjolySZ1hUqk GCNIsAPKthOfzQstCVTmd487afx9iDyFYexg08nzLGGD9bAiyf6wFcZKh7ksvefnKfR4RlC7kH4s xclP/iCiM8FBQ0ho549BA2QH75ga/UtXuBcYMkOjFwvZ90ovchiBCuX8dehboaF5w+7B4D6nqbzc 8Ia3CdJ4SsoPbFRyYjX9+CUcFezHsgOjXNpnRjWJ+mp1haQEDdAC7+nFQk31snRBRQ6GRcWw4Nfb sjCoQMQQWKEXsDO4MV4NFCN8O7/qX0t7uBn9X3AIPJOZM9ZQw8mtaYuts64HdWBDqRZcJnfmDPbb 6W0ooJHBcTW5P6bqLYBDeTFfl2giucqtpr/e7Y1z2cYk6IQux2UVumecywK7JzSq+c6wfpjTAX7k fzGsp8Usp2q3kIz3ZOKcAh6bLkMdDExs64rzpO0dQFtlFab98O5tt/2AO6bfxgWLn5GoHKslBYIo a6zkUCwhazFfFq+wXTMqMZjD2quevckCnJptzqwJCUJip0MKFXzNMTR92tYZBbOUHoSbKkjctC/s 1/5qWG42WO8uKrZTD6b546Bp1Fscv3gTVR44BUC7KDkCTk2esF5fLNajNBVqgSotDTKA0Z4iIjl7 5GN1d68FjtAMTMnxiaqaXWwoQbC0zOUrMxTFeL08h5m+HnLBciNl6Qiu2b4UuXQTOTzQl5I6mhHF jtiuLaNddNOXdShhTzjNqSBK/DwaMP6Syf+o1AINiiMk4B3xVXyrIWx4WeNBDzcvntFWpjDm8ShY B7FFKNVn2Sxwd2SjNB4HQXwFrUn+LUH7oabr3rT95Hip2n/24UVfyhydXjW7twvRFET6j7fFwfgN F221nSZ5CEHjc5AyX8QZMk0Tz7JOd4+jEhVDULgJfc+8x/7G3vRIeO85Xtf1qaJoPd8qy3frfmYh pEvT4B+iaJQqa/lf6VnBhD5znp6hcRwW49ha9Vi+NStO5zX/HnlXfxiOWgwbS8SiM84MmPB9P19l N7N7q5O1OEvtaSyNHah91/0ntdzv/WFrBHxDq/8A7qSczRGBURqmnflwv7AY8PvYd2SfcsgliGjc Msa01pcKESGQ0mrUDTfBPne7VxdwP0vxhbC44jwh5X0b8jvaJtPBawXF5/FfPcpPEA4qSmdt6zip oKxfQKr9WkcpGdbCXNXeMXt6dGs+BmJAG5VPCiTKxK4CXPOMBESdfYFUpDhJBNHrK+MD7UTdT8z1 s3AsZXFC/hWyx3HRUykwXSG1TdD8J0fSxREnkHZ9Dh8S+jf415+fGSq/WAyUIF/k7w/PV9g5KBb0 RRZ3YFwcubfxwZNiFuO7tSD+Po3T02lFhQ7zpJk4A1rH2klnbhK7Sm+AtXp/JrEMmtG05ue/yw4I P0s+7ttr22tqa+pyUlye932RJMBBvyDhx9x3CPDHI9PAnRgZ+f+n8SwmdY4q4bmIxNIj/mXV+A+X 0kXnEIiCOH+Uw2MKJgUcbJi921lgnOdkQiQOfrqzPMjAbwy8ixGvd8TT56YS/4evdguo7BBsX61T KvJmUVz7/8uKZ/gHgcXng78WuQdcTM7W+mCj74f6FI+iUbmCsnupTpyPJNcl/w2Rn+fNkWcnsKqI 93/S5xhR2IyYH1yIDIXBf/GNcCt4Fi9x7lAsZd7y6ZCXk4GrMqEi9Ll2uK8v2wd6RO332hmin7Y5 OIllPM6hnweWvRvMJEGp2SAY7klK9HpLmx49r8Khmxg5pl8kFLU9/aamTCTrdKePZFUnRgZ+c5Pu qDiWSe2tennDKJsLwP2vLf881e/BLC/nWv1aMOW9ful3Xa2j5W7UP2kaYLprSi7CbeSe2onnj4Ff DLQXefbBKOI1WD+2yMMyQnsE7zGHsWq7Ue5Ede2ZKIhiuObW8YrOOOw+vzXPbXweSlY6Q+qWdsAK 0T8RbKqIrP+m9+KiOoVW379WzzdAEjmMuy5gKb0IxVMC5A0p8+5nhmXiplMJAXYuWH25I3PGLTpJ FVPrMAQQfeOFZz9ZnY4mupoK9Ymh/v+4y+QYVYH6zJC7/O2lWdxqK9agv4NdmrnUdMyFKm+oRbnl SYlhQ6GntVLo0SmVqhWPL6I7ryvbt8XSpvoBxuYq6o+jxwehtc+0G67K62UTfDdYSK68kAf6GCFi ilW8qbsEUoD+vjmrfCG1I6+oqyY1WatHHKhHXTcb65yPIOYJX5rrKpPqR0u5KGjzaW6lAe/DsrpO 6oPXdd3SMwpwkRwJbZa30DqPDh+S8wgG/8JrL9G0ucRER3tqfW3+Ax1JYERgM8jZi6W/QM6Dy8ck grd6ehamLtlN9cECJCNDrevK7GHTNyao1Aes8tTC5u9DYxm+Xvh8HQlRAJDq9RW2iq4YmrVJgO/F eyBKrp6zYPTMvvp8nJIHFx88WqAuNPiigDpwoHUkQWeUdC0bJ0pC2ooql7w0e/+IrjI0jpRAXwVE cNghFKYKhGzr43b4ic5e9eDkc7hVO2LZs/FlF9W0r+ESj5DXAd5sWTxPVGPE5IyZincrhU702OLU CN5LII1I9NKVpcneTB1Jkl6c5vcMLhn1Anqu25gizDNo4XVgNySbo1+W7NMYE9HqUy+I6xwpTvgL zt/BTTPykoopNWaLY2C1kA8O+FyHbyOHKZFrh1WKUVX6DBpIgFdGDZMwmbLKmFmp6dB6McCmJa3+ 14rsX//2WwfUbsI0jJqdVKIcLRefGz/Xbiin1y6DT9gJEhsZ/BbeaY2nGih39LEWt2v20ZoYhCJ0 hv+j8LNRpQRSS49qCfUg/4ElUZFOdU03DV5FpHG0zYcq+oeem63jD7aPTQaQbkEANH6C3ErRYKC2 ad+tia8nAmB9/1tOGXpzXShXreLcXRux8G2j7KoPpqsEqX48AMg6MiwtgdNgmqmcPyVYqR2oqraY eMVOAIuw2ts9fS3J/PeDDKy67+EkwwfkQ2ri4O2mBIJnNczd/Xq/3PFc8BKnhQzCmAAndus7t4yL VpFKxZKo7btWscHYQe1hYIMYoLMVRz8I5k7EzeMutiIxwKbHNUvuDyULt8edLn4C2g3/KU4GAa0G d/u3vwhyEH/0UTl+KoKzM4jkZ4xlV5nuXSQ8V4xf4n+kq88giCfSN7l56gF4PruVgN0HvpNYpTeL iy+AJ0oKbvS5mFH4OvDO841q04nwytKUuXXVFTHBOR1YoY0JwmV8Olkrq02iX5hWv681rhikzSdP Wog8AnzCbZVPMVwoV+zxcHbauLtplz3+PTTbQxOFnZB/a0dbUP4BNBEq2CkaeC1CpVbWUia/y6wE c5g0k+scJgGjIQjMNd4dQxFi7TPentRGQo/+IBFqMnrIOHPuEc+riEdnT3qtjBI0RbTv6woEdOVM gGN2gMTKKBUmSiH/wqQqJA/6S4/1t0GMlgR+RypzLtov67mdNd6zvSldRzjMTI7SPKRCx9jfGA3c rycory50WUaMwTNZMiiez1lkWIOZzJDB796C0W2jz31h/GJsMQvRBuex0hTly9PC5gqFfxfcngPp VMZ8G+p1Qtn1YOCG8fbL3ABwWyWDROTs+JNdQLkDTo6q0kZJVZm4Lm+c4YVzD60EJLnH2TQCk0bx c4QPGsm1BIwywi20xGKfscAtB5BjhB2L0OL/ww9LJhfP8ts8vm0WxI9Cg+zqWM39DISX0/CNyUS8 IMwFO0Mxb5OwkBoYnqHf4iVajsoni5k02fmE/I7gRG3lq0E/uiiCXfAeFRbc3FXZaNguu23StMm6 Y4wyqrGHM1V7n4g4TLecoGCjnqNAUAhOKGe4mmwKzh11HjWFRi/YkoSlTLsVrevfepcdxjwlFF7K 8yUUsNsYjXFYog+OOQ4TB5EhNQ5/1sZROE2LIx0I64tLjOVFyqW6V/eEdcv0R+QtHrSBJkyWLpTj +SePO19maJJGD4W9qIpKCf2UNsL6+dZD3aB1uQCxUuS9CqiSmyT9Wunv8tRBj/eONbCwqybEfKk2 n1Lc/+56w8p9sD15PslKJ9h6UKc8nxOvyOJBukqoAW2U3+hlbr7JRT2ipNP7okwL5zJcSk2RJFfd Re64+BaazveD6pbPLNxjPFxueUdHfpUHwW/1ODaWma2uZQntHiNi+cWiRMjwMvUM1eZFKwGwdVrD 3aOJRcPuDBejnY9J4pLvQLOCxBk3002gxv64sZ/nTvhrgkCNqJv4pgzSzCisZwTJa8+B6wZV/6Qw t71aHaiheUqBXeK1Q/rseCJRxjoJTsMrzeoldLFjUjwOINyfnODz5PtYPIGm1WaV0e/mEkwKvGz9 S1hb2K6V/Tt9HF40H1KifgmtECv1rb1yIn/Tk3B2DfI9AUG3Ab9rwEIqNkySZ4UuKqcGMfTQ31cE sawZftEVNZ5S953pk4xceUJ8KMEmcDysPa2mS5DGBSt2Ws9k/nVvb1sry5mxJnrKFgutGj8/RwB7 /vSau+LLKfqzIMrPYAbg4o98eEnQNUjTsQ0eJDBZFkvWa3ALAltRVMNQnlTKfA+gR5dPA8+WbuRj pr5Tzge07AF4HVoVVT1HFVy1OEkOXAAMn4gS/bnAdREL48JCmL1XGwMRwYq82AcBuLgY8Bil9kh1 H1vGmqJzKjvwdeu31H1yhwmZEV9gyd8WObSYP1/uklDO016QY3kxbl45TXmQR9j/mEEbc7ppgsWr jn8ymXppbcm8vM2eKN/g1wAj5VGwSsbdyhqpSDtW/Og4nQS/IKNkF9W4nKgfy51YS3iYUiubszD/ 9JtoaITHUZ+QK2ALjQFUjegmymJEYNp8H2A+Yf8OAL9cLACH1WxqZgX80DI+CBNiOTNPuo5X4U2Q od9Jchsvm9Q6YfuLXdgke4llorN+JU0ykXAboxsw9DaI/QGZ0fQrCXAqYs/D78PmLJdY69kir13b pAuel5ZIw0ySbHlt/Iegf809xShr+6J/jdzteyZJCYXN/zZG8wmTdn2pfVni6dJ1nF3QezOCSPQb K3HYA2OyExJsI81wzrgAZ9VhsZ4BTkAku7BMahZP6JDcABuRM+Vpz75eSbjs1zqbcHfmLax8sTF0 2o4C7T4kUl5QrS9YZZVkJ9wcbYfYwD15f/jDppzvBAf5G31jnT6G45sFPbcvsz747jCu5gOYmmjI EMpUhTmZJg48xT17SRkH/iWx7bt6BmScpG7SN4TTCA7UtPyeTvVL3itr9C6I7spcyJIJc56K5wEe PsMtWIWfmdgZ0vGKhq1yGoz0Ga6ZYS6aIthZdx54nEsMGR0nyr24Ky4zrSe/JjTI9avUpUOtlMOQ VCv3wfYAtjD33Bjhhdw6he4fD2vDKDfnQ8D1efkPJg4EpAMaf/ZswlIkKVaT8PmbMgkpEKUkO9ny czM3G6pQSuyDYDMpkSMwt+r6KiYevHLasSl2WZt/E2T4NZQ95efmXVEXpnH0Aer4PJ+adbkGkryg vuoMGiwQ0FZ5yWxEgPhdH/TNaDJXHZ02SVJpXJ5lfpwgFUVXSno4NiKYwgtEzXRgh8AQaBHpFi9z MwTT0qhTe9illHau13yBhN93ejNYgBtcMxUkThqAwSYle4WthzC1WhXSWS0972Rw1orNzhM5ROsv UWwHJuJ0u39kk3feDboQKh4TWTChrhxd+KXU2bHjE8SjPcJTriOkDck7YsVY7+U46YwPiGuheAKy kXeXwYjndRr5o5Di7rc2jceoBxrdOxbzYKGwouHpMp1vQVG71wvdbJTHt2WMOPdSVdj5L2W04+uR 4h33pGK2sg9mBK7FAaZfLL3iede8ql8MhbnSjZAZYfrITyDNYfuk0AzoUpzY4xaEtxOmdQrWMLVR NfmK41OlG5N5PWtVEuVp8QWw2VkeEQESEH+138wtNesFoGLCyfHnq39gEc/6toI7jvO/X2UgRrRc tsbb6hzVDov2U18c7MHtB2N6Olb45w5VUaxULhyz8I+rhOcOjd7ik0GGl2aW7eQ/6nWpPr2AcCb9 UJpriSxLpo5k7Fb1hNXDRhS//W7fiGvf587V2RX8zl7WyxSfYitF/74qDZRs8KKWhU7z2JbYWWVO NZNAQm9RTHA6KqtnCjSpi8s5ouBxLB/D+Z6feOI9xRSZLY5A4iKxhT7hvUwjBWEMx7sFwXQa2iwA 456VZcYPdMngmBNncIjXrxmu/jHh621knaSjoBW9gBqzeXafRd4pAtKW6j2khuJ86Xv60zSviLR2 OSTVYm8jd9iaCD2pFgqqqzEp9b5VW/XD+REQPYDOHCWoZqu/c4Ls+OFuqfCuiRz3KhzyH7DsATLL UHnyYihIz+axTCznM1X5kMcDnL8TWfSbwQCfUSuBu5BoYi/pOjIC7sx4YMCuJp5Dn34N7VEwinLS FgjJVPaMpt3VVSn16DeTJU3QyxfynrkQHmGRID5Vt6BVjfY8ZbnimV2uYu3pvwx5H+dVZAdBqF9j I0yhI2YBbDIhPVdm7tgpgyQwHjlOUJEdEdBZFiClpszKPjQjkh7LGVrr5GZtKiVrqWD7PNNFXVqu qwua7WrHWeM4NTRdCUX/WDKppTmy2z5A/WPKpqwqSAOroLhNMfiVH+0WTZQpnWW4tRdLK3egohgw /2MQ+kSBJ24gUNIrEkuw+QKiCLe94Z5UfG3I1bHqfvPRzAY6U9b1A3WyAqYbdzGb2upZ3vSDNbYY 2/fTIw3Y63mxKJcaOTOGhvoxw4eQ6mC9/3PdvHScZWjPQ4B+JUSkGg6WBhi3eBsvVXQ7KPFbpeZ1 DjEyudvH0eU6JOP06stYUH1bbd3Vv+0HA1X5MgJJWMngKDAw43TD9lp4krUdCYEh8RcoMiLdvJxn DWi1Tk0SZ6whdrPRY3AwvB2POYo/T/whZSeELgL+JExtksowpOrLX5wbqF1N0jvUjvbIA92Qzczi 9xHiF0Q/9mK5NYXAtFSjphEdFgN6DLYyT+S13DICiWPnhDuVuNOQw2LK7N5iHwzzapWAp37s0Xs4 Hr2WtBbFO5R0Ov7oEa3F+/BMc7Am3IDlP9UsvN7GZ9+gn65KK9jfIxkpw+gtaAthpWx1GFr/hcQT xwmmEJvLqDddC4Ua/PRVUWJioLv1SDLK2Q3V1MAizkOWJrXJdwsgMm6AG8zq/7XPBq0RD8/UcXy3 n7DDjGQk73+jfN4KzKit/yLQxwu5nEuu/REGMi2Gj/uo1S93tVEsKHH4L4xc0CioYCBo7tnVfYS8 xq7BcHKrC5pGjs1jIYoxcKK9ettRnp/AqnheqaXz2XIThA26GWigFfe2tKkZIC+SC3EjiMNVWFEG mGQgq4YgWreh6JQUHsL/kbiwSEEwZIBoqa6VW2XqsCoe6wJipWuIWFKvZvH1Znhqv3Cs5P9gorjz TTXizK8L+xSI+qq5Ez/IVajkreJxmbxWYlxRNDyZcJIvqV4rgKlmTZnlfTIaS09bIwVJf2FtJ3df xgiihrnFdm76vSEQX6xoQR++d4fFvdqqYkg1gnYKvFs+0bioPHHsOUcQzCVxlTe39Bn2pkB5UJQN Mw3/cOlTsQrMU7F7pWz8Rpnm/e1iqjp+6yERT717QrYV31FPQYnr0fZa6S6mRq6djsxBqrW7+jX/ nN3Kzme4tiiX84lDbXlwJSkarvhJiPj36e/7/nFSQP3RyrRty8a0LGrK2SJh64I2CiBI5oh2uju+ drKKi6phjrghaQqSC2A43oNtifkvlz6cCsZ29pnSCbKlRHwIzy3NV1wHYYlnVNxsukOXV87CoATj PEZ00U1DooefsBxepniGh/+SdpiFvv//iDRRdollRLBJ1zb8QFJyPm2J99SmreYF6f7tAmcW23W/ NoFRglU7wJrt0aVFu1NX3OO8fGOvd1A6qthBnC2G6RMovpoAkzSw2w6g9Wcy/LcAb0MDLVg6k6xD uDdM8LP4dEifl/0o/qhxSEO+vNofZLHZBPAt7bfEVtfIrvn4Nj8JFxCiIoQSaeiEuChH/p+hKcuS 3eZQRkRPbXnZ19YB3tZX9t20v2/t6idwgp1MZ9j0XTUFTYtv7iXLf3KXxd7KsEZJuqH3rYM9BQyI Uk3VNJCTIrCfDA0VVHNumzbUTE+NMS8HoogzuTYJl1OiwBtfaUMl2OUpNf/G57Xna2QGvAXbbTAE SwuVDCkqd/2JhEywu4n8Hvb/lzM/+rOMnBpfu+Yfk0tXxfkgVg9gN8DJH2BtS1B9bmeAJjC0KBba p5aHeqfUwMQy3nb5h4SYb318jUAc009O+pRbCq0BwhOxr/jVYbtz8gffch89igLduWdITlmxd/JF P7OwSg1kxnaFjuC6XKBGtPiSgFCcqBVQPUOHpvlODP3E8T8EAmzTvAsR75v0qzrlvyUTcunzRZch C4TukASvL22OnFeYY22hCsPOuchO1jAOKf38eycafH5itxvHb7fVj9ipU7LdDfh6dJ7FVlJLkSCU UDUkUECz/tMIq01JomcNhaQZ6uL7DyAIqB0TaCeYxZeUW0Fbe75tXx8SpUS4idrh89oLsLjyFxsc S1FM9R0AQ4JaBRfuraV8aie5NiV+YSL9OvJ/deIQaRsNZnqVKOJ/c2mzbWGPRoN91tjK/wLRqaki mvcmjH7O6Bl/UEtQJ1x550bGP/W5HvjrOQRZWD+ThZs1NdfdTtwmeK+MvtO6sfco9jBmIbamZ0jg 5yXjyZpRXzf+cB9XytS0JlXco3b6JrFgOP3wwK5C7QImT3L7FsbbJ9VlASjwDLxduX6u3WcuYrpU 8m1/uZAC7B8/uFBcCSRiYlEUS/I85wRVk/ychhgpLMD8FsD5g+wKDS97nPEOaIwA4wJ+iZ2e1aew ovoKeiHv74Vr21jQhe+n8KrMsG35SQXmTA+FNekRjMQ1tBPziW86rBU8LpSzdjgiSawF7WuB3Pcb +kxwjYNYwKQp2w0kjnFAVm9AkKXqb7O8AOBzQwmZaDo4kYsTcBCSgkbUf+2zd4Dk3rpyT9V7+m0b s9hQ4t1LXb677Mmcdana+U7MTOgTIFQAZOaVrsJMEizGtbhSHaic4T/8VNlSxS/gCiR2QpXpts8E weSLlU5W1ke8asbWkhLIuagN4jfiaAtsqdke0RBuAqLq6IhgVo227R7ZGCudQD7XBCdNaNryUPbS 7lWqgeasU1q9tSuYSHfCNKu7kYFZP08PYgDLqwVJrfuRGFkbfRL6P4N4xbc4hYnOcy/TuSDajUEc WxPXcHwFnO3MvDuDtns9B+vDzGQjMu/HgdV53YwqVcmcLNDa8SyUmiYwZlONQ+xMPH5qNXfuVpvh sUnZwUxBisyH9dtfwypxLFcq308DDCmrUgv8OWboBUiptoJ/BAftD/qvoShWGmmxoLjlwBEqojLy LWCc0skVng0zB7B4ngj4IjBwdmi09tIqpdRbDlhymX85tzIBo8wW1ffdr5Hktv7wQ9l9ftKKc4dv /4AkYG9A3G+RRRsEcbH3emDl1jYvPuug3ow9YCPQ34ukmLKRihwZYbVjlzWr6ZVuKXHP1WbfQztU aoUwARqYU9ElCcOUDO6YKeFTPfvWFxa2jK2m1RPaHxNkpcVY4S5GuZmQ2dNEhCwXpq5EB8JLQpGx sPMbxA0lBYiuCxCVHFTel/pgMw0afH1OAJCMjDt4+OzucyR6AAG8UrZ4aBKxXIzgsXMjrKPDm9b+ mqp9panvZVWefW9vfKcJYDuS/JAdfkoo8n76qTnkplCIwaSvBDGO/igQHkHNeFK10Nso+2AF1J2R TT18FDc5+PiZpPy0aNtjLZ1hKmnZOwJ1WcZ3uQQJDEx0WMY37sfKhuqwHPFh2/t7vGH0g1cwCIgi xoyLQjzqODu2onlDWkpuU0Lcl1NM52aJo7TllyGfBLBGjf1Z0/u8oRbC0u/MYkpZ7ZmcqG4d1PZS DLSJIvzMpvmzDVacQOso+VxW+E3kxeF/0bln7R4MTfAjVQzwwY/rs0ciawR9MHh76a1QDgQGLOyN X1Rfp5ZFvu+fBGDzVS1bnhMOxUu5RyOhqzgeDrSDONA7Wf3nYHdiW4hNSAr8JbuuhOJQiICT4KX3 BfK0ytrLqE6fPsQFztoNvGjh/vY4c6fqGFXZK+j7VAgvpN7YSpNFM/eJC7Lq9/FYLet9Cpo4Bh3c 2M3S+Fr9G2I0khHdhvQLgwtvyoDQW7VNNydom2DiwPjXqFLa+hHnX+XQPwFeqvgI0MadaJfkixzN 7KZxUQULsKMjxjD7UnRnvrexY/3qiBPT6flSoLOo78dBqIp/Ef1k/vk6DWYgysY12rfsJ0pW52z6 SzJP8NCxRa1f69KtVVIblOqHEgH9vRPu/kePm7VmTcRcsBhfoZoOHE7d4U3N7riLRMiu67bE4dxi ST1s7OfvGT2iWBcELon5f1THzqa6mwNMMMryCNEHnpYfBF4errBHfP5ccU0Snme9BFIpeyvAnWcB wEaDj4dHiI1s/0ufgkykMcgD6WDkri5w+3gKJ8DFoOFrTxGTgbv8D2KSMeNN4KkUUzjBLb+kjUna CmZC6f594QOO9umiuadru+FdIOcNcp3Yp9hD1f5YLFOTOs827o1ghsLaL+z8ZCbdlmOLj+KWHppT MyMMpKwxCYOtgpwYK2zl3PEh/8eXvsCzaXEBhNk2egxeOQZS7rZgsAQJyN7IqRzuq8eYg6v17UoW iYk7IpJrAqxAFH36tMWKbIVHH/NDwAWDrhPDnrHj9Bdn//c59zAbU+cNuyyuivzjTPea7d4dCav+ fRssOQudwJU/DlFrBRXZ/Q1dhZZb3tKVh0l5MIkInqyb48AFAREYvYnYIrtBxXxCYeUShuVdfEMY px8bDhdpvvh8dO1zubxNAfWn3wJG163SKppAEjbPndpJcHvaGjcOBszTNY8XxgXlXS8PCKo/GObn DWva6buRrkTcrCrxpLqfE5sFIkAhQAt/zrRYFtvRsd0gDYWt+rWspv90ExCV3jhOjdSc3ZCPAR5B t4R7LVDb7e/T1A5bK+37sUlKIYe0XBKb2jCZBPIY9FvsxAGZIAgMM/UozDS8Mt1qpn1qfODDrsdt WvNBmvh/HkiPpMnFEsuf+5vE0LBrdJ6ZoqscidUObTIQ3gIh2YTsB9hKjikO6+rc8Tv9rY5CDmlE s2y4yLsKO3OLbyNagvGTo4hujky9ZwxVGn2WOm8Ty97cbvxAZdgP+dkwUrasXrfKV/7XsTSc/R+F WGXhizxY7nOk4xpjgksr4+5dqHTxccH/ta9A/xbfUVSIK1C6KYSUX5Jqk5m2QfRx5KS2GIEu6MS7 qjANh0xmvMJ6a1KGt2Y7QNJT78a5AX2q86CmUi4moX7opIkcE+4f0fCJtNCRfsQevO/bZMJOSvkZ hq2AB5ju9J08lEsKV3vguWGj60ZVh8v3GPj8xc9+tmYwXsRQ6gSFmMQ4u7V7U6SvJcQs+nlkgtmp MDlKN2vbLXM6R+Xbvudv0woZD0yUXohoHDY7sbF2/blppueK2C+/INCLUuIxMuRukXC+CTLjoSIR rhnaLYDD+baipCT3vUU3kZgtkHOWpvIfTjDj6OMUO+1Dxk7f25OUTrdfflq8Z9wP7o8A1tAXWWXq 7XhmXRvOTp2WFA207rHkJ8ldIABAef+KHIUd7TaeSuS5mrhChmE6nlcP3CSjFdRHe0NhwyTAjyAr uRZZYn3UU8XH0dlmvfiV7j8RkfoPYK93lD3UepVOYXbJcSAVDtw0RCQ61XWV4YR5f+QqdKpsBWvq PISEsnySTnnXi7hccUjDAigG7dHgyf0mqjcO0+oZAoAJt6F4JVyWaPivEQDT4rhQRPpQL95SF7sy Xh+pVthMK1wx/5sCNxrZ4jFA1VDX+Ti2oISD9ruy02P9ASj2Z6LWG2q0yjOhs6i4kJUieF99E8VB +OCrBxi5dUx5i7ah/xHMYPDzMGTm7/lVBiGncFAx6a92lK8FVQbvTMaNbelAeXdyAFAbZ0Wi37hv Aeef0jOiE5DoYFHJKttaU3vocx9Xn3foXDUnCZiLYVC5H3Fkc41MurABwEi+9NqyCI7Ev+OzhHh2 oMiUJTsHEDMCFC1rOko7VsNgUN/uFpxjXyS+7KUe0xZs0WPFbmu89sZvS3hjrjxHlODfkp+J+hFk DLtu7fq5NDwaTd+Yv3jrkpdl5Oe8+9svIdPiwTE5I66XNL028eadoVP1kl4v2Wl5E8fc0w+mPFBP yTZiHE4oXkVhOcUq7tY0pznzNvhDFVIyWIJPebxDt7Kw7crFGuUW8rZ50pTW0tF6w7IcMHcFnbXW Qc+gCt2n42diWzJnygoEB2Wou5/p2eFu/VBljrNhbmQIwhsic+nsP3nCOJPbyW+TquzMsR78z0y/ oyFGMzQtDN9Mq+thkh2RXIo4qI4b2P/eXntCDts29gP8SjtBgPapZNlGoVl3zt+GKd5sKUitliHB UdvEYOBYIkfWSqJFYBkhu+0eudxP2k9QJ1drjQ6Gz54n+Q7U/J2hijgcTw0Hk+W6RG8n/t8DfhUm 6LgnzuGyYR36R3Ti8kY//i3/4XsPrnwt2tDFiI57izM05KjttQ/AoDZQcYQ4LIOyyj9Udob/wmtg a5FOhapKHBbcianwE8IYAgncKoK2Aws5XVdaa1U80ohNlErZZV6BtQoQ/w1Q3uLK0/MaG3dSSX68 heb8NkNtbtMcwu73dCtvAsqQUT/HcEwxYg8nxibyWgSW6SVYc5uecHH4mZXEl05uuOv2iOsR0IdA izlKBe4S69zZIEZQo1XlTUvhpaud5L+cRgZ4bik1kiwzq413I/2VDRgnxuPKXfA3Fiv1piJkCrZ5 rzsQfGKpYQFWIVER0zQHsUwt1H3dIGWtNm5sfT9bEEcxBdITn6cwAIDUCSg3RojaukOKtrqiAe7C Bo3yUWrJyrHgjFDtTzHl0Tpi7fYqMllVcRcILkmZaNxzws1YopqDa9HvdwKWZ3oV4pHEu1LKXr4f slLZSPJ9u5+dmcLRvlSVUDe52HfY1yglDTV3c05eV9U/EaYJ5zGrHkA4r2Kl1es43Vf/XEGuunZZ 49iLQ0hjTncLcsyea8VJPgnF8AfKdhXkTZfmpvwLhoCvw2CqQiaxBNd8CQr4Q6+xIKRklu3z5YB7 3qyVV67Np3rV6CUtxgTHxF6CI/Spckr4k7iwid8NuoWKBkVr30YSKkGcrpmR5WOc0PfkU+QsTjX/ ou4U9mraTKmkuQQEzDIJHjmm55a9QqS/7Os99GSeIpQHtHntvVz1BKUAddmJ2DoXcHlWbGpyOp0G /jNCrb1XLZovjQ5+90J+B95k1bjodHoQVKCuSarDIOAiA9fDdW2IXspdkEjDlFcpeKQlZcTQDLMy AucbBpOIv4/wNtP3WDjBcTJ/7d+x3CQ6DaEGznLTZ+OnKzFev894McJBPNmzAxjPAaGkM8VTN0Wi w4ueSzL/cJIP4iCQtCLaKqbK7BWQF2pxi7JW8m1BQBQHJYvP2lqcyydu2P8TM6x+1O74+D20yaos 10z0drmgzZz5t7Jw9wAldoF+FS/2YPzgAFifTG9WppomldZZW3dbgMvVGp6P4Wp9Y693UQbp53My sBQv81XhZGXDrl4Bg8mHjrJmwFMAAz5YdiazDgOGUOhC5RQgOUetYBd4cjlQ+PjYaVDXFU9NQdvy Crb1rUJZ/eHzkNgLuZczYsPO+ex/C/O+6UJaf0nBKd2KaVK1iEzXw3OZeho2gpjq+H+iGiwr+12L 5oWgkM9Ze+p4zJ+TaBT7Cq7T5Tvq/sAfWi0ex5aeZt7+9/pHim9hn+9gLVql1b43gp4I9T49JcPE /js/L++0n2TYpNvaCPwVa1yeMrH4eCSwJRwZqenB8EfbV7jO8t3/Og0hocGATxJ+XzkhTEwplpuq lmLRR5MbUb+FVnPFifFSXNMsa6Cj6WjCrK+Hby+uBOFlrVmDKYNJELaocOYzAfQlBJDY0l6YAigx jrHWtm05xLyCN1SHJbQw9z4xIv2GfwTh/8lVXKOKgmnWVO6OGsIGaISB/gblhmo4OvV6/RY5fPTr KTgAqvvp50ESmzfF/WTl/RNjPrC3SWhSzRaTBmb23Hf0PR5hX6PEebC4A9HqxwE0qFmbp2RXLeT0 Y/FgmjJBIbYl5KqRU/uqSAXl7kfwkaZy+FP5CmHKOwxmrn+FetBIhU6shsiT1KTpNSHgERbjpVgV Mt2dUU4v0m0YdOGgnJ6QcoEOV6R3V6KoA5qsY0B6zTt5DrWdbl17mzBY9kZHbyzrp2j+N2VXxEEA VTSx1OZuITrIjT8eI0G0mj2zgsoSN3IRgPeHANzcq8x8HD64VLItDw/l+Lv506zwY2BMsPnZNPBK s6gL958BR/K0AC89wnF7hE1BfP0uSIMaKDAXfRoOf2vOFZ/yBiRq++y3lE9JIK1tksyLFlHS6Ixc 4ObGxPtT05Q6s3fAgrUYgfG+ROyn5ZTS+grfcbA6Nrpo6hrS7T2VvWmJqIbOY1POG4Jda1ZAEW9x Vli40b5YMr5M7Fo74iIcn3zrrhNUIQT0//QGi22ybr4t70tDWEVCNRKgBwD6BRbO776yOxuQC9tu srB5mfRg4g+D5zOMKPmOLs3IiB3JAIQaQnau2YZPIGMyYL4Vhki//KM8e785H1zxLdljHZKnC/3w LKdIeAMG3VLhM2hv/D/mY5OlvqEGtGqFuyvVowbykLrDKueL3IkuNu1SSx9O0GcTV85S5Mbxewfb ta4uFLseI1lAQuC8uLKX5f3UNkamEBNLqiu5g/XoyOPrnflAmQNBccuM0hMdampeGeNxKhkeEgES uX80pFNpwvWwiGN3KpRilePcjP5xtRq1CUPiKoHH6R16ZFZP6ncAsLbsWBwOIvuegQ8stGV7dL/D xgR2I6sE3oDchPBlNC377PR2d2otgs24PgSD8hkWgjM6o5rmRAtFZJ6SuTxOH9CeYTWw9zfZfLXU UpuyOE/FyupfkVaUrqDer3AeE8PMKtI7KQ+qck2N7ISP8h7Bmlhk1pqYbFK9G97m5/RcKVH6BplE sbNHzfAx+Q4f9n7Cs0DNm4J0OopX4O1RpkdGKMOIVQg+njBAoHvcRFVLG2cLb3ND3msLTwn5A4oP tTP3sEiQeiA5MIfNxhPbI/TFzTfV+qJztejQeAWRWPov++xYpPo6lwZI4Qhrms4d1BfB8WVi3Lze mxcAkxxILBJx3fJLNdRMtB59JxrFiqOuH4j8khWZcKOVT0WFeVzMjBFz8IkdMSLaa29WJyPJfrXM tB9dD0Q13Jq1PV+M2x2dG5X4QFAlY0r9EJ/FZeZx76Wu0GmAOQJWJWVgif/roMNdI4+x0Pewbziv w6WmdIntXTSodtww8HJHlGhiHkOKGLDjZ0l+WhfkIFLtjkc6MFUVfjRYPFq4YObFemLM9i2+u1vw um4aouZmdxJ6Eq3PdBlOStZwO0+vvk0dfn934RI61i3XPIyFm45faQG5q26VGZ+cGknZzx3SKqaI WJNp1EH1ObJrnTKTHC48VaJ5wqu/MfRh+40rgzjA0PLYGWovILsPPpZfusklaAvDO0brUSgQZXcd HT+TMRv2hwjtGgsMS6NyrnCp+RLihIWOXfAavs4rFvBisEJEPjmqsTqb1UUPLrIwgZO4FUcBlDG0 6F1DbCCvabb4BdIUiX5wrTnBRrqu7bt47ghlhgmkulZk34YDgvTNPhnooOMdtqVSTPx6lXWzpX8X TLkakbwck8gap4tbyFmvOidWVz+s6R2Vf2w2K782VTUDtmBC3Wj7mARsgo2PvHWEn6+X4FDxXuyv biiJSYu+mkUu6rZ+iPFaTWnVzC+LP1zkIecpm0B1jfoNN1ZTtxuvRZizv62t1Ma6zjEzSF1iQe25 yAEMARsvSk/IP6FS/cw8z4lPIwzk8AEZ5vZqJUO/seqCMrLag5C5nGNVuJlLUfQDS0v3372BwSdg +MRcdV9I+r1IK8QWbU2uhXAYhK5kTXylFu00V8vuIvwUQtJTBK6n0vOKDg+jJvpIZjZqNrIKKcnj fOpuRaG5NlNraakPipB3TF2eFW6Qz7ZPf4OeXt93PMkRQHWT7xo5ukz5/3+KNirCt2wAjoDxKRm1 0xVAfK/hfYMe4GOWKzxwQYTnb5IR6NEyFs3LG/8n2LHCTUoKAv7V3MnDgq/rSWkaAewMNfT5lg3i 5WFlhP9MdMNq2l78SY5SatpqzdWZBfF9O+ly8n9gfMKrZpNP16/vy0pzP+xHze/iQpyP9onwkFEK 1s90oUYnh3atUq4tEhnT/dvBEusP3fZ+RM73Upp93/C0nFPJsB4gI2R6el0oBCmBuXaz1oMf5C5X tAtJSiGIvhI7LrMDSDuSO3GHPlD4e9cqkiqb8BFjeN1yQGfAgkHTua9Rlf1+AGTl6PAgTwAwjask 7v0IzCDnGmNcue5U1bKFiXBBNRotU7QjAImd7ff2g6rk/z/juz6H1CMAEUii0dOlZ0h71F8WS6Qe WAXp8ILzBnTquB6HBRPCiAyPXwT6S9IMVPjPyQC9tsJNtKnDfDLD4S493ODHy0G8cTAdztv9vUoS p018ZjVi1E9SdyR6ewTwwhvDUUaRGVLljwulDOddzj/o1S1B7cKoTSZusRBPDmJldZbzJ7/CCQKC K2a9w6GeB/eQWQLnV5BKHWbzwf1nxPexg7EMmwZc7er7LBE7M+75RNmDvJqtHvvqjoN5OEk2QgXS mkL8kLoKmtwIxnrz5tvC/V+UXq0UZJcZLZlDyhI+0UQcUae69HQzzUcVn1MkjZAZ4K/nj5Li/n7v NnEIdiiUA/DHWygN/wOopl7cc8CxxcKt0WeVH5LWOD4yMOFb4D77aMbZMUVEnzA1pGsHmb/7UZFU 0taofj9/AHasu7JrfxH1LjcbGXvFk0IHpYuOjk8Oo+y3bbrLNcGt26BMrzHNF24AdDgOnAfTf649 6x3ySQYbF5Zf+xxpKw70tCqGC5f6Q3R9sEezWuR8ducwoUrmsHdDOIlX9ItzkPUjSjmPG+dKmcBe HtIrG6lu7h/Yq4rkVTakxHvz/O8A6dIL1EGFnU9XoFgamAV9iXENkTfyjgO8J25LPfwuMIxHY5c0 wpeNNfOTyhyM/tP7pHj5swNXrhg4GckGqBB46BWPEljGRa9Xo6H0Vo61LfLYZj6eQAEpLc4laNDB SOZbQjzDGQaVGfJUtCZw3oDW6avnWcRABqpw9rt7PxX44f0MIHOMwNdAgK5yh0r5nhmLBe7w4rtb pN3s0HH7DIVOx32GXPKLcFyiYVosdlmOXl0YwkXm9KsFaK1BbvBdchCfbpu672tZxyUHDEe/m+Y9 6TU/qVkFVkLxfJ/VzOjXmb0Buv4FGcPACET1PSq3RBB1giCmggFYylyGNMQ286fCfk2Qcb7Cwz7W H4gz8ZsC8+kQPz/t6XDp6IEaeJEqdrTyg2aNAXZbB4Gsz1EAoCfzRSD2cw9+MfK17TxQZEwVkzOo S//BO5++bP0Ar7doHm1VpOc71GsWDpOY4T52y+NaZf69sToYLbnPsqg6dn6BUQaWeJjqSf5VYFF9 Qom649DipQiH2bYRdVgjEsd2hxzuIRx8+dGCvrcjpOWSvtRjLcHKlHNP7DU5YKj5aD4GERf3B9Vw CeT21ir831D/7CNt21teqox/FPQOElyTVpMzDgD/vKUeLnwxK4MVwGO/Fr3zK7R0K8z2P3gW9SEs Q1riE1lls10XxOHTG5WxDBT2pHlhzLvwxKJIocMd5Cwi5RPVYROZIh2ken7aKWQA19/VJmvu25Oa B/h/Dp33gYHNxrPed5qHU77LmO3pSXsiilTxOtqYXIyWz96hqBQI5P38DjVfi8hiDPV3tZry0JI1 JYGtfOTh8xCD2wrLjFnu3vJ070Om1WgtQzpth9/OxBe/4acxrkevLA39NLPyX4/R9S1MW2uE9c80 MDnQ/X0+5RF9eCvisE6F3cAGqevdUtVFvoGZoEgrceCLpFUx2smG6SOHaFlMPI11yC16iUVL9RMw SZ3cJ2Dj2x39TTUCg4s6x20zTLdNGJzF1cQ58LiTgDCn/4XApPIMBAHJz1ug1Z7TBe5LhBdHqPWX Y5XjWbuid4+EYandFnOlLindR+BePf052nu8ZJd5K/d9zRYqYWQIMmTfubpF7Ad6WMS0fH8n5tgn iqGxJBBEVJA7DQ6pR1/ERHr8GHSoueDeLXQ2AvRe/K6sd2OhoPO2VVxuk3MR2g5vKeT3d2b8Bzn0 KVhuNslFB84VfeMe+VsVg+/H9+rCB9B707MesHh6WWRvF3tJ94ym1JzduOYVdrJanH5sZv3Nd0BZ xfcHwbLh3wKqT8EzY4XXNwph2YfhTFUmSEh62+o2S2O6fsh57MbbZXX5ObZH7lXGaB4VG59qL48x DrbZsX5sjHv1ZlN5clFf1InYPmrjHlNm75FJM/SrZqAwvTZVhS5Ep1G80zI6+ry0Shp9BtkY+Gxz lBlERgxmGBm1lsc+XE8TYmIClfJw4Hmvhindi42/8ylkBn69e+DVUmlbnsDVA6B3hosknQ/4qFU3 YmtvGUlKG4hvsWR0+vWwkHl32GqEYD9OpHGqbmTQaKJHlO+0LdmEEBpNRHeNIpFjvbd+ZTQz/scz +g0gmvxagFqsFCYrlpQo+pMxYUqDJtGGMBwzQTL/U8wWj0VXiu4EdnOCqmEOFvomqoVrd0InuTkQ GFh3qBELSXshwOlTfsWDW10NIq7tLIt6pdIVccy/Rh+nEgqx49hwkGUplTKgYgF6mZCSyjKRG+vj wD/vsm7FgCkavj7wz1hJ+Wp4JVV5ZBxqPC5PNMIXrCjTR7XQCOVdhvXM2Gdb3xGWk5lHdQhDn/2B kaDYs3nBWay4UULg47Vmt92X9qKVQWR9RYMp5SDeJUKfS98LTVqrSGZe50sWhcW36xQ3kisASnmY sP87f+LlmtdK9NdKCtmqjgVtlo1qULQHQf9Xywvf2DTCp9Xz3mqSAOT9cnLs5a470QgFKi24r5KS 0KyhMZwxaod7Y9+AT9GcpEucRnYZOlZWEMUkjOlsUlP/WsfCbVOo48h9iokiKS/m66sGcNsbHTqe qxxEW+UAQLxzzaW5umuiMdKFrkg63O3qo4uyEs8/z64JZJyt5XBzoO8zvbXc1sxOiGeeTa8RPsLP H0WEmvJCxTmQnYaY3dT0kDfOpZKqBC90IiW+/ZYJ0iCTqOxIRXOIGGHuJ7ZEl14Gu52dY8X+5lHn q9vmNOVOWwwOe5jfcb/1LCeB3HfTzd9ChcIJVAM5Mv5wfUPnpKyxeDnlxuuXXmHMoPsja7MUCxSR obomk+aMeH9Y37o+YgfcXuIlmqvjnGuVE6jJWWYwufFv19LzfXVQInuN/qgCDlt9acLhXKbnjMbx BIi/VUxIXUgg05Q4LGv2fUAUGCAmzzh6hF8m0S+rrRSPg80N5D/pWXI+FFzvZffbiYlg9FX7JTBU GSZ3RqHWt8icdhFij2fsyb5p1UZKuTQM07h62O8vX29IWZwpeXVmK322gORxnjxpGmHNQYhLw6fH 9Jvr/iZLINgnaWIL9NkvfPv8OTjlnc5vrmISnrb5+/+aeJTpEYgYyfrhqjKfaNDgxJcN+aVEieAK uiaVsUg9imyIcCr/qio+agzmj43ItlhVhncORBoiHEZb4rSFVoi724Z+tjl9QgrXemJ0JlvINbUV VYJVKmL/ihI8Vzv8x1ltzdrXAnyFDLuTcaC8f+P2SO7ZCkW+kFRKCcsC/HTGjfC6QWQ+MgQAXts5 4Alr8wUOIG5HF9vNJaNSXun+ZlMJYsbkt4CLJXi4ONgdmV0UMxSfYUM2UxnXoE+SnRWFa1qWcx3U bzzB4liKdDo0+mxTQoYgAfFR+S0sWPDlnWezmU+xU4jICsi3UrApxJIel1bkv1ZYGmvskUA7G9eG 1/Sj3APyyFdP1yhne9fFQXlrls1+xfzfYdSKbBiemsI2iBI82McoAWAEF68v/bfKnGkpmN3tNwjo J5doyd+qPu4WBQXv+VVob3um/Itq6fi8Bkcb79NAVvpJ6jB53c8a0e6hR8nAJO4IupkPucVsqpOq rIOcdP5216Q8PajR+SL+J2bAabyPAw54wLcyl0vsXk6zQVtbt3MWMGOBIfoy5uYedRS/X3yBcpHy IZuMandNFYpYxseJrbPt8+Qf4XB3UoviSw+vZmr2/vLDswL+Fw2rx7/tAuffWOSlQTG1XaCgf3wO C08Tk7ahhSsDrDVzovBWZNO816Z24aGsskGm3QxROYtWTKzy4kqcseW/m6wlRq7s36LqWklC+SYt NHxOi2DIHfmDiD6vdaAI1jwe5uCmYWMClBDE8fdiVXmTifqIRHo5kjTwvRjpywj+3YxkZVUyoUMG LtKPSdTp1Fni4/EvGn1NnaKQpbqU0N31xJGHbI3PCAEXqKGRip1gpvFObhDTNP9OOIO0mEWupZHY 7bPwmtew9VyFF5fawMGxR497wt4KrgI57Fop0YaODZA2JkTxHRtY1+buc6GywUI4e97gLoq65l6D ifE44ybwDhGGDpbI0Vj6DwrWgHgiChRqIMwagYqWDVgsawg78EHBoUNFHOHbOSkb+rYjPZ3QWkpB ccE6gdbUGu4G44UFWoc8ZFoEzJMhCWJd+Ax28NeXTdBa96lgDVin0LTPfZD9ZA/698WeBjCJEgSy vyhrtALJL4rlkWxoKztrPVdFcXwUbG/tpdGZAzKNp6rr7U21HXW2DdEY39JkVC25xFL+tILLPFB+ RdeU/ywkOymxfH51yOKMfqacXtZlHT7n/q++MQZyJV56X0wWSCSVmDYU8sT1EtDhZuiKQ3aM5yHl 68To7PBGwwWN4+YhpvtRITXRemgYCbveZhmQaGjxIQ/a5RcdviY26x+7he+ss1uBf+JjQA39MeaA 4AOdYOaKrZSoYj+eR5MmSP55ls+/ECt108sN41xPRcneSJW6+7F6X9y/oSBmrNo7pR3Wmc26A0Y8 DupvDcKIt8sQ77e7GOKyXmeqqzri4o1wwbWtguIbrOSW3Wi490yAE+hVz0OeV213HCMLY/SYT7hl dbW2Iayq9bgJBPytK0BBSoT9jiFYGIGRzxiyti42QmjguRuTZwW37fnHQ09lBXbPeod1wExj5TC/ 4Kk0RZUnIkNOzkQFgNR0MMdzm55568s1HRFQYoCck+NgD6YLjP44yDr9EArYio1H/JmEn6lSMQb6 QkJmHww0fOxB8QBA2s1Z8h3/WbEEMogoKgGtLq8MQIsz96Cgv8aeQP8trMA/ioyXt9taOKseW+9/ tBWqPSUojpuoUXutI7tgCH/z1qZHuMxg7NCtmI70HTP4lpTXSIxfPD+pwU3mV9mrxQoxMefB/hCf M2Kq+POzvl2LGlgFy7SkkX6/oKr1jPbhoH9RIIuBLJzo5H3vvSsAq13jroX2+QocMwStefKNzqnu rkX85U/afyFUtdpFGRN+/xwGTNnwgiDYUHMBIkw5kOZNebs/sJ5rkX7CjRAvxE3GVJIXkCpV3QC6 EeLI6GzTNbk2htImhv7rd6gQp7cruedTmpUKd5IxSe3dTGZ4jop1jZj5HctR213S5XEo3msNp0UX 21+GWyJjL68K0F0sZxQx7F2jp7gAAit8BQESxxswZgP3N/Db6+bLGiDOL7KtwZ7eiPulbrwws9Xu BeB3hbdnXtc7pzlTUf4Yqmr35YOFe1K+GjwCFLpYw8JIujzE2t3E1CgLDrocTTvm+Pv26omie9rv RM8hSKHFsEtFvBHDGvkZqERRbVdh5YYEIA3hmSrzNE5Eb6heYd4jOgxv/q+ss85inRZ3BPcwS2sQ dRkBVg+nTnM9r+Vb1YNGyvRDPfSVMWcvLaiwyy0h7ZVD8eD3SuylVz3m9Jt0rMK0LMoIXzyWILFw 5YutqgZygqr1SWvEMjFabW/3xTrBPc6YpUzslQcUG2MthYeXKLsdUkpglODUhk0tUZR46ATZTy1P 7YREoLzmEYMBM6saAgFPXl/MNQFhOdAscrdTRZq78WnIdTLpQa3b/ykLvFyYXbfGjTIFnZAc/huO YEoNV4aJlKkUJb5ZQbzx8Czi30Bg0Qb03A9ULjVZaGaSJ0a2V89itb3jekPziUA3J3Cvbk3dkgZd 9kcXPJfAGlgwFCakh2Vw8tZBKQX2tOEA9oKfBT9BDVr1OtZu1bPhCyaUgOv+qkaVDu8MRv2DYwD+ kL3E2UypkZBI+9pnKMo2Rv+JUxWpR5P4xa3rw/1lQoz3ICxGxSds/Mj/h/iQWQHfW4M+LieVcT4r mO7YR6HgHnBKaFiQabU8BtRz5vU+ULyYye2ERaHODVnVD5mZwTM1lTRb1c3zH0y0c1IbTZ/vWjYA pYX9z1opi9ysWyLdE8yHc9nlaUmCLXGro0Bw8ArGK8UER+oy3HIApGJazRQuulx3YQ5Z1ug628Ew LlPSg0Jr2tWnl70My7TWEP/ENxaFUyzhtY5RIZZ2TFujWc2l+s5U36V0tKSE0lACaOgA7qt4fAg4 3fOVM4ELDKpAJzaK6guDwgbV1BkoK5vIfxUDgC6Reqjbq+RLs7NmbmTVaAeaDbdWVBr/JlebZe/h yO9Rvk7FB5nubdMyrdOT65aOHkfFoJIOUBIslVjajQZIlVPmmX+8ieXOEhmagXB/lnKlkFA5vmDw MdcStdHCuBaApdAwWAT4GPcYfNitIE1SKs26ozJjvE382Nj8CIDrCOhcTAYc8sm2YobTdM6lg82o qOrDLMOafh6DRF8v7AOUBi9odt7LaoHRg/Hfu75f5pF+/OJt1Yg3gXx/UzGc7WcnxlPBndinSUej ntHsR+Boxr9ffqDf2ISwyf26hRelQEdHbInZFJH3/rBuP74dUn0dJo+SHXzezkIo0tT5E3tU2BzL Bi10NnMHLFydOmuGE9VgqZs5UO9RmjCaORo9dMwF4YrSXM26e4oRxy07UwDxBhoLpqIUEDzVbfsO BFoT6aVcbRjVnPYKYf3U64vhLrmQbvfzKvDGCwe6KLkLcdEsnQ+HBHDafW+VQbFqzGiQWzz9B7+B bZbVZ1fJyzNmO2q5+d5uF6N5GvgSQRXhe2cgVEdXumMQlFNrxlYI7rEc20BYuJcO+6eIo+tDYZe0 6Zsfs54ZuveUm2vvIIIDz29qQ6GUDSCL+icDg+mIK33VOkStjF4TrhUZ6uyj7vnWgaIYDtwKq8z1 TCDL+P0qnlhrnJtsl6NpCY2EkLOkJGExgHsR1U7LO9JJtoOqcWrO2icwVM9SMTmRmVFs8ak49gsc Zcb0j+KJ+ShwECKZSiGr8v+mx571Ny+gkm2LqJi10UJ5+sV+U+ozZfgCdRtwXEK3kmaOg11PeehG ZRCSRBSe0nrVsrlUWUATZjxv22RU1+VAfmlcdH+djSGHiqXrYmz7MKBzPAK1kqnVpFdNRHJ1RODF q5a5IyiBus7zfyEl0NuG73Si/IdPnkcnaXY6nmA5m9+7lqEfUTfttQhQ87tmX7hSEKsKB3VdKqrk Qj/k6ASxz0fHBuXHTFlP0qMPumeLflYqL5TQQUPdyNt33HAe5tOu4ci5rK4xDZ8dr1bdjAXWsSOD 7k2mQ1yvT0gMcz6K5GX4nH6MAR5jtBo77DefVldknNMmuS5F+roO8iE0GGgmOP+dkP6uAI2dQAkp rPlb2Rq2Ha8lEQ4EHrX1Sqh6g89idxvgfDMCPsG5L/DuhQZ66HCtVS6DOGI5OtHT6cTE73yQkP2m Uo0xH2i1oRl5pLWE8ydjtwwuxNnVeXL9nnAuSmiiqOrXzBWO0+b9GCA5tlLe4aAWtmgiRQK5co6R rNtk70PNm2yDasBNgXWW4cMkDeCjU030ywViNTm3Qak4FXLeeB9WTHOEPCeMjWngVPc3f6qizQiE lVfLIy+3Zh2+Bf5x1hWHeFlA6Z6va1gISETrfIP6L56xH3lClkejoFcOdZiBBWKhlvmtNmos9872 fo223AWKIqvowEjygRVWahpyCts8yQKUv3K9gHlVG674s+6N9iyN/z32xFTgMfTqePknYxf8bWMk 4Ul0wOcegIp4nKR+7XOhsSlcjOIQ2vPIp9vx2UIVTjRf8QFVSAmg2hrGr/iUVQKDhsi2J5b6Pfnj 4/fxd/f12oMzzFeQAdPiCzXg7F1tmKgyGpYcDm7bIUT4/16k6Au57dSDmUxvFko4LBx+X5+g+3zF R+IXvn13ZvBMCQsWTdvTQE2XuCwUH4l4F3A1nDTMM5lYDBxaLaLAKa9wCgYz7PvvmW4OEIFGqxAI JL8Dd9p9SfN89juSwfj2KH876i2y9n3m3eU19QW5pDymPlfQYeAn+2vf/kGU/8DP2D0bNDPbZj4i q2u1ZyfTIUzvOCl92/1AqcXgGVgnBTgo2bmrHL5nRas/W3gYurvHXyeKDfLPwISUnzqjlZn7rAlM eb/qXRTGn3lr3s6CwUpR+eGQjhVw2YLYfEn1ePST39JY4z/2IN4vCAyPNpSCee3ZHv/3QaaaSRM6 VFrmxfq0YlfHvxMR9KHpgFLKpk7ObmE0glh0Nnmnw7qU5aAOL9nfjyRKgyoVagS99DxGaKDRyhCY tTVRIfwlllW5sHVHMbwGrbvX0z2/eAfTHYpcS8rpAs3pWHQjW7qrKPn/l0F1AczSGIqXcIzwGp3E n2+a7a3CPiz+vifbKZ0g3w/u2+Y4ZBLUoA1+lMG3G0SnNfg3PhdCyMypwfh1F13Z9bve0FrdL+Dy h6Z28dLpUUr8m1f1VM/DYfreLUp4QMPOl0vaJwZHfdFHp2laDWbQRX6Hkaz8y8Iti6xzNz3tYVwk IJY2StAYudGo5gqUgrzMHrKQYLzCEFpjzQDo53+7Nwap7njZVWeGEBFSLhCu75N6Hf/FUDk6ugjs UOJ1zmPJXPFY3Ifn31369VrPsF5Wbl36p3rSbpGxsoko7QIIS9xWpHsyB6eIdnoxdi9U0vMUZqA4 3RZqWYclUKglV5BnB+6DsdZxQTFpMrYU2JTZ0v6Ub6fCuSTYfZZllIhge1IcUNCDxGmJ0YiOkyHw xKM+tJ5qButPdSBJ8VTGErFSIi+oOTQNerBKyLGm3MGjv4g6x7Ya5uCjjzaw1HJc7b3xtBgoVQzz ebNEVzusA80kUg2qfK++dYHfsF/RvHsELLJ7kKJYiU7kRvFqEe6g08pcZ1MXVBuxfdrQ6JshvYNP 7bTZAP4CvVZbkJDsW19a4di0LPgSOnj+ZafS00jY1eL3xikxsSsvz4PEgVW/qRwKYkKeHNc7QCPH Ve3yJYWkFiRnd1HYzz2l33acURuoBLcp3iZg000dSwB3lz5lrjEv3JOoa6If7xvTbsZK7MLR8VeB z5A6tWC7oVYjfJMVLoGSFhSUcc2lmV3SqEXem0P5zHHMU66DryQeQKKLhS03mEGbkIQaQ5XRqPw6 Rjmdvb172IcAo6ysmeHHFRJQL+vg+HblIuWYnW/p7hr8ViyujXo42UGRcVz8GdL6phZ6Zx5iKVQp vHTw8oPzVYGS5tb+yKwjPGf44b/SBzOQqou6uAZTdIKXDD3mOTf1X/scZ7NWVda+Kj63dzGRhJ4S WvRD38y2GZGnn2Y2mV8Nh6LdeqARieGMdnlciIIubO+vdICnS35Gw30MPzg4rqrJbTJrLu4/eBrE BHSoKvHE4mLy+yeyhblMEF4vF1TGd7agyaheWAF9gzzkdlPj38FnoG0g0uMANN1a+jI9f3xRTe1m khFlR1ubt/P3kfokiAO8qNvhAwfI5jh6gKf906P0aWKw3V0pH4lUzgflnhX4WiDhZoYFzFgioe4n QonnYjsxcNmTMxMO/D3LTMXyxpttqAQpgEkJo+GGyyHTUBNikEpGyGAhXZkr/ahReYtA/amBXRJQ SOjGXH+q/w7PqNlVf5f6xyKq+HwjbPeWfpohP4WHQzFQbDTz5evr8U15TKNfHtAgO2AR4wUJTzk3 fjpRMd1k8Oy+Fi1loEsy/DDZS2aUH+Jmm/fasYiqcxcKGjMj+VQzeM/41cOjgzuKBG/LRp4BBN9x cwyHLkaqcJ8+wdBBy1hJyu63gIGuRphJz3JrzvU7Ls+aoMXXDhU9Ua4c+q3I4C9oByF/EM2C8IlQ wFJE9AveDFnJgESZO5+60MD3v+geH3DJr6DiN1t38CwOr+WYoMs/9n9fDp8bHnsdb6tdvSpNzyIM 0cvpIIgKpeguoVbih5IYtjBcumC1g0Gcl0av6mhZOc7v12QWFLzP5wMmRrRv7iNTdmr4LL79NSe5 WfPiUrrJSoMGgkN2qIzK5F2qKjnunlCVwgHVkz2jzrVV+nr/d9AZVlzEHnO9fqbxoDGz6Tf2/yhP EoNLzaVN6W8TJiet8YIzrr81BIVdkgN5bydq+w/owrWe7S7EksDfpP2vobw8JII9J7ceW0+aM2Xv ob/7lHYfTbEt1sJ83kG9oMCTBLyJMNn/1AvXBdqPG7IIOvfHzlbhDelmmPMpZJw1cXGqEbDJY48+ NuRU9e01niceAfScsbJpVU5oa5YoyR1i/f2ZEtmaSlVEXuxYWl+Wu6WFZR8LN22NaKkxcaJaNuIk rTBaVZaA4NUEe8cC2egfz99dhMs8NM+dyaYSDvvTcy40LtiV5q5ZzZjz3M7jrywxk2LRvbcDfQ7z nw7Bo/Yb4+gyhlGXc1AZUuxENcm5XDv2CIAgw2YWK0iCc8bKMpPerTQ4VDdskSmFamYudcjs5aON F+4aR1YwM7GRbzxaUkn1gRQsNQiFcG5K57syWetUwo/hdcXhgzwLZq6qO2yQyf/OrQDpIvqRuEQ8 HvuX1glKQEK1l1LnLmza+CgCKmkNTgJaP7b1E+JsB2xgzpKqUHpw4565MFva57mb/EuRnYEXISqO tA8wn2o9zwBFNLu0KdKblHBsPX8MR4ZFDd09GmRUWUa6apIXAW863ixkyGIYn/ciEN26taCGz1pm dQTjA+i+J1Hv/7Rv3jf3glpXROiP7AFeo5xeGNYphOUkgPtFfp0dHtTPzu9+d6NJSW25t/iTeHwX WSly0uttJ5DQcmGZvqf1Rd82gPWGRwljYAyaQTR/UqGnSDjVu16y5/akL//GIxgENhg6Jn2kRpHB e1B4+xyohvs8JWFIHPrmFf587pxJfUJbYZjH3A1etEbF2SAyZH21D0MALl0NAAix2UGOuLzhtZdq KKD00Szj8tUri6ZaunQoG97gDW+9rvB/U7ps9oe6Y70xNLNu2eD2Wu6rHQslx0kig9mDz5hNQnMv nXzxmzcRVbxltnBRYwPojaGEcYCg0E4szD8OmkP87KCwfJfO3cmpGe/XIftnQvT/m9m8d5423+9i efsR16oMt1+14eZ3V2UjYfz+qMmENMk/MLzCP8OW+oFT3jWZ0yWjjDMMe0h6k/PXGTnQoDoKRvyl 3r4QJUAui36GzagjRpHPtM7kBjp3wQeQ4rvDquDF0YF8pVErvdGnm3JnZ7TF4cmNeiRcmlAhxjbi xfNzM1hvHne+PMeS+QGcGec0YIgWEhhBoSyG5mzKvrF9ZUX0H86NWvzfUJhmwjOEmtgkLn35ehg7 pZiVrlO08433Xd4p13ZiiaHsC2iRT6EGKCvWDWkx0Ppzt8SIkOauieCcCtLMN3dhKiZPKVYfjLgu iM1n3JWhIaRk2dB04bj4R7LzPkFcFntK7mgA6ZJGGfwuPR4IBknkr4/j3IK2khIVaU3tLQkbDoEw BGqY/eQOyRt/cm63JLZxcs76f8Hs6qeoHmHyWZbKqGg3an/qMLXjrGSk7S1+zkANKsfMI5IUEMFt aEzAszxQu+Wp/9C7lIoQKlOKhJf3zS5EvAOzguDaDJEypZpu1s/VArLVSiA8riHFalVhqnKNSTEc L6S21EhdE91twRFMegpruCeyK/l8MpuoHM8ihIPZGSQsfEiL3SWfNiubFqQPwAg7og+bcRUKsZc8 QX49DForIRspsMg24qTZmim1nfbtI++DlXy3I1X1NAGdbEcF1SAwHq+qHsylmSpsLIFnihlKDFsm Vx+2gtRf74vw8n+oDKLgaKOr3daAZm5pZNKtNRCLQnTrlBGlhMCS1c0XIxcYG32+8coksbmkjxtn 8CC/AT/R1e/+WjBb3Z1tyNQ6CIlKewvEsYVTkwMwz7eVQvrYoAqAQyl/8gDKIL/qsAp8OlS5tMj2 76d/A/49naTCccURv+6Ksv/O66CSkkQXFqencipGRFF7eYZgCaCV0Z8vEydsgcr2vOmJf0Lr8wON 1joqRGUWdqRZIJjnPP8BiE8eP95NbEyhLxF01HCk7hpPjxNjU2VJjL5VMwy+iReN+Bh3JX7lQcx+ +sLT3p7tibdNO1GFbUcWV33/Z8+GIeBeEv+wrQAl+5OYP7fmWRbS6xiAiEsVAn4zVt6urlB2vIP8 kbcEyxztv5+cDaBWDs/ip59fhIVaqEPHI7WbwmevWoI4N3Sh9FNUs3LCtHxY/98R3WLhgQQBiVmS 6C72YQ7gUXI/dxmtYqdVA1VVVPFibqQKwp0dzux0x1mL0qzpHxHAt3RqT1/zrRGaI0CYBS5S+gxn 1nz0DPKOO93BUKC8xIUOVVgjpIB73EbufdBK8F6PsFo/euZJydwn5cIu8v3v/bn9Pot/pqsfcA0g b5H72kTzynMnVK+1xw0n82SJt+S9Rw8UcEzVj9wWFlFiNTs1tpjDtryohF5i/lH0XzvmdJFrtwRf umB1YJtVz3lFI9UREfU3yt3Ri019m+f6tAA92djo8k8Xtdu+f687qduZUNnzDNaHvk90R6KWICVU 3NK26FQRB8LVPFkxSrWzDUpwNnaDjhfFfBbFhQnO8Ovlmcb8MYzNheMIPi6lMkhFRVKeKyqhtBGN GnE5z2m6sbt7NelW+2vfrJRspll5KeyKzKafGGcPg5xrmBVgdv08XQWKCvf6zTYzRRCBseHHvMmA TV7RJYcW3vjW5MIE4GUjj9Ge2zldsJLW5gCD0A4ZkKZN1W9jsi5yPU5OxXbImta5z5AG6IHHwdG8 a7PsMjEZx1LjTfUxzgLgb3DJTE2Sfc/3LPTqgIC6w02G+oYE4C56zS0K7FwUwhvyInYou4gHffd/ 1weg0dM4pbEBcJP+hkCl8VnU8894b1YBegxxfRhDE7DNd8Lyj7criEz6AlUlUGf7EiVEFt5bc0SF km5jHX9nGvXxC0zlCftNaieWMpsmc4WnV2IIAFCCL5NjIFfiRoX/2E7Z5Nzbq1NXcBy7RR0bZ+3W mPAxFdYgtJ4/I4fspH1a5wsBDLyDwvb3e2jCPl69NgqaJ2+FQ1fDd7Kw0BnRo0tpqWBUq85DwJlU a571QT8nKLnYoW8DDzsGvgBHkaguwqumwQFyTWXWkow/tnEA4c//3fOc8uSUhk3t+3zixIy8xZPc g9RWpk6LhVOcnIRV/4d/jigba5nQry3595pRHWt1dVCL9EjQPgjDuqmRD+wNHoHR31qNhVmaK4Kn j+QIHAl+sAjZISRJBM56G9oxm5Mi3HT7fP4FnJjvUpsfRLIRp7JudvERomzV7Oka9L5E5XgdeoH7 qFE6aGQoyO0GGFHzd0rlIrntxROcY+ICthYvG1hYkfvyjKcpHGhYS5R1GoQ24cHRn/rOonQOsu5q vtXcDgYQw/DAnSXRzgwDKvhVlFL5Uge9FCEZ8Ur6SJvXcVJb4mvq4yaY+ncZZeiCYIN3RfVZ1/Am lUlorqM19wGlE0awycv8aV6v+Nqs5hPHw9eBHWQHQa//Flwrmog742Iz6VWtOEhpKjjTOIkour/g 5wj9qbjge7+NESwvwE5rOjs2gDufi4mSna1Ms+gAtkxp6fgtx3uPICfiNQ0cjq2r6sXjS500uA4M 1W/NvavKyZu6YaFgbF4eoOXj5KyEmRo5qRurlOc1H9Y2qr1vVU7gAqZCQleOqfxIXOX4PoHEkjQi edJn0Dhhy4j/QmiC0Wj1My/duL9S3dNhvAsKv9u5V87b/BkYF5+OVVJKy3RZoUQy+/c1ApVkXz6G ilpsqnrD5gWA46QfIgH3eUE4MF6NRZ39472rYD3RyhAlVvbpmE50tyW7LmE1wLE/XlSr5xZGVHHR tJIBR2Wp+tE4DnGeFbf4hCbcqJEqbhKYsNESnhsck3XNQmgJkcpn1Mg5UoAnoQjEXwIeMi2Vf4Oh lprl/Fe9zhgu0tiaOR+GqDdfk4T6jqNjKjkdU8o3ZFUDV4ybg2FE2rFXoRSclhfhNWlEbDA2MDPO iDEKUbzo6GLQ5MJZK6azfbb0IHErIg1iuxOVdcApoxwyT2bar7h7WHLlq2qacokDd/cBmpFamo+C tSgoSciIocsiadycx2mPD3dXr+Dr7PR68JEfdUVyCXO9qWU5dyBcGE/Vd60vZ4bbHWxNUwlhdp0g Zo2PRu92i+oe/rfc/977uktKuo8duADVmS9J0DpticUt8eZbHt3Q9bMeE/mG0gFIKyIuKzWREt8s yJBXg54NDXjdpMAKO1ttk7aUqnWFffGArv4fD59qCHrRTHJCYxyPSn1orEgeIq61UkYJaWN4pds2 Afsn7dXo+VOecrKdohDF8vJYGv6S4HlErcdbu1TZP6yOqQ80gWTmSQA9GyVzQqcspxN5H0HxnbHd jyEQyV35o11iWW+lyQv5SFyXZm3q9jBoTRmK5m4o5f9Vu3cTy+FMv5iG+kLKQkHJgS7w0CRwqU57 N9OfYJc8saH2Hi5GvylZp0K21JEYCzcUrAgQBxV48e+KRsTzqPpGAKW1aNdux0CGffKiNe6G0wdr KGfMDYlTBCfEOoG2FWcQtsudCSMJG5vQOfhj1JZ0EJ+T2x0OOCJYJBwxyapMbuUuivqGJNwopf4b Qtj6nOA2R6gE1eeCrZx53SKezF7nboXyfs0PlwFkcwnk7C3w0ZmeT8WcTYFIZJ4/s7nCORGVXwpW tK2sVtOyBANFrRPq0wH38Qbm+GUtIGqbBYpmvQ0KWkq91BFk6sogjLy8CKUeqIkwx37NdcNSgLVp avFiesoFTfqKVSObGHJ8dJIq/WX+p357H+eni9SncUZ6BayGwROMlGrlIFYh0Ny6Ubq8Zunu5dFC ojjdSmb96hinXpaDviZwkq0U/RAxSHiDSKo7lBTiROvrsR0TZPmX4EEmEknvuNbPaE7VW+7K64R2 NU6hhSutDh0v2Fc6xI5B/3ydkNwXjc9gQDRt9ki44m3ySKg/0ywTg9Lj4E9Yt9kW3mclAd2SCx46 v2GvDztycQlW27TJJZDseGUGlfYwFVkil9RDeZjNKJ8Qwtcs0KWgd4St6y9lOpPIroH4gC/vI3gq /oZOGn2VwNWkWFaoT8ulQ9zZ5pzF5OhFco1aXuAMmzP0ItHxhLr2jKW1XH4YbBI6SNLiiVdpcDZu PpbPphXhm9Oi1gJPlDEnmAcGWQUmK9KHuD2HftyMokJUrV9cyUD6oI6rLMhPfkiYvbFn+kk2nw5e MzzkMUy5Ml/wKVo5xSvzk+9OGVDsPt0wq8j2g7PLVLWWGEI6mh6jB+o0+FQw3ePvLPWFUnD/BAET dJBgDS8qt/yGPoZbC9yYuYz4gEqoWi7V42ZtRXsXggIlrEIZBVtjj2GWrqpqmDlBgH202Nq/fz2P 9UQeGVmw80GSy9k3CFQgsrxU5djcs2sgpFBu06gJtAGvFGdYRRxUs7l2shlg9t3FcYC6wYcz7CS7 mp+wUM8ic0xwYqNLAY8lYllYBE9iHghDAp/tMNFCM3Kq2fyxsJQkJEq7uQZQ5Yj/9O78NtICkHoS nNznKX9hOP5K9C4q8P8rsgCnHZ/JthcgG1XsOYnc7G3nUaXsUMVnnCcznRtDUnSoxXauUAlPptCv jG0VqwflTcJ453+/4m4MczMNjGUedtDVXH8ITUjqzb2RO/VruxfHYtFZjdRP6BFC/c2WhVVIo8/7 +8NBr6KWtzhUPISnJXb0DlVM2wzjnsRWWfyTevlui0qecEpEyrBTu8RcCgoCm69Ij8vqHWO7og0S /l7yG5bGvU2HHXTXV7uqBg8/hIOuihtEBT+WjBrDrd42JmWmNWvRnpOt/wJLSI/OIZc3bt4GGyGC NnwsqoRCHycn8fiEd0W7wT9QdsKQWahd37KcAlrhZAuTc0q9jhxviHIgWqMyVDBDEeM3iZqPqZQo VpDliqNgrwpSG8m/Z18k2brtl+qbk1MN/7dbB+WOhSkqIT4a5fPogewhqwF6wGUmlTJdRXbim2rS mHOhqpOICplaNSx0wLSCZbtVPiCz08NIYFAa2BetFglLM7OovYL4B9hJ0XOBhPpfXAzI7kMz3zyw t1Pfz6LXWrdDeZrq/zs+hoRmyLIWQiPdNmmNtu++t84IAFILIlo34CPbrcHHHMLTcjGZe8fl+xBb 1aTnr3ZJlhRowN5G7k3tW8rrzKoKH/SjQNNgkzc41OyfoLeskidKcQ6GhYF2EByX6A5ZbyOJgviu lK3AOlfSGyJQZxhjmRPXJLDLSQKfESuu62BFtklnTeAyYWMzjOx3zrN9Pp11QL6S6sv69lOzggaY 2BRd9dzUj3jJv8l+/qa3Hk9dRmKYDRKCggoqpbj0rOEq6pN2LED1EMSw3KuQIWrUTD9QyZ9Bk3aW 8VoTLwQK17HpvmEFWELTr5R5Dbdp3emI53a3D0DAZfyB5g0TvJBUczZRlqW8HjYKMoXovog6ZUu9 0LmCdUc/sVCBn2fvudtxAEdsdwP8uwx+VkL9y2J93F4aNxa4SD61HF0SmQoCWqIcjGRfkpaveVkf WkCokVMn4YuMPKNMWzbyT5Ks59hzG2zTfC3uMltgGd/ztOXUTOKx6m6WHTjaK093/BR4fSbeYSXX npKsEzT84Bd8073hF8dRKixgpBq/4nnYeAF5kCHRulDD8uLSbm5YwKA/Hho0i/OyiEUMWLMgUymb zPAADiaBg5AlWIbIUiQCyQMQPydVp85fxpJktTeZoNZkaOVB6U7qnidEe5M03W2CsX+uAlCw0V5D Cj4QKxzkKkOUS6L564ojax108LzkA8kk/ldJVcZQ6pX98pyaYp81jt41Y0RmYwSnT03BO6yQRoem gnTIvH8W6Oc6zNhpWML6HnUlipPO1G3BavwUx4mZ246s/5GYObyAaCJuqCTk0jeChK+cP41qW0mb 6lyN5M7FgOUZJVwdLS7k9AiPZGnyk9HZbqgJhuWQUVDzhW0pZdjRKd267v0GyJNmpoqe/BYrO8Qm 7+a7Fr3b4kKoBzPU7LTK40+HXPQLzorzYEZCN25eCr+hbldxsbAOf+CArUej9mexx/IYL7oI8uLl iAoyTzSziCtemBcWmBxJCXA1PIdCVvVS4b5nyyojYj6Y9RHL+EJqU+uhln2e8meGnFLo8PmkItEU VioNXOI2o68s//Fp63TzgcXiFHIXDAQyBZqoEAIvjgT9sKl8HP8ojfSMPz2te9+hhpAnQ9oaLctb mXcCZIgwff9w9g2AW3r3b9d4ReP2AESv9sy+/o/5pHEMsF1P8UCxR2y2CVd42Ytr76/AMN4RPhw5 JSx/62ZAo0URqjoxOKEJ+eVYHKQSJ/f8HQ7Dj7k0QlstSTFarzZea95y8/A7YQ8NiUxjlpSf6cQp yLIGImkfrj2bFTNna8tGhS4b3n+9scKOv5tRMYijxq8cQVoflXmRSu0RZtlFxuR5loajHckIJKG4 HPi7oGha1RjlOOGAFEGVvw8nmqMOlqE46ElR4G1VGrDjd+323JcP6EnWSx6y0tXeiO7gMVi9YFCW BMv9XvDkwGMqhT3BE1rpuK37ECKJOnnAKkuBFM1p10Z5JFXPt3cJhQpOqeQ+BG3V2jKqWiX1/MdO EIOl0memcIPWJ5gqcnYf2XmonI9zrcgwzynfjwKoEbi+vMGq6U8DZyDHfhXL02/FuQM1B2sFODQc rwstT5+eyHt23o7ef/Bfk/g7M9xTLJNFDfO0wLbXXXqDD4kGz1WEUPyEyyoPvDDdeGpuEHkR1p7e VeB1VuxFisY14ObIPCxTEG5EUW20c3DUaFdI1Tr9CnsO3RElkbY1wHtzh9UhyFF2qSt37EXkcXUD 4w+RtMjNmzRR0q1JwzNwTD3c6ED9FDCOjVl8Nqx3RK+cT4M4HYhgeKJjLk+LFQDFkv6DAQB35pTp PNpG0p6+Qkj/uq5AhuuLCVW2/kohVs41BE+XNaK+/dAqnG6eE1MTrG016xc/jjJXMw3yfyA86/bP XkQMY4VuJI4oUwaJvNzSso3llWiBrvhZ8A3+Oop5lg+OnjJ1QBVW8cGHxXMUifcGVRknkt9Zf6Dd kb1i0SL519svUlwiZmepOgqkknZIYEzjXP6B1rVoWq43vRQlMs43lA2VUrnT9GJQNKPG505327BB pJ7oFGbvUioOaHkByHhcgFdiAd8hmzplSg6BNlhR1AP7tNyFyJMERfcTyGzS/5E1ULjADJDofgX2 kF4byi9iX8av2EtcmaSCKuI/b9QtbWSLdh0QzZdhNnOR8QuY3y7fqeQHu+3CF1Y1p7iLeewJb15S iiB+vCr1h2XvPD3Sy7/6nz4PsUFXzAbu/MUvzchmM+Qzud1cGk8CYTetYo0+3J21YAO2mw5vgSqH Tsyu1wb48Ffbh2BNyNvB5dMOF/PxnuwWrX5K8WqR0T7yJq6fGDJcueg9n7NNZywbb3dmxC3MzGJ6 +Ut8ggfYRfkrH9df4t2YrrSHEXSROzvwXw3Gxl3KcIQV0FK2jU76WzUvgk3vtz3+GqOaTjK6D6lS SC3G4bA+do/5HQDfK1vgTfVLC5LHiFxaQyBChZPvO2LeTksGmb5X/xr5XlC/QkfZgf0wpE1WdIao 7mivGNj1yVO1r+AH1hjclWGN9kv0gzQOaKSbJAZy83GG57yS3xwPAMw149Tnb11yyUhr+mUC/MeL 9wpksTHTkz+8MeGJDsLNq1o7LiD5KU3aR1NdGvSYLFkF2c0o9m1kPyvXG2Inc+/FquU27d8ydPLp prA4r9Clnvr5afYFj6MeDmMJiLeUzqy7M6qWN/SGfMrujlRd7BIYJw0C+MxIUdc2jONWL4p2/fdG dB0s4PmItcbu401DHjMAmXZW6CTk+cz8E5965RXcI41/Ek3ad6W98J26K1QeRakYhGlpQlKCIm0M e3BfZ0vpCClaOBRuNv+FPxIiWJ+B5dJReMqGTTwj/nGqnu4lXsZeJs9uiWZDOSF2mJgFVxZqfVRh pHVhGEAtSuAnqcLGdBOLTsDmtbnYnS9lerhFchQMgw+Jm9w5nWSp3tZLjvgC3Lg6y8f3aKCo6k5D m400yaQgeHgtF/0RHtLREBromd1xbvzlESWi8F0GET+CGr+aGbqBhBkUK4i4+r0JzhD8od6Uq9ar wLQWDofm8Z0ZC+vGcV8/zIifm/L68E2gpIGs1DCh6lBt4tI0hdN+6TUD++pwV7zoDvBg6yaQCDC7 i0ox6mjPC9VvM91h5u0tBw7soBxUDspboPLP2tfMoAPWT7WoAVlKSUj9bke/h7J+M5dl4+pPs+AJ QjD5PBE2wlOvdUROGNHDOz6/K7G1wyfWfk5O+TsLWII4P9Eb++zIRfv0+fiEr6lFa0R2CBEmEp8e QYGegm48jD7mzZ77OJt2sZHvnUGKGTcGBWKkc/1fsImhAv4EU3gR28He/jjT7ARN6CbaJ67zbzTH cmP0Hv6JAC3wf1EVXh6CJbasoQPkn7iXApyHJMvKaRHB3/B7IzLs2oSnlYlev01mk0FSqkpRZRrB WEDlA+qLONnrAyoX7bdbk2ZdJyBG49t8Hiio71URA/+MxEOwPjABxXQdTLDkhAEm9ohJw/7QVrkE jKWQhBRvr996dbwwkP4ym6zy17FAApjXuVLL9/GUIqCA4QtTIg4F/HH3Un0mKFmF0eU2ZMQ/RYBf rn+pVFceghRSmB8m7Ncp5nbL3CCOmlHKD3gp68nvY0JHzotFZTsERyukVEWbCravUt9bIb3Jt0XW ul10oojAZk7lO+rQpeo4XfBbDem50C5ke8I5IJN/3AEkYcaqbvayRZzx16WIOR1uNukF8hO+m1AC jjWOdqyjYD4YGtAo9+BMhgeVkj/TWPLr6uhDYzPVoUWbuRLInml9YOutKmEN40DR9US68HDVyzWY BMJunPVhbGgeDX3td/53tl7IekPs7bgspZDZF7irJWNWM6EMMeq8TjONohfIIB13RKSQ2bLWQgfU ypCs26R8Ltg/dpVTgpvW35yIBqQ5+hyXmens1e6+3jBc32lpSxFumOwMjsvWDVAJdOfCL5NUyI52 Y7bJAUhA0tHgfsfqZ3TA+OAK+I6vJBg8smChfVTVv/o08ZMoC0QSPTcJL3bBSJayHNkC2IjSC1Zg C0Juol1BtPgIuBr7dYTlARhMHva3N/qrtbcGUCg14ZAtoePqBzfT5ssp4tYtTf3VBtVMhpla067J 47h6bKktjlxyOJwIm9JxxtQhax5gQq/loZjmA9gw3/VSan8w5nV/MzmIIzlDYFIj6wE3tcriE1wW i53QvCx/UW0UCYo/NQXse921fgu0qLeSmz5zIJ1aX5n7JMXGQ+6j1L3yJmc9arIMUS6+6G2Sezps FAYCY9z1+Omo4tOM81W3VGXoaQK4HyDyl6qcmDcNfEanzxAZd+ejgJNU+cpP1Z6Ob+j3QnOGeuYh qCL7IWVNbTXlBqKyitjUtUZI12VZK8ZnAS1eB2zi0q8Ig/rSGpj+rTZz3enaiMLAbODBIXp3uUSo YcY9A3OFLNmkYzQ83hL129gHRMZ7sJHrO6YXscEHVckPw6SudOva8k4wx/hlmlsSECt5HnYqVitK ED3RbOKwtTolCYHgOKqDSDopOvkjVdLaTl+ckJlU17fcyN7RdZQpMincf5TE1X/aSGQG0EMR6dMG L0Mo6yudtct2cZ6sshqnlXd96DprgqJj1gB7mCE+wQgrbfncXxlsa5gkmPlFvrp31n76Cc5eLEX1 5u1/LDUxPceSp0uTKrE7AGDxrsLx4x6Wgebmg3Rvwp35Nr+m7r1d+MoW8L85OZpJQsBu97csGsyP OJd5eknkUbx1w+fW2nl/EyDAlqDSoIOXm5lupjvbncj9UoH+RFlg2WxHnaJY5pa26oMwRwrpNWn1 XqRgZQ2lxrdyhIJhezXOPD1VONs/t/r0hEUjHXxFnKrkiRwDv+ti8v73YiL74joWR/CVQk3Ok3v5 tiFCCZhAFMZjk1cCF3Pp57RmvHUvccr+0142eecEC4pS47vxTlyDw65o7ydjL/utQ4Q1Yej/m17P qfcp8u4euQCX/atNiCphgAo6143XokkBshS5me/1LohOF9ajo4Lr+lgdgpgULkbEFBG9zJNscZG6 dGjyE4xwaWeJA7GwvwBTpDdkPzth7/gUYc7Cn/sJ6hUAsmMae2a1bwW+8J3vSZHbYdodNAUT/GT+ /bvIAxnGcAIe0NgGMpr9LDhfdYzxkGeNp+ADV8p3TlM28/FEFYY3pipT+VbHJDjA+3ENHSOqXSVs MDmRLJHYbMeOne8sADvPlQhCIjqh0KtQRBWX7JWt+hSPEc6u5lU09ZUBoNHwlWVvU0HbVkgBEvHk lyHq6XtHbUhlgBKbcuRFHALoQoi0T4bu73wD9BWoMVzgtJrknmaQirL2D61yLz2ARilpb1UBV4z5 BOs/fg0QvO9aHlpmbRM2baYeEudSFjGSk6ajW2UBYCvZYbwKSuAd+JfWV7/SafV9pOPk6OZW+ph0 tJWzL9u7AoDshUmqONBNn3ECm3Tn59TNB7wPrXi/elyzXDlebqD3SqTMczU7oHo3OyB7KmCoCXEN g+mx1n0nJiabGz1nORecr8n95xj2zVRSS3e9/R8wQxQRbyQEvGLUC55NZ6CqlpUm8gYmuZ1NfT28 yWZcGW50fIqwP5yX0qDEHjTvLrafuKiD40wBJ/5t9738TT/UukIkT9IOyzTqIyODA8zVFZ1Q/NhI CqhOn8UBCBI1M3leUAJHNdGthAgeyfXuofhiDelfA55VLEIsQTozfg5X3qUrwAZ6UvnHmNYQgsUa xkiAWDiD7bVyebCuR5pXdMJPkXIyA8t1x6ulI/Dt0O8gS/kh2gPc+3RGbs/YFRPEfZLEF9ZQP8JW SKEl7JrTRPtrhVfU8PDhGlMH+wYIeITyFNwUR0vgPdgh0uOkaSMPwdvcy8jrRZ0HHfeiZBvG4/N9 ZsRqJ7tnZlWwrh8hLxqOG03LPyCw+CSrjuOwGRlCHc8RoiS5Ml4REwtZNrseVEWq0cqlTdjOajC5 Ymj3rYpCm8+3ApXfOmFu76D/LZgFlOZFdL4Zdi+rG7LQF1rh4dyYF375BV06hQ69Oczh/IZWeSdm OdGn8Zw5SXdvjQe18w1ic6bIUBQBp5R8febtU1Ju9j9Om/V8KEps1xRBClcmFzswntfY+dBToJ87 0Nt7aoiQAG/DGBHVsoZ2Ll/mYUqDI1RYC3gPAkIWbH3YV0Fcg8stW+JvvWRtevPfZtshHQ+/I1KX DexxxEivB/24I4qSwSMgq3jYJeAaHI65ONSEASUjvWoTnoWoa2KneTsUVqM9k3naJw7qLZuJdmqZ uvLJv+pEFrRIM2sNVgzcXHffzJmEPZsTOT6ktR+Mbq2uy9rGBp4lx5GmKq7flS8JMhgSC1Q6xQ7T q7np7gwpCVawaswIUJSAtGl1RDL3pm/kIqBwaC7NMPYqUk8Zo+4UBeIcJBt19mJuJioM4xL2u+SF VYImE3YZhjIGq19OqTZIaTVzBWCc0qdu+IVGSi77b8MTg/nahtEouV/VGzAtnsBBZBcq7roA9xSZ xyn+dwRDrYcJL2rC3m2+e1Z087egR/aJXny1gpGjRo8m+oRkDYUr81PRtpPe9Q6CEZ6Kq3LyzAJ9 3QKRemEvqNXBRfGFVtZEr5yhpBdRh3lFgnOFbkGyIpRjEMh0p563R/Fa8xEvHNwIa2RNN0daUKPS 8LwpXOe4dBCrEiWp+8VvvJREbQL80M5OEIX7CbzwF7nwVOnXEI92JNkmCQG/FO0oHF9SquKQM/Vj gJHzwp5sglQ8RwdJ2HcHPQn+BJcxTgDhHh7qmtQiafS5QVoo1hyUXhTAcOikYbOcOTuPLC9MLmlN ZZMAZ6uVD11WIEuW8xcTmApZ6rn09FSmwRmJSL/5xHXHC2mbnMEMTK29/Q73oeBr37gI6QDS+5k0 Re25U2vpvA8fKmkJCiJazCe+GFxhjmmZAQn0OCr5vRNozDyq7cO0lXh6l2Uljn++JiURX4dixc9D w8L8fcdmRrjv+R9E/VPYbuZuGfXiA9nhcLfvuBicHsZFtw8tsDH7tUCrFJ3IHRjavGUYT4BwP46/ JkMVMwqOA+bcWOZ4gDDCRYnQu4bTcGTUozmWlf+TsHzjv5TUmpy5mQJ4iH6MaEJFqwXxSzKxxaWh Qz030CuV0aTDecpg1m1uVv/WaL8fjfIdvHKjqHbMHud3JZAU7ZxqTTuKs9j4x6Z2F3XNkT+G3pZJ eHvfwnEOJX4xc5X0uZe2bJCFWJanDoODG3vv+B6MAH8JQiBPkW42MSuRBQQG5pyL4oPbH/jAzERw yZZIOceVHi0/98cWkCuASR96R6VkFJz1944p2ruf0OEUIKZ/R+9S2QTNlVh3+odIili0YqofB39h azIkl7icqSYFXrm2vqIQzru6fqyWaQ49HvPp/6DiG3i13/HcvxiP0RPr7sRhRaDmOr63ZWLEqk3u rqJ4B5U/HY4D3wTHt5ODsOjoO+lVYqzMqnh93GE2N+7NC7Wk4M+1x0xXDlwlRNZ89UHmwLlxXHE+ VBRCuUQlilbulG9YWx4FAIbBA6TkpADyCEH83exhdweVe4PqZ7jAWfJ3hjQNM1/V5JF+BEAvUu1U l2Q/CJwbzzb98/WwyjL2swqdX2ymOBSZAi1VC+OOvr06J3v1sYkA942Z8/s7A0tT6/qZoNoM6SJp 4fPEJCAbD8yfNchN5Zvn/gjJ1E6CSXEzjZzSXpc3MyrvUJTWocrCNTxG9HZ+Paj2U/0D052DdIq9 +j+k0mAechp/tsjGvKqPEJ4F1VHM5ELZjbQicqHXRuxzbt2zGTyZdRgK2Sg638gIqnRqyPFmZeWs ZxHLOMoqN97jKc5tMtkyBUwsl3cRrXtf5rHGpIKBmrdaxpqCwxQlKkAmW076nMeP0QgKCI7KJ9a0 CcKAHsfegd83IMDrED9ulGGueV4H4cvjj3yIaqcltRLIZKVd/RSGiBBLAlxRXIObrA4deDTYStix IjAoULVQkTiH6CuSBC+f/35MdEJ17pLFGRXhDE9OjcgyDDjnDMgXRJI8KOKdQ0d1SlxCIowzDpi6 /ljM7wpyC7zZFPxYqgD/XhUuMpnDIQ4LQ9wnsxQnmNnfl2kTBcRUvo2w2IdjgPKM9fJJYKHsqi0s tWjvK8tPovI8S/ego5sj8dE/WSboOLERG0KV/igD8Yf41wBkNQkem9lQDKAyFl+W8HyIOIKl1PC6 Oh26qTS9GdCrwimML8v7EBajhmRneLamRgI4L3WSJNUxL5v2nUD7pf+A6nb0dvS2lSsP9qs7Abk8 BSAkGr0hYe+0m37v0Ev8bRTA5sTGcB3rO9mALWLUGn6AUYofiD8gYLgKFtrXKrDpJILobIXod4oU T9QtqxIB3NgBFx0DRpEchJNgMuv7riWBYMWIGlHd1T+nYL6mArxZQ7TS9K7NpVmtQ+ZtqFp/r23v poJObLnOUZhUWQxDovSrc2aN+m/C0WPPSZuje9onOss2xuTIn7BzppCa/Br+g9/s8kbAWdBttBIU Oo9Sf9KVAzLbBpnmBwcEzwQCk6aSz+CA0jx2dsFOB0DyH/M/ucuz6Y5otxAsCmNRIvU8DMN7wPjx 8bRSeGoZ7GocGJs2iZ9Tgt6rePgNrYYr8TGgHpOXgdcvqlPnug+VhtrqEC30VPPOSkJhC5ZkJq9Y P7rzapBvUUDQ0Me7hZRuYY4tmUWG1uuVMCpRk0oNdzCNbUsapn7GVL0CSv4F89wmo4DvujeBSaaI lpEQToojQjRwFVONfpXtG4G5iGAMH1mdlKnpUXaquulLYOTdpgLNb7j7mWaVrZaG5Elkn9zBHfgh 3EMctBrOaGrqq/P85LZfORE5kOFBuLSeXjM/qAAMmTVl2poTP9EzAe0mGBVhvNGM7w55DdSvewVt COzJ/VaNz2mUK++mmjED47etGF3gsfURtI1m8Mj/DDK0X7i0Nj6VeXld93hwJ8GX/HybopgyE94k v0IuGDCK4GFxTQ93MbmxLou0853EdA9ylSi74eUMPf5xPH0GBNz5nCYGW34jKzI6RR1Ps/YsOOc9 lK3c5tvrDB4dvwsIRsW4ngZwsqP7ON9MxOdjcQNZ1DQwGKg6yN0wlD/Rsz7Z7Zzd7sgHBHCa3zdV 9AHRDI/Em4GIBcsqdf9wy3qe4WJaror4zqJ8CRm828pak4TDlttprIhM3uMrwsWmLAupg98arA49 LKaGjJS01ukirTKz1Xpxu2PD0+Bcem/JkstriI04HQAA1D7LRRJBKmRtH+MJQASCgKX2cMk86X6S 2KJxjs5aaddboWhBHG4YNqpM9uzhcjE0Ol8MnFk/Rd0nCjvAMJEao+XJYWtQdWuZX1I8bHXH7QpJ pi7b1J4QP31eDQQzP5R3q3h6z9p5ynWjLrpM45MJAXIVe6VWH9CrpsQyI42p1QTiSL6pXgG7YXsh 1wbcCjzkD6x9rzzZsiT1BYv9hAftoxgaeHYmBzmAeoXVW4ctBT62o92/Ph+/sXJn9cJnbSOWzh8F 1B9GyLwgUXsASu2XtOtPHvYCoXalnOd1kpI9w3nZe7rXI7JwavPrpEigPwU3iR3ij92cPzAWT2YI zjb/WNQ+zZLKhUQz2OJl4X37ui2K4tobUN5RUKFfAxk0FjKXTysH2x3mbnbr/z8EIdPMP3L7lAQI sDrgteAkmN5UPFZxjBW3pLF/FdRotS9fa7bRcUcdMmlDfxvLMyEoh65nzegL45RqfWLZeUTSJLoY 0XTIX+e3ozb9rBHUnnzfgpZJXOhUM6/WsbR1AvqwgAj1e72mxELfqzG1PV8o2fyszHtLAMyPKU3V M1NAn20AJAGYfw8PP9mvQobN8IwaWunA1dLsA8iSKaopJT0fImGg4WjQrCsE6ZYys00w4gvwUcjQ Ra3POUeQntruTc+L3axvDvqLf2IJAB5PT1Ce+lt5/+vOpMjsqWlVHPhLB9BeUukxRI2uCJTs3Wut d+GlKyfuOKmyWu0UtFXvejuBwUZhgONeLmtX9clalQwSL0PaXrXkVnD5omJXYvyE3EyWxx2hq+aU WBy/RF/Uy63ZKky8MUcVCBEdiHXkN4cmZxxgVTha/W2z4XABvXsUTlTEqjW6X8ccbhEHTVKBDAeI /1U1K5nj4aaurQ+JzErMvo7qEnytfmlM7uqtza0hA2S7J9+nKy1cccX2b9j97X6DEl279orxA6v4 zwigHyjLORevwuQu8qm9/9gkXZRWJkTEzBuGzOLsy6dklkzLfiIEioyv/DOJAHYjlhI+XHVPE6k5 ftIPbV0hi+I/htJLc2LHSaQQYwkD5Q07mXqIZ+Ny6QcHOjw4L7WHtpAVsVT+/r4ZbjhLfU3OLcDU Knty20wVG6w93LXtxWvY3ouBE89DqcGtQstNQd3XdRa+mejVfgNdXbkIeZidFr6fxwTsnj+2No4k R5cng8c5Y0fYpf3t5VG4u/nK2CB/pq60XHBN9rupxG78RG508KApnu+qgdSDGus2L+zBsNIPI4Gs 6VgSu3fUZtAJwu1z37A5Y1ED8ypI248pL3iwzPfra+YGFfpfQNI3jZNjX1HbPl5iVg6XcMnD7KTd +BWgb0z9+MCyLtsySnixeS2syUAEx2M7pIRBIi1bO/ySwnbLRbmUosvGqxzJqDmL+jeZSNibUnPq WDnjMy4zfO/mzLqI5b6fYFdP06DMvDHeT4llBtGU99t38MfwIb/Quz7pU3XO8iICxcIna2JJcICU kNPPY0Zn+WMzs+a9djTNqYM/p+TYvNyOHgOaU1qULmCPDwLJt0wvzQKaunXKdeZmDnCJ7WItNNE4 4pJfYaixhdK35uJcS58FWhCK4nVm1vwBW1ZPtYGokH8UOZ14rF5gub+g041rx4+5zyRgZlbq7UgE xc6at+HJr7A8WqQmqFfx0418Z2wxL2iDsqdaWUOv4VWwkccQ7CvTMo8gFPmwFhUk2LVg70xIexDJ OekzpClYfadjgWd/SQZUmkX5nZ4VwG+Puk3Mtd+1s1Ui0kVcMyFYGgoD2UnX9eMCGhJwzdBh4zuf tUdcbteobDMSJeFXQSa21aIyxQ6R/Yl71S4S+uZDC72hAhf8gnkMjebPXzQ/SjqqkFjkWxgkVpZ8 EHPLrV7kd4AY+zUEd7T3JXp3aR8ihGih+JS6j6nVV+2rfYN+Hl94jEkDSyztV11XXHdJUfdoolY8 YmjUfdIYQlAN2DqIvLcs5M9vL1tbTSkykpDTX+76BIPY2b83OSekZpJp2LmEBKW321qNXhX1b460 jTGT/8WScLVVNfXaI322KgnSXDvWkiqgKpbC193mrAP3LHYW7QE0BxDOC+nvPhmUxqdo+89wJmgx exTaESj2QdVSEjqYIw6S0gT9g2M7Pmm8m0Aq553Wt0shXHsndcDJbUenX1EJ75eplNg+9RMdhsiT CjdggAjXyCjvPJ2torHM4HcjhhlEUEgfK8mD+y1H21/R6Dx34E0VaEExy1UFtdENtdNhk38vd+rq sni13NAuxvrkhzwtJhIoOwKsnOk/jN2iLSgnEYwMvo2s9zeC0yGjqRtsNtIW3gAv+75hEN72woen UkpSyuYY82ImpreGOYcoK9bFvqKPsaIR5H7dE7IdQbpocuQwogw/Gz4GaRTvdGaF6VqEpDyL0q9B sCGr6TIHeXVxbLw2EmFHsHmagp6KK9F9ETW95kJrZU07jvKrkcp+RnU8h9btFGZF58yyyKb0U/V9 c0ugiNlK/bEmCirdwVEABgsMxAn2AM+0L+3fYgZwMUjXkp5rcgVaq1EelDJUx/Ftnr6ZBfiK3Zis jQCtiNpZExz5Bu/UpmECkk/QRU5+Qk98tpNxPlvZ798TrxBJKse5evnbuVvh+1yXeU2VxcUtRowe y5uQ+wVCRnLtxokBx1OMKNnUnm2dFgwc3qLFU4L13vPucAXFI/JC9LIlqDDJgicR9pE7Sx+xyJvw X/Itj7TAI/l4jWHQ76FMmI2Jglc3UW/6eTSgXnRkURH41AdlesRkprYGZ051RXlcei6M5CGevMiS ZWi6/pP4qYDVoo32mV+ACvFlpvQpqn5VOHALFUCFW0jSvtnUw/Nb4FQX/gJ/iixZBeVcCWkMaGl7 CQCzLx4GJ3ZRzJYOaRmZoqKmzt+0iGTxWFaEc+XONyu381hnYBsDYZNPkuMBlC84McdAz7OdXp8T I74//VlPbtn92PTPwD2biE5A2sqDMrQO4DR8eqzxVFiTRFVaK2UtIcS4Xjj8kPsU2GBNWJtsqUVW QfVyOwRQ8q2mPclU8PNsjEnsRh3FZlY4rIUexvQ/U2ApKUN2pwOm3ujYZCuweg17N/7lS7x8Cbps a6wZ03lkfV9vGyZrL/zKSf6wfAsDvFwOp3iqYLExiFzwLyxIq3qrhGFvS6jdrmiPscxj6vr4/mjH WVRwDvCd3NwRPE27y2Kc0XwYm5ZaFv0H/hcsSLBPR2jHK44+wdcBX/aC8uLNAWo0ER+uCCufz8YU CuTNAxuhS9PoJDwgRI4FQIZvQwKPpd0TX0jhXObAIM1I3KJdcvfuJNbl4Mva6kjc1b60PyGElfLK 00kJV7TeDFLlgtpMYhF0goLPj4WJqQ+exJerHy3rYUU5u9+4IJoxqnH+uaXG1aptOQmOBnhDWThF GNbI9HCI4j02v54MseSx2BiPYnRJusVbkgHhVFLExxyfxkoixwxAbykDUm/sGgsaVzSvSIr3fpeb LRyQBb17T7MbLNE5M6VJX9cg0jTa9gbcM3D/zyr5ylarL1z4TwdusqENGhIgHPMz0ltEnVYf5Kie 3uQjlX/yaRZgYXGbep0wVnFG21ren11khc+gVNeuNhwL1/J0FpZqGb2cE3lkk1C/hOnrzdGzQIUp xUTvcNWPWkULyaadMwRX7gEh97uEg1XKdJRUc1YJtueDouWDMNpaFLxcnP688drrPbSNhmlzzLfK 06J42jNuXFmpCrg7QMnoq6lB4rNJIanzKCae/zBAARt9w7B3dQHSa5Q1Xofj7JAx39VOE9ZxGxd0 tVdbAQa3Ic5u26JqW6b8AHjoNcKuPdKi91wEuTzJysgen/6u/KO4MnlHdM/XaDPiNDmBZ62aWtyy Ci4NJ6HT56lEOhPui1yuQgd9c8N2ccKaMMYzSYEBmSEEItXbUhxCYY4x2azrQ2o1ECFsYqzLndqM R13huYfHUV85Ov+VlWPWODUYVmcgPi/TJxly0UZkbI2dCPwQHxOgkSPZAEvZaepDT4Rm7Wsh9TJc nO8Hnh0YSnZX7fu8NZ5wRl1Jj2A7hwsZIKIRwT7UrKTmj7eaZ42AvdUnfKns5SOa2Vef05sPhSnd s3Ws0v91QkVrWv4fH2tJSamihm4cyqanKnNQSign/9D96yFeWzhXkW7YwlhU5KBgOyzu7zkiEsbc 9M7elOtyiUHDDSmpueiITEr9Ok79qBAMaV9IfXFrf6u7yM8l8h4IYnrplEH21+Kl2A/qMnsiT4Qk VBDp9mcGKyYZeozTqNz6d1FQI4sFPrf5dhrhMl7j0RcyXcELA9k0mPkkpNic19ReY6lyPfs+rQaD YfVfND5xVmbcRomZIoydIqlzsIS7KjzC5azBLYwbg/JNyt1WkjdNqfj35AjVjUFVj1NBfnH4ZTpQ VWrbcqfgGvPbA2/UsSJyVWXcqBfL7rWzZQV6mlHmH2MhsotlgbRnBtZ+5VWdXj6V5Gjdm7ESXJ39 57se9OeTFtmq8ZMnfVxGV9PYlH8ksfU/FxXQmk96lRLp8TjKl/4mlYskLKFVr2uod3NRamqMlspP Qu5lTS/HbnaOY2rwYyQKAstOzsIDr/X6W766wQfS0bwl5gKOzUJYrwLYV4goqA04MY2BsBY/4mtA +peDfIvoLxZTnfQI03Qscw7FCduFHq/P524XnRScmalvKo5bQvCEpQmFoCVIZOKMGeuTX+qM35mh DqDnFPLHH18FrN2VIzEF1wNudzz9gVldkEOvXSBbv0qv3b+fb2lr31gAapyM1e/x2NIAVDtuPr5i DKAHX3iDj16He0b3ntYs3m1/i9mDrnlk2g1gg/6OWQDewZqeIKflYPi0KgkJsnMiec3tiliSDnO1 j20FkjcESfsIRm+hnpO3XdHeU93GucWS8GsKBdNYMZUWt8bK344yRpAcYtyuDzJdU9XP5AIR4Vcl yjHuKiNSAAvEWSDRiiUcY+/t9hnGDG2RWchl4xVdixJPHl20wpndvbz6vg1j/5MzeWue54VrQ93L WYZ9RJAt6EWMpvhpxw1qAZIosn0SiXGBkNfWEy43XlzxuK4XFCKs9HsQSJm9eBlQ2FCVJ4pnjP+8 lXrcMRZ2KGzh/bmZdiXg9xH6Nu0VIGuBBTmAm04wznDmmAWuUZIOrRlWbGdcPmzn8lYnUVAijYL4 MUAOTeRz9fGZAFAA0Bbz4GkgnFfVe/MiB2vhYFkg4vu/QsZfCBKpVpFYf/wRP1K6m7C8aJMfZu60 p12oU6h6t405NffcUozHTtRGPtUw/TRgu92B3yMF4AletASINbF6W5UDKO0GXtbIa1ZSmAm6d12E S6lEO3FjV5osFA37LpVV/u0ds3euLr6inVYfih5L6zLSFp1GqoYZsvCxX6R0WG52RdEVLeuL4M+0 3akeC6PQQmWc8yDux2knI3uup3UTEqgWuz2aDcoeg5Q+tcW2YT5e57SKbOFNYP2HGF3XBqx9ZrQn mmDZZ58Sa53BLdKvPfbBkrBKgGK0YjZBI8aVTtE+2vTNRzNg+HCYN9S6+4ztcb/h20ojRdJkHXya WeBDr3xKxWp0cIsj95LviDLpLeVxgkusEdKTkuwJtx8sNZr3KgqFZ2wPBNdEqBniIx33Kuskavx+ Zp2KEOTJhldfANlpMLKVmtxJPjAyDa0wSLSt06qJS2/h5ZtY7vuGDpxV/j7xQ+gWJjk65UZRlQ9M w7maw7o4+YBFyiFOebvOn8dFgq30xFbq8kvbxQBAkZnHqJHCJFZL5k3ITa9PQt4u9D8U8hCUDzJj YyggJ62AU4tnTK2NIdoIMF6V738a8G8MSc4hLlaaSi8lHXgNCrlynhZFVaLL/j8a12fvLTxFY8p/ NxUOFicjaT3VycxdLOwz5tMlJG/fOBqvcQ/zjOqJthcOTeRrU4/CD0OQfI6hG/Zh8M0ZknJwSTza yqHPKhfDk0zcOSdAC+TXivWIu2O8nhbefZySwVEpUagvHv2hK/cPQ0ti5glUrlD3mNQ4a8kobkUt fCAm5mTif/9eYTPk3Kx/scx6Fviz2FF3DPhhcdl5vptqVdnyL/1dgI3LNQmE25a51c+mNg4VZxKj x66zIOWlIwh9FTRxG/NAbESbBq4vIahdkNcGZlxPIOY+1c2CIND639azu97JJutyPyLnbSggB8Sa Ix4xKzItcVn3GS/e7fiLutmA9ExggqZbFUIMyASy2cfJ/Fi2vY1FNaMCvF8s5afBoTzd0/Tdymzo JtJDyElFOkjaRo+npGoRzy2XPOm6a08V/9o638v9shVpM38oedq1+Ff15mfZ2ekzRiJFXJlfk8XO MPv3W9l6BzvX4ixuwzRNnUtCHrJV4X5pz4V2+Jb8lCNH/2+Q6FItUHDx+/zLFE+tFRTsP/7+wqUM DZCA2lVrXmstA01Y9NeUme3TmgoVGassuliVi7Mkl5AJjoeAyLWACwuWDHjkehUex2ChcaWKM5gS rtW0wF0lHT1h//1hqz3+zBOqcFErzSoA15VMQcaRCptjKAyeGleP3YgbJLmfbHQ79IpQKOER0TQB Pu68znJF8zSXUKy7U2yLtVVZOju2dMsI8zvnNwoztE12e56E8S+ksKW2f+7/FKEnbZ7DRklibrYP yPjuXruEoZ8mu+O7P92M+Mj7qh5ZJ3YjtcnwpqvDZ+lfVVfyJUJq0tDXZ/y/RDHFbbXatKMSMy84 22d+684MYMrSFTJl9qXnqw57c1nHJYqF6SYOrSy9wrrIaeCSfoL+EVh5EPg+/FLqdME6xl/uJSZA rp1vQikH3pZcnhRQL4JqjlmMIF1lzD/hfUS88L3vMY68wLJZW/2QVnKRmqc1SiCT+mfsaZ+STBFa ZPVFGHf/HL40O+hGorLFHlxNd5s76eUUxoOpJyS4yfAc98kpdfFbOOadt6Z2UWFvAFaOU5qoBOnl rx+BnUUZefyZuzHZpUgqtHsxu78x1PLMQKKp9cbX8qcz/CPir1YFlbfj/1xh0M3Ykn1Kd6+xHViX DwyJGiycMDTUS/ApTtcFtShf8INYLFotvV9omjpKhWbSpmX3MgkjiR406IsZORVEEj5ed0siY4UL /2Pg+QrS55nVsz99iM9TyKX8K8EKlRCW7W3Bj5LQv8xd2kATkhLL50fMxFYxuDnbXQvunRiqelAY 4g43tTRxcHih90OwAXugl2PC/ANXPWP66iM/DBaX2MGBkt/v1g5bCSfC0yRpnNdSMLHWQsyPq7Lf HucU3v5RnY0hinmEev9zxfDkJ1rB6y3uSTVAFYHmCb7QtRjE4AUZ9xDWL+du/9ovXafgQXE1dLgc G3BXdr4PeRt75HH6xRLupcXX4+31uCF+Gjn8qr87G3x5Zp23qtaGEK3+id5RvQ1vQGZNUleeV7bU Jpq0Ty1CcNjMvRiM9jDJ7xaQwXlg0cmlqZl//S4n4HAhNicF9herC6ZlwGuLCtnLe7p9BznqYPvJ ZLHwyY/vRUvVGN7GzHrkt7StS2u+ypP7bOT1jy6sqvcUMjQiWb4LAVMnmDQ/TrDinPfRBoEMrw/v cmkOUf7PyGbNNA7rEhMEMuog8YGmc7NvuWbEFTbBkHEAP7KZiW1s76th69pkZe9MUoHI6rq4sC1L bdpkFaFiACAMuPby0xEkhhzijsJ3JctlsOeAr19rg6p6uviJPr7PhCicd3f2sbSbthRQ25FkIU4r W+4PbiNDm1Ke+QYL0iGrXb5b8vmn3sGC5FlWTFo4qkAE//adxfWdDx1tIXwE5el+rWqSQU/uLaaU 0CENgt8mFSSbpla98P87Nha+8jQX4p2SdvqZNs3rB9GOoEoBAdt5W+Iy+uCHKtctHuExbGGVWr0A sjRvJJ8I1mcpDgontF1cWcRPXmIZ6xBMm9VeezRHCA1aeG/UFSi0qtzGt4O4QnB4lqVfzF2Y4GWW HZ0fVkHxYU2YhRS+w5yKwbxsnotoo9woeBXHHjJr4B1PeCCPez4KiaLqekhbfFSB/HCWmqGVw//L sOnT6pokKJHiVom0WiWc2opucWd9JUA9qzDqhUUiyFCwLRgcMeVBwjzG/1xBuO7rD+yAU5B1u0v3 K/5cVDaJliq0qrx9wkfHeUgesaS24TFqyBi44HSthJrYHTxsOLNgVLnQqw6l2IlmcURD0qYHQyQw eB8vXydWCRHoJcrYCZWLrJ35snxsXb8cr4Vp7Qfqnud7mlsf6beEpZ6MRz3vlLtLhEUKcCcFh5dr R/0LxcNWPjKShOlq4HoEz5bPWu9enjE9XQPKy0T+BKXmuhxwCyYSXbDuCxNezlPv1O+3kpMHhkYB tRzdgGefbmLnmi3/AOqbPYbOQl4CPwS3ZAFydxdPKDa3c2xjNRyz+S+ltdVkN/zvOWF823scbBQp 4C5b4mBKP0jpyk9fCeekkqbsQTfGsGid6otDHJfGyubtELiOp30i19G9RVF78UfI7+uJh9Xx+XOU PD5c6wl+jYoLQ/zFGKp5IPU1kOy9ebmBKOtHrQDNAQbiNNOo+GUpY6FFImO4LrZ3vSdUJ7oJT4JT qku33bJMRdGv0a63byr2WyBHjGgXYk0BfXS1DtGKf6ZtSIx1Yccit+SM+xMSQ4n2gofwX6qex7eb LScUlFcINDrVoLwp1htGN4rdbR15i3HHapaVe8zFL+qTWiE+bHILsgdKxz8vNxKHnJGD/CpLhdIO I7o1apSjyZoG2zCRm1IZ1xXq4reQtZXBcVI9FmxRmalS36bChfNvH9jDMUsQYkhU+bXgOXzvBinG x0pEw5wwT86XESkh6b/iwScWpUIrVpMnSdIi4d4OOC6eyV92IUrCnuFAsmuSlj0koRdWLqTAc7RG RTo0U/ZCsLErYurYSK3B0u8IKwYoeYYzIh7d53t17cpKle5ArFHRmTOH2kMCGfEkXgHxmyxBzvSW d4JrLh3YNEs+/3dJ9+VSDSJhBOapD83AxYwzs1ud7r8E1KrXZ9hPYn3pMFAjJ8/XA2wZ6peJnUuo teaXKLUiigC8XKNhsdFiZyfZ91jT0i5jotna6sfdSrgqY7xP6rBQ03fOKVfEfk20b4kw7m7hPZvS libt/fHjtKluF0Sv5PJJ6EZA+J1JvRiBK7nuBXwzl0hSIl06ADJOWjBFOhCrVVbxZmVWSpNGYLVH 8keqiosvQbg7shYK9HoUCW+6lqFUwF1KCdqnTYIlW11ACooJCwu9Apq6GimtM4cXUgbth/WMR8qk rFxVqu/Q+Y1PCk+WXFNB8lZfn+sIyWqhJE+sP23B8tTklA2isWWbHxhJYVRZgTYEhBE5pVAIMlAq bDAaHxP0TEb35kT47s1oYfZTMzk9Txq39DkvCwB3j4gj87mUGJQMN+OpbdsyB1cuHWMRYawW98tb 78Sm/n5OhlyBjUx01nWRE1nXZttp7Jl/tAcCB+JhG/O9E3BiXa3P9+QN1iATgBC+B4sVG/M+4TBi mki5LiwqLp4ci2dR0i3XivTpYOj7DCla0OqxItZqWdJ0e/hPPcfm8aO3jgN7iBThuubTGnJpi9J1 CCIyXaNd7ayBITGV+85E8dF/xhUzwX75KNLpi9z2thYzTb/T68B8L9H1tDYU1AXbWs2RzDM8l9Jx VAMetoZYb4SOGhuGS/+5b7aOVzlae6gxnNIv5tet6U6hUGJ+ieyO4rDcTMvnfdJ+ZfUR3uIbbO0Q CNIMWbA6WXfdc5w3yMt70RNqT+Ga8nrLNhaRW/KgdEDMw25esEl0Bna/NSa4oIywNVyC7PTt3mOC KXkGxNDvTy7ILbTULzO6Ckd65j+onirO+/YJ7//3zZOK7So/Lx2dc59sPwvtslKXVQ5H5XZqBvSM QuijqXqv1gZ4uODsqc0ZZTeNuv/n5Mu0mMfrOrH0zVWGR1NhptPHX0Zr3EUJTt2n6UTzugoFTBNj UEHDWsjLw3gdPjTEMNNQgBpLmoKJeQChkSI7bcXqTSrJQDF88z4mkmiEv/djRIRXdlAz4fINzjU5 UvLBTmFHXbyFMQx24OSTiUPx1T8I0q7HL50jKtOLc4jUDbg+GQMr/hJCp15HN9NluVN7y6CnLEEB hGlydDSVY1vhHBQfLndRx+27nxfiozs2rGdXu87YDyBEMWY+GpyU0VG+9+g9/o3VYmuIZdXTPvPv K6BxPfMoM4irBMNLWcLdtZNB9+a7s9RdcTXvhkbEFcCL58qnBLlUyi3/VMROLRQ4PLgEE0iRMC/v WYNBgrgfHJM3S38IMmpXEallPhGLiPev+1rbx6dc9tNFTvt3mLLGZiH5J6TrbVu+gC0BVs+sl1Iq wo6+2ZDSCoJeR6SpbJsWDhqCb7aaLllA/UEXgJNjTHdpMdYVS/lSB5W6MQX2GNDpY94adS6ZRDrV 5BB0v2hq3tuzPVO0U+hx9qp9tq8kUw7g4ZK9mMM6FHMrdGnBBbyOG2m5tl67dwQB3QWE2AvRZEnp XlrzYDnhrKfV5gCHU8sVvA2cSBctaLwfQq5mTpT3+0s7r1HXJqANQS1TAiDlf4psbfABpmxfMUHe gqHnsbNshyEOY6J6lZGVQr5d0nO8FA8Xu9VwuUHduEcDCPNkv3hDCP2lLGZBpj1dJ9TibSt4mF2l B1VlxoqvlJ1TRcv5ZHjDf5Wvrc5awx91tylOcvVit5xELf+lO+ncBmYGg16+z7HOIw1918arONhC 4iIR/1GiKF4YnADDvecSv9hWBGY2uBqtSC+I0U0zA/dMpZj4qlzcsqrN7Y9UK6PYen9LHQsChomL vbKhkSgyQmH+VpPtPaH7HEvHYEpRkR+UsA50O9VYJ0gF0wCyVRES1G7onVhsolPVa9guB8c7hTQz /BOL36VTbn7Y/yeCb1Sk1UfmKj6m4DFq/kgokWFsDSHSOE9G7kGNVOyP9c5YMK/6ht0R6s6X9bHh 8Mk0HWvm+sS7qOquUQ3rdmlCURAZH2udO2u/bre1GpQ0pUqMpxpEWdOo+eNnABOeodVttiGmd4nx mAUCX9nknLMUswvGNmvXNhTy6VpqpGi5zJLxfSk53hHqoSgtuFz0rBd+5D+g4RMeReWp17UgFKV5 q+YydYvgl4evVNgnefJaQFk5Ca6ZtIIlH6W9b+q66zLgVTZIxomWl+hvrHrlmVcUgILGVx2MLxTw FwCsheI6OxNjCXGjfv0qExirR8nvysZfPxr8jFtA1GEvK+WPwB8nWNYK/OJAjJDt2bSGsUgpwsfa ta17L5hbHNJjOj3cl3lt3TRv8XtvXmIC+zGXbDmqvKFQ1Gn8Eo38FuX2pbEoJ1lBzzodX5uk90Mg f4Clk0Ye5UXRqJ0quqeuTx4t6usHck96PQoCYB3WeHo3hiiQON0gNMC/vYWv85OHPCihsqcpbHwN yy6A1WwAFWjGvKt50iwmvc40ZdrNJoxXwymdraF6TAWJ02zGvC8qjHvQFvpyHRCjctf31efoD81n J2kGpGUBCrm0WiM+kkqgopAow9CVaxNA3FA1wo9TA4Tl3C4X0J3IPn1I/VYpVWWuQ4gV5Y+ZM9e4 vyhESZLDRq8lC8pSTMrup3vtI0O3VLWWhocW9bCrcqOXi8X7iYEd7G2QGTmmur83O141B6UMFq79 A2daY08sWf2SOZBzNThw9Vc3anYHTQdDDvOKiGX9Q/aPeGmJK1QAzIvwW5A5Gtsusf77l6D1rPVd 4pWatcpi9vY8CBvZChH04vUpv+yF1Gfp/3nOjUWJ8nW7fU/g0AcXvIagbxP5VDOq6KHouv/oEG63 9yW+jbIdIo/ioJ2u0H5lh7P46KyQWSNDCyh6gVQM8j3uM74cowa1RD455eFOUqVWBgjsaoYGsAap qCjMceFsns8mQoure6WiXEBs2Efndu4fCVXoDcVXf/UJTNyoiA4RQtrD4WEal+7Fd7PHCxUPWOUM sLDGvAtWObE5kCgwJWLi3zSXazaN0vUApKLuQ09iVNj/mU9i7oWfPItHCxRu5FvvJI4immTklvHR FTtIwgJ2xy8BGVYRrGWar1r02jBOOhZO71XsFku2hgN7zG5WeqV2uP55WNNZGeBok5tcJMYW3VHE Ky0GMVzKN3cDJKuQDsGKN36vQqsHT6y7RoMQXM4ORfRj3Hph641+Rc9NZV4CebppEcCYSY+Lv8nU K8wJBjrYw51ll9DPSmxyeTsQ2Yg4il7bN24rWcIWIa25w1O+2dglheQfZPz2TIB0jsmoSvx9mnZe BaIRbE43SPQnPbKUTPwfjQAHmN8xiyIfk8Ym7LQfNRJoIr4egWvs5vUXdndw1T0FiP2T83L/a/V6 ldRXtqj9KLyF243ITZ35z5uwIVzEhQ58ijA2eNAG53R9mO2N5SyUPGsBjwiUx2zS1BmAYqh7rQtR zNGDnc7r2SQGbSNjkZOYwX8QOGcP2CzLZ+qivyUY9aDKv5cyZOPuzzQyeUPjfL3ViSM7fUPbxJWi S+468IMGImFSzfq7y0KT0IfZh3Xq6LCcswYryHOENSx5JewBBCFyEqSXjZ4ecEQDcz6ck4Bov6uS apjSSoXVZRX5C+fdYrC7M0/BAjMHIx1mu5SI6/UelIZwNfzZYnFak/h0G3egT7WzMzJo4T8+m7Qh PC2gMH2hgRkH6q8P/NCrsbNeCVOemBb6e+PahWljMurcVX5BAyQokgvW9q3NKEN5PCCoOGye7/tV ytEDPKwviVCWS7kc1b5Mcprd6fVa6SnD/sLnKYx/wuxGE8ou46p30kGHuZzXdLNhW8VyJzuwrNUy DrEKH9TqqdwAvLetcwLMrnP18Ez3N0gkuWYQdXmZA2KcW0CjWAQQfLUF4g9Dny6m/gVR0nnaUegL fLRc9pMrO1wlyVOZQl/h30ISvARbgqUpmdpFhhJ2C6jutpT7ARzroz/jIsEnhLMiv/Vq8YjEeYiK i79/wPeOXsf/B9ToCiQd3TnAe+IuCXUdz2szqiSTwSymNtc+b2sL65lUVUuZq0wpFRdDyODKpy1D uNhTTkVj1Gl9OpMBp9Wu/sNAQ5ViuqUOET1TCISzXy+lYKAOgLUsLL5klVwgkDI97gcA2ZZrkOUT bZOOGVtIsecHFfS9lQ40WHTHqLOU/8Gq8tmKmgNOyXOZIse176cJ54r6JYxyK3TrzvZtlfoeidjE TLB9MqUZyVc6KwrueV3oqjTiqXU6RH7nbPCJdfdQLY7MnfUAa3I7uJ3vHIE92d/u0isxjDDvVtTQ gFsxtj1P3iA99Vnh3QkugbGDDA3ZZs2TXhUi1u7kT3ugCuOST5Sz6tSz93hW59Y5KeOvLupVkdcF LnLWBMG6zCAyhxAsgYwuc0tQIwu5AxUgAmuKaioN+DY2/GBgelCScSbS8zxM/WvTnls68zCl8+t+ fdeG2oKzxz9l29kGnZZE27uD53lQlpBxf0IJ8iRhVqY9OiuNGwmNTyU3HBFnVlGnvDHTMYZ43Qn8 0jegY5j2V13dWT8rXdCJgeSh6q5CsgB9expO7mGHDBrSbc5k0+2zzFQ9GH+9ngp1B/Xiwjh71JZm X64xsCauvZJSDvjvgdHtA/C9zVyzvCy+D7ptfzISrP94zEWNvvNVN9O3Hc4z8JI3Jto/81Yt0CXT 8oqs0yIiN5Gzz1cf9KtX0amioRP2t1rvrrfgT4SzYD/tG/+URcw9arTJ2c3iXzabZkF71/sABnX/ UninCuImmBeetYVyVNMPyPyX2yiMtNUzSWBtKadZwR4UDyyfimbCqm8qHWZYLTikubxP7hOBzj3v P8LJD4qT6PtQodTyLSunzgq3rr3X0s8xM+4YvTCjlB8H071hNvWBpg69fBoNRivjWuhYg5NF2WRf Ix/n3jriQttSH2FDTr7Mxx+dmEu7GhFVpmAly+627gcajJkDhcTUBIQIU9JAGB2zI0z9qtSDsllp 7LmVHdoub+TSdxCWOVLzY6H3VsqUGfRMz8qoGS/5wqXsd0wHISAe2LcAUSrB3/NXh+FffoGwzDe7 lzc30CpzXCpyoANeMLdBl3j7m3yWGP+F5kxpkRnfP07mG8dh+mtmDyUDFhTBIBdsqBR3qjX0YfK6 8TiODmFjcPIc1fli/AdpkLWLC4kvuvNcgxlgTrCpV6tIscdJV5UBSP6PdL7a3hUtO1g5Lg4xCX81 9sj4dig3B/1+9ZltrIGiFjU7eJUvTdwWS7Sp9+NXoT1HATp0DD8Q3I7aMSCJQWdtDK8ZWiel3Xel FYPS3BB1fbqbV6fbE38YQoSHpai07mq82q99KN5TkPxiuuebR//nwuPX5tby74y978sUar/7WLCb iwK3qGu+4nFT/Y0DEw2/2VUUratQrX5kVdr84zG3JYyYDJ1P4rFQde1kCnGbq3R3ZJMKkbmkWiZa rohwyGuhmVvQX2hf8gVABHQyjODzJ6mYy0crXJKX7obV0KK+B41U6o/HGYQkEbqxL9yPT5dZVv7r IOIfr+keEddShFP2uw3op/OZtfpyGlkPTOk25abYMSl8QPofAxV5c2YS3MHm+pP+ofz7hI+VEgc1 C6H8ek2oK/3MLOqPljhH2xSSpk2Qoo6FzUAqygqgB3UL5k3XJe3orEL/R3wELqBtsrYM2utiSba6 tmSirTcj2FJ3HvCbnAmrsRcPXECVv7LxHTGcguLaO+bHzA63aIZ3YeSEn5aBGA8JyFNVOUp+sqDH JBliIByYL5TONbXpo1eANkAnro8WGM8Q6ceyeoVXJmj7rQ9U9e9oGvwEqdpNG/cniEYSu8o4NqjP 8ans0SgMpWMC1VePg3mFqLU3F1tclkPCTugwtH9r/S5+D5oRz3BovuWXXISpxAscuS4rfzc4Dssl yTK0LNldX2lHtzV0iQO11mGpoz3W3KhKQ7q1xk5Xc9ZfMG8yJsfiKQe66Oi3X1wIBuNv5W1VqMhN mDKtuW1HvWz2fli+TXDiPV4PTiSgkQnX0c2YMWCUccpAGbPX+4Jzb/hNohRjZE+Rg6oW+PMgwn2A +PATx5tFrPwy6DsjV5q7RnCNRZSMgXd85rtl+9XxAtajD1LDfcqeQ1yAoY718bYPxKk2lEoH6KzR mLHq43OFWDc5xg8WP2iNjdJq7mNhQdykFiFQaTR7Jr1LzVszCF5Xh5bFLT6Potpe8j74eK9UFlrJ 7Ii3bayv6UzwK7X0Jh0i2htSUcCHERLIEIj+riee75X75MTHc2hQK2NwYKq4nOyIfd67z8lbUsqm FIR3FkIjzfKV/FxoD1Ov0OirNrB2nmDlaRgbHzUUVkIKlC48cp6qjfujlTlXyEjrdTLbVksOW9WM YLc9rROAWdee0gmzuOM5BWh2Aq/jbx/tekUGfFzA0RAbML49wpSn2KDMb5zhl1MjH3X7ialNVii6 KSUWaJ5oHPh5jatjhfl1GgFAjin/HARzoG1pmT04YhgY3dbvwHH5ed0/7K0nguS/pgtkhLHBM78t /tkSRigmdNsZlsPYbKw5vpfYuZ/xdWH5mxE0B75+6fJGzSFfnEs4M4FoYzwQPl/R/zw+gjrADrbZ sFFKUmN7Hj+dVjsAIxFLyowlQccePXR0HMmg7WwBRSluuajQaYJDW1ccpZn29A0aa++J9eCNeSod mtcn1IxXLwsTbuLDXve9vuX+35wYNwgGunthiWnUX7EMIPbHJF/0ytP4H36+d+cFcQVAWWSIXEls ETB1BwNIIa+mVecRcSYyYeza6URlQdyPICYxd8CRTsK/x7gh2FieQorGNXJ0O1I5Vqu8mIz/ltyq x3Ec1mgFIaS4HZGisbLK1FVCi/jcoDt90ysrMaX4ENADLuLGohHUCARpH8DNj/y0PNro7VsekHkS P4y2mpksof858R+fbEa+Rf0LERSLYHbzH2mi314ImsOSpYya0TM1wsxHOxkFytSeWdqLtf3zClTU eDbT3FAyHhIbhKSkdaSp2IxduH26SuCcmS7hlUMFYKKqv+Ls7BXAyY5Qw87O+BEXe9DFeEZMpEVR 5mqjCenRiY6L2nU5FlgsV9twTd5TF786NBI6+rH9d376TzJyfJTiCjGUFn2SfhwAnUfEX31Sj+pB dUBVmmhAXLY3SmLjxMcmIzrY5lxxvdHxfFYGRbStfSw6rfqvuptc3n8ADDusd25fp5yzv3WrIxMu zXDMAp8VqV8F3VWLWFRHheEurg9RyqxjqxoJr1sozKUUxlETyfPCospRQ5I43djaA0DwyBLI29Xw GbYBIXg3zPieus3Q8G7LS9rSPsqvJDqEg0oUL0lctXqCOO2Gr8v4YjOgMwalLFcF3UoLcToq6NLK nrycIOQ4M2culb1Ueszvy9e/9A8Za1bMvkhZDgTj6jqRsXG5858O8fuYLOmmDI24gIEUaZ8DgRA9 cPACbhVHIiI4Ok51Tr+rZAp5Goo+FL6da+RkSf2ii5AC8+zt2X6MhJNdfXuXeteugyg+3hNl7WdQ pDl8XzEP3dkD/g8GgI36KC99bp/NrYo+BRyVbm+X7RwkIdcOBQ/ohriVzBu/2YyYbDsopu3eEliM z3MKLJEQ8HwEKU0FpSvL5R7lK4/uMtxeyMEmjRMYjj/PFyixfOaQGQST4CQlfFgxz66YA5VQLMlK l0n+Ei2z61I8Ymw7BjxGNKH1l3V3qT7jZHjGNScveIip4oggi4lRNPSdpPKzEZFO74MnQWMVhpqq MBvhDcyhM2Hi6hNQRmiykst6Oeeoa21M5A2ra6VXgDiDPDbE+gtujT7IteQrxk/BUVuyf/cOebeP cnSuajpk+CwOHB73c57gpO/0k12VD8Q/DAZUYFZg+pvS1T5ruO8p+fypbNFQtuK5AlUUNGKLrQtH oyXpjjlCTPPkD7Zl5DMjvAvby+HzG5UnXIMnIXIlhB+RfqwAGMDdzEboKNv2fyFfjPneONlyU9YC hnbpiM/JeewPQkkCt8/PVCkkb6io/ITfG4QKAUQSZFxsmF2te64C5s1lj+k10M4xz8urcvDtZvcq laJmeC8oi/stfUYw54oAyNb2Q7LsNwOtbwYVQkk7Oks3Iowi2u5keZLOOeG4rkfyf3RNHntT2cyr iYVby3eVQpf3hPPK9Fwf5A7lgsqcANDj+1uHFf4Rb02cSpCzj1l8OeROMA0M7G2Jm7Y62HUwPbfi A3ITseZQEnJlWDTbNjdVH6C771pwkVLU5m73eKvGQD90hi+ROmUI8bVS5aRBWbGbCgXlle3sFOe/ 0SHByRm5HmksgXDQr8CNQL3rUqS3a7WCQDMspIXDIfRjqudVdJcRYBrMl4GxUUbUMSOjcnNAfQGP p3YDQR+00wg75HWgygKfijUeh1QFGDKRNyAaLNC+eH9xc/RBC8TUmteoClcqmvquH/b5XgFMaqXp MVy+AxdmMsbR+gVi5VBbGOGFH9rmHf7gAf3S0stm3ivlTxiKy+S0J9iTD1MSkaspwE8rgZMZliIJ pM5DpfG3myIlmxYeA6P29qrqvmZvBuZJWqRMrCPQY2Slr3m0pNS6KNiemER9HwxE/u89Mc5BSTmW 2lmlb72mQ5ETi+mfT6Q8xnxDisoNJqOuGBubis8vTSz2KR/uylQZkAx9VIccLjUZFxdatzo/Q4X8 tqWkAAD/7tBjpoHe+R3OTCFPT3tB8s9zEUCF+JFIKqkHhtq+nmDHU9b90a4middaDEoaU+NQwXfQ dKutMhyLK0sEFKt9BYnxXNokIpoKUHLUPCkXeUPNY0sh9I5kmpNPH1JjEMyO6QgNITFPsuqzg3eL A1M3P4MCzaZcSRTgcEulgPp5xHvVPF4WgvTSNM5XPqENCbj4uJ64quiPLVPlf7vfpeEqEBOSPPPt JmOhMetGHGc+qBn/TLBckG2ycPYPRNpg63ZFfDiUp+vHkiIsRaKkSV5xb8ecd2ZiLS2D2LUFMvv/ G7hrg6odd7zvQLm85oiIt8AIrEVe4e9r+GBUIw9vb0tnc4+LcmKd1rOZeEnmH0x7bMLPCuwzZsHj ACs9dIFkpl2D3QsbYKU27PV5Tcrb5cBVJPYi24S0yDZM6OGsnYScqgmju2tPTBqXylAsLzJLZ4Mo b9adY/yC42XZdrwwAS7t+glINwHSOVbR6+V4e1Hb+u+BbSDWQSEgeLss4BGp5gLqA/cO6Ftl+y7o /G2AK/kduH2ymCoSddfSrLLs5hSJP7XxX1CAaldP16pU3IOxks+rWWawgvshBcGSHNp+k4IPF9fO V10QSUhcoJYHiuuZE42QFoCeSzEwC+mPFhESk4tmUC0KSYbLCNn7KDHvkEq09PAgTFMJSKfhrvn1 KipG9XlBzSnoT+8qU7NDvN+tYXFYqNdmm+l8aZAFY4XPJyTNSKNRLmXxUIyxf6dayeqrtztNm58D yv1fMcoObzYFhgcBXefC4Qn83BIritRk+hilm4Hn9SZG4IdTF7I16B/G2pVtP4tcyG/fQG5ThAkM dSo1xp3ofreVeljjKjQ7JSQtLxl2NE0kqjo8dZmNb+HPqX1dr5gmxRiaPw/1Zqi8FHjf+48xAjmu BGsVGR2axUbkLiW+tXQxbLwWt3yxtEIcYrTAGP0X2etb67QESmBZn4FeULFHfggp2o0pOfI2dNfa 0x4klj5nJCIvsXOaxPmdCF7EHcM8V5BRXlBfwczD+mdhYyRMAzsI0jzuKpOudY5sD2JDiAcxk7gJ VUidUMT9TWpSTwQvnbX+z4Jyu/9Mmu8qvAOtz1Bg/Z6LmCmJ8jvMgZBva8+07t58nDRQHRyiEbMZ OkgzsRQitYfB1+SRUonhzgV9f6wUYLIqkci5PtsUkfgjn1+Jnt2R12kiLGh+WbOjBWOHENwiTMHj Gm6yNNXrSaRFzPe1t32bSDuazVD7l0kAZ+8C2y0y/GQOHnUsZR6gPGkAdKRF4807TyyKDyoihL5J SG9wpgijVtv9Mx3BO9+5Hh6nUwnFrVNZ0kMOmmQEfIWRmKhJMikrXm0JzWFSIx5SmFqFFmURXqsX OM1HkgJOvz+B+vvOEeH166osBvdaVKiDbFaxQyCLMDJHJ5X85Lhnps21s9HlziKBnpgxlvxVEfQH xkIq5fVA1thbxf4vkmIyCjYVgzeYWwKpu2ulmInSNrIjWjTh0PKwJbtwq/Bfi7958GIqNxNwhIzo i64wy2uIiQKGrneujoWB3/UyEb2iD5lYlxgwQiPXhsBhYJp3G3KiXKTJy8XqnAMeaonVodo7H8WZ EUbUrJjXMfACm2zsDcGHF/gYFcZQrE2UwOWd7gPdD3njGgZ932u3QWvP47yKXlLoNBFIK+PdPeU+ kSFZp9uhQBsiG0XY8A8vos1eXIALAJ43lLmOAg5pzdqYdDg7HxpXmoOtHDQ9RgfT+EfBfIaKxRBd /p6KWtgYmRTwqngrALm9b5hBiujFSXqDHs2vG6puwoLq6ZEmqXrIEu97uWYtsA/9tvnZlsh3in/V xXmJ8UpL1AwfV1zA9sf/4T/0mR12G8umxpvSamOY5VvBSXRQ05gh3xDs6mybrbzAMKhoobC5JSLr vjdOLaXvIGQW4iOWlTV+AFyRErpFHGppcWqU7C21FLqFEnnMeNd9/azwsqk9x5hvS3vlN9vMw1hp tZ2yOeymckn7jO7x95ohNdinBKJ/Ji/gnd6AgeEyimjJjqFidmWbIFKrtXVjBJoQJ8TE763tVMoV /zuUM9ofure3i+o4r38PYBBV9IVxTKe+1Em8Fo8EP7HGEYpm9L1UOWN8z7n6KDc1I3A3u9NGDb8/ sdbz3xxbUNhWxOBknDIdC+RoAZRExniGXwB6yHExq3iHGMSj9PqWgS8y7C1IYs7AAfsFvIMT16y/ BwFYWi3MD/odV/Heg4SGJX9cc+Ge5VxqCLR+1uiF68E/OL65gASQNQn9BKj4GenPiKE8HP3ZTfbR HXO+BmK/8rSK0pP/rVdtNMR6PstlfR+Spz2s3dLuyUNN4qVQEWUXuYKEhJb8uypKwnoB16sQwMps s1c3ssL15MZ9vLHvBrW/W0rVdRjxWJ4DaVVw07Mtl9qIBR35XZ1+9WT7HX9dV755ThKrfh5Yw60s W2xAbJCqRo0UnNMLOGSTd8sfHcLHQQ7X2hwvwFxTSIMm6fnbGvhsKnRuvJUri/iN/uBvTfxoSUTM z6pY2f1aoqiQMb9ExXVNdI3L8eXDGv3Zrrn8CHBeBEQQnMKrHtpahBgMVqrTHQ1jUenlXcKsaaux L9CGu64yJkJy4KTLU2RlubB6nxM6lo1bW3HQGNoNGPqmkeyI+6PxwsOzPAQhZKdiLEKrLP5AL4l0 ihszfmwVk4SMhDlghRrNr7MzRIRlwL2ot7sziVbJQllrJKRp8CImxEYHMxDK2r/zQBACv+bAmOGw RO7xwT7BePSmPNjqH62Y2IZ2xwV8Mo0i4LrpCeteLGAN3VZGqHU9vg4WUXChBetX3fpNcBs8EpMm 9Lm2sZiL1mTQGhB/a1KGCP7VinHUQfdNXurZ2Lt5r8UuIzHj4CRPBJiyAD6Ryzwmx87jNh2g/+i6 jPGt9bJiOcgfx+o4FZLYFcn4j1uADLOsrasRoXAR8pNTWOdHSowgp9QeTfQ9eb5+q+BrjhIS/Pds dxIT9B/1t+o/oIlj4X4YGYTxFwR81+xkYsS4kI/lJrK8VBVPoykx1VslBgBXHKlBUVP5By28pPN4 XXczn8Yx0Zzjj4/klZoRnkq5BRCQaW9g/IXOKPIdHzSn0+jd9gTzb4MCSx6bWaRAbY9buTZQ6dma jdr5F0s7opYz417AIhUNdYrrA3fyzzNxMIjWLlKePr6wf3olvZ5GGNUXsOIFn8SmoWhEuqXkH41v JrPWTNSZw/cteLZmlWJrI9k5KKXr2y7kjaxs0i//rH4eCFPz5nWMF/hlhGaicRiPXGheXytRCPiP Xux7DwQlQNPIhHOlDr4omb9IPG9loMFWFnsyD/k4XeWVf9aWT0Gdu6xwvo/UlRl0EyYxPmf+FNJJ q5ivmn2xAF+IsxMtx0liMY8fUpgJytJSkGnCfCxlM5aISLXzZj3JtT8a7n64nyjEmq1wgjR96aPj kEGxKgkipkse6sTrsA8we35eATcTrmTc9OZitAEmr2/rrgyI7Cmvko4K9lwfl3hiKcYj74zQ4/UX 4zuzB00UAJ3sp/Z8RdfzeQFlWbrsc6ZF0HX47wA6wrLD8b9e26a0olBRTqHhc4ErLJjjh7m44jQ1 6f6RP58yiPcW9Q3dAISKPjEaJ4MqE2nL4xq4PwcqA6On9mbdJsnuG0tfbLp3JnMwhqfs8DQFMNte BE7+9m1T1dG8rKxoPhMr/sQ1ZXqaEfgFxUgHFsaiORkwwe4DuMV4qECUlDQwMrPxcdxjT4LynY/E YdYL6LQXGdq+XrTN+BZ/3q9fKos98ltv1qk5PdtfEMVV38z6DGSmMYvmHoWi8ycB8w/Xh8hJv3dz AWnYF12PdGZk8o8t1e0W6xfAyJyKy0LABFfk7SsYj4SmbfDw1yEiPO/jKqNRHk+OhRSczr7vAPW3 SNBk9p/eE5E7MDEHowxHs1H1YNeds2/QyLvLakDOw6Snfu22SFvnAYcsEPkHAay3HWH7hpEhYUbV jCepL9FfZlixiaXm6jgqO9pfpX2odauPzLbgL8W6/5/0SJIjHFYX6HzlDNNYnkijGKfvuP7kDqec bIP9XS+3GYgclHFIcLkimQvIDJkvIZUu0LAClZw0zHqOochiBH+WaUdsT0TojC1jZDX48R0LYI3J sQNDKIBpAsJbw0NLOVQMhGkWbbkfxbkLULGcfgjK3dK34U0l7jMKJLg1mP8/HINU+Qvdc7YaZpmK /2KvOFMNUEt986tt5VTlQSRELra+43VStT0BXm2A3FsHyoJzG/dEiybvnrvLJX+4q0jK5woEAEap 7CaNncW7f6EkXcjiY0J5GbHrXBneeCkKbr7To9yOpFSCyaB1QqmqBnZXatcV4rG0wId5HwXrTndG e+cIQblSIsxCSi1QN7Wyc98p3btUWJWsj2N9SGifxrIsGVeXCd6eoh1JKPEI49QsFFLAWweRHHYi q5aLNIGEUY1MOMnAw4jeGSjqSci5p2hsKKlJzwEMaaIsMQ7qTfG+iLK/jBu/UUl/RA4cO3TSU9+C mskIxsTAhbbvC8LVjhq+NqihXJE9SLYXWrjiB5uiNxI1BCkgtkc87jO4zq/YVwz1gEwBMIcMbajt iimDqeYFHoL6MnuqTQH67tzYuNHw3iET2oF7fC5NsXFDyOUFzItC37cag5GX484e+9JuZubi2V6r pu2HWbnNjRPFoYQPBP1zTe+SUikMVpgzlswU0xtA+c/3U3jdehmR9j+bS1dytjA+wrn74tC7nK/U tQRabO8qYcUXI3V0v7tqYN7QzP51R/VpZdg+eVBL50mxWlIpPdmAhVSLG02sNjAksewTNwPbgqGc Hpdm5x1unrhn50YthdcoYQPY2hcUIpdfxUvsNYJ/+at2BzKeSKwR4AGDzmKOI/jaBCD0ZMl+y+MC /a5VOrGmuWiRqioL1Za+D2LYb8QMKS7h/dUo2v0zQkVCPIORvuqrvDX7uHzrCxFvO7UlzVXHHKnI gsyqV2rclRvAd1A09+bHfU2lFD28Z8qj9fXFMHsr3BdfvMfbuGECFhkY/vNcSvGeR1WDi7/E4B06 P68AV+ogMdsEBw2Lulf0dhyMmJCSSGvmEcKs/syCocXAmpLA59vnCffKKMMzWDletRGSTRVpUHoo xenDGKra4uE6i/jAPl5doxGravOfefxbd8u3RwwUVdcTL+EQq1rfrHMN29dFIVnigccsqLW/l2Kv bkmY9WS4rMEXoHQ5dvKXs2n++o04uhyaILiGO+5jybUBIpP/E4zMycKWY4g394+lo9XyxUDCT3Ph Izl+crpa1WhkaRNjUuyT6h16CClBc3MSoAvp7O5sOcnGMSSYeeOrXALmxiRo6YEzeDkrDaK94xYT RIywnfXq2OXlJ1YyjpThU9WRotCV0nLTHTEqoSyxmnrZ1TPEO2k3okL4LmEB2JqgnS3534LPRpYe ufdyVkAe1bEoz+oYlL7eZhewYhhnlKNCXh3B6IkeIiScIbVXaTrC+bVjjSKfH5aN6tbbkDY7qK7N yT2cwzR+i7hHvMfm8fEOl6WggdUiPKBANzNiMNuaYCZ52I054Qy2fhlKNpCcrUP4MSZ+qEkAGP4j so4WqnGK/XDjlBQ3IedYMTlrCf3bQrO0NLidcuQN05VNJeBF1bh4OCREH47Y7PbaR5PD1X9SZGZq 08Srqoo2896O+8jUIUIcfj8y2LDN/Aip7CZbV3NBBeMWLYoh5rqTMz9gJKLiOYt4wdM4uI4f5zkJ iOW/FdwcNlSeJxZUXBY8G/XRSk5jVD6FkHHK94b4LqbaHwISecfS7tF2Gc2S6YQotc+cs4ImTYSQ qnZ0lNx67FWmGsitZXoxnV9l+wJTue8HxLf+Sy39vbVuN0ODBrDB3xpTizU51l5gxnQZdle1XHut drZmuXZICh4E1BeTnkwmvG1jHYPzXLqxjsh6PflyDqgu0cxnW2zA5BMGXZzdM5ND5heniVYBUYwF mdbNPQDJD1ZavAMp8daVnVsf2rRJzevvMiPC0wMC5TsM2nM6/cwbg1PNS//cXgBGEx1Dzgtetyb0 OcyLrYquEvZDhPjHuA5Drw2RSDcI7Ci7DFiZThrrcqC6Q2jIkB4ny4Kcjs34VRUsS2CJrRuF+O0G CPqCihOtK4ggyArFlXTQoTzKgzanPbxBDCzT+kH04t5iUuZeguxI3s7WHZ43sq+f3w9NOxZbndIY nV82FMl3F+s4HRxu49TuWalM++W75TxXeDnxNebQ6ApBSlIbKFn15yje6CbW/TOZ2fOrUy8RXulH iEdfLemh1vg9srz/I1r57Sn9q400wka8ZAqEvtcMRKacDNmcZVXlAKa6+3ZIn42WetOT03XpRuSR 5qj4XsIa0jXnqHrmOIsIQLyz3nPxUJC+xBxBs5KvvKzT3q94Rzd9ro9J8Xq+qqgcJljfPM4PgAtZ FYwyCctfu4yyXQ7WpiV0Uy8cyVkQruQrSZ9oyvHOrKspmzH8r3nS5lWoW6YOoFnhJANMKrgUzu8x JBzFlzZJ1V5I8leV6pe4oquoh8anGxzjFU/oRqVkzWfXmvxQLQmlukBdvynmYMv4RIOiytM3eHFh ZqJciQS6kHQsAUGbKhxE/Hi4Otm7xP9ewjI72nDQ6vYKT1ndq1JqCKluBiyMiJw5t5E6rkHA91cy i50JHQMg1y3i9L/rH14njXPfOFqK0HnMPdZdJzUF1DtgsiWnIc0KrcyCBaEJ/N+Hv3hoLI5roZEs k2eLeN4fox/TVLF5sMDNbyjmMQV4siwpr/fLBOD38dE/UjyVveT1A06LnWHQB2OU5OUkKjUW9pI3 iETmZ9pjpNyEk+rET9FnCzeifn71aCX/7ONggCkz4ZZeX2/kCXYmw5t7hhiCRkpounEjbnkTDQRR s2P0po6tiIHZK8hc0wxBXybcAMqNaAfx3AIsIbafPCrYMiDwpaPV6DxgIjCE0EAqWzj2jB/LJ84y p49YU8hwT5twwNgFqvWRlilkps0P1woadydyAJfS4Vktx/9r+Ana5+fGfmi+aebgXKLV3FbNha6s 4jSQuA+mnB0ETQAJGGOd9lPakbMemWfi0X/czPJCl5TjxeoG+aOYOPBr3p1uqwPlF/0bJX0ocMLt /4x+mrWBuOVeTVsHFm4OGyYy2QeLcx9eDrr5ZPWQmt/WfqCHaIa5JR7Fbnq2tWS0tJOrn4LvWYKo 09wUhBHZAwgIZlU2dR3CYZm1oDb/EUbNYCXSgJEd7aaon1RDFTrfw1imsUWrP4RB994DIpjeiN3O y3WZOw== `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/blk_mem_gen_v8_1/blk_mem_gen_mux.vhd
27
91985
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PFFltKdLY0A82yxFqahMaWdN+zxj5kThYAcsDyz3A2vhpKKQpGJvV8/AkpYYPyltKlIzJB6Md9uF AN2ca05J0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block obdm7XtHPDQGZMrK3kNZKnRt8ypfk4aZ9VtSDpnSwNdbgwrFg4uylDkc4YjBW8BFR32vEdXmCKFe 3L1bSMhXRkPXZ88hMJlBty0IcmSYNatn3RV9VG9yYtXM73zMkJ4NIx7KoDtvOCnGQpHNAJTknAv6 BNEUXajqHzh/vB/QNBQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nx2eU410BtrBCSzpvDl9pNpIplyp0nHGgzB9LvwnXgdhN5HNF/YNjnH8WXRfWZhIT380E9zFeNz1 cIYhUxogcuyFP2sgar0PDv645GG14wyLd7prd/d1E3Ur29iNukQkz59OjXTEIN/U9Gy3hPt+oLVA TwpP0P8RgeQqCkJY93IlvPGfZ/yeDQHrxDZUMFMxHHI51HM/LG6Y5RjcVEJMkX5GTsC4gSd5fEHc DWDREOSmqmG5Gmciy22xZEiB1SI044vcLqlJadcUhINRbAw0576LfZrf0pjCGq0s1+nEKeJm9MeA baA5VHd6hhXLwLD9jRkKDvFp76mdZ8cpvFpcXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block my8iGpxybuJuMik3+8MRqWVv3aAmCE4oY3Ij0YIUQTpme5jJv8e5DOlNoLmgXWhUlepBCUyZ1Ysj JGlFKQ8MBs9R5aa1TLi8cCVfI579Nm4AO6VpackDfb6c5/BXCbiBb8XeC9Q6z0hKyH6xYDDC0Z7w m1jdROr8ONcmGBJr57g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pEGUMbCVqav8XqUNf0y2o1L56804gb2pssAnfqbrEzVo5CXZ9MmyISfyPG7HY7huXkJ9tWIeWtYt bUG1XTbOUAj3uDqhigkZ4KnTE/68izmD5rgLlGDQ1sI7w5GLUgtjCBINeZsiQZ8IbdNK2b2sCu2x 1k1tcyPPvRv3myvuFaOhmiYYyCNc8F9T3cW6mq34yHrMb8GcN1rGLFkL16mdIcoRSSN9znhYYcLe 21llq9uuuR5MD7mOGEYx4bKUQGVdPOHLC411Ms5bCd0IbhTC0qWispRkmO0D1uXT6TguY5Z6gKTw vMvXdJYpwStmSqzikX3kYI1zljpfWHQ7HMzzTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66352) `protect data_block a8U68t86Z3o/C3FQDJmOiqxctxRfzciGtiexeWX5/tf0YTY0s8Y3Y0I7bLXU1IZx4byo3l4tenB2 kusR0ylj1fHEU0otcwy6uhkEj1fBdDkfehty39aVpUPBuagKC3Im1ayCXGtzUoPoild7NpYA10ZW 2jGK3qYKQ69zfUu41oM6AJChIYA3g+BEc/OAgj2t+TnQHXwT2LsyuAmSYIFbCJCyTAyyPtpIr0Nn zBrAnG9wzCjZkgFSy8ze/vycqshGS+8Y+IyIzi3+Sa91w1OZfG2CvRoPx0zArX82JxTm5TmlFU1N Uo+UXTX5EZWlBfzrPAZfGpUtNkJslzlT3Eb3G5/98LCTJb/3TGWjL9PinvuEoEGzklGYjPZneyNc XZNYLESXa4QUcj1iroZRElWxvh5eMXAkwSIIoNIenJJFM1Q17TMT0idV3R2tNPjGjSg+ZEUqJliG Cbu6e82qUxu/r08gMVLhuJ4yw9opI2mndnh8faE77agfLD1UJVsp5GM90YaDngbn9CjJNTLDCtDJ 1YhNhCDlszRo5Qm1ArM3sOm6P8K2vJ0lSPW/Jpn7ZAUW4z8lUTcrLDB/X6YztwcFLpGIrBglHhIX 5NISCDR0AYL0Q0aOl2HmdBgF/hu4+Qdjx/Ya1UP0hF3t8upC4DOPdLYl8vTMGYrQeK/XBTh5dGi+ H0MJwVWdCUk0mrEGMvcl1lYvwPYr1inGd5ttzpm9OFZalPoJlNvq8MhbOuhdw9y6WCfJRD3fr1GH pBs0Qx2pLTMxcpOuAYGLupIYrJyMl+CgIr6dN5i11acXmnlkftgCqvdiWt1ADFuQDS6vMlb8xWRP H3/WAN3BiHEsSmTuqQEJkMhBS9SPMteSgJjYuNiKzXRFSTCO2/Db4pq1EJA4b3VnrfqXqr5rLtsj nyMcMENcvv0ROoHS0CWpdvgUCbeOtGyfyk/0blI9ZRTpMpNirsmbMNjLRMKoMu0vjAGgTRUYKw2x PxPhI3eT/aBuqbjxQox7A9VCCmmFJw39wYsOqjdZ4hE/UEQOoq40hJHR0tGtqwwFWtpNiG/vd+ND YUEVOZXgHQogHIbNKNvidjC+j4WxTX8r4McBAP4tkzoGswouPXaQvnC3cNASv3HT39+RjQuHJhnJ IbV7x3scjd7VoBupA+LPFxACxCbnPOtDPPiJUaob51ndNl4KG+A/99JxATTfmT9Iy/UtlwfKLWsE H6gnHamAuygEvVO3Ul9bQFhLb/7Tr9qJabvhw0aItvfwex+4r5GbH/m9C/7CDr7N1AkrzZRAo6vB LYpk+7k+zz44bzcQGxRQ+3ZCYLBAZCxWYSG0BsH7l/GfxAwYOB01t0G39XO7GpefCLk7UhZOUceV +KyLziOEY80Z7ncER4EUFQ+GI7HenyL/9m8yiipibF0nsPBNd9i1ZYJhXTbUWPjBE6N1hKHsicv6 EgmaKgc0EY0haEi5Jkn5TZZGYF0XMIXs541sLcfDUu2NyUA+idMXBkmthwvceA7N6jw04fr67WKT DoxRnZT5MnjTrOeltx0gh2lTaddl+pWAekkop0EQt/rPtpEstZY3vlPOla4gg4aJsYlFZqtHukKf AoP2GG2RoYnjt4kd8pFKSuVwGXCGhHlxnlDzMynwlA3qfIWSnYxhIXFdYxSqjD/aduxgD4oB2lw8 ZfZB6D02+1kgAl4CixPB64JDd3D1BBXJaA39Vb3Cg0qB/kDQtMe2dDBAKNTyK2sjbz18YFAy1QZS IApSGo8E+JFb5VPZMrWHOActhVutz6gKuvMfjTI0zxZ9MEM5CAh9Lec7TAj3oCB64zly2Jwz2eDu G/OO5ag82qbd3FidjTCjGZRsIXdkWBYnKiy9cz+dYFNRkozuF1iREik9ieBtALoprO8fgJmO27S5 yJ4QQ9o1/gbCohLfzAiULwGIGUa9gmGU1gX++2GB/nrC3XehdfV1VNygWlssc/vFfiDyO3XlEmbB sK7UsixXlAFQMTsNtzOQrVqqnXccbCaHU6OPLOcUes5jdgiEMIx4uZY5UGIm8tRuHOOwDXccvYgq 48G10taO/Rwamhq2xa3FLP7WpkZtxO9F/KRrnJMuABtKlWt8WIaQxOPlU65R3xgrxPvwBGFbch04 vX7nTp/qynBU4S2IA0J4OV6/Jdc5cmJpGC6KZKbKLRSWugJBtyOmvuYMCwWR4+kt5vfkNkKihP05 g8qaCsIRa3Na6CJ7U/OoBMWMkaTVE4YtBrFsHc5ygj5pk7ScfAoIGmFWLi2w5RDOEsY/fddBqdF5 smt05WMw4yR8wsNK6T8WcDnmCpsdih7ZBoqou0e/TcxNBaHOcUChDvf3ZHakNP1juM+rwMDMZZQ7 aNG6JX2kUSvQG3xl3MTSTLIJ1W+3Kr14AsbcQxy27BXE3BPb1EDrSrLNdo5qYdCeul2fja/GIyX3 Oia1UDpa3Y/6o2KTBPRZu391pzpM2oK24mkUmafw1pv3c5r2TbalqhJzIhCsYxCM2h1EU9fsSP7w 0PJh2tL8hqoCdNaeI5Tkxr3DSom8Anrm+w+e8AcyDomDbY+S5q/cRgP2e+nxGr8GVdTBSBjBMK24 3EpyMLNM7UzMysH4bLKlWNf0mzEzvQJOAPhgVIwkm+V1R7rn/yuYzYBoVB/PEF6vrxItLXYiWOBJ IyDtENmti0R9VOu0dxTDgjmL4SyhOUHLTgk2YnYoNSBoH0rz2cuMh5G/F0EaowL0I++bwCBxlSNm ycbrPxYF51AhP3Wyb5MfGlO3JbP6OEc8UgCnSS6ZnvRWOd7g0gHLk1AdEvbu5gez4gaKFl9bXhmZ jD3p7de3dF/TYGnbeBxugL6MXghO5TnLCjDVI8L/Kg1MO4w9AAhE5rcRlbZ9MYK9tAuZ5TAl03G7 6iKgKro7CRFyjcoNAS41j234X5vWkIvBlvI6OvTRDQrQIEr4dInM8tk+oXCowQQrFIzCilDPHe75 leQ1Dt6MeyYFFnfq7oBFCoHJMisRuBC13xZCKsPMEKBzwNPMFocVz9Ud9rx59lkqWsGobkd+3H83 ABhb6bMuMRC2EoMXo9trlHlcmTyxGC0IyaQlvjSbUYqHDCRT8NB/e0QRA/kWbDHdMIER8/OLwamq Dg7nk/+zDsocmQhl2JClBEmqdT6EVacw2rY0W4mInosQs4MHfGK6eT94JdoY4cG96N0j9LJu4uUS IdLUSIzCrIIHDRuZCaXiB5IsrEqTG1QVkVZA8Bv3FRKnqzSybeE83iGHoPB5o/gWuVeoNlqJfjIa F728gKbH5W/YBPryRwqiiOzMb9NZabtPWsl29n76jNwCHagGOQZO8jXvSoS9OP1im3tPgZN0vbb0 nRTnRK7VwAcPiUDaRh0wzUXw9z/uYV26wv4z76qLql7xKeuxRbFaEjVxJxQIgYCa1nq/1FJktDyF JEP1d8yUxMTIWF7p0V+13ZKu+xBIkD0/lJ/SP4mJdU2ODTfCA2Zl2s5foXIQo8LkLSJA5tKnuju3 2gJbppH3Spv/n6fvBjs3LtB+VrDvwZnMTIkN7EIdqBYpNHPuQUbjezuWcerW0YrUdT3tw+mq4NGW btT8PAq5NXAgJWlMVvgW4vXIaWcJdumNOhwLRi46hIico7qVbEi2C4E06KHvY6crlxI0QN+cUVZI ACpF3FyGQp4CD2wMQwsyweBeQITuPeY3eJpxxKWIDSBB4o9TByjToBpaMsdWimzNUzh6QTMv1aaW CyiXrwybWk7SrN1pqv9JiLdsakT+w2SLZgo3QMQXNuIJmw5zmZ/5cqlu4mzCqP7yhXdQn3EjJ7rE UGTc8ub3te9xoH33kz7Bm1ZnG2KdrfcSTUf6YltqYwFf//1WRSSvGf4bI6hcrJodwwV4+ocbuYUF dZe1xkhA3PqcKFSrSr1TmxS/wHq9iQtNk4C6wF+GH4RwJHPb25btqsbnnQooFI4B78AdHBC3+Y+T 2qkH2ptjh+A6a+q6v9dp7wm8MNSqAWMtVR4fUzGk2jVRtpPb3asYrnUXJU5+JvcprxZeDSYlmHS9 JBx3kq27pdu77ZHtNgmv7DevsYarW81nI9byNRA/eYm9lI2clzRqB8GFR/gIvSBu2nNj0PXCMWoO 5EExDq48Ltl0OhGxW74EhJhu3kam3pDzDdcXMOsTQF/8XhMGnzEaa7EYQdnM7R81Ji6WjSGzuyIJ Qvn++2MPVMflQHvcdcz4wRZYi0N5cyZm9EvcGxU5stSmBjddBXsQqeefRZjX+tTcTz9EKpKqxShH 5DpdQ3Kpzye82dkri5rwf/JzHNXZB7gzEul+ekvf1yVn8WrtqWxcxQFpCnpvwvwcxZ9A9rtWVSfs f05pEaTvrF1OCJzAUNNuGz7z29DGVSCN8lz7ACzJsLc9pLwkw7eOI0Mf5FRqAll6aFbBvSmcufNl vjbYdK8zSOOr3v8+C18bVrFmofsp1uAzZa/EAhdo9jv51odTVgM3UubOrpdqb3jypI5gFJ+e2d+1 Cc/ckiz3KvQujgANYLgsUxxFVanreiooze+SxBKOGAcIx+7C6ysNZGdawxwMVvRd6Its2tjy/nnx x0j3Pbexk42JYzNWxtbU4z2l++vA9xZn2rpi2Zgzi7ahK585H2Hw7GJclYJop+EYslN7Qzg9dNvw 3aYyEzm4o+lNt6IoI8G5KW48P0QJjVQ4b9e37Vs4zElpz/uxYqU1lsAgnyAHJhhUffkHRb6Knzfv VoWkUrUdX/Zz8ceoykL45nDjTdHN28qkoGnPhUO9876ZwMC+gFBOU0J+Vt5/72Xwdf4TkedRNiYj NTWmtPHX/SWtPEsJ3Wnsme8yo/7hwhvOj/2PCkeDDk7KfinZmKVqm7oiDBgj3RFOk5ZRAcqpNYZ/ s2WDlMd/pypkEoNCAYg1TQK3o98/vDAVZE3m3okVrPjr6gIoE41DaB7Nw7eNLBQ+L+FNKCk1Zvj/ TbP4lSpbwkftVqVwMf9FkX+4BwtnnqQuU3I7zNbfwNbJWxg+ShMOZmlsJvpXKHyw7+QzYMXpc2mo 9288treID9C1HHg2WC5FBho4+CsNunav0e94i4cS8s//GpGC2JxL+2Q9p75qunke9kUjSvkwJ7YI 6Pxkhn0dJaSh6HCDGj+Sdlx/zkhFaL85xzySdJU9EirznmAhRMS/eWzgg65FjU5j3ayYcdrYf3Eg RpVEKULIQpiack6+JiK7oov6GX+3s34+rqyHRszklujUJ06lxy3U5/mldPOuXo0TFHD6A7nCrzkO GRQlD/Ye1/5Qt1IEoho3tuOTvyGThmxx04Q98fu5hFLC80463B4pGmn9XjqE9iEuhIzdpmp6U/d9 tV7PK2MPHTOO6itIglDhweeYnGf3xI5cmDB3SDAgikO5N/k0M/h3SE62uNNj4H01E7GWCeWLUq13 Z1ME3QT88UYXnZl8sQt5+oR9tm9ctep5UsH7OQjb0Fy8o6m1mDuxBiRi02FwtOXShLTlQmx+WFkC BI/cmrHFNaii5EC/JQSjIX6etewdv0s7Es3THd27PBtU9nRMQoSBXoJO+DeKXS2ivs5FTIqll2zE LL+gfKCtVM0IG/7Y6GYh7hM/XmBilVnWdLGMlIuafB/AvNupIze5LXdecQT5+8Ds/gxaBQZwu7g2 3rT+MhdnVRp0V1JUNHhfkNZsy8IVAEReB4sbbytIO3nQAnTSjpWaixpxjMER4tzeJHoe6MU9aFlQ Ygqi1Nx9aMWcLA02zO2CeZt77MdCo5b97kYa5rOZM5ybjOPi+xgMH9LJtf43Twb6yk5Aq8C7Nvwa +GymQWeDFPeKTfqL4i0YxCagsmrvBH7PVtnuWkGYj+Qc8KP0F+Qg6nNR7KOUN/6eCoEjn5OA9zwt zCcsyIl3gm0dDyFWIdpXBpEvSfX/wy5I4PY0JbPiEdwqqoUmYVhAHH8xxPQ7b/jLWGvRhfyPq+VU taecyn27515xlyIxn5LYsXi7Gy6903argo3ihNbrMGEx9JhfyNxqPnN2ST45hPze8HGxATRqFpqL CLFf+ja/moSPHDVtda6wZ1RZ9/EE8mj3mXrvyfe9KcZSRZgBt8orpsqQjq/D/9K7K+woxcASOAAH xumBo6xLXQwU4xLXEFWt7R/ZEvfsYPIEXQN4Y517DUAlIY3kjdif/sL8FmoeA2xJHmQKBYb3jIS0 I5TEl2Pl2FQaaGJ4j9hi2Rhfh32CId5F9WkHNc/22a6YY7Dtg8FZaGBagEaYwNAddiGsSqxNgYW8 aoDliYCfHOlR7So7CJU7dgObrNBXyrEf58PDoBqZb4U28b0Fhj2/6k1N/o+IMHDTDrZeZTjMtv3h siJ3m86frKbGmKEzKLx+4zs47ooTqYlkh1IA1HVxf8JbMhehjWX4wYdNzzKfJ2vow53P6nBQ1hE4 dsnfb5VrztlT4jEHDV1xFrxipdLk1xuzS3EiV7hxqot7mdlmAGvWsKfLp7PeCa8RbbqilQIWlYA/ uOAiluSsX1hBfnGDvTL2jXeBJYerNIXfM9VfsNGDocOR7yLoOvDa9P8Uhn8HJEqE8KMFLhJe4t3N dXbZVsAdav9kykswg0U9jeSEvr2xM0DtB6lQa0dWdMOeisBpbD+0WehFDZZ2d8lqiy1ltTPqLpWU WSG0G5T6xdANaQkcHG9uOL3UQl39Q2l56OqLIkOKqJmLctHKPg7db5VR7bM4PyyEqt6R7aZfD6k+ y2Q0TxEjG7YnwYi1wPen3/YXGvosG5Ib1tw3HdITclNeB+aUubSIJrtPhkxV0cLoya9iY/474lDN 3QIDxzlPxezJOIC6DYZiXsw2UVWCpNP07EWa+vKmvkEVxuK6qqwZB0zqEryhwrYwbX6y/VvjbX9e 3polAcqjAysoEFMQF0MyztCgCLQxhVlrtIdwKyZLHAyiSuSNgYZc/VhtcOqS+8obWEbUvZp0IaM9 NyuSr9EAltybenseduXJOFR5xYpZ8iDxuAuyVdnRFc7L+bvPUAJmdM1PYQ3tSO+o2bdFlUdv6cTU eLmBt3FBdKXPxTA6o892JqF01n37ycz0t6sBOD5amFvWvlz8EhyIgKnxIb2T/tZhT148vtt6NAXb Xgsm+Ma8v4fzMft7VflcvkwiXQaIZiNahl8fegHu1+AvuZNltHAtsKCKgi6fLmUvvKf3CQeUAO2X tizJsVjTBdX3rJRWP6qNV/GVYccY00Y6U2U/RwGKLTQSHSVPo/M5dxWAOdC5oBTCbkk4Vw2ZDStT yGIxF5SKLogxcsnNj6juX5G06B22HV0dz9w/QoYlE4Kmzik6iE//mhuGjXIPwFLyu8tn+MU2Rg/Z oViN0ZL0bchqqGbLyb0O6bGvZTvqYCeoQQ75wllEfdsFR+2WdtulZgNt5cjDmrzTpX5lDS1nWVwZ zAuLpn587mhMMngtxQVK1UnfWWS6TYJBLeM3NzVOvskJAV4RDSg++FLyWiV/Bl5pTOKyBppC5UpL bDgEMHnTMGV0phn2PthJN1NU+eHCWy5rqJbSC+eiFNwEJTr6pku4h+qnFKUj2ZduIAY9OIrWJPW3 Nxmg0KySvzTj1KX2FdPdKGS5MQGd7JkIIHq7s+HsDCi3c4rYMEqI4AnnP9PscQO4VmxTLJhqMaG3 Qz20ZjiCij1nwo+DvpagX6/sJWm56bYuSm3enBPod8cqe/aczpmk/uKbUr1FvTAIGp27QwdW5TQ5 lpN9bKvRUSkT37QZeFxNUbBh09X1LY5bg982IGx+Zc5qXuIRjkgFMWAgE96waQyuTIpbkUFAfFr2 7qf+bYkdCt61C4e/lsYPagUCuM09U8zl93umfGmvGPf6j9DCM/h0Cj3kYXKsrxdeD/CViYLjodtl 9bc8KhyiBSCTVVhdqs9UX0Pm7if8VKMHUee6Y+5e9pBgosjGewh/xtv5Fzn5e2kZW39ZdQhkBI9E R0txJKz7WjK/2l6lqySBA0ZB/NI0pzVNk5dV8xM3EO8vNYjT1CDwJjcjmzI0eoQ5PSiWqH3o0QGw 1XbJg0SxncI6grHfIDreDwvY75BLmseMNwvR2CTusI8jOgWViPEgWL1KfCOt3qHBujT9SinKDzKQ KNVNf1WeidRhQbRp6rkvv/gpsZjfJQEph0Nkoaeq/BUnCghI/PMrAXkwLTW96p4aAMPM/JxN9jmA W78mmThytHPRezau8ZHh2qn4sWgvqQtipjIB9T3kJEBObkHJqgUCndojtKk+cjBewn+FY9tKmk3P OC+94l0WMJRZcdN2kX6nhaoCUzbZ48LHJB/eEIWoPHr76DtoEVHC0yVS6mFDOJgEmkOoWhi9bHWM jwpZJ7dUaZ7HeYcT55geb3QHDojuVG0seiiJ3A2LZdVgJ5M0XjzeyCyRBDMCEkr2IZp/L8HaEcnE UEya28qvVWQifgmCp9GZeYHle2p93RQ6d3YueX6eE7iE6RvSxEkP0VzOorEjAY1n3uZVlTqqXm9S izBjguPio0U89VBzBqzqRaXyn7mA/o24UzoysWemPSEooa9SlC1bbKrUgY9l7RR+RGNsMy3hZTKU YCLi4SZ7Ssy+oFf3YO9nMRFPshOJr3EQvyvhLAe0KXPK/eun52EsvfYSA+0ofw4g3TPOHw0SAudB KH82G4GvD9YOuNsZ9SxCdKmVFPtgsF01UhV6lIiQ39MqPkRuZ+tLXdspLI+dvGl+3O4zyYaUREb1 EA4wo1wpr80jwP6AvPL7S7DubWjgHb4nt0bmVJ2YgRGtiTBvaNoalZhNJNa5msmUDsyoTVb4yMwv 3U6MpxBbX7Slc8ot8rEnOPYQ93V1n2/byVXYhcEX+KJ4swM10p9BguT2avf5T22851SXQ2xvl/mB SiE4iJdruCGAs6oqv+rkOv1TuLfvFnlE6NR5e8hK9D6TTVhZPeUQ6OheAxHI3JlCML9W5N1qoCqM ay8Dr6PV/42zUeqMC3hE2bC4WIbLhIrmbp0cVBXC6JAdegO0TfHYIhjTE+CucdtmuSySdg1I4vxx 5qT3SySRqhjTcUOqmzVbQU3Xujp5XcsXKo7XsbK23/sCMcM40Y2vcW4aU3im6cInQRDHlShpPCNt ttCG+TPBx0CbbCpgNx1a8bzJKBlO9eSt5iujSH0aiiN+j3gCNi/1gDnkpcM7Bfd8NYdJG2Ga7Kzw 3XdjnrC20XsjArtovR86qa0dFEW/w9vZOAFyOwlGIz6CPBxQisd2c01nyJH93bIf5SHYYmFbARTI kPhtb3yFMAdMsNFqOiW1p/w85Ie7e5R6VHQiU/LcKtbwn+sinq6NSEfbak882ovcy9WF0zviPAwH nno1qhsy+nWw4HkTPfxIpA4W79+05H8k1yZ4GRTpLhruknCf2JoU77mIUfe1XKhZHiY0tE5Uug5B R+QZw+5P5S2HNinECESTbRB6zG+wfB16tP3k4u6Wt829o6dTAVzEJH+sgrMDwkLcN6s07KVSoneA gdMH3QoIyCkyxcTUMZJ9TDyNLKZNqgPT/TbZGKPPQYkKQrLmbaz8hhXX+RcnBuJhLpXtuFpJk2SO Qs8SLfn65HiYK8SaDDA06rsji4lIJQImgR80VIOlU9ZghvDlYApFsVefGTmCu7C+rvbbdJf/UDg5 oKisvlD3S1B+LnJdauMXcC6qXk4iIwt9oSGaw+xz4aKxKB/fmcxiLFb/fyhb3pXwhoMTxyZfI/gJ gNWFYTC2ZbW8a66K6JtMCAMDYDbuy5PrZI85svkxgmo232cu6mLxiWViwEe/PquKpUtwEdmnkDfF DmuqGG6rDpTy8UqCDtMf2dogJqUvB3o6zaQndMJiizbNaCS1rPPdinlTulFpL/qnGhY4VJDLNMRm 2tVh+VWR7J7msjI1HM4n66mR+rQ6SuDnd+61WhWMkr8+xY82T7d9j97+uWB4zGcaWMzwiJZsnK4k sAGMecXvGMDiWEtiMuchFTlA/WV/y5beWDUdPgdVEUP7pkQYKo/hLXSK2QZptTz1FUeJTi89zsT4 N2sZlD07f4M3V+zd0JdVPWusmbq2OeKzLn0rkZYAvBSskARlV3ShgpcXEJpkCrVPCKVu2VwsO8To vcyn5dHPAuO+0c1tmxHAcsQtFHX6ZMLniyU8CvKErsjGyUp1AUcDuU4ElOC4zvzHKuGwMV0sn3qW A/iwAjEI3JL9VlVIhznWdPuSZq2hD6qGX8GTxacadP26xEuzsbU1jg1sshV0AKN95kMFbTK+O3Fu EmgjjrhABhJWsEbqZKY65kk+hxTa0OMJKzrLFcFlnNo3lU+4zi9ZoKenj+AWBERaBGAvKkzye2nZ EG61OY2T8vK8UBBeD1Wt6klQ9b14lKXU3U3wGf1+QsE20UHxWrseFrX1pCnC5vRvjrdXuCtRH+bU QYI63AM5hFH2gsCvool4W+oqiGVNNqURvNgPBAVGPARwmQijAuSURvj3I4YHXpLPuNzsD+BZT7Sf Tf2H4PnsGQ48mzyxGzMvGYVOE0ZxFJYrHFxiQL29s8j39i5Ms7WkONeL8s84UzEqLqdSZbbzJz8x bToCOpcJXbJaa1tq6H0JA5RujEUl4aMbDWlU9pHzKhPxXR+RjYO912dYriflhDpzpliE6w/Lc/EB mq6BSZFD9oaThXhyuKNVJ0yVBwNWKvLqGauzluAWAS9wWfN9FbiWy+FEICeBmqbR/Bb5nvAewjdA 1KPEvq6ruHTAx2HBoOO/DEi7iTLF3P3ZEwiSM/MFtMBA1caZA+WI688wEEJbrVS8jqu7LZiJdHh1 GcKJ1MSXtao/nohxTyAQIXQ7rBRPeg+kts75jqRlXcGZTJTm0qRWkfSEJcToFltRq7Ls9ulR33zA zRgNPChaMVnrQkqj7bsocAaL0yiwAyfqV7mdAoQK1oh5AkVLnFbD154E+ArDVxImSS9/Xb3/Y2K1 Zi2N2gbKB26bDOdjbtoS5ryMch+rIdHDALQf6JVMXWz2YgOeM3g2yCTjHBIgc78OR3o8hk8xIIYF Tb4PCRfe53Bhk5nfIJ95acS9ss1DmEWaO3U9qwg1f6Gi8CBIjke5SZ/rOUxGXVS/TGSwYlgZEqey POpQf87N3L/iKPu1CieNvii51lwis3Scs6Rz+yEYtYqtJlGQT5c8HzAjC3bzz4q92nIL+nbtzVh6 VAFX9ZQzvsGD1IubYa71BDmpwNV9Do7DS73cN7dj5OFe1rp5tTlO3XNZyzF/eRei7Rl9rZyXrIsV Fy+2nalneGilFCJ4Oji5QrgiTZpMofqfNKtSxy/kLQZ5nLdM5yNjNVFoZ3cIkOcknUd7nplibMEl xUCqohCjQajRrvsKq2WnqGhcmDo/+datBrBRAMbXKDMAaQ6X61f4eyf2RXs53Yra/GwLlvgl+BjQ 514wZ0YZU9lU9Stip3XvAHynACEn8aM4eLYkv2xp0UcoX4O8imM7WK/pgn+OCQK+ZktX5Y6TmQXO 43l463k6tduVZbhrAl1DnmcgcpoLGR4mSA1iAdZd01BCKfV4aHsBkWK17fkSkON0/dQ7vbzIh9QG BHWS5lNjvfLWIgLUm9IzrpQXlVqshbt4WbU75rp4CCTlq+/gAyiZ+qPExevx2S8e4OjRjIiS2idV K2zjwSAoXSb6hC22mK9Ue0jRL5iu1VOXpDj5zofsTx+veix8wEDmE7ewVa8IUB13ujINXuaaa5TY QMXMzx1oPRO4CLoalYjZ1wpII2+dUzuUQl3qyLtCebiRvWitWoku+Ua/X8kyoLCWE+7W8m+7e86H rSD0cTR4gMVWcQgJAwwRHTH9/cIUtgc5dJpFOhnrEvylMW3UbkOsjH1bERMJFB+TyWrgvOLJJr/x 7yjnnKhWPjFQiz+MLA+P1fcv2Uz9a1rGtxUYHO09BswScjzEfL68kuQsmRgR8RNCK8WEn4ws4MAa OINBWve67KtEqRRkJnbscSiws8RLvDyK1W/MyDRkLUSpik8AJN9+USszYSnNNsAlk99TfGiizT32 pI7vhIW0SjcNGYOb+tgVm+A1teQbq3UBMi+v5uGAORQRa7BKwYhZGQvEac4kVMpHqUlJokAZODHX h5HquymAb26PDXkz+xkQ0kEo7N7qMwxg2he4zdI0BEti4+l+Be0mVLxD0S7nlWXJk9xJwKV5F0TO fw9WoQR6SfY6rFYdXDEMInV6WoKUx+mnY9avQ8o7M1BO5ut9Dnr41vyQMylbokfQWkaJdbFRBWhk HIalnRpwkVTsQ5EFUAxl8QFIH0FJhvLdH3CPErD2maoqCIGIxRGyXbASPi+YpRvsclK8+f2YXgjt IFhFpXs2dskBetdeMoGII9IhPiPKtF/r7r1Yd8XwD+HxusNUZnHBYa2datc9nVnwooMW9jPmzewj 8GgDRUoO7et9Hgjzo0/vxu9/jk5utFJApbqaj6K/1Zsr4jA/+OvN8HjVb6FwD9rADe9J4x3AoCQ4 K6mWCxfjJUAk/XnOLyIMolpz+r6HmsF0Og+ccT+ztXGK7A66ZfgAPGlQrdPO/SThfhhpB/IQjqnv Jb/ZXtocYaPIoqEM4SK4t1p0AvXgX5V8v8MueIlfqzhCe5yP6vYC0qHdAxx7lhYNp9QVHlruCgw/ GCy9hwN56TUPbsWIQoCG6eWJFVZ/zYSmqu579Ig95/oCPOhFqI67MOKBPo/2FHyoaPEKYpAtptzk GVY/yw5+J+DZlsivXZlBzzo/SqwA+8BPAMaHlx8EM94ZK3KJn0yQcUkg/NjWOvhKihB3fh4O402a dxJ0KwYv15b9JK/fhOvxgUWfdj2dGs8B3RQtVOYl/SMuC6TAdvjAAla58QW2ZlzJut3q4pR9Irq5 EUpxS6Lr/IxS2OqUcSW7j7WFrzeetqXmMMU8pp6wWdNkL+0ce5hfVXPzzFKtDVeA+0UZ82DtO1Df ZqtbTUDZyzk/hEvQFo53bDOIGkHxQKL2c+oabY/I6L98eq99lvSn/YmE7tSNaW7L5En8/nD4bMgz uhyfNbFW34gYuOuxa5VzvABcllGQltZ9IHwpl8fzgMhmH5IXhov1cyrrzazwCoJpaaXSup7Mqcxp AUnwAcL5f3lqgVNP5/maDuh8d3DIAgx/WhJNaiEgQh2uBiEtkXaBSDLmt0R2kxEZvWOHBJvBzB3G yWCaWEmFlHy9n6srTIxAGgY5KjOnrrUEKvFeXYvnGIKyc4lgLanXStyACvEZf1jr50A5990WuH+n EZwpFzOd1thCh7Wy74tZ8SPO4DRfefZUjd70B3lQ6UwJ52ewmp5QtV4xzglsvAW4m7sZWnyh0cWy R8kxpVH8PYVGvtolTS+92KWLnNG1bG+ko+KTMnnJfx692oUNY1Ok6adVX95ptgaua9nBo9xjoZwK yHdKroyFV7CPOMeLMlACkksQOIpGjeSKphvwR454vDI/t3oyGlS+Ec0n31bjs45apWW2Au6/By8K f95m75yq4gPj2q+wYlkdqAtqdamst/WkVXK13qrBLqVoRZ+8HxFskskN969QmAsrJ31FnBkf6CbM 8DSTzFbXFPv/ll1lQI1CqYWuboppCJeDUqXwhQpcH9GAxQFe43yEqC3HhPnBcYzXlQfKp/1yr+gR nwLbV1LQNd0HK3+exPj0roMvMfcxe9iOY038kIlCGJ1VcJ4UeVIjFiY/F4u2q0iV7UqwgXG0H3Qv T5lqQKNcWiI20+Sc989Dfaj6KhRrq8VGK8gAqnyW0gbTocXDVfigMwbyxc6mPL+ka1gavVLcISi3 TFk64qUYhqUyNjSnnVUs9f7KmZuMrixshuNEZRbHRpLwpnIbYRD2kSjWOQKk9HOi9z2c4BIttExQ jq6jgLj1pcagDL25JrZF/8a6NLUl0CLnwwBwLXflCE7TGIZY5TKzmufnhhBvQ1yYeyI+nRWjEo7X i7W3qvMQ3QgwETWRi1KbmWMTM9pIEkLz6EMDnD7ruDy5aZYoncnre8fdSXBYB2zSy8fJBWIJEcMr f3BORpeHqQlkI7RQNaBnZJJnZkPgyaU3wpLS0ofOXLWA811rGF3ygJYAo2DNfwf2gbCpsy7gHcfE R9Jid3XkH4lQVfBJJnwF0MGmFV6FO5s50g9031OKhNescODHG68328qV3S3/FeL7CL8TCAtvNVaE h9ByglsfxCXxAmTJZDWtpcVFsMHUqJNstWZMaNxmZCwr6o7RgyvIdHu6fd5KzR82+i7xiCmjavLQ b1tgjb7qQcSp2q6NwUHlJWg+geSXugVVOXSc4E0miiwuhRNpz8WVAR4wzoptyKQFAdYtJIHY0iPK tkSX1gDF4mqUdv5RaCDe9zHdxqHrw+qDazuKczddF8q9aGC/l2qrL4ttJmfeef4JSx4kwgQeIix5 Kd/lzaAZPctvEZc4Dy8x5emeTGh9mJNSduU5YcLa7OU+TDbjmJt71hZTIGhNhoRdhEApXvLSdsBV pF50cyfUV4tbRpli8Z2++hFgUn0Y/ViaMbc/QtMmuaC88Fr6RMb/9SuPS/xGAbV1ZYmG/3fzqkLY 0He+SfyFstMC1mabQB8rdcSUJvsaKO2/46uT2emikFQe6/m4Tb+224dh4uw7pW/kUcRa8I8KIHVA HNUba/F4fVCKBItVB91BBUxYN9wUX9QV0arbSPwbdH+Ioa79v6It7YMA9IrIklq8yUKyNuyg8PFy anNM9W5oVL89Ag5IJjGxF1tUn36ZudKMP83zZvgJp/TkSoqahHptBDfeQwFJ+bglkUasEYRU7oqM rbLjOWGcam5mYqHpbmrIzjbskV745peCo9eR+r21Y64La9OKuSm5fEngo9egyDut7qs0o1PlOvsH cxEW62pAhqP6QjK2oH+MguV0BE5sv2Q1UvGyM0aG654lNIIuEiaCFyaqi96ruONITHfLHyc4VNBH CZRG8jq5eN4B5e8PYG7cxvxnNyAm98KUPZ0vmDsDaJn2GhVSw6l2ijQms6N9Ig4yAIJjD2bN6QD6 asMtRrsgzomfYbgGFXmCkEw4tXQBrdjKTogiCFG2SzFWV8fZ8T47jUHDpOfweMAIZOYyTQnlCAsY Rsu+DVUeFva1T92pSsl6PqnbcEZbttPBxQpFLsUQsf/O1j1lSXasV3k5he+hY5WYAO25/TjHU20x MkU1kZL8lU0i6cnl36Wqp9BQ6lUl0GvsArDcm4uMvTNzjT4T2agIcQs9XqogEnd1d/zuHuF29rtT vhxXALWkL7cDfCLCzkBFPQ5PlIeNj4MHsbNbAwHTqd1pz1nkVjfrcTq8STWgceA74QOFliQd7tuU F2kHgOMi1RKuK+qlDFe+Z5gKd/sRf2oS5/Wx+v27k+SDQrLM21VHl0Zplet9C3A+FZ0kofJ6JKE2 uKhmgvixTznd0gul2gbvpoCbS66CPma09D9xZi1D1GUW5RcAevVccJO8xEM4kYtJV+gdF0ncKzwj 7uZ+PLyeWFe4IUMLAhZHkjS7apAl7QuIz7IenoYlTf8htq2W1qA6bi5T/A1Wj/66nXkx2I7F6iiO JqMFXCF1oPfCsNKpdEFsWm237RCS7ErN+70AiG8L3EVNq0EEZ2yEqXr2PAPIKOfm8l3G/m6XLheR g9AQDuHpYY896ZvWrXtFS/XY2YXssUlYy3YnPO6PdytACm6kLudPHAN4wNHc+Ok2L/xoH6fR+jjh lBZX33iBV7f+HWO5CIqJ0mZH7D3i1LSJvuvaUHlPsoBKv67fJyR3ClClq2CAtxeCxc9szaEXgq7K 58CFj6mpUaZpiQERNZiQ2rZuq8amzt35spAp9EnylzkvBoADZ9xtjqV/bUBuq6G4E5hHYYEAjfnF HV4dGg/K6/m3LB0YenBHoLhWsyxr2kQhO6atWMDlB8Vdg4YtSiQ1EpUDw2J4VZ3YUnpmQQ7dI59a IVcEeqA8nYRLfuVZk+WT+45PMw1nCZVEJRe7c2vHwW3dY8RFrmVqARuI8a8qyn5hEKUfoL5gxNmA 6IRS/mfqUTKLhJxskAWim/Ozaha8/UcS2KByOG3HBSP7mayPENLHgkFQBkF0/vDLrJmYd49T+jP4 SkMujI6FjDrRrmuLvlLX6otJqg1pI+tIRThx/vtIUy3ZCLXWpy2vxK7owykjZ1pGi/hmy7dlZfFw gYzsPkkLt/DwrTazfyzcdX1ijOLbetaekkcmaArjBHa0D/vzN/+d8YXB7tXqN63YddI3MbD0oPuf uWIL4y8snvxtaA5/YStw8dKROa5nT1+3hVOCFXO7V/ra+j5OniY44mPvwmUjGp+vN+caqGz8FGpS 63uJp24I5a7Z2oCu92taP9hwv6EFCS6kkzy8IcdcuJr/h0xAVYD1lsfsZx+AsuN9GsD8YjEx+KDu EnuPraTxiOCyipBCfF/inpffFwzmaNMo6bCNNPwkjw5CqkOKnhIed9SWYs0+tbReyk3RZHFHLIfq GIcueO+7cs80FocgtGDjuxlokYddHGkpYlMryzl/E8mLiS1ZNlhwLvuFigEKTGoakOT9dBmgVyHa E8vKKWzcEWhpKceSCCPzdrwBgxGSvZjCigINoBp2yil+DtY67iMBJPPyd/5pod8XdMNx8PFYgQpz p3tWUhAz+kvidSvoaL98jmsWai2zoSxBEigTSL3DzUqW3fnB4Wb/vke861koXHZ+j5496CFUX72k 4DeMSa8D4l6DEWKxRRbRcE7fup6lBPl/7tmniK66AYy4kESW2IY3ZFhFcrC2EEV57KdRe/Omp0Iy mlvxoiklQlpSBaVgQcRvCFyVcXpEOyMYcQUBSQrU2AfxFC9NtUvj/ExrVJY/Qhg18TlEvdu09BJ3 FUyjBNkzO5HWNvuGt9OSPhZvg0hsAulhOkm142iRfPZN0a8PsPUvqt2ON0D/aANroZjIfJwJkRkQ BiWDjWCK316oOHObgqibzv4Bpwekkfm19jJBqpP3YJFcHOJnCd81F7Jp0sJOfELHo9tIJkvb6niN rP3hyWvUlGZUWm9/urhQJgf/hcBXgqFsC6oUfEdGl7WRcs7BH7ekY0vOnSlfU8EfSFco6lwW1wQm VmLmpRcd/5LARtA0rVZj88kReLlJUVxI+7lTWldb55M1CJDBZcZvxWGzqmWTB0g87/QrdK9W5sZJ z09jJmYYBhmsSl8N2rkQ1qs5v56/yq/rkZ76aoG4u0VGZ30Yi6xSi1drlGu9YQSbvrXtpW1CLIaz kgbnlIEaBXYNvWf2ohuTD2/7OpNu+MGptxJpA6nNfp4sEn11Dg6VFQ8M1OD0pvVircHtC7pikKGV lpEPzRT4HBQWfyDRvDt2pidz6w2SK+X9wTWbVCAl+d9LJoYdHDq4d6M4ubNHDnhTkeJlcSfXYM7V UptBC1td2cSqBmIo6SqNp1r80xtd9nOUZPpKauN80OhFg4S0Bwf0czapQSPrfFwkejuXvuIWN04U i7nhYoLMYXhzej/+cdiKSNe9xYhuhSApnJrTAVmJfxARo2vSJjWi2nEiMJSt0ZjOR2nxrPfVeS7A T5Xwev4h1R8aQYuDJhXoRrfWN/PxevTL0egeWMNndWpFLa6r0bb1QQuqD4Qsx9rc71+EHLlgxw82 q9MIMIBIC9KItWzHq1AQfQIDJd5h3p5FlB6IvibI8CZXHE5kHQwkyUBGUs5RtYO5BV2ZRvXTs0kv dAbZmruU2Tdr0FEWqDNTT2jiI97w3IwAWw9fWe2UscptFvkL3AN8VVZ2TGNiO2L9CLTCrA4Dm129 w52wLy1Sw+LYlOTqZKqyKASXUEWry3OWcf+SbOc60opPjyqFtSM+IT74nvF22AfFdHDFn8FGix9T WzOkEf2BlAYzlw7DlK8KEBlUd8jWoY0S/EfFdrVtFd5/LMqpblc3IrUMCPwxeOVbiPdp2Q1kQA1l V8A4cblabnj+GeSfju65M+IJHnif+BL7JmtSk+jy0B8ctFR828Y1g1fWyhp/7WdaNlDUsG0ITjkw lS2VLP9i0eGtcYZEdeJW5GlfALtcMslmxPHAdoi7boQKY+PAobNlynXYAhQCBv6Fq6kJf/usMT/P 3b8AucNK1DH5IqwlAHY6IORsHIuUDAkJ/n9tTN6K3QZSb26wOEZu6KNCm9ia5ve5OaDAWFg2+FgX t2z9uWOgJDPHdNY3BZpdzqoiOZYyrDhHv2fHPZ2vLxv2iOAWPVzLQVhMExuAp4aq29jg2D3LlYbU Khmnys+gbbJTa/Al9wSFmWS/WC5atimyMnZ0itdzYYp3lBQBjBabM1S7sRpzGHpd494C+9U1iDg6 kAqxU809ushTBx7prxiAK0osWgU3wIIJm8TcGld81byOXTYCB5A7EyxqgVXUHVjqpTExpQ3CxExG NqDJnJfCq/PMOm9xsdVJ5w4x3IOxnPzjPoGzXMUNcOJqlk9ZuyJ5EcjcK71VsUDeiykWcqXEhLDq 9iSoY13zNZURK5LYlcCIeyEzlIcILzHTNWvs+nfvTDUDIEcqDVLMA/wMzZcsbMWubUsU04Csb1JD qQ9qdXuCKchgUP3EyPOcNa3aP8ez2m7I+v7mtcaCzer928pWHHvJZJn//O7QTEc7oBh+4d+V3NEB +iHTi25Gb9ZVJYeVB7+DekbTofB7qI3gB2aOz+UUWQfxtw2gEvq4D8XCl5MnYJMONntTV4bUoLIw /HmXsSQUdVI9JAk6YC07rLcl56UKHO1lRqEhoLbvVff79GC6L06PjrJlbOn3UcN6rFWlSxWlMjcE DDN1JLbTNplMhlJsO5mSGciSL3xbh78/srk2tZii26Fdk5tqD7rm+WJVSONTHIREQGNTz841agWF vFcIjXLkn3vY74Klo/pEPb7gmW1EkgL1dqhrsH/WeTO0N3AetcTTK+yQieqHT3rDJByk4LGlPe/F 3dKSuhEZk4sxhXWMgDpZJy3s/Q9f6p6FRNyrkF19fdj+OHmkxxwEOG8nC7Rc9/+4gkW4U6bdAiLI ESgjikbxiViw9087bGPKzzG76u+2h2hVtQjUc1jqdJdURdTJXrw95X/+pnkB593uoW17vMx2mRgL jrRojpsdNJ79fwn5df8lMZzis72tzo1w4HipPEwTDeHZkp16spocnQDuVwZjN4Fvcrj7hfW6MtNE xLHUOJi3fQM61aLrSz/84F8/Rcyl3TiLJ829TNIHroerXf4uKbuqUJAG8puOJFshsqTNO+hS98bV vQXqCwd2FdLG77Xl01CTjr5FTK1WynKsaCtJVoADPOV9y9v5bGvvAJFGQ4Xq717oD3ICM9E6uNv9 cY4j/KHEYzlBg5XD1iDJxizylyGh1eETAQdpZ8vidkXvLqsXxEbNufffh5kIXzsqtQa8FOEAo/p5 2shxNq/1jRQuB0xf6Iaql2SeAvwnF16O9sO1LP2kXleMTKl5IAOs4j2L2xRbUm6gSmac38ah3Dze M81bri/ux4Vfsoeq6FsNo9cQjq89vnpkKfqWEdRBTOGRbWk7DKp5utG0gVc4Zv92eHrvXJzqdbA6 dNusjGlhIvVXGpEjq5QLsvRjjIrs7sPvL7+fb7SozNb+e2X71hQRe/VMJaGhfn5S8iwi7PKzVIHR SdfDu5jIJHOsNwylG6h6LpfDTfbTFKH9WOwwYJ7Cl2Q1PZPsmO6csBCKQzPmCJMC0clxmvui4dXT 3C2PjdAqYH1ZM5TaImw4v8N+ln7Hda+XIBuiQW49crr19sN5ZnENOzyOjHVvl4Bu9+7wTPZ1Sbhh jKkqKXOmxGew9X5B4eV9bEMCclRqfNEI6RD0W4w15gKJ8/ViVSa82suVoI/AWdcHHsplPb7LVPV7 c8u+xySKF8pk2X2To3oULDXin+KEpSxGoBS2dhzGCBVPBJbEOGJZInozj3F7oSH2vu5Yaf7mC7+y ve1zCdvPhmFQSr3hHDmup9FgjpuEFJhNzNpwKJBBIBj7gRquXqa/hmIy18TzErx67QapUlwVtK8j jMOIZJCXcDoTzIZxUcAEGzyXLYfmQ3PQXz5UjNDjdL/EzsEz3tC8MGPi+1tGToeu7+N6dpEHw2/r GVyeI0jhfdZED2zce+OquXbFBDsN7sfwhqdZeUZZBdr8a9CDmt/dLMsP+f5qenrUlrX2oOb+cSEO LuhFxcdGSioDuU1+7v/JznT9h/Xsnft8W97TUzaUfJ8kXau7utAXl8qpyPuqXklij6dGZFYgNbjb GlpQ14CsPBEa6hHpIJLn+sCXyjrTu7k/w+zw/rZfTCTYj7yyKiuFAVghkZbAY/lOlxd7bSMYIWu2 kToWzNsun6cIyI3N1JGdZQCtWM5qFZYIJdLIzJ2tvx/QWK4BglIEHnEzIUrsiKYth2Djf968jyN2 PmnYKj/PjcpJBoLiWjI6f2qoXhZoM6yFPRJjvpXpgjEXEbL7RSrL2f1wxhEgizoum+JBmhuyZ8Cv ECKDpdyevAd12Igke3JRxcgwNwbSMH/aMqgixBWl9qlojZBu0y7Pl+6kr2ta4kXhgwVLplo2XfS3 oyFgzPuxBA6hCot65PUQ5pALgdmkaGXZGkJ30H/PCPJRBdVyzHHfJEfuV0Aa5TlcSjMoqbKSdJBG +5KwH6cAwRgDMuERqzVqiXwJvhGnp+1zFyxC2Mso9ybzCRyqzP7IvMzUY8Ugm+CjVrmDzeFJEpEn e9fG7twrv8pibikjK6SC6BavXJyKqx5eKB7cPIl993M9SATQ/uk4zdlxDNKVXSw7epzOT5ml+hXh Sv7wXzimsFwGNJFd/MPUorj3+kc1lGSe2yeiunfT2zI0o9CcpVI1gzQANoc1dPPbe8i1/qUR4chf 6VNxiULC2uliypGTo7elO1MnwT/7j62BCrloDB1piOlGiOyid06HwdSnHVZtzysmdhmDZtwVqiDZ gIuiWt83mL5C4e8Zs6KUMeYjkMm3Hifm6aX3Fo9Q2hl1sdPWUF+DnHRN0/ta+/TSnqrTp9hvohWq MTQNGsRjGP5QmzslXg/5jtcxq/viU3KGw/nkR4JsdVqmecxTfYO73mFA4ubAZJh/HibHhZLFMxo5 KysRYFyGhcVDQYyg+mXCNosIOxBDpN5TSmvtUclFfIFeAv3n2NeKQ5jxXc0vFADLE74E7znlL+96 hBO5fpS1D4JZFQOlRCy+Zl+Eioubjg6/RUrY9sxyyU4HbQ6EcziSn/ECKQUPphMrYo+Bdwvm9/22 D+UhU7YES3vL734sx9pDh49+x4S8HYUAWzu7a1MbFi5Grt4st7mvbp6mRnG46De36jhMxb1K3m+s BODg9ron9B+OdLCCC1j+pf+aecAc3PuZndysSBp+n4sjm2L/QXQVDJFcTs605uaDixG1llRukkB7 RX8Bxks4wGg0gYlfTI9T1FTXJA4KYCX7rAR59nVI7RAfzmnSYjG40FSFcQvkRscvRf1uGzRyGLTq huRLhmKmHERklteB/32lENajYc//yKkmSlYYmn0Un0c3sPAck88cBuj7wM1NGiwaCeISYGGmOEqQ MYFWbZu3Q+nSoThyQUoBFIwfS7vgFcWYIpIFalxB5brrgE2V9HG6HyNTXeqtLotNoxOB5KjHGVpR FEcpgXj7j9/sf3TRUsRbI7X/KsqiMOV3HRzi23u5AGozgHXKktj/pn0kQ105wE1OTyLnM/n6AHeQ hVcaklGIYcaVl0tgLNWY0bWc5LiqlwSJo6G16XH5FByixCs5Wab/oZh2N/RH6EtZu59/A1rXE1xc I4UQKECNZc+lZXVRp2QNm7EF3Dd7xqZgRLgXyWFPmqnGoKqmVuzxhEWTt+qf7K17KdgZaxTaOovj hm6puVZs4YbR91x5xO6ztzQh19llR9LzzqlCI9hTpXqZa10IBvTbbtTNFnUkmXKW6/EKifxOC6Jo 4lDveI12VRN5PotHPUmLumApNDlc1F6T3E/R3780NPLl3yxJTIqsxQh298SFhDz7p3LiUTVte2Us m+Pe8egKezHJ43y7wlkPWcvXlUEEb5r+5TVwsoAL6TebTm/n39JFImPF4nOv6sKxd3SfwSd+EPXn MQ7arb0aoIN9f1NPmZuxS0Ai5NNy7OIiX4dVQRMY41XFhKlzCCGFdl920ztLAIn1CWh5tRYqu4nv mSpHykhsk7JMMcU83Zg2aCIcIt76lvGofyxgQ6SsK6ks+VqULodCUwFnow0AOfFAKFd69KOQq9wf MIqHS35d/VsU1wZmSYuxJde8c5ls9iyYtQ0L+5GQ3J3AdmjunrIHh48J14DhsBA65PfIVRTuZZLE 4bNHDSEmX02PwVB/rYvNLNKU3mxUzjn10Dw/Girbt3g/01ysT3pJlbznxp0t6xXPzXBZNP9SM7nU HdyHObEbmx4/W+0p2R04m9+jsFg8gkhdFVkKIHEqx8VSdBVqs8TVZzRQbega1lpuMQKiuZD8TdI9 ebVhvzYz39wiNN6T/Ni6h6nsJBq5W+w5BulfrqTimW9nGMHbHjYrNnyREp36gpjwBqe0yLt8Jbqr B9sy9m970vjMOGDhJBBVncpLs+BvSJip0UPUV5qisNruE5qpk/tlBMqNMBq9/C3JtJHNi9aqEi8U sPLro6rDMDfrLsyG4/KBy8SdUdrgHSutcUXp9AETMD9AWXe9u8I/djb0dDCLLYc5mJ6t0Rd2dxqh ORWc/+cgJQq9L7XybQN/9lHeRyAetuu0f8nLwfCQneiCWQApGytKzPtbveAy+aczbjolySZ1hUqk GCNIsAPKthOfzQstCVTmd487afx9iDyFYexg08nzLGGD9bAiyf6wFcZKh7ksvefnKfR4RlC7kH4s xclP/iCiM8FBQ0ho549BA2QH75ga/UtXuBcYMkOjFwvZ90ovchiBCuX8dehboaF5w+7B4D6nqbzc 8Ia3CdJ4SsoPbFRyYjX9+CUcFezHsgOjXNpnRjWJ+mp1haQEDdAC7+nFQk31snRBRQ6GRcWw4Nfb sjCoQMQQWKEXsDO4MV4NFCN8O7/qX0t7uBn9X3AIPJOZM9ZQw8mtaYuts64HdWBDqRZcJnfmDPbb 6W0ooJHBcTW5P6bqLYBDeTFfl2giucqtpr/e7Y1z2cYk6IQux2UVumecywK7JzSq+c6wfpjTAX7k fzGsp8Usp2q3kIz3ZOKcAh6bLkMdDExs64rzpO0dQFtlFab98O5tt/2AO6bfxgWLn5GoHKslBYIo a6zkUCwhazFfFq+wXTMqMZjD2quevckCnJptzqwJCUJip0MKFXzNMTR92tYZBbOUHoSbKkjctC/s 1/5qWG42WO8uKrZTD6b546Bp1Fscv3gTVR44BUC7KDkCTk2esF5fLNajNBVqgSotDTKA0Z4iIjl7 5GN1d68FjtAMTMnxiaqaXWwoQbC0zOUrMxTFeL08h5m+HnLBciNl6Qiu2b4UuXQTOTzQl5I6mhHF jtiuLaNddNOXdShhTzjNqSBK/DwaMP6Syf+o1AINiiMk4B3xVXyrIWx4WeNBDzcvntFWpjDm8ShY B7FFKNVn2Sxwd2SjNB4HQXwFrUn+LUH7oabr3rT95Hip2n/24UVfyhydXjW7twvRFET6j7fFwfgN F221nSZ5CEHjc5AyX8QZMk0Tz7JOd4+jEhVDULgJfc+8x/7G3vRIeO85Xtf1qaJoPd8qy3frfmYh pEvT4B+iaJQqa/lf6VnBhD5znp6hcRwW49ha9Vi+NStO5zX/HnlXfxiOWgwbS8SiM84MmPB9P19l N7N7q5O1OEvtaSyNHah91/0ntdzv/WFrBHxDq/8A7qSczRGBURqmnflwv7AY8PvYd2SfcsgliGjc Msa01pcKESGQ0mrUDTfBPne7VxdwP0vxhbC44jwh5X0b8jvaJtPBawXF5/FfPcpPEA4qSmdt6zip oKxfQKr9WkcpGdbCXNXeMXt6dGs+BmJAG5VPCiTKxK4CXPOMBESdfYFUpDhJBNHrK+MD7UTdT8z1 s3AsZXFC/hWyx3HRUykwXSG1TdD8J0fSxREnkHZ9Dh8S+jf415+fGSq/WAyUIF/k7w/PV9g5KBb0 RRZ3YFwcubfxwZNiFuO7tSD+Po3T02lFhQ7zpJk4A1rH2klnbhK7Sm+AtXp/JrEMmtG05ue/yw4I P0s+7ttr22tqa+pyUlye932RJMBBvyDhx9x3CPDHI9PAnRgZ+f+n8SwmdY4q4bmIxNIj/mXV+A+X 0kXnEIiCOH+Uw2MKJgUcbJi921lgnOdkQiQOfrqzPMjAbwy8ixGvd8TT56YS/4evdguo7BBsX61T KvJmUVz7/8uKZ/gHgcXng78WuQdcTM7W+mCj74f6FI+iUbmCsnupTpyPJNcl/w2Rn+fNkWcnsKqI 93/S5xhR2IyYH1yIDIXBf/GNcCt4Fi9x7lAsZd7y6ZCXk4GrMqEi9Ll2uK8v2wd6RO332hmin7Y5 OIllPM6hnweWvRvMJEGp2SAY7klK9HpLmx49r8Khmxg5pl8kFLU9/aamTCTrdKePZFUnRgZ+c5Pu qDiWSe2tennDKJsLwP2vLf881e/BLC/nWv1aMOW9ful3Xa2j5W7UP2kaYLprSi7CbeSe2onnj4Ff DLQXefbBKOI1WD+2yMMyQnsE7zGHsWq7Ue5Ede2ZKIhiuObW8YrOOOw+vzXPbXweSlY6Q+qWdsAK 0T8RbKqIrP+m9+KiOoVW379WzzdAEjmMuy5gKb0IxVMC5A0p8+5nhmXiplMJAXYuWH25I3PGLTpJ FVPrMAQQfeOFZz9ZnY4mupoK9Ymh/v+4y+QYVYH6zJC7/O2lWdxqK9agv4NdmrnUdMyFKm+oRbnl SYlhQ6GntVLo0SmVqhWPL6I7ryvbt8XSpvoBxuYq6o+jxwehtc+0G67K62UTfDdYSK68kAf6GCFi ilW8qbsEUoD+vjmrfCG1I6+oqyY1WatHHKhHXTcb65yPIOYJX5rrKpPqR0u5KGjzaW6lAe/DsrpO 6oPXdd3SMwpwkRwJbZa30DqPDh+S8wgG/8JrL9G0ucRER3tqfW3+Ax1JYERgM8jZi6W/QM6Dy8ck grd6ehamLtlN9cECJCNDrevK7GHTNyao1Aes8tTC5u9DYxm+Xvh8HQlRAJDq9RW2iq4YmrVJgO/F eyBKrp6zYPTMvvp8nJIHFx88WqAuNPiigDpwoHUkQWeUdC0bJ0pC2ooql7w0e/+IrjI0jpRAXwVE cNghFKYKhGzr43b4ic5e9eDkc7hVO2LZs/FlF9W0r+ESj5DXAd5sWTxPVGPE5IyZincrhU702OLU CN5LII1I9NKVpcneTB1Jkl6c5vcMLhn1Anqu25gizDNo4XVgNySbo1+W7NMYE9HqUy+I6xwpTvgL zt/BTTPykoopNWaLY2C1kA8O+FyHbyOHKZFrh1WKUVX6DBpIgFdGDZMwmbLKmFmp6dB6McCmJa3+ 14rsX//2WwfUbsI0jJqdVKIcLRefGz/Xbiin1y6DT9gJEhsZ/BbeaY2nGih39LEWt2v20ZoYhCJ0 hv+j8LNRpQRSS49qCfUg/4ElUZFOdU03DV5FpHG0zYcq+oeem63jD7aPTQaQbkEANH6C3ErRYKC2 ad+tia8nAmB9/1tOGXpzXShXreLcXRux8G2j7KoPpqsEqX48AMg6MiwtgdNgmqmcPyVYqR2oqraY eMVOAIuw2ts9fS3J/PeDDKy67+EkwwfkQ2ri4O2mBIJnNczd/Xq/3PFc8BKnhQzCmAAndus7t4yL VpFKxZKo7btWscHYQe1hYIMYoLMVRz8I5k7EzeMutiIxwKbHNUvuDyULt8edLn4C2g3/KU4GAa0G d/u3vwhyEH/0UTl+KoKzM4jkZ4xlV5nuXSQ8V4xf4n+kq88giCfSN7l56gF4PruVgN0HvpNYpTeL iy+AJ0oKbvS5mFH4OvDO841q04nwytKUuXXVFTHBOR1YoY0JwmV8Olkrq02iX5hWv681rhikzSdP Wog8AnzCbZVPMVwoV+zxcHbauLtplz3+PTTbQxOFnZB/a0dbUP4BNBEq2CkaeC1CpVbWUia/y6wE c5g0k+scJgGjIQjMNd4dQxFi7TPentRGQo/+IBFqMnrIOHPuEc+riEdnT3qtjBI0RbTv6woEdOVM gGN2gMTKKBUmSiH/wqQqJA/6S4/1t0GMlgR+RypzLtov67mdNd6zvSldRzjMTI7SPKRCx9jfGA3c rycory50WUaMwTNZMiiez1lkWIOZzJDB796C0W2jz31h/GJsMQvRBuex0hTly9PC5gqFfxfcngPp VMZ8G+p1Qtn1YOCG8fbL3ABwWyWDROTs+JNdQLkDTo6q0kZJVZm4Lm+c4YVzD60EJLnH2TQCk0bx c4QPGsm1BIwywi20xGKfscAtB5BjhB2L0OL/ww9LJhfP8ts8vm0WxI9Cg+zqWM39DISX0/CNyUS8 IMwFO0Mxb5OwkBoYnqHf4iVajsoni5k02fmE/I7gRG3lq0E/uiiCXfAeFRbc3FXZaNguu23StMm6 Y4wyqrGHM1V7n4g4TLecoGCjnqNAUAhOKGe4mmwKzh11HjWFRi/YkoSlTLsVrevfepcdxjwlFF7K 8yUUsNsYjXFYog+OOQ4TB5EhNQ5/1sZROE2LIx0I64tLjOVFyqW6V/eEdcv0R+QtHrSBJkyWLpTj +SePO19maJJGD4W9qIpKCf2UNsL6+dZD3aB1uQCxUuS9CqiSmyT9Wunv8tRBj/eONbCwqybEfKk2 n1Lc/+56w8p9sD15PslKJ9h6UKc8nxOvyOJBukqoAW2U3+hlbr7JRT2ipNP7okwL5zJcSk2RJFfd Re64+BaazveD6pbPLNxjPFxueUdHfpUHwW/1ODaWma2uZQntHiNi+cWiRMjwMvUM1eZFKwGwdVrD 3aOJRcPuDBejnY9J4pLvQLOCxBk3002gxv64sZ/nTvhrgkCNqJv4pgzSzCisZwTJa8+B6wZV/6Qw t71aHaiheUqBXeK1Q/rseCJRxjoJTsMrzeoldLFjUjwOINyfnODz5PtYPIGm1WaV0e/mEkwKvGz9 S1hb2K6V/Tt9HF40H1KifgmtECv1rb1yIn/Tk3B2DfI9AUG3Ab9rwEIqNkySZ4UuKqcGMfTQ31cE sawZftEVNZ5S953pk4xceUJ8KMEmcDysPa2mS5DGBSt2Ws9k/nVvb1sry5mxJnrKFgutGj8/RwB7 /vSau+LLKfqzIMrPYAbg4o98eEnQNUjTsQ0eJDBZFkvWa3ALAltRVMNQnlTKfA+gR5dPA8+WbuRj pr5Tzge07AF4HVoVVT1HFVy1OEkOXAAMn4gS/bnAdREL48JCmL1XGwMRwYq82AcBuLgY8Bil9kh1 H1vGmqJzKjvwdeu31H1yhwmZEV9gyd8WObSYP1/uklDO016QY3kxbl45TXmQR9j/mEEbc7ppgsWr jn8ymXppbcm8vM2eKN/g1wAj5VGwSsbdyhqpSDtW/Og4nQS/IKNkF9W4nKgfy51YS3iYUiubszD/ 9JtoaITHUZ+QK2ALjQFUjegmymJEYNp8H2A+Yf8OAL9cLACH1WxqZgX80DI+CBNiOTNPuo5X4U2Q od9Jchsvm9Q6YfuLXdgke4llorN+JU0ykXAboxsw9DaI/QGZ0fQrCXAqYs/D78PmLJdY69kir13b pAuel5ZIw0ySbHlt/Iegf809xShr+6J/jdzteyZJCYXN/zZG8wmTdn2pfVni6dJ1nF3QezOCSPQb K3HYA2OyExJsI81wzrgAZ9VhsZ4BTkAku7BMahZP6JDcABuRM+Vpz75eSbjs1zqbcHfmLax8sTF0 2o4C7T4kUl5QrS9YZZVkJ9wcbYfYwD15f/jDppzvBAf5G31jnT6G45sFPbcvsz747jCu5gOYmmjI EMpUhTmZJg48xT17SRkH/iWx7bt6BmScpG7SN4TTCA7UtPyeTvVL3itr9C6I7spcyJIJc56K5wEe PsMtWIWfmdgZ0vGKhq1yGoz0Ga6ZYS6aIthZdx54nEsMGR0nyr24Ky4zrSe/JjTI9avUpUOtlMOQ VCv3wfYAtjD33Bjhhdw6he4fD2vDKDfnQ8D1efkPJg4EpAMaf/ZswlIkKVaT8PmbMgkpEKUkO9ny czM3G6pQSuyDYDMpkSMwt+r6KiYevHLasSl2WZt/E2T4NZQ95efmXVEXpnH0Aer4PJ+adbkGkryg vuoMGiwQ0FZ5yWxEgPhdH/TNaDJXHZ02SVJpXJ5lfpwgFUVXSno4NiKYwgtEzXRgh8AQaBHpFi9z MwTT0qhTe9illHau13yBhN93ejNYgBtcMxUkThqAwSYle4WthzC1WhXSWS0972Rw1orNzhM5ROsv UWwHJuJ0u39kk3feDboQKh4TWTChrhxd+KXU2bHjE8SjPcJTriOkDck7YsVY7+U46YwPiGuheAKy kXeXwYjndRr5o5Di7rc2jceoBxrdOxbzYKGwouHpMp1vQVG71wvdbJTHt2WMOPdSVdj5L2W04+uR 4h33pGK2sg9mBK7FAaZfLL3iede8ql8MhbnSjZAZYfrITyDNYfuk0AzoUpzY4xaEtxOmdQrWMLVR NfmK41OlG5N5PWtVEuVp8QWw2VkeEQESEH+138wtNesFoGLCyfHnq39gEc/6toI7jvO/X2UgRrRc tsbb6hzVDov2U18c7MHtB2N6Olb45w5VUaxULhyz8I+rhOcOjd7ik0GGl2aW7eQ/6nWpPr2AcCb9 UJpriSxLpo5k7Fb1hNXDRhS//W7fiGvf587V2RX8zl7WyxSfYitF/74qDZRs8KKWhU7z2JbYWWVO NZNAQm9RTHA6KqtnCjSpi8s5ouBxLB/D+Z6feOI9xRSZLY5A4iKxhT7hvUwjBWEMx7sFwXQa2iwA 456VZcYPdMngmBNncIjXrxmu/jHh621knaSjoBW9gBqzeXafRd4pAtKW6j2khuJ86Xv60zSviLR2 OSTVYm8jd9iaCD2pFgqqqzEp9b5VW/XD+REQPYDOHCWoZqu/c4Ls+OFuqfCuiRz3KhzyH7DsATLL UHnyYihIz+axTCznM1X5kMcDnL8TWfSbwQCfUSuBu5BoYi/pOjIC7sx4YMCuJp5Dn34N7VEwinLS FgjJVPaMpt3VVSn16DeTJU3QyxfynrkQHmGRID5Vt6BVjfY8ZbnimV2uYu3pvwx5H+dVZAdBqF9j I0yhI2YBbDIhPVdm7tgpgyQwHjlOUJEdEdBZFiClpszKPjQjkh7LGVrr5GZtKiVrqWD7PNNFXVqu qwua7WrHWeM4NTRdCUX/WDKppTmy2z5A/WPKpqwqSAOroLhNMfiVH+0WTZQpnWW4tRdLK3egohgw /2MQ+kSBJ24gUNIrEkuw+QKiCLe94Z5UfG3I1bHqfvPRzAY6U9b1A3WyAqYbdzGb2upZ3vSDNbYY 2/fTIw3Y63mxKJcaOTOGhvoxw4eQ6mC9/3PdvHScZWjPQ4B+JUSkGg6WBhi3eBsvVXQ7KPFbpeZ1 DjEyudvH0eU6JOP06stYUH1bbd3Vv+0HA1X5MgJJWMngKDAw43TD9lp4krUdCYEh8RcoMiLdvJxn DWi1Tk0SZ6whdrPRY3AwvB2POYo/T/whZSeELgL+JExtksowpOrLX5wbqF1N0jvUjvbIA92Qzczi 9xHiF0Q/9mK5NYXAtFSjphEdFgN6DLYyT+S13DICiWPnhDuVuNOQw2LK7N5iHwzzapWAp37s0Xs4 Hr2WtBbFO5R0Ov7oEa3F+/BMc7Am3IDlP9UsvN7GZ9+gn65KK9jfIxkpw+gtaAthpWx1GFr/hcQT xwmmEJvLqDddC4Ua/PRVUWJioLv1SDLK2Q3V1MAizkOWJrXJdwsgMm6AG8zq/7XPBq0RD8/UcXy3 n7DDjGQk73+jfN4KzKit/yLQxwu5nEuu/REGMi2Gj/uo1S93tVEsKHH4L4xc0CioYCBo7tnVfYS8 xq7BcHKrC5pGjs1jIYoxcKK9ettRnp/AqnheqaXz2XIThA26GWigFfe2tKkZIC+SC3EjiMNVWFEG mGQgq4YgWreh6JQUHsL/kbiwSEEwZIBoqa6VW2XqsCoe6wJipWuIWFKvZvH1Znhqv3Cs5P9gorjz TTXizK8L+xSI+qq5Ez/IVajkreJxmbxWYlxRNDyZcJIvqV4rgKlmTZnlfTIaS09bIwVJf2FtJ3df xgiihrnFdm76vSEQX6xoQR++d4fFvdqqYkg1gnYKvFs+0bioPHHsOUcQzCVxlTe39Bn2pkB5UJQN Mw3/cOlTsQrMU7F7pWz8Rpnm/e1iqjp+6yERT717QrYV31FPQYnr0fZa6S6mRq6djsxBqrW7+jX/ nN3Kzme4tiiX84lDbXlwJSkarvhJiPj36e/7/nFSQP3RyrRty8a0LGrK2SJh64I2CiBI5oh2uju+ drKKi6phjrghaQqSC2A43oNtifkvlz6cCsZ29pnSCbKlRHwIzy3NV1wHYYlnVNxsukOXV87CoATj PEZ00U1DooefsBxepniGh/+SdpiFvv//iDRRdollRLBJ1zb8QFJyPm2J99SmreYF6f7tAmcW23W/ NoFRglU7wJrt0aVFu1NX3OO8fGOvd1A6qthBnC2G6RMovpoAkzSw2w6g9Wcy/LcAb0MDLVg6k6xD uDdM8LP4dEifl/0o/qhxSEO+vNofZLHZBPAt7bfEVtfIrvn4Nj8JFxCiIoQSaeiEuChH/p+hKcuS 3eZQRkRPbXnZ19YB3tZX9t20v2/t6idwgp1MZ9j0XTUFTYtv7iXLf3KXxd7KsEZJuqH3rYM9BQyI Uk3VNJCTIrCfDA0VVHNumzbUTE+NMS8HoogzuTYJl1OiwBtfaUMl2OUpNf/G57Xna2QGvAXbbTAE SwuVDCkqd/2JhEywu4n8Hvb/lzM/+rOMnBpfu+Yfk0tXxfkgVg9gN8DJH2BtS1B9bmeAJjC0KBba p5aHeqfUwMQy3nb5h4SYb318jUAc009O+pRbCq0BwhOxr/jVYbtz8gffch89igLduWdITlmxd/JF P7OwSg1kxnaFjuC6XKBGtPiSgFCcqBVQPUOHpvlODP3E8T8EAmzTvAsR75v0qzrlvyUTcunzRZch C4TukASvL22OnFeYY22hCsPOuchO1jAOKf38eycafH5itxvHb7fVj9ipU7LdDfh6dJ7FVlJLkSCU UDUkUECz/tMIq01JomcNhaQZ6uL7DyAIqB0TaCeYxZeUW0Fbe75tXx8SpUS4idrh89oLsLjyFxsc S1FM9R0AQ4JaBRfuraV8aie5NiV+YSL9OvJ/deIQaRsNZnqVKOJ/c2mzbWGPRoN91tjK/wLRqaki mvcmjH7O6Bl/UEtQJ1x550bGP/W5HvjrOQRZWD+ThZs1NdfdTtwmeK+MvtO6sfco9jBmIbamZ0jg 5yXjyZpRXzf+cB9XytS0JlXco3b6JrFgOP3wwK5C7QImT3L7FsbbJ9VlASjwDLxduX6u3WcuYrpU 8m1/uZAC7B8/uFBcCSRiYlEUS/I85wRVk/ychhgpLMD8FsD5g+wKDS97nPEOaIwA4wJ+iZ2e1aew ovoKeiHv74Vr21jQhe+n8KrMsG35SQXmTA+FNekRjMQ1tBPziW86rBU8LpSzdjgiSawF7WuB3Pcb +kxwjYNYwKQp2w0kjnFAVm9AkKXqb7O8AOBzQwmZaDo4kYsTcBCSgkbUf+2zd4Dk3rpyT9V7+m0b s9hQ4t1LXb677Mmcdana+U7MTOgTIFQAZOaVrsJMEizGtbhSHaic4T/8VNlSxS/gCiR2QpXpts8E weSLlU5W1ke8asbWkhLIuagN4jfiaAtsqdke0RBuAqLq6IhgVo227R7ZGCudQD7XBCdNaNryUPbS 7lWqgeasU1q9tSuYSHfCNKu7kYFZP08PYgDLqwVJrfuRGFkbfRL6P4N4xbc4hYnOcy/TuSDajUEc WxPXcHwFnO3MvDuDtns9B+vDzGQjMu/HgdV53YwqVcmcLNDa8SyUmiYwZlONQ+xMPH5qNXfuVpvh sUnZwUxBisyH9dtfwypxLFcq308DDCmrUgv8OWboBUiptoJ/BAftD/qvoShWGmmxoLjlwBEqojLy LWCc0skVng0zB7B4ngj4IjBwdmi09tIqpdRbDlhymX85tzIBo8wW1ffdr5Hktv7wQ9l9ftKKc4dv /4AkYG9A3G+RRRsEcbH3emDl1jYvPuug3ow9YCPQ34ukmLKRihwZYbVjlzWr6ZVuKXHP1WbfQztU aoUwARqYU9ElCcOUDO6YKeFTPfvWFxa2jK2m1RPaHxNkpcVY4S5GuZmQ2dNEhCwXpq5EB8JLQpGx sPMbxA0lBYiuCxCVHFTel/pgMw0afH1OAJCMjDt4+OzucyR6AAG8UrZ4aBKxXIzgsXMjrKPDm9b+ mqp9panvZVWefW9vfKcJYDuS/JAdfkoo8n76qTnkplCIwaSvBDGO/igQHkHNeFK10Nso+2AF1J2R TT18FDc5+PiZpPy0aNtjLZ1hKmnZOwJ1WcZ3uQQJDEx0WMY37sfKhuqwHPFh2/t7vGH0g1cwCIgi xoyLQjzqODu2onlDWkpuU0Lcl1NM52aJo7TllyGfBLBGjf1Z0/u8oRbC0u/MYkpZ7ZmcqG4d1PZS DLSJIvzMpvmzDVacQOso+VxW+E3kxeF/0bln7R4MTfAjVQzwwY/rs0ciawR9MHh76a1QDgQGLOyN X1Rfp5ZFvu+fBGDzVS1bnhMOxUu5RyOhqzgeDrSDONA7Wf3nYHdiW4hNSAr8JbuuhOJQiICT4KX3 BfK0ytrLqE6fPsQFztoNvGjh/vY4c6fqGFXZK+j7VAgvpN7YSpNFM/eJC7Lq9/FYLet9Cpo4Bh3c 2M3S+Fr9G2I0khHdhvQLgwtvyoDQW7VNNydom2DiwPjXqFLa+hHnX+XQPwFeqvgI0MadaJfkixzN 7KZxUQULsKMjxjD7UnRnvrexY/3qiBPT6flSoLOo78dBqIp/Ef1k/vk6DWYgysY12rfsJ0pW52z6 SzJP8NCxRa1f69KtVVIblOqHEgH9vRPu/kePm7VmTcRcsBhfoZoOHE7d4U3N7riLRMiu67bE4dxi ST1s7OfvGT2iWBcELon5f1THzqa6mwNMMMryCNEHnpYfBF4errBHfP5ccU0Snme9BFIpeyvAnWcB wEaDj4dHiI1s/0ufgkykMcgD6WDkri5w+3gKJ8DFoOFrTxGTgbv8D2KSMeNN4KkUUzjBLb+kjUna CmZC6f594QOO9umiuadru+FdIOcNcp3Yp9hD1f5YLFOTOs827o1ghsLaL+z8ZCbdlmOLj+KWHppT MyMMpKwxCYOtgpwYK2zl3PEh/8eXvsCzaXEBhNk2egxeOQZS7rZgsAQJyN7IqRzuq8eYg6v17UoW iYk7IpJrAqxAFH36tMWKbIVHH/NDwAWDrhPDnrHj9Bdn//c59zAbU+cNuyyuivzjTPea7d4dCav+ fRssOQudwJU/DlFrBRXZ/Q1dhZZb3tKVh0l5MIkInqyb48AFAREYvYnYIrtBxXxCYeUShuVdfEMY px8bDhdpvvh8dO1zubxNAfWn3wJG163SKppAEjbPndpJcHvaGjcOBszTNY8XxgXlXS8PCKo/GObn DWva6buRrkTcrCrxpLqfE5sFIkAhQAt/zrRYFtvRsd0gDYWt+rWspv90ExCV3jhOjdSc3ZCPAR5B t4R7LVDb7e/T1A5bK+37sUlKIYe0XBKb2jCZBPIY9FvsxAGZIAgMM/UozDS8Mt1qpn1qfODDrsdt WvNBmvh/HkiPpMnFEsuf+5vE0LBrdJ6ZoqscidUObTIQ3gIh2YTsB9hKjikO6+rc8Tv9rY5CDmlE s2y4yLsKO3OLbyNagvGTo4hujky9ZwxVGn2WOm8Ty97cbvxAZdgP+dkwUrasXrfKV/7XsTSc/R+F WGXhizxY7nOk4xpjgksr4+5dqHTxccH/ta9A/xbfUVSIK1C6KYSUX5Jqk5m2QfRx5KS2GIEu6MS7 qjANh0xmvMJ6a1KGt2Y7QNJT78a5AX2q86CmUi4moX7opIkcE+4f0fCJtNCRfsQevO/bZMJOSvkZ hq2AB5ju9J08lEsKV3vguWGj60ZVh8v3GPj8xc9+tmYwXsRQ6gSFmMQ4u7V7U6SvJcQs+nlkgtmp MDlKN2vbLXM6R+Xbvudv0woZD0yUXohoHDY7sbF2/blppueK2C+/INCLUuIxMuRukXC+CTLjoSIR rhnaLYDD+baipCT3vUU3kZgtkHOWpvIfTjDj6OMUO+1Dxk7f25OUTrdfflq8Z9wP7o8A1tAXWWXq 7XhmXRvOTp2WFA207rHkJ8ldIABAef+KHIUd7TaeSuS5mrhChmE6nlcP3CSjFdRHe0NhwyTAjyAr uRZZYn3UU8XH0dlmvfiV7j8RkfoPYK93lD3UepVOYXbJcSAVDtw0RCQ61XWV4YR5f+QqdKpsBWvq PISEsnySTnnXi7hccUjDAigG7dHgyf0mqjcO0+oZAoAJt6F4JVyWaPivEQDT4rhQRPpQL95SF7sy Xh+pVthMK1wx/5sCNxrZ4jFA1VDX+Ti2oISD9ruy02P9ASj2Z6LWG2q0yjOhs6i4kJUieF99E8VB +OCrBxi5dUx5i7ah/xHMYPDzMGTm7/lVBiGncFAx6a92lK8FVQbvTMaNbelAeXdyAFAbZ0Wi37hv Aeef0jOiE5DoYFHJKttaU3vocx9Xn3foXDUnCZiLYVC5H3Fkc41MurABwEi+9NqyCI7Ev+OzhHh2 oMiUJTsHEDMCFC1rOko7VsNgUN/uFpxjXyS+7KUe0xZs0WPFbmu89sZvS3hjrjxHlODfkp+J+hFk DLtu7fq5NDwaTd+Yv3jrkpdl5Oe8+9svIdPiwTE5I66XNL028eadoVP1kl4v2Wl5E8fc0w+mPFBP yTZiHE4oXkVhOcUq7tY0pznzNvhDFVIyWIJPebxDt7Kw7crFGuUW8rZ50pTW0tF6w7IcMHcFnbXW Qc+gCt2n42diWzJnygoEB2Wou5/p2eFu/VBljrNhbmQIwhsic+nsP3nCOJPbyW+TquzMsR78z0y/ oyFGMzQtDN9Mq+thkh2RXIo4qI4b2P/eXntCDts29gP8SjtBgPapZNlGoVl3zt+GKd5sKUitliHB UdvEYOBYIkfWSqJFYBkhu+0eudxP2k9QJ1drjQ6Gz54n+Q7U/J2hijgcTw0Hk+W6RG8n/t8DfhUm 6LgnzuGyYR36R3Ti8kY//i3/4XsPrnwt2tDFiI57izM05KjttQ/AoDZQcYQ4LIOyyj9Udob/wmtg a5FOhapKHBbcianwE8IYAgncKoK2Aws5XVdaa1U80ohNlErZZV6BtQoQ/w1Q3uLK0/MaG3dSSX68 heb8NkNtbtMcwu73dCtvAsqQUT/HcEwxYg8nxibyWgSW6SVYc5uecHH4mZXEl05uuOv2iOsR0IdA izlKBe4S69zZIEZQo1XlTUvhpaud5L+cRgZ4bik1kiwzq413I/2VDRgnxuPKXfA3Fiv1piJkCrZ5 rzsQfGKpYQFWIVER0zQHsUwt1H3dIGWtNm5sfT9bEEcxBdITn6cwAIDUCSg3RojaukOKtrqiAe7C Bo3yUWrJyrHgjFDtTzHl0Tpi7fYqMllVcRcILkmZaNxzws1YopqDa9HvdwKWZ3oV4pHEu1LKXr4f slLZSPJ9u5+dmcLRvlSVUDe52HfY1yglDTV3c05eV9U/EaYJ5zGrHkA4r2Kl1es43Vf/XEGuunZZ 49iLQ0hjTncLcsyea8VJPgnF8AfKdhXkTZfmpvwLhoCvw2CqQiaxBNd8CQr4Q6+xIKRklu3z5YB7 3qyVV67Np3rV6CUtxgTHxF6CI/Spckr4k7iwid8NuoWKBkVr30YSKkGcrpmR5WOc0PfkU+QsTjX/ ou4U9mraTKmkuQQEzDIJHjmm55a9QqS/7Os99GSeIpQHtHntvVz1BKUAddmJ2DoXcHlWbGpyOp0G /jNCrb1XLZovjQ5+90J+B95k1bjodHoQVKCuSarDIOAiA9fDdW2IXspdkEjDlFcpeKQlZcTQDLMy AucbBpOIv4/wNtP3WDjBcTJ/7d+x3CQ6DaEGznLTZ+OnKzFev894McJBPNmzAxjPAaGkM8VTN0Wi w4ueSzL/cJIP4iCQtCLaKqbK7BWQF2pxi7JW8m1BQBQHJYvP2lqcyydu2P8TM6x+1O74+D20yaos 10z0drmgzZz5t7Jw9wAldoF+FS/2YPzgAFifTG9WppomldZZW3dbgMvVGp6P4Wp9Y693UQbp53My sBQv81XhZGXDrl4Bg8mHjrJmwFMAAz5YdiazDgOGUOhC5RQgOUetYBd4cjlQ+PjYaVDXFU9NQdvy Crb1rUJZ/eHzkNgLuZczYsPO+ex/C/O+6UJaf0nBKd2KaVK1iEzXw3OZeho2gpjq+H+iGiwr+12L 5oWgkM9Ze+p4zJ+TaBT7Cq7T5Tvq/sAfWi0ex5aeZt7+9/pHim9hn+9gLVql1b43gp4I9T49JcPE /js/L++0n2TYpNvaCPwVa1yeMrH4eCSwJRwZqenB8EfbV7jO8t3/Og0hocGATxJ+XzkhTEwplpuq lmLRR5MbUb+FVnPFifFSXNMsa6Cj6WjCrK+Hby+uBOFlrVmDKYNJELaocOYzAfQlBJDY0l6YAigx jrHWtm05xLyCN1SHJbQw9z4xIv2GfwTh/8lVXKOKgmnWVO6OGsIGaISB/gblhmo4OvV6/RY5fPTr KTgAqvvp50ESmzfF/WTl/RNjPrC3SWhSzRaTBmb23Hf0PR5hX6PEebC4A9HqxwE0qFmbp2RXLeT0 Y/FgmjJBIbYl5KqRU/uqSAXl7kfwkaZy+FP5CmHKOwxmrn+FetBIhU6shsiT1KTpNSHgERbjpVgV Mt2dUU4v0m0YdOGgnJ6QcoEOV6R3V6KoA5qsY0B6zTt5DrWdbl17mzBY9kZHbyzrp2j+N2VXxEEA VTSx1OZuITrIjT8eI0G0mj2zgsoSN3IRgPeHANzcq8x8HD64VLItDw/l+Lv506zwY2BMsPnZNPBK s6gL958BR/K0AC89wnF7hE1BfP0uSIMaKDAXfRoOf2vOFZ/yBiRq++y3lE9JIK1tksyLFlHS6Ixc 4ObGxPtT05Q6s3fAgrUYgfG+ROyn5ZTS+grfcbA6Nrpo6hrS7T2VvWmJqIbOY1POG4Jda1ZAEW9x Vli40b5YMr5M7Fo74iIcn3zrrhNUIQT0//QGi22ybr4t70tDWEVCNRKgBwD6BRbO776yOxuQC9tu srB5mfRg4g+D5zOMKPmOLs3IiB3JAIQaQnau2YZPIGMyYL4Vhki//KM8e785H1zxLdljHZKnC/3w LKdIeAMG3VLhM2hv/D/mY5OlvqEGtGqFuyvVowbykLrDKueL3IkuNu1SSx9O0GcTV85S5Mbxewfb ta4uFLseI1lAQuC8uLKX5f3UNkamEBNLqiu5g/XoyOPrnflAmQNBccuM0hMdampeGeNxKhkeEgES uX80pFNpwvWwiGN3KpRilePcjP5xtRq1CUPiKoHH6R16ZFZP6ncAsLbsWBwOIvuegQ8stGV7dL/D xgR2I6sE3oDchPBlNC377PR2d2otgs24PgSD8hkWgjM6o5rmRAtFZJ6SuTxOH9CeYTWw9zfZfLXU UpuyOE/FyupfkVaUrqDer3AeE8PMKtI7KQ+qck2N7ISP8h7Bmlhk1pqYbFK9G97m5/RcKVH6BplE sbNHzfAx+Q4f9n7Cs0DNm4J0OopX4O1RpkdGKMOIVQg+njBAoHvcRFVLG2cLb3ND3msLTwn5A4oP tTP3sEiQeiA5MIfNxhPbI/TFzTfV+qJztejQeAWRWPov++xYpPo6lwZI4Qhrms4d1BfB8WVi3Lze mxcAkxxILBJx3fJLNdRMtB59JxrFiqOuH4j8khWZcKOVT0WFeVzMjBFz8IkdMSLaa29WJyPJfrXM tB9dD0Q13Jq1PV+M2x2dG5X4QFAlY0r9EJ/FZeZx76Wu0GmAOQJWJWVgif/roMNdI4+x0Pewbziv w6WmdIntXTSodtww8HJHlGhiHkOKGLDjZ0l+WhfkIFLtjkc6MFUVfjRYPFq4YObFemLM9i2+u1vw um4aouZmdxJ6Eq3PdBlOStZwO0+vvk0dfn934RI61i3XPIyFm45faQG5q26VGZ+cGknZzx3SKqaI WJNp1EH1ObJrnTKTHC48VaJ5wqu/MfRh+40rgzjA0PLYGWovILsPPpZfusklaAvDO0brUSgQZXcd HT+TMRv2hwjtGgsMS6NyrnCp+RLihIWOXfAavs4rFvBisEJEPjmqsTqb1UUPLrIwgZO4FUcBlDG0 6F1DbCCvabb4BdIUiX5wrTnBRrqu7bt47ghlhgmkulZk34YDgvTNPhnooOMdtqVSTPx6lXWzpX8X TLkakbwck8gap4tbyFmvOidWVz+s6R2Vf2w2K782VTUDtmBC3Wj7mARsgo2PvHWEn6+X4FDxXuyv biiJSYu+mkUu6rZ+iPFaTWnVzC+LP1zkIecpm0B1jfoNN1ZTtxuvRZizv62t1Ma6zjEzSF1iQe25 yAEMARsvSk/IP6FS/cw8z4lPIwzk8AEZ5vZqJUO/seqCMrLag5C5nGNVuJlLUfQDS0v3372BwSdg +MRcdV9I+r1IK8QWbU2uhXAYhK5kTXylFu00V8vuIvwUQtJTBK6n0vOKDg+jJvpIZjZqNrIKKcnj fOpuRaG5NlNraakPipB3TF2eFW6Qz7ZPf4OeXt93PMkRQHWT7xo5ukz5/3+KNirCt2wAjoDxKRm1 0xVAfK/hfYMe4GOWKzxwQYTnb5IR6NEyFs3LG/8n2LHCTUoKAv7V3MnDgq/rSWkaAewMNfT5lg3i 5WFlhP9MdMNq2l78SY5SatpqzdWZBfF9O+ly8n9gfMKrZpNP16/vy0pzP+xHze/iQpyP9onwkFEK 1s90oUYnh3atUq4tEhnT/dvBEusP3fZ+RM73Upp93/C0nFPJsB4gI2R6el0oBCmBuXaz1oMf5C5X tAtJSiGIvhI7LrMDSDuSO3GHPlD4e9cqkiqb8BFjeN1yQGfAgkHTua9Rlf1+AGTl6PAgTwAwjask 7v0IzCDnGmNcue5U1bKFiXBBNRotU7QjAImd7ff2g6rk/z/juz6H1CMAEUii0dOlZ0h71F8WS6Qe WAXp8ILzBnTquB6HBRPCiAyPXwT6S9IMVPjPyQC9tsJNtKnDfDLD4S493ODHy0G8cTAdztv9vUoS p018ZjVi1E9SdyR6ewTwwhvDUUaRGVLljwulDOddzj/o1S1B7cKoTSZusRBPDmJldZbzJ7/CCQKC K2a9w6GeB/eQWQLnV5BKHWbzwf1nxPexg7EMmwZc7er7LBE7M+75RNmDvJqtHvvqjoN5OEk2QgXS mkL8kLoKmtwIxnrz5tvC/V+UXq0UZJcZLZlDyhI+0UQcUae69HQzzUcVn1MkjZAZ4K/nj5Li/n7v NnEIdiiUA/DHWygN/wOopl7cc8CxxcKt0WeVH5LWOD4yMOFb4D77aMbZMUVEnzA1pGsHmb/7UZFU 0taofj9/AHasu7JrfxH1LjcbGXvFk0IHpYuOjk8Oo+y3bbrLNcGt26BMrzHNF24AdDgOnAfTf649 6x3ySQYbF5Zf+xxpKw70tCqGC5f6Q3R9sEezWuR8ducwoUrmsHdDOIlX9ItzkPUjSjmPG+dKmcBe HtIrG6lu7h/Yq4rkVTakxHvz/O8A6dIL1EGFnU9XoFgamAV9iXENkTfyjgO8J25LPfwuMIxHY5c0 wpeNNfOTyhyM/tP7pHj5swNXrhg4GckGqBB46BWPEljGRa9Xo6H0Vo61LfLYZj6eQAEpLc4laNDB SOZbQjzDGQaVGfJUtCZw3oDW6avnWcRABqpw9rt7PxX44f0MIHOMwNdAgK5yh0r5nhmLBe7w4rtb pN3s0HH7DIVOx32GXPKLcFyiYVosdlmOXl0YwkXm9KsFaK1BbvBdchCfbpu672tZxyUHDEe/m+Y9 6TU/qVkFVkLxfJ/VzOjXmb0Buv4FGcPACET1PSq3RBB1giCmggFYylyGNMQ286fCfk2Qcb7Cwz7W H4gz8ZsC8+kQPz/t6XDp6IEaeJEqdrTyg2aNAXZbB4Gsz1EAoCfzRSD2cw9+MfK17TxQZEwVkzOo S//BO5++bP0Ar7doHm1VpOc71GsWDpOY4T52y+NaZf69sToYLbnPsqg6dn6BUQaWeJjqSf5VYFF9 Qom649DipQiH2bYRdVgjEsd2hxzuIRx8+dGCvrcjpOWSvtRjLcHKlHNP7DU5YKj5aD4GERf3B9Vw CeT21ir831D/7CNt21teqox/FPQOElyTVpMzDgD/vKUeLnwxK4MVwGO/Fr3zK7R0K8z2P3gW9SEs Q1riE1lls10XxOHTG5WxDBT2pHlhzLvwxKJIocMd5Cwi5RPVYROZIh2ken7aKWQA19/VJmvu25Oa B/h/Dp33gYHNxrPed5qHU77LmO3pSXsiilTxOtqYXIyWz96hqBQI5P38DjVfi8hiDPV3tZry0JI1 JYGtfOTh8xCD2wrLjFnu3vJ070Om1WgtQzpth9/OxBe/4acxrkevLA39NLPyX4/R9S1MW2uE9c80 MDnQ/X0+5RF9eCvisE6F3cAGqevdUtVFvoGZoEgrceCLpFUx2smG6SOHaFlMPI11yC16iUVL9RMw SZ3cJ2Dj2x39TTUCg4s6x20zTLdNGJzF1cQ58LiTgDCn/4XApPIMBAHJz1ug1Z7TBe5LhBdHqPWX Y5XjWbuid4+EYandFnOlLindR+BePf052nu8ZJd5K/d9zRYqYWQIMmTfubpF7Ad6WMS0fH8n5tgn iqGxJBBEVJA7DQ6pR1/ERHr8GHSoueDeLXQ2AvRe/K6sd2OhoPO2VVxuk3MR2g5vKeT3d2b8Bzn0 KVhuNslFB84VfeMe+VsVg+/H9+rCB9B707MesHh6WWRvF3tJ94ym1JzduOYVdrJanH5sZv3Nd0BZ xfcHwbLh3wKqT8EzY4XXNwph2YfhTFUmSEh62+o2S2O6fsh57MbbZXX5ObZH7lXGaB4VG59qL48x DrbZsX5sjHv1ZlN5clFf1InYPmrjHlNm75FJM/SrZqAwvTZVhS5Ep1G80zI6+ry0Shp9BtkY+Gxz lBlERgxmGBm1lsc+XE8TYmIClfJw4Hmvhindi42/8ylkBn69e+DVUmlbnsDVA6B3hosknQ/4qFU3 YmtvGUlKG4hvsWR0+vWwkHl32GqEYD9OpHGqbmTQaKJHlO+0LdmEEBpNRHeNIpFjvbd+ZTQz/scz +g0gmvxagFqsFCYrlpQo+pMxYUqDJtGGMBwzQTL/U8wWj0VXiu4EdnOCqmEOFvomqoVrd0InuTkQ GFh3qBELSXshwOlTfsWDW10NIq7tLIt6pdIVccy/Rh+nEgqx49hwkGUplTKgYgF6mZCSyjKRG+vj wD/vsm7FgCkavj7wz1hJ+Wp4JVV5ZBxqPC5PNMIXrCjTR7XQCOVdhvXM2Gdb3xGWk5lHdQhDn/2B kaDYs3nBWay4UULg47Vmt92X9qKVQWR9RYMp5SDeJUKfS98LTVqrSGZe50sWhcW36xQ3kisASnmY sP87f+LlmtdK9NdKCtmqjgVtlo1qULQHQf9Xywvf2DTCp9Xz3mqSAOT9cnLs5a470QgFKi24r5KS 0KyhMZwxaod7Y9+AT9GcpEucRnYZOlZWEMUkjOlsUlP/WsfCbVOo48h9iokiKS/m66sGcNsbHTqe qxxEW+UAQLxzzaW5umuiMdKFrkg63O3qo4uyEs8/z64JZJyt5XBzoO8zvbXc1sxOiGeeTa8RPsLP H0WEmvJCxTmQnYaY3dT0kDfOpZKqBC90IiW+/ZYJ0iCTqOxIRXOIGGHuJ7ZEl14Gu52dY8X+5lHn q9vmNOVOWwwOe5jfcb/1LCeB3HfTzd9ChcIJVAM5Mv5wfUPnpKyxeDnlxuuXXmHMoPsja7MUCxSR obomk+aMeH9Y37o+YgfcXuIlmqvjnGuVE6jJWWYwufFv19LzfXVQInuN/qgCDlt9acLhXKbnjMbx BIi/VUxIXUgg05Q4LGv2fUAUGCAmzzh6hF8m0S+rrRSPg80N5D/pWXI+FFzvZffbiYlg9FX7JTBU GSZ3RqHWt8icdhFij2fsyb5p1UZKuTQM07h62O8vX29IWZwpeXVmK322gORxnjxpGmHNQYhLw6fH 9Jvr/iZLINgnaWIL9NkvfPv8OTjlnc5vrmISnrb5+/+aeJTpEYgYyfrhqjKfaNDgxJcN+aVEieAK uiaVsUg9imyIcCr/qio+agzmj43ItlhVhncORBoiHEZb4rSFVoi724Z+tjl9QgrXemJ0JlvINbUV VYJVKmL/ihI8Vzv8x1ltzdrXAnyFDLuTcaC8f+P2SO7ZCkW+kFRKCcsC/HTGjfC6QWQ+MgQAXts5 4Alr8wUOIG5HF9vNJaNSXun+ZlMJYsbkt4CLJXi4ONgdmV0UMxSfYUM2UxnXoE+SnRWFa1qWcx3U bzzB4liKdDo0+mxTQoYgAfFR+S0sWPDlnWezmU+xU4jICsi3UrApxJIel1bkv1ZYGmvskUA7G9eG 1/Sj3APyyFdP1yhne9fFQXlrls1+xfzfYdSKbBiemsI2iBI82McoAWAEF68v/bfKnGkpmN3tNwjo J5doyd+qPu4WBQXv+VVob3um/Itq6fi8Bkcb79NAVvpJ6jB53c8a0e6hR8nAJO4IupkPucVsqpOq rIOcdP5216Q8PajR+SL+J2bAabyPAw54wLcyl0vsXk6zQVtbt3MWMGOBIfoy5uYedRS/X3yBcpHy IZuMandNFYpYxseJrbPt8+Qf4XB3UoviSw+vZmr2/vLDswL+Fw2rx7/tAuffWOSlQTG1XaCgf3wO C08Tk7ahhSsDrDVzovBWZNO816Z24aGsskGm3QxROYtWTKzy4kqcseW/m6wlRq7s36LqWklC+SYt NHxOi2DIHfmDiD6vdaAI1jwe5uCmYWMClBDE8fdiVXmTifqIRHo5kjTwvRjpywj+3YxkZVUyoUMG LtKPSdTp1Fni4/EvGn1NnaKQpbqU0N31xJGHbI3PCAEXqKGRip1gpvFObhDTNP9OOIO0mEWupZHY 7bPwmtew9VyFF5fawMGxR497wt4KrgI57Fop0YaODZA2JkTxHRtY1+buc6GywUI4e97gLoq65l6D ifE44ybwDhGGDpbI0Vj6DwrWgHgiChRqIMwagYqWDVgsawg78EHBoUNFHOHbOSkb+rYjPZ3QWkpB ccE6gdbUGu4G44UFWoc8ZFoEzJMhCWJd+Ax28NeXTdBa96lgDVin0LTPfZD9ZA/698WeBjCJEgSy vyhrtALJL4rlkWxoKztrPVdFcXwUbG/tpdGZAzKNp6rr7U21HXW2DdEY39JkVC25xFL+tILLPFB+ RdeU/ywkOymxfH51yOKMfqacXtZlHT7n/q++MQZyJV56X0wWSCSVmDYU8sT1EtDhZuiKQ3aM5yHl 68To7PBGwwWN4+YhpvtRITXRemgYCbveZhmQaGjxIQ/a5RcdviY26x+7he+ss1uBf+JjQA39MeaA 4AOdYOaKrZSoYj+eR5MmSP55ls+/ECt108sN41xPRcneSJW6+7F6X9y/oSBmrNo7pR3Wmc26A0Y8 DupvDcKIt8sQ77e7GOKyXmeqqzri4o1wwbWtguIbrOSW3Wi490yAE+hVz0OeV213HCMLY/SYT7hl dbW2Iayq9bgJBPytK0BBSoT9jiFYGIGRzxiyti42QmjguRuTZwW37fnHQ09lBXbPeod1wExj5TC/ 4Kk0RZUnIkNOzkQFgNR0MMdzm55568s1HRFQYoCck+NgD6YLjP44yDr9EArYio1H/JmEn6lSMQb6 QkJmHww0fOxB8QBA2s1Z8h3/WbEEMogoKgGtLq8MQIsz96Cgv8aeQP8trMA/ioyXt9taOKseW+9/ tBWqPSUojpuoUXutI7tgCH/z1qZHuMxg7NCtmI70HTP4lpTXSIxfPD+pwU3mV9mrxQoxMefB/hCf M2Kq+POzvl2LGlgFy7SkkX6/oKr1jPbhoH9RIIuBLJzo5H3vvSsAq13jroX2+QocMwStefKNzqnu rkX85U/afyFUtdpFGRN+/xwGTNnwgiDYUHMBIkw5kOZNebs/sJ5rkX7CjRAvxE3GVJIXkCpV3QC6 EeLI6GzTNbk2htImhv7rd6gQp7cruedTmpUKd5IxSe3dTGZ4jop1jZj5HctR213S5XEo3msNp0UX 21+GWyJjL68K0F0sZxQx7F2jp7gAAit8BQESxxswZgP3N/Db6+bLGiDOL7KtwZ7eiPulbrwws9Xu BeB3hbdnXtc7pzlTUf4Yqmr35YOFe1K+GjwCFLpYw8JIujzE2t3E1CgLDrocTTvm+Pv26omie9rv RM8hSKHFsEtFvBHDGvkZqERRbVdh5YYEIA3hmSrzNE5Eb6heYd4jOgxv/q+ss85inRZ3BPcwS2sQ dRkBVg+nTnM9r+Vb1YNGyvRDPfSVMWcvLaiwyy0h7ZVD8eD3SuylVz3m9Jt0rMK0LMoIXzyWILFw 5YutqgZygqr1SWvEMjFabW/3xTrBPc6YpUzslQcUG2MthYeXKLsdUkpglODUhk0tUZR46ATZTy1P 7YREoLzmEYMBM6saAgFPXl/MNQFhOdAscrdTRZq78WnIdTLpQa3b/ykLvFyYXbfGjTIFnZAc/huO YEoNV4aJlKkUJb5ZQbzx8Czi30Bg0Qb03A9ULjVZaGaSJ0a2V89itb3jekPziUA3J3Cvbk3dkgZd 9kcXPJfAGlgwFCakh2Vw8tZBKQX2tOEA9oKfBT9BDVr1OtZu1bPhCyaUgOv+qkaVDu8MRv2DYwD+ kL3E2UypkZBI+9pnKMo2Rv+JUxWpR5P4xa3rw/1lQoz3ICxGxSds/Mj/h/iQWQHfW4M+LieVcT4r mO7YR6HgHnBKaFiQabU8BtRz5vU+ULyYye2ERaHODVnVD5mZwTM1lTRb1c3zH0y0c1IbTZ/vWjYA pYX9z1opi9ysWyLdE8yHc9nlaUmCLXGro0Bw8ArGK8UER+oy3HIApGJazRQuulx3YQ5Z1ug628Ew LlPSg0Jr2tWnl70My7TWEP/ENxaFUyzhtY5RIZZ2TFujWc2l+s5U36V0tKSE0lACaOgA7qt4fAg4 3fOVM4ELDKpAJzaK6guDwgbV1BkoK5vIfxUDgC6Reqjbq+RLs7NmbmTVaAeaDbdWVBr/JlebZe/h yO9Rvk7FB5nubdMyrdOT65aOHkfFoJIOUBIslVjajQZIlVPmmX+8ieXOEhmagXB/lnKlkFA5vmDw MdcStdHCuBaApdAwWAT4GPcYfNitIE1SKs26ozJjvE382Nj8CIDrCOhcTAYc8sm2YobTdM6lg82o qOrDLMOafh6DRF8v7AOUBi9odt7LaoHRg/Hfu75f5pF+/OJt1Yg3gXx/UzGc7WcnxlPBndinSUej ntHsR+Boxr9ffqDf2ISwyf26hRelQEdHbInZFJH3/rBuP74dUn0dJo+SHXzezkIo0tT5E3tU2BzL Bi10NnMHLFydOmuGE9VgqZs5UO9RmjCaORo9dMwF4YrSXM26e4oRxy07UwDxBhoLpqIUEDzVbfsO BFoT6aVcbRjVnPYKYf3U64vhLrmQbvfzKvDGCwe6KLkLcdEsnQ+HBHDafW+VQbFqzGiQWzz9B7+B bZbVZ1fJyzNmO2q5+d5uF6N5GvgSQRXhe2cgVEdXumMQlFNrxlYI7rEc20BYuJcO+6eIo+tDYZe0 6Zsfs54ZuveUm2vvIIIDz29qQ6GUDSCL+icDg+mIK33VOkStjF4TrhUZ6uyj7vnWgaIYDtwKq8z1 TCDL+P0qnlhrnJtsl6NpCY2EkLOkJGExgHsR1U7LO9JJtoOqcWrO2icwVM9SMTmRmVFs8ak49gsc Zcb0j+KJ+ShwECKZSiGr8v+mx571Ny+gkm2LqJi10UJ5+sV+U+ozZfgCdRtwXEK3kmaOg11PeehG ZRCSRBSe0nrVsrlUWUATZjxv22RU1+VAfmlcdH+djSGHiqXrYmz7MKBzPAK1kqnVpFdNRHJ1RODF q5a5IyiBus7zfyEl0NuG73Si/IdPnkcnaXY6nmA5m9+7lqEfUTfttQhQ87tmX7hSEKsKB3VdKqrk Qj/k6ASxz0fHBuXHTFlP0qMPumeLflYqL5TQQUPdyNt33HAe5tOu4ci5rK4xDZ8dr1bdjAXWsSOD 7k2mQ1yvT0gMcz6K5GX4nH6MAR5jtBo77DefVldknNMmuS5F+roO8iE0GGgmOP+dkP6uAI2dQAkp rPlb2Rq2Ha8lEQ4EHrX1Sqh6g89idxvgfDMCPsG5L/DuhQZ66HCtVS6DOGI5OtHT6cTE73yQkP2m Uo0xH2i1oRl5pLWE8ydjtwwuxNnVeXL9nnAuSmiiqOrXzBWO0+b9GCA5tlLe4aAWtmgiRQK5co6R rNtk70PNm2yDasBNgXWW4cMkDeCjU030ywViNTm3Qak4FXLeeB9WTHOEPCeMjWngVPc3f6qizQiE lVfLIy+3Zh2+Bf5x1hWHeFlA6Z6va1gISETrfIP6L56xH3lClkejoFcOdZiBBWKhlvmtNmos9872 fo223AWKIqvowEjygRVWahpyCts8yQKUv3K9gHlVG674s+6N9iyN/z32xFTgMfTqePknYxf8bWMk 4Ul0wOcegIp4nKR+7XOhsSlcjOIQ2vPIp9vx2UIVTjRf8QFVSAmg2hrGr/iUVQKDhsi2J5b6Pfnj 4/fxd/f12oMzzFeQAdPiCzXg7F1tmKgyGpYcDm7bIUT4/16k6Au57dSDmUxvFko4LBx+X5+g+3zF R+IXvn13ZvBMCQsWTdvTQE2XuCwUH4l4F3A1nDTMM5lYDBxaLaLAKa9wCgYz7PvvmW4OEIFGqxAI JL8Dd9p9SfN89juSwfj2KH876i2y9n3m3eU19QW5pDymPlfQYeAn+2vf/kGU/8DP2D0bNDPbZj4i q2u1ZyfTIUzvOCl92/1AqcXgGVgnBTgo2bmrHL5nRas/W3gYurvHXyeKDfLPwISUnzqjlZn7rAlM eb/qXRTGn3lr3s6CwUpR+eGQjhVw2YLYfEn1ePST39JY4z/2IN4vCAyPNpSCee3ZHv/3QaaaSRM6 VFrmxfq0YlfHvxMR9KHpgFLKpk7ObmE0glh0Nnmnw7qU5aAOL9nfjyRKgyoVagS99DxGaKDRyhCY tTVRIfwlllW5sHVHMbwGrbvX0z2/eAfTHYpcS8rpAs3pWHQjW7qrKPn/l0F1AczSGIqXcIzwGp3E n2+a7a3CPiz+vifbKZ0g3w/u2+Y4ZBLUoA1+lMG3G0SnNfg3PhdCyMypwfh1F13Z9bve0FrdL+Dy h6Z28dLpUUr8m1f1VM/DYfreLUp4QMPOl0vaJwZHfdFHp2laDWbQRX6Hkaz8y8Iti6xzNz3tYVwk IJY2StAYudGo5gqUgrzMHrKQYLzCEFpjzQDo53+7Nwap7njZVWeGEBFSLhCu75N6Hf/FUDk6ugjs UOJ1zmPJXPFY3Ifn31369VrPsF5Wbl36p3rSbpGxsoko7QIIS9xWpHsyB6eIdnoxdi9U0vMUZqA4 3RZqWYclUKglV5BnB+6DsdZxQTFpMrYU2JTZ0v6Ub6fCuSTYfZZllIhge1IcUNCDxGmJ0YiOkyHw xKM+tJ5qButPdSBJ8VTGErFSIi+oOTQNerBKyLGm3MGjv4g6x7Ya5uCjjzaw1HJc7b3xtBgoVQzz ebNEVzusA80kUg2qfK++dYHfsF/RvHsELLJ7kKJYiU7kRvFqEe6g08pcZ1MXVBuxfdrQ6JshvYNP 7bTZAP4CvVZbkJDsW19a4di0LPgSOnj+ZafS00jY1eL3xikxsSsvz4PEgVW/qRwKYkKeHNc7QCPH Ve3yJYWkFiRnd1HYzz2l33acURuoBLcp3iZg000dSwB3lz5lrjEv3JOoa6If7xvTbsZK7MLR8VeB z5A6tWC7oVYjfJMVLoGSFhSUcc2lmV3SqEXem0P5zHHMU66DryQeQKKLhS03mEGbkIQaQ5XRqPw6 Rjmdvb172IcAo6ysmeHHFRJQL+vg+HblIuWYnW/p7hr8ViyujXo42UGRcVz8GdL6phZ6Zx5iKVQp vHTw8oPzVYGS5tb+yKwjPGf44b/SBzOQqou6uAZTdIKXDD3mOTf1X/scZ7NWVda+Kj63dzGRhJ4S WvRD38y2GZGnn2Y2mV8Nh6LdeqARieGMdnlciIIubO+vdICnS35Gw30MPzg4rqrJbTJrLu4/eBrE BHSoKvHE4mLy+yeyhblMEF4vF1TGd7agyaheWAF9gzzkdlPj38FnoG0g0uMANN1a+jI9f3xRTe1m khFlR1ubt/P3kfokiAO8qNvhAwfI5jh6gKf906P0aWKw3V0pH4lUzgflnhX4WiDhZoYFzFgioe4n QonnYjsxcNmTMxMO/D3LTMXyxpttqAQpgEkJo+GGyyHTUBNikEpGyGAhXZkr/ahReYtA/amBXRJQ SOjGXH+q/w7PqNlVf5f6xyKq+HwjbPeWfpohP4WHQzFQbDTz5evr8U15TKNfHtAgO2AR4wUJTzk3 fjpRMd1k8Oy+Fi1loEsy/DDZS2aUH+Jmm/fasYiqcxcKGjMj+VQzeM/41cOjgzuKBG/LRp4BBN9x cwyHLkaqcJ8+wdBBy1hJyu63gIGuRphJz3JrzvU7Ls+aoMXXDhU9Ua4c+q3I4C9oByF/EM2C8IlQ wFJE9AveDFnJgESZO5+60MD3v+geH3DJr6DiN1t38CwOr+WYoMs/9n9fDp8bHnsdb6tdvSpNzyIM 0cvpIIgKpeguoVbih5IYtjBcumC1g0Gcl0av6mhZOc7v12QWFLzP5wMmRrRv7iNTdmr4LL79NSe5 WfPiUrrJSoMGgkN2qIzK5F2qKjnunlCVwgHVkz2jzrVV+nr/d9AZVlzEHnO9fqbxoDGz6Tf2/yhP EoNLzaVN6W8TJiet8YIzrr81BIVdkgN5bydq+w/owrWe7S7EksDfpP2vobw8JII9J7ceW0+aM2Xv ob/7lHYfTbEt1sJ83kG9oMCTBLyJMNn/1AvXBdqPG7IIOvfHzlbhDelmmPMpZJw1cXGqEbDJY48+ NuRU9e01niceAfScsbJpVU5oa5YoyR1i/f2ZEtmaSlVEXuxYWl+Wu6WFZR8LN22NaKkxcaJaNuIk rTBaVZaA4NUEe8cC2egfz99dhMs8NM+dyaYSDvvTcy40LtiV5q5ZzZjz3M7jrywxk2LRvbcDfQ7z nw7Bo/Yb4+gyhlGXc1AZUuxENcm5XDv2CIAgw2YWK0iCc8bKMpPerTQ4VDdskSmFamYudcjs5aON F+4aR1YwM7GRbzxaUkn1gRQsNQiFcG5K57syWetUwo/hdcXhgzwLZq6qO2yQyf/OrQDpIvqRuEQ8 HvuX1glKQEK1l1LnLmza+CgCKmkNTgJaP7b1E+JsB2xgzpKqUHpw4565MFva57mb/EuRnYEXISqO tA8wn2o9zwBFNLu0KdKblHBsPX8MR4ZFDd09GmRUWUa6apIXAW863ixkyGIYn/ciEN26taCGz1pm dQTjA+i+J1Hv/7Rv3jf3glpXROiP7AFeo5xeGNYphOUkgPtFfp0dHtTPzu9+d6NJSW25t/iTeHwX WSly0uttJ5DQcmGZvqf1Rd82gPWGRwljYAyaQTR/UqGnSDjVu16y5/akL//GIxgENhg6Jn2kRpHB e1B4+xyohvs8JWFIHPrmFf587pxJfUJbYZjH3A1etEbF2SAyZH21D0MALl0NAAix2UGOuLzhtZdq KKD00Szj8tUri6ZaunQoG97gDW+9rvB/U7ps9oe6Y70xNLNu2eD2Wu6rHQslx0kig9mDz5hNQnMv nXzxmzcRVbxltnBRYwPojaGEcYCg0E4szD8OmkP87KCwfJfO3cmpGe/XIftnQvT/m9m8d5423+9i efsR16oMt1+14eZ3V2UjYfz+qMmENMk/MLzCP8OW+oFT3jWZ0yWjjDMMe0h6k/PXGTnQoDoKRvyl 3r4QJUAui36GzagjRpHPtM7kBjp3wQeQ4rvDquDF0YF8pVErvdGnm3JnZ7TF4cmNeiRcmlAhxjbi xfNzM1hvHne+PMeS+QGcGec0YIgWEhhBoSyG5mzKvrF9ZUX0H86NWvzfUJhmwjOEmtgkLn35ehg7 pZiVrlO08433Xd4p13ZiiaHsC2iRT6EGKCvWDWkx0Ppzt8SIkOauieCcCtLMN3dhKiZPKVYfjLgu iM1n3JWhIaRk2dB04bj4R7LzPkFcFntK7mgA6ZJGGfwuPR4IBknkr4/j3IK2khIVaU3tLQkbDoEw BGqY/eQOyRt/cm63JLZxcs76f8Hs6qeoHmHyWZbKqGg3an/qMLXjrGSk7S1+zkANKsfMI5IUEMFt aEzAszxQu+Wp/9C7lIoQKlOKhJf3zS5EvAOzguDaDJEypZpu1s/VArLVSiA8riHFalVhqnKNSTEc L6S21EhdE91twRFMegpruCeyK/l8MpuoHM8ihIPZGSQsfEiL3SWfNiubFqQPwAg7og+bcRUKsZc8 QX49DForIRspsMg24qTZmim1nfbtI++DlXy3I1X1NAGdbEcF1SAwHq+qHsylmSpsLIFnihlKDFsm Vx+2gtRf74vw8n+oDKLgaKOr3daAZm5pZNKtNRCLQnTrlBGlhMCS1c0XIxcYG32+8coksbmkjxtn 8CC/AT/R1e/+WjBb3Z1tyNQ6CIlKewvEsYVTkwMwz7eVQvrYoAqAQyl/8gDKIL/qsAp8OlS5tMj2 76d/A/49naTCccURv+6Ksv/O66CSkkQXFqencipGRFF7eYZgCaCV0Z8vEydsgcr2vOmJf0Lr8wON 1joqRGUWdqRZIJjnPP8BiE8eP95NbEyhLxF01HCk7hpPjxNjU2VJjL5VMwy+iReN+Bh3JX7lQcx+ +sLT3p7tibdNO1GFbUcWV33/Z8+GIeBeEv+wrQAl+5OYP7fmWRbS6xiAiEsVAn4zVt6urlB2vIP8 kbcEyxztv5+cDaBWDs/ip59fhIVaqEPHI7WbwmevWoI4N3Sh9FNUs3LCtHxY/98R3WLhgQQBiVmS 6C72YQ7gUXI/dxmtYqdVA1VVVPFibqQKwp0dzux0x1mL0qzpHxHAt3RqT1/zrRGaI0CYBS5S+gxn 1nz0DPKOO93BUKC8xIUOVVgjpIB73EbufdBK8F6PsFo/euZJydwn5cIu8v3v/bn9Pot/pqsfcA0g b5H72kTzynMnVK+1xw0n82SJt+S9Rw8UcEzVj9wWFlFiNTs1tpjDtryohF5i/lH0XzvmdJFrtwRf umB1YJtVz3lFI9UREfU3yt3Ri019m+f6tAA92djo8k8Xtdu+f687qduZUNnzDNaHvk90R6KWICVU 3NK26FQRB8LVPFkxSrWzDUpwNnaDjhfFfBbFhQnO8Ovlmcb8MYzNheMIPi6lMkhFRVKeKyqhtBGN GnE5z2m6sbt7NelW+2vfrJRspll5KeyKzKafGGcPg5xrmBVgdv08XQWKCvf6zTYzRRCBseHHvMmA TV7RJYcW3vjW5MIE4GUjj9Ge2zldsJLW5gCD0A4ZkKZN1W9jsi5yPU5OxXbImta5z5AG6IHHwdG8 a7PsMjEZx1LjTfUxzgLgb3DJTE2Sfc/3LPTqgIC6w02G+oYE4C56zS0K7FwUwhvyInYou4gHffd/ 1weg0dM4pbEBcJP+hkCl8VnU8894b1YBegxxfRhDE7DNd8Lyj7criEz6AlUlUGf7EiVEFt5bc0SF km5jHX9nGvXxC0zlCftNaieWMpsmc4WnV2IIAFCCL5NjIFfiRoX/2E7Z5Nzbq1NXcBy7RR0bZ+3W mPAxFdYgtJ4/I4fspH1a5wsBDLyDwvb3e2jCPl69NgqaJ2+FQ1fDd7Kw0BnRo0tpqWBUq85DwJlU a571QT8nKLnYoW8DDzsGvgBHkaguwqumwQFyTWXWkow/tnEA4c//3fOc8uSUhk3t+3zixIy8xZPc g9RWpk6LhVOcnIRV/4d/jigba5nQry3595pRHWt1dVCL9EjQPgjDuqmRD+wNHoHR31qNhVmaK4Kn j+QIHAl+sAjZISRJBM56G9oxm5Mi3HT7fP4FnJjvUpsfRLIRp7JudvERomzV7Oka9L5E5XgdeoH7 qFE6aGQoyO0GGFHzd0rlIrntxROcY+ICthYvG1hYkfvyjKcpHGhYS5R1GoQ24cHRn/rOonQOsu5q vtXcDgYQw/DAnSXRzgwDKvhVlFL5Uge9FCEZ8Ur6SJvXcVJb4mvq4yaY+ncZZeiCYIN3RfVZ1/Am lUlorqM19wGlE0awycv8aV6v+Nqs5hPHw9eBHWQHQa//Flwrmog742Iz6VWtOEhpKjjTOIkour/g 5wj9qbjge7+NESwvwE5rOjs2gDufi4mSna1Ms+gAtkxp6fgtx3uPICfiNQ0cjq2r6sXjS500uA4M 1W/NvavKyZu6YaFgbF4eoOXj5KyEmRo5qRurlOc1H9Y2qr1vVU7gAqZCQleOqfxIXOX4PoHEkjQi edJn0Dhhy4j/QmiC0Wj1My/duL9S3dNhvAsKv9u5V87b/BkYF5+OVVJKy3RZoUQy+/c1ApVkXz6G ilpsqnrD5gWA46QfIgH3eUE4MF6NRZ39472rYD3RyhAlVvbpmE50tyW7LmE1wLE/XlSr5xZGVHHR tJIBR2Wp+tE4DnGeFbf4hCbcqJEqbhKYsNESnhsck3XNQmgJkcpn1Mg5UoAnoQjEXwIeMi2Vf4Oh lprl/Fe9zhgu0tiaOR+GqDdfk4T6jqNjKjkdU8o3ZFUDV4ybg2FE2rFXoRSclhfhNWlEbDA2MDPO iDEKUbzo6GLQ5MJZK6azfbb0IHErIg1iuxOVdcApoxwyT2bar7h7WHLlq2qacokDd/cBmpFamo+C tSgoSciIocsiadycx2mPD3dXr+Dr7PR68JEfdUVyCXO9qWU5dyBcGE/Vd60vZ4bbHWxNUwlhdp0g Zo2PRu92i+oe/rfc/977uktKuo8duADVmS9J0DpticUt8eZbHt3Q9bMeE/mG0gFIKyIuKzWREt8s yJBXg54NDXjdpMAKO1ttk7aUqnWFffGArv4fD59qCHrRTHJCYxyPSn1orEgeIq61UkYJaWN4pds2 Afsn7dXo+VOecrKdohDF8vJYGv6S4HlErcdbu1TZP6yOqQ80gWTmSQA9GyVzQqcspxN5H0HxnbHd jyEQyV35o11iWW+lyQv5SFyXZm3q9jBoTRmK5m4o5f9Vu3cTy+FMv5iG+kLKQkHJgS7w0CRwqU57 N9OfYJc8saH2Hi5GvylZp0K21JEYCzcUrAgQBxV48e+KRsTzqPpGAKW1aNdux0CGffKiNe6G0wdr KGfMDYlTBCfEOoG2FWcQtsudCSMJG5vQOfhj1JZ0EJ+T2x0OOCJYJBwxyapMbuUuivqGJNwopf4b Qtj6nOA2R6gE1eeCrZx53SKezF7nboXyfs0PlwFkcwnk7C3w0ZmeT8WcTYFIZJ4/s7nCORGVXwpW tK2sVtOyBANFrRPq0wH38Qbm+GUtIGqbBYpmvQ0KWkq91BFk6sogjLy8CKUeqIkwx37NdcNSgLVp avFiesoFTfqKVSObGHJ8dJIq/WX+p357H+eni9SncUZ6BayGwROMlGrlIFYh0Ny6Ubq8Zunu5dFC ojjdSmb96hinXpaDviZwkq0U/RAxSHiDSKo7lBTiROvrsR0TZPmX4EEmEknvuNbPaE7VW+7K64R2 NU6hhSutDh0v2Fc6xI5B/3ydkNwXjc9gQDRt9ki44m3ySKg/0ywTg9Lj4E9Yt9kW3mclAd2SCx46 v2GvDztycQlW27TJJZDseGUGlfYwFVkil9RDeZjNKJ8Qwtcs0KWgd4St6y9lOpPIroH4gC/vI3gq /oZOGn2VwNWkWFaoT8ulQ9zZ5pzF5OhFco1aXuAMmzP0ItHxhLr2jKW1XH4YbBI6SNLiiVdpcDZu PpbPphXhm9Oi1gJPlDEnmAcGWQUmK9KHuD2HftyMokJUrV9cyUD6oI6rLMhPfkiYvbFn+kk2nw5e MzzkMUy5Ml/wKVo5xSvzk+9OGVDsPt0wq8j2g7PLVLWWGEI6mh6jB+o0+FQw3ePvLPWFUnD/BAET dJBgDS8qt/yGPoZbC9yYuYz4gEqoWi7V42ZtRXsXggIlrEIZBVtjj2GWrqpqmDlBgH202Nq/fz2P 9UQeGVmw80GSy9k3CFQgsrxU5djcs2sgpFBu06gJtAGvFGdYRRxUs7l2shlg9t3FcYC6wYcz7CS7 mp+wUM8ic0xwYqNLAY8lYllYBE9iHghDAp/tMNFCM3Kq2fyxsJQkJEq7uQZQ5Yj/9O78NtICkHoS nNznKX9hOP5K9C4q8P8rsgCnHZ/JthcgG1XsOYnc7G3nUaXsUMVnnCcznRtDUnSoxXauUAlPptCv jG0VqwflTcJ453+/4m4MczMNjGUedtDVXH8ITUjqzb2RO/VruxfHYtFZjdRP6BFC/c2WhVVIo8/7 +8NBr6KWtzhUPISnJXb0DlVM2wzjnsRWWfyTevlui0qecEpEyrBTu8RcCgoCm69Ij8vqHWO7og0S /l7yG5bGvU2HHXTXV7uqBg8/hIOuihtEBT+WjBrDrd42JmWmNWvRnpOt/wJLSI/OIZc3bt4GGyGC NnwsqoRCHycn8fiEd0W7wT9QdsKQWahd37KcAlrhZAuTc0q9jhxviHIgWqMyVDBDEeM3iZqPqZQo VpDliqNgrwpSG8m/Z18k2brtl+qbk1MN/7dbB+WOhSkqIT4a5fPogewhqwF6wGUmlTJdRXbim2rS mHOhqpOICplaNSx0wLSCZbtVPiCz08NIYFAa2BetFglLM7OovYL4B9hJ0XOBhPpfXAzI7kMz3zyw t1Pfz6LXWrdDeZrq/zs+hoRmyLIWQiPdNmmNtu++t84IAFILIlo34CPbrcHHHMLTcjGZe8fl+xBb 1aTnr3ZJlhRowN5G7k3tW8rrzKoKH/SjQNNgkzc41OyfoLeskidKcQ6GhYF2EByX6A5ZbyOJgviu lK3AOlfSGyJQZxhjmRPXJLDLSQKfESuu62BFtklnTeAyYWMzjOx3zrN9Pp11QL6S6sv69lOzggaY 2BRd9dzUj3jJv8l+/qa3Hk9dRmKYDRKCggoqpbj0rOEq6pN2LED1EMSw3KuQIWrUTD9QyZ9Bk3aW 8VoTLwQK17HpvmEFWELTr5R5Dbdp3emI53a3D0DAZfyB5g0TvJBUczZRlqW8HjYKMoXovog6ZUu9 0LmCdUc/sVCBn2fvudtxAEdsdwP8uwx+VkL9y2J93F4aNxa4SD61HF0SmQoCWqIcjGRfkpaveVkf WkCokVMn4YuMPKNMWzbyT5Ks59hzG2zTfC3uMltgGd/ztOXUTOKx6m6WHTjaK093/BR4fSbeYSXX npKsEzT84Bd8073hF8dRKixgpBq/4nnYeAF5kCHRulDD8uLSbm5YwKA/Hho0i/OyiEUMWLMgUymb zPAADiaBg5AlWIbIUiQCyQMQPydVp85fxpJktTeZoNZkaOVB6U7qnidEe5M03W2CsX+uAlCw0V5D Cj4QKxzkKkOUS6L564ojax108LzkA8kk/ldJVcZQ6pX98pyaYp81jt41Y0RmYwSnT03BO6yQRoem gnTIvH8W6Oc6zNhpWML6HnUlipPO1G3BavwUx4mZ246s/5GYObyAaCJuqCTk0jeChK+cP41qW0mb 6lyN5M7FgOUZJVwdLS7k9AiPZGnyk9HZbqgJhuWQUVDzhW0pZdjRKd267v0GyJNmpoqe/BYrO8Qm 7+a7Fr3b4kKoBzPU7LTK40+HXPQLzorzYEZCN25eCr+hbldxsbAOf+CArUej9mexx/IYL7oI8uLl iAoyTzSziCtemBcWmBxJCXA1PIdCVvVS4b5nyyojYj6Y9RHL+EJqU+uhln2e8meGnFLo8PmkItEU VioNXOI2o68s//Fp63TzgcXiFHIXDAQyBZqoEAIvjgT9sKl8HP8ojfSMPz2te9+hhpAnQ9oaLctb mXcCZIgwff9w9g2AW3r3b9d4ReP2AESv9sy+/o/5pHEMsF1P8UCxR2y2CVd42Ytr76/AMN4RPhw5 JSx/62ZAo0URqjoxOKEJ+eVYHKQSJ/f8HQ7Dj7k0QlstSTFarzZea95y8/A7YQ8NiUxjlpSf6cQp yLIGImkfrj2bFTNna8tGhS4b3n+9scKOv5tRMYijxq8cQVoflXmRSu0RZtlFxuR5loajHckIJKG4 HPi7oGha1RjlOOGAFEGVvw8nmqMOlqE46ElR4G1VGrDjd+323JcP6EnWSx6y0tXeiO7gMVi9YFCW BMv9XvDkwGMqhT3BE1rpuK37ECKJOnnAKkuBFM1p10Z5JFXPt3cJhQpOqeQ+BG3V2jKqWiX1/MdO EIOl0memcIPWJ5gqcnYf2XmonI9zrcgwzynfjwKoEbi+vMGq6U8DZyDHfhXL02/FuQM1B2sFODQc rwstT5+eyHt23o7ef/Bfk/g7M9xTLJNFDfO0wLbXXXqDD4kGz1WEUPyEyyoPvDDdeGpuEHkR1p7e VeB1VuxFisY14ObIPCxTEG5EUW20c3DUaFdI1Tr9CnsO3RElkbY1wHtzh9UhyFF2qSt37EXkcXUD 4w+RtMjNmzRR0q1JwzNwTD3c6ED9FDCOjVl8Nqx3RK+cT4M4HYhgeKJjLk+LFQDFkv6DAQB35pTp PNpG0p6+Qkj/uq5AhuuLCVW2/kohVs41BE+XNaK+/dAqnG6eE1MTrG016xc/jjJXMw3yfyA86/bP XkQMY4VuJI4oUwaJvNzSso3llWiBrvhZ8A3+Oop5lg+OnjJ1QBVW8cGHxXMUifcGVRknkt9Zf6Dd kb1i0SL519svUlwiZmepOgqkknZIYEzjXP6B1rVoWq43vRQlMs43lA2VUrnT9GJQNKPG505327BB pJ7oFGbvUioOaHkByHhcgFdiAd8hmzplSg6BNlhR1AP7tNyFyJMERfcTyGzS/5E1ULjADJDofgX2 kF4byi9iX8av2EtcmaSCKuI/b9QtbWSLdh0QzZdhNnOR8QuY3y7fqeQHu+3CF1Y1p7iLeewJb15S iiB+vCr1h2XvPD3Sy7/6nz4PsUFXzAbu/MUvzchmM+Qzud1cGk8CYTetYo0+3J21YAO2mw5vgSqH Tsyu1wb48Ffbh2BNyNvB5dMOF/PxnuwWrX5K8WqR0T7yJq6fGDJcueg9n7NNZywbb3dmxC3MzGJ6 +Ut8ggfYRfkrH9df4t2YrrSHEXSROzvwXw3Gxl3KcIQV0FK2jU76WzUvgk3vtz3+GqOaTjK6D6lS SC3G4bA+do/5HQDfK1vgTfVLC5LHiFxaQyBChZPvO2LeTksGmb5X/xr5XlC/QkfZgf0wpE1WdIao 7mivGNj1yVO1r+AH1hjclWGN9kv0gzQOaKSbJAZy83GG57yS3xwPAMw149Tnb11yyUhr+mUC/MeL 9wpksTHTkz+8MeGJDsLNq1o7LiD5KU3aR1NdGvSYLFkF2c0o9m1kPyvXG2Inc+/FquU27d8ydPLp prA4r9Clnvr5afYFj6MeDmMJiLeUzqy7M6qWN/SGfMrujlRd7BIYJw0C+MxIUdc2jONWL4p2/fdG dB0s4PmItcbu401DHjMAmXZW6CTk+cz8E5965RXcI41/Ek3ad6W98J26K1QeRakYhGlpQlKCIm0M e3BfZ0vpCClaOBRuNv+FPxIiWJ+B5dJReMqGTTwj/nGqnu4lXsZeJs9uiWZDOSF2mJgFVxZqfVRh pHVhGEAtSuAnqcLGdBOLTsDmtbnYnS9lerhFchQMgw+Jm9w5nWSp3tZLjvgC3Lg6y8f3aKCo6k5D m400yaQgeHgtF/0RHtLREBromd1xbvzlESWi8F0GET+CGr+aGbqBhBkUK4i4+r0JzhD8od6Uq9ar wLQWDofm8Z0ZC+vGcV8/zIifm/L68E2gpIGs1DCh6lBt4tI0hdN+6TUD++pwV7zoDvBg6yaQCDC7 i0ox6mjPC9VvM91h5u0tBw7soBxUDspboPLP2tfMoAPWT7WoAVlKSUj9bke/h7J+M5dl4+pPs+AJ QjD5PBE2wlOvdUROGNHDOz6/K7G1wyfWfk5O+TsLWII4P9Eb++zIRfv0+fiEr6lFa0R2CBEmEp8e QYGegm48jD7mzZ77OJt2sZHvnUGKGTcGBWKkc/1fsImhAv4EU3gR28He/jjT7ARN6CbaJ67zbzTH cmP0Hv6JAC3wf1EVXh6CJbasoQPkn7iXApyHJMvKaRHB3/B7IzLs2oSnlYlev01mk0FSqkpRZRrB WEDlA+qLONnrAyoX7bdbk2ZdJyBG49t8Hiio71URA/+MxEOwPjABxXQdTLDkhAEm9ohJw/7QVrkE jKWQhBRvr996dbwwkP4ym6zy17FAApjXuVLL9/GUIqCA4QtTIg4F/HH3Un0mKFmF0eU2ZMQ/RYBf rn+pVFceghRSmB8m7Ncp5nbL3CCOmlHKD3gp68nvY0JHzotFZTsERyukVEWbCravUt9bIb3Jt0XW ul10oojAZk7lO+rQpeo4XfBbDem50C5ke8I5IJN/3AEkYcaqbvayRZzx16WIOR1uNukF8hO+m1AC jjWOdqyjYD4YGtAo9+BMhgeVkj/TWPLr6uhDYzPVoUWbuRLInml9YOutKmEN40DR9US68HDVyzWY BMJunPVhbGgeDX3td/53tl7IekPs7bgspZDZF7irJWNWM6EMMeq8TjONohfIIB13RKSQ2bLWQgfU ypCs26R8Ltg/dpVTgpvW35yIBqQ5+hyXmens1e6+3jBc32lpSxFumOwMjsvWDVAJdOfCL5NUyI52 Y7bJAUhA0tHgfsfqZ3TA+OAK+I6vJBg8smChfVTVv/o08ZMoC0QSPTcJL3bBSJayHNkC2IjSC1Zg C0Juol1BtPgIuBr7dYTlARhMHva3N/qrtbcGUCg14ZAtoePqBzfT5ssp4tYtTf3VBtVMhpla067J 47h6bKktjlxyOJwIm9JxxtQhax5gQq/loZjmA9gw3/VSan8w5nV/MzmIIzlDYFIj6wE3tcriE1wW i53QvCx/UW0UCYo/NQXse921fgu0qLeSmz5zIJ1aX5n7JMXGQ+6j1L3yJmc9arIMUS6+6G2Sezps FAYCY9z1+Omo4tOM81W3VGXoaQK4HyDyl6qcmDcNfEanzxAZd+ejgJNU+cpP1Z6Ob+j3QnOGeuYh qCL7IWVNbTXlBqKyitjUtUZI12VZK8ZnAS1eB2zi0q8Ig/rSGpj+rTZz3enaiMLAbODBIXp3uUSo YcY9A3OFLNmkYzQ83hL129gHRMZ7sJHrO6YXscEHVckPw6SudOva8k4wx/hlmlsSECt5HnYqVitK ED3RbOKwtTolCYHgOKqDSDopOvkjVdLaTl+ckJlU17fcyN7RdZQpMincf5TE1X/aSGQG0EMR6dMG L0Mo6yudtct2cZ6sshqnlXd96DprgqJj1gB7mCE+wQgrbfncXxlsa5gkmPlFvrp31n76Cc5eLEX1 5u1/LDUxPceSp0uTKrE7AGDxrsLx4x6Wgebmg3Rvwp35Nr+m7r1d+MoW8L85OZpJQsBu97csGsyP OJd5eknkUbx1w+fW2nl/EyDAlqDSoIOXm5lupjvbncj9UoH+RFlg2WxHnaJY5pa26oMwRwrpNWn1 XqRgZQ2lxrdyhIJhezXOPD1VONs/t/r0hEUjHXxFnKrkiRwDv+ti8v73YiL74joWR/CVQk3Ok3v5 tiFCCZhAFMZjk1cCF3Pp57RmvHUvccr+0142eecEC4pS47vxTlyDw65o7ydjL/utQ4Q1Yej/m17P qfcp8u4euQCX/atNiCphgAo6143XokkBshS5me/1LohOF9ajo4Lr+lgdgpgULkbEFBG9zJNscZG6 dGjyE4xwaWeJA7GwvwBTpDdkPzth7/gUYc7Cn/sJ6hUAsmMae2a1bwW+8J3vSZHbYdodNAUT/GT+ /bvIAxnGcAIe0NgGMpr9LDhfdYzxkGeNp+ADV8p3TlM28/FEFYY3pipT+VbHJDjA+3ENHSOqXSVs MDmRLJHYbMeOne8sADvPlQhCIjqh0KtQRBWX7JWt+hSPEc6u5lU09ZUBoNHwlWVvU0HbVkgBEvHk lyHq6XtHbUhlgBKbcuRFHALoQoi0T4bu73wD9BWoMVzgtJrknmaQirL2D61yLz2ARilpb1UBV4z5 BOs/fg0QvO9aHlpmbRM2baYeEudSFjGSk6ajW2UBYCvZYbwKSuAd+JfWV7/SafV9pOPk6OZW+ph0 tJWzL9u7AoDshUmqONBNn3ECm3Tn59TNB7wPrXi/elyzXDlebqD3SqTMczU7oHo3OyB7KmCoCXEN g+mx1n0nJiabGz1nORecr8n95xj2zVRSS3e9/R8wQxQRbyQEvGLUC55NZ6CqlpUm8gYmuZ1NfT28 yWZcGW50fIqwP5yX0qDEHjTvLrafuKiD40wBJ/5t9738TT/UukIkT9IOyzTqIyODA8zVFZ1Q/NhI CqhOn8UBCBI1M3leUAJHNdGthAgeyfXuofhiDelfA55VLEIsQTozfg5X3qUrwAZ6UvnHmNYQgsUa xkiAWDiD7bVyebCuR5pXdMJPkXIyA8t1x6ulI/Dt0O8gS/kh2gPc+3RGbs/YFRPEfZLEF9ZQP8JW SKEl7JrTRPtrhVfU8PDhGlMH+wYIeITyFNwUR0vgPdgh0uOkaSMPwdvcy8jrRZ0HHfeiZBvG4/N9 ZsRqJ7tnZlWwrh8hLxqOG03LPyCw+CSrjuOwGRlCHc8RoiS5Ml4REwtZNrseVEWq0cqlTdjOajC5 Ymj3rYpCm8+3ApXfOmFu76D/LZgFlOZFdL4Zdi+rG7LQF1rh4dyYF375BV06hQ69Oczh/IZWeSdm OdGn8Zw5SXdvjQe18w1ic6bIUBQBp5R8febtU1Ju9j9Om/V8KEps1xRBClcmFzswntfY+dBToJ87 0Nt7aoiQAG/DGBHVsoZ2Ll/mYUqDI1RYC3gPAkIWbH3YV0Fcg8stW+JvvWRtevPfZtshHQ+/I1KX DexxxEivB/24I4qSwSMgq3jYJeAaHI65ONSEASUjvWoTnoWoa2KneTsUVqM9k3naJw7qLZuJdmqZ uvLJv+pEFrRIM2sNVgzcXHffzJmEPZsTOT6ktR+Mbq2uy9rGBp4lx5GmKq7flS8JMhgSC1Q6xQ7T q7np7gwpCVawaswIUJSAtGl1RDL3pm/kIqBwaC7NMPYqUk8Zo+4UBeIcJBt19mJuJioM4xL2u+SF VYImE3YZhjIGq19OqTZIaTVzBWCc0qdu+IVGSi77b8MTg/nahtEouV/VGzAtnsBBZBcq7roA9xSZ xyn+dwRDrYcJL2rC3m2+e1Z087egR/aJXny1gpGjRo8m+oRkDYUr81PRtpPe9Q6CEZ6Kq3LyzAJ9 3QKRemEvqNXBRfGFVtZEr5yhpBdRh3lFgnOFbkGyIpRjEMh0p563R/Fa8xEvHNwIa2RNN0daUKPS 8LwpXOe4dBCrEiWp+8VvvJREbQL80M5OEIX7CbzwF7nwVOnXEI92JNkmCQG/FO0oHF9SquKQM/Vj gJHzwp5sglQ8RwdJ2HcHPQn+BJcxTgDhHh7qmtQiafS5QVoo1hyUXhTAcOikYbOcOTuPLC9MLmlN ZZMAZ6uVD11WIEuW8xcTmApZ6rn09FSmwRmJSL/5xHXHC2mbnMEMTK29/Q73oeBr37gI6QDS+5k0 Re25U2vpvA8fKmkJCiJazCe+GFxhjmmZAQn0OCr5vRNozDyq7cO0lXh6l2Uljn++JiURX4dixc9D w8L8fcdmRrjv+R9E/VPYbuZuGfXiA9nhcLfvuBicHsZFtw8tsDH7tUCrFJ3IHRjavGUYT4BwP46/ JkMVMwqOA+bcWOZ4gDDCRYnQu4bTcGTUozmWlf+TsHzjv5TUmpy5mQJ4iH6MaEJFqwXxSzKxxaWh Qz030CuV0aTDecpg1m1uVv/WaL8fjfIdvHKjqHbMHud3JZAU7ZxqTTuKs9j4x6Z2F3XNkT+G3pZJ eHvfwnEOJX4xc5X0uZe2bJCFWJanDoODG3vv+B6MAH8JQiBPkW42MSuRBQQG5pyL4oPbH/jAzERw yZZIOceVHi0/98cWkCuASR96R6VkFJz1944p2ruf0OEUIKZ/R+9S2QTNlVh3+odIili0YqofB39h azIkl7icqSYFXrm2vqIQzru6fqyWaQ49HvPp/6DiG3i13/HcvxiP0RPr7sRhRaDmOr63ZWLEqk3u rqJ4B5U/HY4D3wTHt5ODsOjoO+lVYqzMqnh93GE2N+7NC7Wk4M+1x0xXDlwlRNZ89UHmwLlxXHE+ VBRCuUQlilbulG9YWx4FAIbBA6TkpADyCEH83exhdweVe4PqZ7jAWfJ3hjQNM1/V5JF+BEAvUu1U l2Q/CJwbzzb98/WwyjL2swqdX2ymOBSZAi1VC+OOvr06J3v1sYkA942Z8/s7A0tT6/qZoNoM6SJp 4fPEJCAbD8yfNchN5Zvn/gjJ1E6CSXEzjZzSXpc3MyrvUJTWocrCNTxG9HZ+Paj2U/0D052DdIq9 +j+k0mAechp/tsjGvKqPEJ4F1VHM5ELZjbQicqHXRuxzbt2zGTyZdRgK2Sg638gIqnRqyPFmZeWs ZxHLOMoqN97jKc5tMtkyBUwsl3cRrXtf5rHGpIKBmrdaxpqCwxQlKkAmW076nMeP0QgKCI7KJ9a0 CcKAHsfegd83IMDrED9ulGGueV4H4cvjj3yIaqcltRLIZKVd/RSGiBBLAlxRXIObrA4deDTYStix IjAoULVQkTiH6CuSBC+f/35MdEJ17pLFGRXhDE9OjcgyDDjnDMgXRJI8KOKdQ0d1SlxCIowzDpi6 /ljM7wpyC7zZFPxYqgD/XhUuMpnDIQ4LQ9wnsxQnmNnfl2kTBcRUvo2w2IdjgPKM9fJJYKHsqi0s tWjvK8tPovI8S/ego5sj8dE/WSboOLERG0KV/igD8Yf41wBkNQkem9lQDKAyFl+W8HyIOIKl1PC6 Oh26qTS9GdCrwimML8v7EBajhmRneLamRgI4L3WSJNUxL5v2nUD7pf+A6nb0dvS2lSsP9qs7Abk8 BSAkGr0hYe+0m37v0Ev8bRTA5sTGcB3rO9mALWLUGn6AUYofiD8gYLgKFtrXKrDpJILobIXod4oU T9QtqxIB3NgBFx0DRpEchJNgMuv7riWBYMWIGlHd1T+nYL6mArxZQ7TS9K7NpVmtQ+ZtqFp/r23v poJObLnOUZhUWQxDovSrc2aN+m/C0WPPSZuje9onOss2xuTIn7BzppCa/Br+g9/s8kbAWdBttBIU Oo9Sf9KVAzLbBpnmBwcEzwQCk6aSz+CA0jx2dsFOB0DyH/M/ucuz6Y5otxAsCmNRIvU8DMN7wPjx 8bRSeGoZ7GocGJs2iZ9Tgt6rePgNrYYr8TGgHpOXgdcvqlPnug+VhtrqEC30VPPOSkJhC5ZkJq9Y P7rzapBvUUDQ0Me7hZRuYY4tmUWG1uuVMCpRk0oNdzCNbUsapn7GVL0CSv4F89wmo4DvujeBSaaI lpEQToojQjRwFVONfpXtG4G5iGAMH1mdlKnpUXaquulLYOTdpgLNb7j7mWaVrZaG5Elkn9zBHfgh 3EMctBrOaGrqq/P85LZfORE5kOFBuLSeXjM/qAAMmTVl2poTP9EzAe0mGBVhvNGM7w55DdSvewVt COzJ/VaNz2mUK++mmjED47etGF3gsfURtI1m8Mj/DDK0X7i0Nj6VeXld93hwJ8GX/HybopgyE94k v0IuGDCK4GFxTQ93MbmxLou0853EdA9ylSi74eUMPf5xPH0GBNz5nCYGW34jKzI6RR1Ps/YsOOc9 lK3c5tvrDB4dvwsIRsW4ngZwsqP7ON9MxOdjcQNZ1DQwGKg6yN0wlD/Rsz7Z7Zzd7sgHBHCa3zdV 9AHRDI/Em4GIBcsqdf9wy3qe4WJaror4zqJ8CRm828pak4TDlttprIhM3uMrwsWmLAupg98arA49 LKaGjJS01ukirTKz1Xpxu2PD0+Bcem/JkstriI04HQAA1D7LRRJBKmRtH+MJQASCgKX2cMk86X6S 2KJxjs5aaddboWhBHG4YNqpM9uzhcjE0Ol8MnFk/Rd0nCjvAMJEao+XJYWtQdWuZX1I8bHXH7QpJ pi7b1J4QP31eDQQzP5R3q3h6z9p5ynWjLrpM45MJAXIVe6VWH9CrpsQyI42p1QTiSL6pXgG7YXsh 1wbcCjzkD6x9rzzZsiT1BYv9hAftoxgaeHYmBzmAeoXVW4ctBT62o92/Ph+/sXJn9cJnbSOWzh8F 1B9GyLwgUXsASu2XtOtPHvYCoXalnOd1kpI9w3nZe7rXI7JwavPrpEigPwU3iR3ij92cPzAWT2YI zjb/WNQ+zZLKhUQz2OJl4X37ui2K4tobUN5RUKFfAxk0FjKXTysH2x3mbnbr/z8EIdPMP3L7lAQI sDrgteAkmN5UPFZxjBW3pLF/FdRotS9fa7bRcUcdMmlDfxvLMyEoh65nzegL45RqfWLZeUTSJLoY 0XTIX+e3ozb9rBHUnnzfgpZJXOhUM6/WsbR1AvqwgAj1e72mxELfqzG1PV8o2fyszHtLAMyPKU3V M1NAn20AJAGYfw8PP9mvQobN8IwaWunA1dLsA8iSKaopJT0fImGg4WjQrCsE6ZYys00w4gvwUcjQ Ra3POUeQntruTc+L3axvDvqLf2IJAB5PT1Ce+lt5/+vOpMjsqWlVHPhLB9BeUukxRI2uCJTs3Wut d+GlKyfuOKmyWu0UtFXvejuBwUZhgONeLmtX9clalQwSL0PaXrXkVnD5omJXYvyE3EyWxx2hq+aU WBy/RF/Uy63ZKky8MUcVCBEdiHXkN4cmZxxgVTha/W2z4XABvXsUTlTEqjW6X8ccbhEHTVKBDAeI /1U1K5nj4aaurQ+JzErMvo7qEnytfmlM7uqtza0hA2S7J9+nKy1cccX2b9j97X6DEl279orxA6v4 zwigHyjLORevwuQu8qm9/9gkXZRWJkTEzBuGzOLsy6dklkzLfiIEioyv/DOJAHYjlhI+XHVPE6k5 ftIPbV0hi+I/htJLc2LHSaQQYwkD5Q07mXqIZ+Ny6QcHOjw4L7WHtpAVsVT+/r4ZbjhLfU3OLcDU Knty20wVG6w93LXtxWvY3ouBE89DqcGtQstNQd3XdRa+mejVfgNdXbkIeZidFr6fxwTsnj+2No4k R5cng8c5Y0fYpf3t5VG4u/nK2CB/pq60XHBN9rupxG78RG508KApnu+qgdSDGus2L+zBsNIPI4Gs 6VgSu3fUZtAJwu1z37A5Y1ED8ypI248pL3iwzPfra+YGFfpfQNI3jZNjX1HbPl5iVg6XcMnD7KTd +BWgb0z9+MCyLtsySnixeS2syUAEx2M7pIRBIi1bO/ySwnbLRbmUosvGqxzJqDmL+jeZSNibUnPq WDnjMy4zfO/mzLqI5b6fYFdP06DMvDHeT4llBtGU99t38MfwIb/Quz7pU3XO8iICxcIna2JJcICU kNPPY0Zn+WMzs+a9djTNqYM/p+TYvNyOHgOaU1qULmCPDwLJt0wvzQKaunXKdeZmDnCJ7WItNNE4 4pJfYaixhdK35uJcS58FWhCK4nVm1vwBW1ZPtYGokH8UOZ14rF5gub+g041rx4+5zyRgZlbq7UgE xc6at+HJr7A8WqQmqFfx0418Z2wxL2iDsqdaWUOv4VWwkccQ7CvTMo8gFPmwFhUk2LVg70xIexDJ OekzpClYfadjgWd/SQZUmkX5nZ4VwG+Puk3Mtd+1s1Ui0kVcMyFYGgoD2UnX9eMCGhJwzdBh4zuf tUdcbteobDMSJeFXQSa21aIyxQ6R/Yl71S4S+uZDC72hAhf8gnkMjebPXzQ/SjqqkFjkWxgkVpZ8 EHPLrV7kd4AY+zUEd7T3JXp3aR8ihGih+JS6j6nVV+2rfYN+Hl94jEkDSyztV11XXHdJUfdoolY8 YmjUfdIYQlAN2DqIvLcs5M9vL1tbTSkykpDTX+76BIPY2b83OSekZpJp2LmEBKW321qNXhX1b460 jTGT/8WScLVVNfXaI322KgnSXDvWkiqgKpbC193mrAP3LHYW7QE0BxDOC+nvPhmUxqdo+89wJmgx exTaESj2QdVSEjqYIw6S0gT9g2M7Pmm8m0Aq553Wt0shXHsndcDJbUenX1EJ75eplNg+9RMdhsiT CjdggAjXyCjvPJ2torHM4HcjhhlEUEgfK8mD+y1H21/R6Dx34E0VaEExy1UFtdENtdNhk38vd+rq sni13NAuxvrkhzwtJhIoOwKsnOk/jN2iLSgnEYwMvo2s9zeC0yGjqRtsNtIW3gAv+75hEN72woen UkpSyuYY82ImpreGOYcoK9bFvqKPsaIR5H7dE7IdQbpocuQwogw/Gz4GaRTvdGaF6VqEpDyL0q9B sCGr6TIHeXVxbLw2EmFHsHmagp6KK9F9ETW95kJrZU07jvKrkcp+RnU8h9btFGZF58yyyKb0U/V9 c0ugiNlK/bEmCirdwVEABgsMxAn2AM+0L+3fYgZwMUjXkp5rcgVaq1EelDJUx/Ftnr6ZBfiK3Zis jQCtiNpZExz5Bu/UpmECkk/QRU5+Qk98tpNxPlvZ798TrxBJKse5evnbuVvh+1yXeU2VxcUtRowe y5uQ+wVCRnLtxokBx1OMKNnUnm2dFgwc3qLFU4L13vPucAXFI/JC9LIlqDDJgicR9pE7Sx+xyJvw X/Itj7TAI/l4jWHQ76FMmI2Jglc3UW/6eTSgXnRkURH41AdlesRkprYGZ051RXlcei6M5CGevMiS ZWi6/pP4qYDVoo32mV+ACvFlpvQpqn5VOHALFUCFW0jSvtnUw/Nb4FQX/gJ/iixZBeVcCWkMaGl7 CQCzLx4GJ3ZRzJYOaRmZoqKmzt+0iGTxWFaEc+XONyu381hnYBsDYZNPkuMBlC84McdAz7OdXp8T I74//VlPbtn92PTPwD2biE5A2sqDMrQO4DR8eqzxVFiTRFVaK2UtIcS4Xjj8kPsU2GBNWJtsqUVW QfVyOwRQ8q2mPclU8PNsjEnsRh3FZlY4rIUexvQ/U2ApKUN2pwOm3ujYZCuweg17N/7lS7x8Cbps a6wZ03lkfV9vGyZrL/zKSf6wfAsDvFwOp3iqYLExiFzwLyxIq3qrhGFvS6jdrmiPscxj6vr4/mjH WVRwDvCd3NwRPE27y2Kc0XwYm5ZaFv0H/hcsSLBPR2jHK44+wdcBX/aC8uLNAWo0ER+uCCufz8YU CuTNAxuhS9PoJDwgRI4FQIZvQwKPpd0TX0jhXObAIM1I3KJdcvfuJNbl4Mva6kjc1b60PyGElfLK 00kJV7TeDFLlgtpMYhF0goLPj4WJqQ+exJerHy3rYUU5u9+4IJoxqnH+uaXG1aptOQmOBnhDWThF GNbI9HCI4j02v54MseSx2BiPYnRJusVbkgHhVFLExxyfxkoixwxAbykDUm/sGgsaVzSvSIr3fpeb LRyQBb17T7MbLNE5M6VJX9cg0jTa9gbcM3D/zyr5ylarL1z4TwdusqENGhIgHPMz0ltEnVYf5Kie 3uQjlX/yaRZgYXGbep0wVnFG21ren11khc+gVNeuNhwL1/J0FpZqGb2cE3lkk1C/hOnrzdGzQIUp xUTvcNWPWkULyaadMwRX7gEh97uEg1XKdJRUc1YJtueDouWDMNpaFLxcnP688drrPbSNhmlzzLfK 06J42jNuXFmpCrg7QMnoq6lB4rNJIanzKCae/zBAARt9w7B3dQHSa5Q1Xofj7JAx39VOE9ZxGxd0 tVdbAQa3Ic5u26JqW6b8AHjoNcKuPdKi91wEuTzJysgen/6u/KO4MnlHdM/XaDPiNDmBZ62aWtyy Ci4NJ6HT56lEOhPui1yuQgd9c8N2ccKaMMYzSYEBmSEEItXbUhxCYY4x2azrQ2o1ECFsYqzLndqM R13huYfHUV85Ov+VlWPWODUYVmcgPi/TJxly0UZkbI2dCPwQHxOgkSPZAEvZaepDT4Rm7Wsh9TJc nO8Hnh0YSnZX7fu8NZ5wRl1Jj2A7hwsZIKIRwT7UrKTmj7eaZ42AvdUnfKns5SOa2Vef05sPhSnd s3Ws0v91QkVrWv4fH2tJSamihm4cyqanKnNQSign/9D96yFeWzhXkW7YwlhU5KBgOyzu7zkiEsbc 9M7elOtyiUHDDSmpueiITEr9Ok79qBAMaV9IfXFrf6u7yM8l8h4IYnrplEH21+Kl2A/qMnsiT4Qk VBDp9mcGKyYZeozTqNz6d1FQI4sFPrf5dhrhMl7j0RcyXcELA9k0mPkkpNic19ReY6lyPfs+rQaD YfVfND5xVmbcRomZIoydIqlzsIS7KjzC5azBLYwbg/JNyt1WkjdNqfj35AjVjUFVj1NBfnH4ZTpQ VWrbcqfgGvPbA2/UsSJyVWXcqBfL7rWzZQV6mlHmH2MhsotlgbRnBtZ+5VWdXj6V5Gjdm7ESXJ39 57se9OeTFtmq8ZMnfVxGV9PYlH8ksfU/FxXQmk96lRLp8TjKl/4mlYskLKFVr2uod3NRamqMlspP Qu5lTS/HbnaOY2rwYyQKAstOzsIDr/X6W766wQfS0bwl5gKOzUJYrwLYV4goqA04MY2BsBY/4mtA +peDfIvoLxZTnfQI03Qscw7FCduFHq/P524XnRScmalvKo5bQvCEpQmFoCVIZOKMGeuTX+qM35mh DqDnFPLHH18FrN2VIzEF1wNudzz9gVldkEOvXSBbv0qv3b+fb2lr31gAapyM1e/x2NIAVDtuPr5i DKAHX3iDj16He0b3ntYs3m1/i9mDrnlk2g1gg/6OWQDewZqeIKflYPi0KgkJsnMiec3tiliSDnO1 j20FkjcESfsIRm+hnpO3XdHeU93GucWS8GsKBdNYMZUWt8bK344yRpAcYtyuDzJdU9XP5AIR4Vcl yjHuKiNSAAvEWSDRiiUcY+/t9hnGDG2RWchl4xVdixJPHl20wpndvbz6vg1j/5MzeWue54VrQ93L WYZ9RJAt6EWMpvhpxw1qAZIosn0SiXGBkNfWEy43XlzxuK4XFCKs9HsQSJm9eBlQ2FCVJ4pnjP+8 lXrcMRZ2KGzh/bmZdiXg9xH6Nu0VIGuBBTmAm04wznDmmAWuUZIOrRlWbGdcPmzn8lYnUVAijYL4 MUAOTeRz9fGZAFAA0Bbz4GkgnFfVe/MiB2vhYFkg4vu/QsZfCBKpVpFYf/wRP1K6m7C8aJMfZu60 p12oU6h6t405NffcUozHTtRGPtUw/TRgu92B3yMF4AletASINbF6W5UDKO0GXtbIa1ZSmAm6d12E S6lEO3FjV5osFA37LpVV/u0ds3euLr6inVYfih5L6zLSFp1GqoYZsvCxX6R0WG52RdEVLeuL4M+0 3akeC6PQQmWc8yDux2knI3uup3UTEqgWuz2aDcoeg5Q+tcW2YT5e57SKbOFNYP2HGF3XBqx9ZrQn mmDZZ58Sa53BLdKvPfbBkrBKgGK0YjZBI8aVTtE+2vTNRzNg+HCYN9S6+4ztcb/h20ojRdJkHXya WeBDr3xKxWp0cIsj95LviDLpLeVxgkusEdKTkuwJtx8sNZr3KgqFZ2wPBNdEqBniIx33Kuskavx+ Zp2KEOTJhldfANlpMLKVmtxJPjAyDa0wSLSt06qJS2/h5ZtY7vuGDpxV/j7xQ+gWJjk65UZRlQ9M w7maw7o4+YBFyiFOebvOn8dFgq30xFbq8kvbxQBAkZnHqJHCJFZL5k3ITa9PQt4u9D8U8hCUDzJj YyggJ62AU4tnTK2NIdoIMF6V738a8G8MSc4hLlaaSi8lHXgNCrlynhZFVaLL/j8a12fvLTxFY8p/ NxUOFicjaT3VycxdLOwz5tMlJG/fOBqvcQ/zjOqJthcOTeRrU4/CD0OQfI6hG/Zh8M0ZknJwSTza yqHPKhfDk0zcOSdAC+TXivWIu2O8nhbefZySwVEpUagvHv2hK/cPQ0ti5glUrlD3mNQ4a8kobkUt fCAm5mTif/9eYTPk3Kx/scx6Fviz2FF3DPhhcdl5vptqVdnyL/1dgI3LNQmE25a51c+mNg4VZxKj x66zIOWlIwh9FTRxG/NAbESbBq4vIahdkNcGZlxPIOY+1c2CIND639azu97JJutyPyLnbSggB8Sa Ix4xKzItcVn3GS/e7fiLutmA9ExggqZbFUIMyASy2cfJ/Fi2vY1FNaMCvF8s5afBoTzd0/Tdymzo JtJDyElFOkjaRo+npGoRzy2XPOm6a08V/9o638v9shVpM38oedq1+Ff15mfZ2ekzRiJFXJlfk8XO MPv3W9l6BzvX4ixuwzRNnUtCHrJV4X5pz4V2+Jb8lCNH/2+Q6FItUHDx+/zLFE+tFRTsP/7+wqUM DZCA2lVrXmstA01Y9NeUme3TmgoVGassuliVi7Mkl5AJjoeAyLWACwuWDHjkehUex2ChcaWKM5gS rtW0wF0lHT1h//1hqz3+zBOqcFErzSoA15VMQcaRCptjKAyeGleP3YgbJLmfbHQ79IpQKOER0TQB Pu68znJF8zSXUKy7U2yLtVVZOju2dMsI8zvnNwoztE12e56E8S+ksKW2f+7/FKEnbZ7DRklibrYP yPjuXruEoZ8mu+O7P92M+Mj7qh5ZJ3YjtcnwpqvDZ+lfVVfyJUJq0tDXZ/y/RDHFbbXatKMSMy84 22d+684MYMrSFTJl9qXnqw57c1nHJYqF6SYOrSy9wrrIaeCSfoL+EVh5EPg+/FLqdME6xl/uJSZA rp1vQikH3pZcnhRQL4JqjlmMIF1lzD/hfUS88L3vMY68wLJZW/2QVnKRmqc1SiCT+mfsaZ+STBFa ZPVFGHf/HL40O+hGorLFHlxNd5s76eUUxoOpJyS4yfAc98kpdfFbOOadt6Z2UWFvAFaOU5qoBOnl rx+BnUUZefyZuzHZpUgqtHsxu78x1PLMQKKp9cbX8qcz/CPir1YFlbfj/1xh0M3Ykn1Kd6+xHViX DwyJGiycMDTUS/ApTtcFtShf8INYLFotvV9omjpKhWbSpmX3MgkjiR406IsZORVEEj5ed0siY4UL /2Pg+QrS55nVsz99iM9TyKX8K8EKlRCW7W3Bj5LQv8xd2kATkhLL50fMxFYxuDnbXQvunRiqelAY 4g43tTRxcHih90OwAXugl2PC/ANXPWP66iM/DBaX2MGBkt/v1g5bCSfC0yRpnNdSMLHWQsyPq7Lf HucU3v5RnY0hinmEev9zxfDkJ1rB6y3uSTVAFYHmCb7QtRjE4AUZ9xDWL+du/9ovXafgQXE1dLgc G3BXdr4PeRt75HH6xRLupcXX4+31uCF+Gjn8qr87G3x5Zp23qtaGEK3+id5RvQ1vQGZNUleeV7bU Jpq0Ty1CcNjMvRiM9jDJ7xaQwXlg0cmlqZl//S4n4HAhNicF9herC6ZlwGuLCtnLe7p9BznqYPvJ ZLHwyY/vRUvVGN7GzHrkt7StS2u+ypP7bOT1jy6sqvcUMjQiWb4LAVMnmDQ/TrDinPfRBoEMrw/v cmkOUf7PyGbNNA7rEhMEMuog8YGmc7NvuWbEFTbBkHEAP7KZiW1s76th69pkZe9MUoHI6rq4sC1L bdpkFaFiACAMuPby0xEkhhzijsJ3JctlsOeAr19rg6p6uviJPr7PhCicd3f2sbSbthRQ25FkIU4r W+4PbiNDm1Ke+QYL0iGrXb5b8vmn3sGC5FlWTFo4qkAE//adxfWdDx1tIXwE5el+rWqSQU/uLaaU 0CENgt8mFSSbpla98P87Nha+8jQX4p2SdvqZNs3rB9GOoEoBAdt5W+Iy+uCHKtctHuExbGGVWr0A sjRvJJ8I1mcpDgontF1cWcRPXmIZ6xBMm9VeezRHCA1aeG/UFSi0qtzGt4O4QnB4lqVfzF2Y4GWW HZ0fVkHxYU2YhRS+w5yKwbxsnotoo9woeBXHHjJr4B1PeCCPez4KiaLqekhbfFSB/HCWmqGVw//L sOnT6pokKJHiVom0WiWc2opucWd9JUA9qzDqhUUiyFCwLRgcMeVBwjzG/1xBuO7rD+yAU5B1u0v3 K/5cVDaJliq0qrx9wkfHeUgesaS24TFqyBi44HSthJrYHTxsOLNgVLnQqw6l2IlmcURD0qYHQyQw eB8vXydWCRHoJcrYCZWLrJ35snxsXb8cr4Vp7Qfqnud7mlsf6beEpZ6MRz3vlLtLhEUKcCcFh5dr R/0LxcNWPjKShOlq4HoEz5bPWu9enjE9XQPKy0T+BKXmuhxwCyYSXbDuCxNezlPv1O+3kpMHhkYB tRzdgGefbmLnmi3/AOqbPYbOQl4CPwS3ZAFydxdPKDa3c2xjNRyz+S+ltdVkN/zvOWF823scbBQp 4C5b4mBKP0jpyk9fCeekkqbsQTfGsGid6otDHJfGyubtELiOp30i19G9RVF78UfI7+uJh9Xx+XOU PD5c6wl+jYoLQ/zFGKp5IPU1kOy9ebmBKOtHrQDNAQbiNNOo+GUpY6FFImO4LrZ3vSdUJ7oJT4JT qku33bJMRdGv0a63byr2WyBHjGgXYk0BfXS1DtGKf6ZtSIx1Yccit+SM+xMSQ4n2gofwX6qex7eb LScUlFcINDrVoLwp1htGN4rdbR15i3HHapaVe8zFL+qTWiE+bHILsgdKxz8vNxKHnJGD/CpLhdIO I7o1apSjyZoG2zCRm1IZ1xXq4reQtZXBcVI9FmxRmalS36bChfNvH9jDMUsQYkhU+bXgOXzvBinG x0pEw5wwT86XESkh6b/iwScWpUIrVpMnSdIi4d4OOC6eyV92IUrCnuFAsmuSlj0koRdWLqTAc7RG RTo0U/ZCsLErYurYSK3B0u8IKwYoeYYzIh7d53t17cpKle5ArFHRmTOH2kMCGfEkXgHxmyxBzvSW d4JrLh3YNEs+/3dJ9+VSDSJhBOapD83AxYwzs1ud7r8E1KrXZ9hPYn3pMFAjJ8/XA2wZ6peJnUuo teaXKLUiigC8XKNhsdFiZyfZ91jT0i5jotna6sfdSrgqY7xP6rBQ03fOKVfEfk20b4kw7m7hPZvS libt/fHjtKluF0Sv5PJJ6EZA+J1JvRiBK7nuBXwzl0hSIl06ADJOWjBFOhCrVVbxZmVWSpNGYLVH 8keqiosvQbg7shYK9HoUCW+6lqFUwF1KCdqnTYIlW11ACooJCwu9Apq6GimtM4cXUgbth/WMR8qk rFxVqu/Q+Y1PCk+WXFNB8lZfn+sIyWqhJE+sP23B8tTklA2isWWbHxhJYVRZgTYEhBE5pVAIMlAq bDAaHxP0TEb35kT47s1oYfZTMzk9Txq39DkvCwB3j4gj87mUGJQMN+OpbdsyB1cuHWMRYawW98tb 78Sm/n5OhlyBjUx01nWRE1nXZttp7Jl/tAcCB+JhG/O9E3BiXa3P9+QN1iATgBC+B4sVG/M+4TBi mki5LiwqLp4ci2dR0i3XivTpYOj7DCla0OqxItZqWdJ0e/hPPcfm8aO3jgN7iBThuubTGnJpi9J1 CCIyXaNd7ayBITGV+85E8dF/xhUzwX75KNLpi9z2thYzTb/T68B8L9H1tDYU1AXbWs2RzDM8l9Jx VAMetoZYb4SOGhuGS/+5b7aOVzlae6gxnNIv5tet6U6hUGJ+ieyO4rDcTMvnfdJ+ZfUR3uIbbO0Q CNIMWbA6WXfdc5w3yMt70RNqT+Ga8nrLNhaRW/KgdEDMw25esEl0Bna/NSa4oIywNVyC7PTt3mOC KXkGxNDvTy7ILbTULzO6Ckd65j+onirO+/YJ7//3zZOK7So/Lx2dc59sPwvtslKXVQ5H5XZqBvSM QuijqXqv1gZ4uODsqc0ZZTeNuv/n5Mu0mMfrOrH0zVWGR1NhptPHX0Zr3EUJTt2n6UTzugoFTBNj UEHDWsjLw3gdPjTEMNNQgBpLmoKJeQChkSI7bcXqTSrJQDF88z4mkmiEv/djRIRXdlAz4fINzjU5 UvLBTmFHXbyFMQx24OSTiUPx1T8I0q7HL50jKtOLc4jUDbg+GQMr/hJCp15HN9NluVN7y6CnLEEB hGlydDSVY1vhHBQfLndRx+27nxfiozs2rGdXu87YDyBEMWY+GpyU0VG+9+g9/o3VYmuIZdXTPvPv K6BxPfMoM4irBMNLWcLdtZNB9+a7s9RdcTXvhkbEFcCL58qnBLlUyi3/VMROLRQ4PLgEE0iRMC/v WYNBgrgfHJM3S38IMmpXEallPhGLiPev+1rbx6dc9tNFTvt3mLLGZiH5J6TrbVu+gC0BVs+sl1Iq wo6+2ZDSCoJeR6SpbJsWDhqCb7aaLllA/UEXgJNjTHdpMdYVS/lSB5W6MQX2GNDpY94adS6ZRDrV 5BB0v2hq3tuzPVO0U+hx9qp9tq8kUw7g4ZK9mMM6FHMrdGnBBbyOG2m5tl67dwQB3QWE2AvRZEnp XlrzYDnhrKfV5gCHU8sVvA2cSBctaLwfQq5mTpT3+0s7r1HXJqANQS1TAiDlf4psbfABpmxfMUHe gqHnsbNshyEOY6J6lZGVQr5d0nO8FA8Xu9VwuUHduEcDCPNkv3hDCP2lLGZBpj1dJ9TibSt4mF2l B1VlxoqvlJ1TRcv5ZHjDf5Wvrc5awx91tylOcvVit5xELf+lO+ncBmYGg16+z7HOIw1918arONhC 4iIR/1GiKF4YnADDvecSv9hWBGY2uBqtSC+I0U0zA/dMpZj4qlzcsqrN7Y9UK6PYen9LHQsChomL vbKhkSgyQmH+VpPtPaH7HEvHYEpRkR+UsA50O9VYJ0gF0wCyVRES1G7onVhsolPVa9guB8c7hTQz /BOL36VTbn7Y/yeCb1Sk1UfmKj6m4DFq/kgokWFsDSHSOE9G7kGNVOyP9c5YMK/6ht0R6s6X9bHh 8Mk0HWvm+sS7qOquUQ3rdmlCURAZH2udO2u/bre1GpQ0pUqMpxpEWdOo+eNnABOeodVttiGmd4nx mAUCX9nknLMUswvGNmvXNhTy6VpqpGi5zJLxfSk53hHqoSgtuFz0rBd+5D+g4RMeReWp17UgFKV5 q+YydYvgl4evVNgnefJaQFk5Ca6ZtIIlH6W9b+q66zLgVTZIxomWl+hvrHrlmVcUgILGVx2MLxTw FwCsheI6OxNjCXGjfv0qExirR8nvysZfPxr8jFtA1GEvK+WPwB8nWNYK/OJAjJDt2bSGsUgpwsfa ta17L5hbHNJjOj3cl3lt3TRv8XtvXmIC+zGXbDmqvKFQ1Gn8Eo38FuX2pbEoJ1lBzzodX5uk90Mg f4Clk0Ye5UXRqJ0quqeuTx4t6usHck96PQoCYB3WeHo3hiiQON0gNMC/vYWv85OHPCihsqcpbHwN yy6A1WwAFWjGvKt50iwmvc40ZdrNJoxXwymdraF6TAWJ02zGvC8qjHvQFvpyHRCjctf31efoD81n J2kGpGUBCrm0WiM+kkqgopAow9CVaxNA3FA1wo9TA4Tl3C4X0J3IPn1I/VYpVWWuQ4gV5Y+ZM9e4 vyhESZLDRq8lC8pSTMrup3vtI0O3VLWWhocW9bCrcqOXi8X7iYEd7G2QGTmmur83O141B6UMFq79 A2daY08sWf2SOZBzNThw9Vc3anYHTQdDDvOKiGX9Q/aPeGmJK1QAzIvwW5A5Gtsusf77l6D1rPVd 4pWatcpi9vY8CBvZChH04vUpv+yF1Gfp/3nOjUWJ8nW7fU/g0AcXvIagbxP5VDOq6KHouv/oEG63 9yW+jbIdIo/ioJ2u0H5lh7P46KyQWSNDCyh6gVQM8j3uM74cowa1RD455eFOUqVWBgjsaoYGsAap qCjMceFsns8mQoure6WiXEBs2Efndu4fCVXoDcVXf/UJTNyoiA4RQtrD4WEal+7Fd7PHCxUPWOUM sLDGvAtWObE5kCgwJWLi3zSXazaN0vUApKLuQ09iVNj/mU9i7oWfPItHCxRu5FvvJI4immTklvHR FTtIwgJ2xy8BGVYRrGWar1r02jBOOhZO71XsFku2hgN7zG5WeqV2uP55WNNZGeBok5tcJMYW3VHE Ky0GMVzKN3cDJKuQDsGKN36vQqsHT6y7RoMQXM4ORfRj3Hph641+Rc9NZV4CebppEcCYSY+Lv8nU K8wJBjrYw51ll9DPSmxyeTsQ2Yg4il7bN24rWcIWIa25w1O+2dglheQfZPz2TIB0jsmoSvx9mnZe BaIRbE43SPQnPbKUTPwfjQAHmN8xiyIfk8Ym7LQfNRJoIr4egWvs5vUXdndw1T0FiP2T83L/a/V6 ldRXtqj9KLyF243ITZ35z5uwIVzEhQ58ijA2eNAG53R9mO2N5SyUPGsBjwiUx2zS1BmAYqh7rQtR zNGDnc7r2SQGbSNjkZOYwX8QOGcP2CzLZ+qivyUY9aDKv5cyZOPuzzQyeUPjfL3ViSM7fUPbxJWi S+468IMGImFSzfq7y0KT0IfZh3Xq6LCcswYryHOENSx5JewBBCFyEqSXjZ4ecEQDcz6ck4Bov6uS apjSSoXVZRX5C+fdYrC7M0/BAjMHIx1mu5SI6/UelIZwNfzZYnFak/h0G3egT7WzMzJo4T8+m7Qh PC2gMH2hgRkH6q8P/NCrsbNeCVOemBb6e+PahWljMurcVX5BAyQokgvW9q3NKEN5PCCoOGye7/tV ytEDPKwviVCWS7kc1b5Mcprd6fVa6SnD/sLnKYx/wuxGE8ou46p30kGHuZzXdLNhW8VyJzuwrNUy DrEKH9TqqdwAvLetcwLMrnP18Ez3N0gkuWYQdXmZA2KcW0CjWAQQfLUF4g9Dny6m/gVR0nnaUegL fLRc9pMrO1wlyVOZQl/h30ISvARbgqUpmdpFhhJ2C6jutpT7ARzroz/jIsEnhLMiv/Vq8YjEeYiK i79/wPeOXsf/B9ToCiQd3TnAe+IuCXUdz2szqiSTwSymNtc+b2sL65lUVUuZq0wpFRdDyODKpy1D uNhTTkVj1Gl9OpMBp9Wu/sNAQ5ViuqUOET1TCISzXy+lYKAOgLUsLL5klVwgkDI97gcA2ZZrkOUT bZOOGVtIsecHFfS9lQ40WHTHqLOU/8Gq8tmKmgNOyXOZIse176cJ54r6JYxyK3TrzvZtlfoeidjE TLB9MqUZyVc6KwrueV3oqjTiqXU6RH7nbPCJdfdQLY7MnfUAa3I7uJ3vHIE92d/u0isxjDDvVtTQ gFsxtj1P3iA99Vnh3QkugbGDDA3ZZs2TXhUi1u7kT3ugCuOST5Sz6tSz93hW59Y5KeOvLupVkdcF LnLWBMG6zCAyhxAsgYwuc0tQIwu5AxUgAmuKaioN+DY2/GBgelCScSbS8zxM/WvTnls68zCl8+t+ fdeG2oKzxz9l29kGnZZE27uD53lQlpBxf0IJ8iRhVqY9OiuNGwmNTyU3HBFnVlGnvDHTMYZ43Qn8 0jegY5j2V13dWT8rXdCJgeSh6q5CsgB9expO7mGHDBrSbc5k0+2zzFQ9GH+9ngp1B/Xiwjh71JZm X64xsCauvZJSDvjvgdHtA/C9zVyzvCy+D7ptfzISrP94zEWNvvNVN9O3Hc4z8JI3Jto/81Yt0CXT 8oqs0yIiN5Gzz1cf9KtX0amioRP2t1rvrrfgT4SzYD/tG/+URcw9arTJ2c3iXzabZkF71/sABnX/ UninCuImmBeetYVyVNMPyPyX2yiMtNUzSWBtKadZwR4UDyyfimbCqm8qHWZYLTikubxP7hOBzj3v P8LJD4qT6PtQodTyLSunzgq3rr3X0s8xM+4YvTCjlB8H071hNvWBpg69fBoNRivjWuhYg5NF2WRf Ix/n3jriQttSH2FDTr7Mxx+dmEu7GhFVpmAly+627gcajJkDhcTUBIQIU9JAGB2zI0z9qtSDsllp 7LmVHdoub+TSdxCWOVLzY6H3VsqUGfRMz8qoGS/5wqXsd0wHISAe2LcAUSrB3/NXh+FffoGwzDe7 lzc30CpzXCpyoANeMLdBl3j7m3yWGP+F5kxpkRnfP07mG8dh+mtmDyUDFhTBIBdsqBR3qjX0YfK6 8TiODmFjcPIc1fli/AdpkLWLC4kvuvNcgxlgTrCpV6tIscdJV5UBSP6PdL7a3hUtO1g5Lg4xCX81 9sj4dig3B/1+9ZltrIGiFjU7eJUvTdwWS7Sp9+NXoT1HATp0DD8Q3I7aMSCJQWdtDK8ZWiel3Xel FYPS3BB1fbqbV6fbE38YQoSHpai07mq82q99KN5TkPxiuuebR//nwuPX5tby74y978sUar/7WLCb iwK3qGu+4nFT/Y0DEw2/2VUUratQrX5kVdr84zG3JYyYDJ1P4rFQde1kCnGbq3R3ZJMKkbmkWiZa rohwyGuhmVvQX2hf8gVABHQyjODzJ6mYy0crXJKX7obV0KK+B41U6o/HGYQkEbqxL9yPT5dZVv7r IOIfr+keEddShFP2uw3op/OZtfpyGlkPTOk25abYMSl8QPofAxV5c2YS3MHm+pP+ofz7hI+VEgc1 C6H8ek2oK/3MLOqPljhH2xSSpk2Qoo6FzUAqygqgB3UL5k3XJe3orEL/R3wELqBtsrYM2utiSba6 tmSirTcj2FJ3HvCbnAmrsRcPXECVv7LxHTGcguLaO+bHzA63aIZ3YeSEn5aBGA8JyFNVOUp+sqDH JBliIByYL5TONbXpo1eANkAnro8WGM8Q6ceyeoVXJmj7rQ9U9e9oGvwEqdpNG/cniEYSu8o4NqjP 8ans0SgMpWMC1VePg3mFqLU3F1tclkPCTugwtH9r/S5+D5oRz3BovuWXXISpxAscuS4rfzc4Dssl yTK0LNldX2lHtzV0iQO11mGpoz3W3KhKQ7q1xk5Xc9ZfMG8yJsfiKQe66Oi3X1wIBuNv5W1VqMhN mDKtuW1HvWz2fli+TXDiPV4PTiSgkQnX0c2YMWCUccpAGbPX+4Jzb/hNohRjZE+Rg6oW+PMgwn2A +PATx5tFrPwy6DsjV5q7RnCNRZSMgXd85rtl+9XxAtajD1LDfcqeQ1yAoY718bYPxKk2lEoH6KzR mLHq43OFWDc5xg8WP2iNjdJq7mNhQdykFiFQaTR7Jr1LzVszCF5Xh5bFLT6Potpe8j74eK9UFlrJ 7Ii3bayv6UzwK7X0Jh0i2htSUcCHERLIEIj+riee75X75MTHc2hQK2NwYKq4nOyIfd67z8lbUsqm FIR3FkIjzfKV/FxoD1Ov0OirNrB2nmDlaRgbHzUUVkIKlC48cp6qjfujlTlXyEjrdTLbVksOW9WM YLc9rROAWdee0gmzuOM5BWh2Aq/jbx/tekUGfFzA0RAbML49wpSn2KDMb5zhl1MjH3X7ialNVii6 KSUWaJ5oHPh5jatjhfl1GgFAjin/HARzoG1pmT04YhgY3dbvwHH5ed0/7K0nguS/pgtkhLHBM78t /tkSRigmdNsZlsPYbKw5vpfYuZ/xdWH5mxE0B75+6fJGzSFfnEs4M4FoYzwQPl/R/zw+gjrADrbZ sFFKUmN7Hj+dVjsAIxFLyowlQccePXR0HMmg7WwBRSluuajQaYJDW1ccpZn29A0aa++J9eCNeSod mtcn1IxXLwsTbuLDXve9vuX+35wYNwgGunthiWnUX7EMIPbHJF/0ytP4H36+d+cFcQVAWWSIXEls ETB1BwNIIa+mVecRcSYyYeza6URlQdyPICYxd8CRTsK/x7gh2FieQorGNXJ0O1I5Vqu8mIz/ltyq x3Ec1mgFIaS4HZGisbLK1FVCi/jcoDt90ysrMaX4ENADLuLGohHUCARpH8DNj/y0PNro7VsekHkS P4y2mpksof858R+fbEa+Rf0LERSLYHbzH2mi314ImsOSpYya0TM1wsxHOxkFytSeWdqLtf3zClTU eDbT3FAyHhIbhKSkdaSp2IxduH26SuCcmS7hlUMFYKKqv+Ls7BXAyY5Qw87O+BEXe9DFeEZMpEVR 5mqjCenRiY6L2nU5FlgsV9twTd5TF786NBI6+rH9d376TzJyfJTiCjGUFn2SfhwAnUfEX31Sj+pB dUBVmmhAXLY3SmLjxMcmIzrY5lxxvdHxfFYGRbStfSw6rfqvuptc3n8ADDusd25fp5yzv3WrIxMu zXDMAp8VqV8F3VWLWFRHheEurg9RyqxjqxoJr1sozKUUxlETyfPCospRQ5I43djaA0DwyBLI29Xw GbYBIXg3zPieus3Q8G7LS9rSPsqvJDqEg0oUL0lctXqCOO2Gr8v4YjOgMwalLFcF3UoLcToq6NLK nrycIOQ4M2culb1Ueszvy9e/9A8Za1bMvkhZDgTj6jqRsXG5858O8fuYLOmmDI24gIEUaZ8DgRA9 cPACbhVHIiI4Ok51Tr+rZAp5Goo+FL6da+RkSf2ii5AC8+zt2X6MhJNdfXuXeteugyg+3hNl7WdQ pDl8XzEP3dkD/g8GgI36KC99bp/NrYo+BRyVbm+X7RwkIdcOBQ/ohriVzBu/2YyYbDsopu3eEliM z3MKLJEQ8HwEKU0FpSvL5R7lK4/uMtxeyMEmjRMYjj/PFyixfOaQGQST4CQlfFgxz66YA5VQLMlK l0n+Ei2z61I8Ymw7BjxGNKH1l3V3qT7jZHjGNScveIip4oggi4lRNPSdpPKzEZFO74MnQWMVhpqq MBvhDcyhM2Hi6hNQRmiykst6Oeeoa21M5A2ra6VXgDiDPDbE+gtujT7IteQrxk/BUVuyf/cOebeP cnSuajpk+CwOHB73c57gpO/0k12VD8Q/DAZUYFZg+pvS1T5ruO8p+fypbNFQtuK5AlUUNGKLrQtH oyXpjjlCTPPkD7Zl5DMjvAvby+HzG5UnXIMnIXIlhB+RfqwAGMDdzEboKNv2fyFfjPneONlyU9YC hnbpiM/JeewPQkkCt8/PVCkkb6io/ITfG4QKAUQSZFxsmF2te64C5s1lj+k10M4xz8urcvDtZvcq laJmeC8oi/stfUYw54oAyNb2Q7LsNwOtbwYVQkk7Oks3Iowi2u5keZLOOeG4rkfyf3RNHntT2cyr iYVby3eVQpf3hPPK9Fwf5A7lgsqcANDj+1uHFf4Rb02cSpCzj1l8OeROMA0M7G2Jm7Y62HUwPbfi A3ITseZQEnJlWDTbNjdVH6C771pwkVLU5m73eKvGQD90hi+ROmUI8bVS5aRBWbGbCgXlle3sFOe/ 0SHByRm5HmksgXDQr8CNQL3rUqS3a7WCQDMspIXDIfRjqudVdJcRYBrMl4GxUUbUMSOjcnNAfQGP p3YDQR+00wg75HWgygKfijUeh1QFGDKRNyAaLNC+eH9xc/RBC8TUmteoClcqmvquH/b5XgFMaqXp MVy+AxdmMsbR+gVi5VBbGOGFH9rmHf7gAf3S0stm3ivlTxiKy+S0J9iTD1MSkaspwE8rgZMZliIJ pM5DpfG3myIlmxYeA6P29qrqvmZvBuZJWqRMrCPQY2Slr3m0pNS6KNiemER9HwxE/u89Mc5BSTmW 2lmlb72mQ5ETi+mfT6Q8xnxDisoNJqOuGBubis8vTSz2KR/uylQZkAx9VIccLjUZFxdatzo/Q4X8 tqWkAAD/7tBjpoHe+R3OTCFPT3tB8s9zEUCF+JFIKqkHhtq+nmDHU9b90a4middaDEoaU+NQwXfQ dKutMhyLK0sEFKt9BYnxXNokIpoKUHLUPCkXeUPNY0sh9I5kmpNPH1JjEMyO6QgNITFPsuqzg3eL A1M3P4MCzaZcSRTgcEulgPp5xHvVPF4WgvTSNM5XPqENCbj4uJ64quiPLVPlf7vfpeEqEBOSPPPt JmOhMetGHGc+qBn/TLBckG2ycPYPRNpg63ZFfDiUp+vHkiIsRaKkSV5xb8ecd2ZiLS2D2LUFMvv/ G7hrg6odd7zvQLm85oiIt8AIrEVe4e9r+GBUIw9vb0tnc4+LcmKd1rOZeEnmH0x7bMLPCuwzZsHj ACs9dIFkpl2D3QsbYKU27PV5Tcrb5cBVJPYi24S0yDZM6OGsnYScqgmju2tPTBqXylAsLzJLZ4Mo b9adY/yC42XZdrwwAS7t+glINwHSOVbR6+V4e1Hb+u+BbSDWQSEgeLss4BGp5gLqA/cO6Ftl+y7o /G2AK/kduH2ymCoSddfSrLLs5hSJP7XxX1CAaldP16pU3IOxks+rWWawgvshBcGSHNp+k4IPF9fO V10QSUhcoJYHiuuZE42QFoCeSzEwC+mPFhESk4tmUC0KSYbLCNn7KDHvkEq09PAgTFMJSKfhrvn1 KipG9XlBzSnoT+8qU7NDvN+tYXFYqNdmm+l8aZAFY4XPJyTNSKNRLmXxUIyxf6dayeqrtztNm58D yv1fMcoObzYFhgcBXefC4Qn83BIritRk+hilm4Hn9SZG4IdTF7I16B/G2pVtP4tcyG/fQG5ThAkM dSo1xp3ofreVeljjKjQ7JSQtLxl2NE0kqjo8dZmNb+HPqX1dr5gmxRiaPw/1Zqi8FHjf+48xAjmu BGsVGR2axUbkLiW+tXQxbLwWt3yxtEIcYrTAGP0X2etb67QESmBZn4FeULFHfggp2o0pOfI2dNfa 0x4klj5nJCIvsXOaxPmdCF7EHcM8V5BRXlBfwczD+mdhYyRMAzsI0jzuKpOudY5sD2JDiAcxk7gJ VUidUMT9TWpSTwQvnbX+z4Jyu/9Mmu8qvAOtz1Bg/Z6LmCmJ8jvMgZBva8+07t58nDRQHRyiEbMZ OkgzsRQitYfB1+SRUonhzgV9f6wUYLIqkci5PtsUkfgjn1+Jnt2R12kiLGh+WbOjBWOHENwiTMHj Gm6yNNXrSaRFzPe1t32bSDuazVD7l0kAZ+8C2y0y/GQOHnUsZR6gPGkAdKRF4807TyyKDyoihL5J SG9wpgijVtv9Mx3BO9+5Hh6nUwnFrVNZ0kMOmmQEfIWRmKhJMikrXm0JzWFSIx5SmFqFFmURXqsX OM1HkgJOvz+B+vvOEeH166osBvdaVKiDbFaxQyCLMDJHJ5X85Lhnps21s9HlziKBnpgxlvxVEfQH xkIq5fVA1thbxf4vkmIyCjYVgzeYWwKpu2ulmInSNrIjWjTh0PKwJbtwq/Bfi7958GIqNxNwhIzo i64wy2uIiQKGrneujoWB3/UyEb2iD5lYlxgwQiPXhsBhYJp3G3KiXKTJy8XqnAMeaonVodo7H8WZ EUbUrJjXMfACm2zsDcGHF/gYFcZQrE2UwOWd7gPdD3njGgZ932u3QWvP47yKXlLoNBFIK+PdPeU+ kSFZp9uhQBsiG0XY8A8vos1eXIALAJ43lLmOAg5pzdqYdDg7HxpXmoOtHDQ9RgfT+EfBfIaKxRBd /p6KWtgYmRTwqngrALm9b5hBiujFSXqDHs2vG6puwoLq6ZEmqXrIEu97uWYtsA/9tvnZlsh3in/V xXmJ8UpL1AwfV1zA9sf/4T/0mR12G8umxpvSamOY5VvBSXRQ05gh3xDs6mybrbzAMKhoobC5JSLr vjdOLaXvIGQW4iOWlTV+AFyRErpFHGppcWqU7C21FLqFEnnMeNd9/azwsqk9x5hvS3vlN9vMw1hp tZ2yOeymckn7jO7x95ohNdinBKJ/Ji/gnd6AgeEyimjJjqFidmWbIFKrtXVjBJoQJ8TE763tVMoV /zuUM9ofure3i+o4r38PYBBV9IVxTKe+1Em8Fo8EP7HGEYpm9L1UOWN8z7n6KDc1I3A3u9NGDb8/ sdbz3xxbUNhWxOBknDIdC+RoAZRExniGXwB6yHExq3iHGMSj9PqWgS8y7C1IYs7AAfsFvIMT16y/ BwFYWi3MD/odV/Heg4SGJX9cc+Ge5VxqCLR+1uiF68E/OL65gASQNQn9BKj4GenPiKE8HP3ZTfbR HXO+BmK/8rSK0pP/rVdtNMR6PstlfR+Spz2s3dLuyUNN4qVQEWUXuYKEhJb8uypKwnoB16sQwMps s1c3ssL15MZ9vLHvBrW/W0rVdRjxWJ4DaVVw07Mtl9qIBR35XZ1+9WT7HX9dV755ThKrfh5Yw60s W2xAbJCqRo0UnNMLOGSTd8sfHcLHQQ7X2hwvwFxTSIMm6fnbGvhsKnRuvJUri/iN/uBvTfxoSUTM z6pY2f1aoqiQMb9ExXVNdI3L8eXDGv3Zrrn8CHBeBEQQnMKrHtpahBgMVqrTHQ1jUenlXcKsaaux L9CGu64yJkJy4KTLU2RlubB6nxM6lo1bW3HQGNoNGPqmkeyI+6PxwsOzPAQhZKdiLEKrLP5AL4l0 ihszfmwVk4SMhDlghRrNr7MzRIRlwL2ot7sziVbJQllrJKRp8CImxEYHMxDK2r/zQBACv+bAmOGw RO7xwT7BePSmPNjqH62Y2IZ2xwV8Mo0i4LrpCeteLGAN3VZGqHU9vg4WUXChBetX3fpNcBs8EpMm 9Lm2sZiL1mTQGhB/a1KGCP7VinHUQfdNXurZ2Lt5r8UuIzHj4CRPBJiyAD6Ryzwmx87jNh2g/+i6 jPGt9bJiOcgfx+o4FZLYFcn4j1uADLOsrasRoXAR8pNTWOdHSowgp9QeTfQ9eb5+q+BrjhIS/Pds dxIT9B/1t+o/oIlj4X4YGYTxFwR81+xkYsS4kI/lJrK8VBVPoykx1VslBgBXHKlBUVP5By28pPN4 XXczn8Yx0Zzjj4/klZoRnkq5BRCQaW9g/IXOKPIdHzSn0+jd9gTzb4MCSx6bWaRAbY9buTZQ6dma jdr5F0s7opYz417AIhUNdYrrA3fyzzNxMIjWLlKePr6wf3olvZ5GGNUXsOIFn8SmoWhEuqXkH41v JrPWTNSZw/cteLZmlWJrI9k5KKXr2y7kjaxs0i//rH4eCFPz5nWMF/hlhGaicRiPXGheXytRCPiP Xux7DwQlQNPIhHOlDr4omb9IPG9loMFWFnsyD/k4XeWVf9aWT0Gdu6xwvo/UlRl0EyYxPmf+FNJJ q5ivmn2xAF+IsxMtx0liMY8fUpgJytJSkGnCfCxlM5aISLXzZj3JtT8a7n64nyjEmq1wgjR96aPj kEGxKgkipkse6sTrsA8we35eATcTrmTc9OZitAEmr2/rrgyI7Cmvko4K9lwfl3hiKcYj74zQ4/UX 4zuzB00UAJ3sp/Z8RdfzeQFlWbrsc6ZF0HX47wA6wrLD8b9e26a0olBRTqHhc4ErLJjjh7m44jQ1 6f6RP58yiPcW9Q3dAISKPjEaJ4MqE2nL4xq4PwcqA6On9mbdJsnuG0tfbLp3JnMwhqfs8DQFMNte BE7+9m1T1dG8rKxoPhMr/sQ1ZXqaEfgFxUgHFsaiORkwwe4DuMV4qECUlDQwMrPxcdxjT4LynY/E YdYL6LQXGdq+XrTN+BZ/3q9fKos98ltv1qk5PdtfEMVV38z6DGSmMYvmHoWi8ycB8w/Xh8hJv3dz AWnYF12PdGZk8o8t1e0W6xfAyJyKy0LABFfk7SsYj4SmbfDw1yEiPO/jKqNRHk+OhRSczr7vAPW3 SNBk9p/eE5E7MDEHowxHs1H1YNeds2/QyLvLakDOw6Snfu22SFvnAYcsEPkHAay3HWH7hpEhYUbV jCepL9FfZlixiaXm6jgqO9pfpX2odauPzLbgL8W6/5/0SJIjHFYX6HzlDNNYnkijGKfvuP7kDqec bIP9XS+3GYgclHFIcLkimQvIDJkvIZUu0LAClZw0zHqOochiBH+WaUdsT0TojC1jZDX48R0LYI3J sQNDKIBpAsJbw0NLOVQMhGkWbbkfxbkLULGcfgjK3dK34U0l7jMKJLg1mP8/HINU+Qvdc7YaZpmK /2KvOFMNUEt986tt5VTlQSRELra+43VStT0BXm2A3FsHyoJzG/dEiybvnrvLJX+4q0jK5woEAEap 7CaNncW7f6EkXcjiY0J5GbHrXBneeCkKbr7To9yOpFSCyaB1QqmqBnZXatcV4rG0wId5HwXrTndG e+cIQblSIsxCSi1QN7Wyc98p3btUWJWsj2N9SGifxrIsGVeXCd6eoh1JKPEI49QsFFLAWweRHHYi q5aLNIGEUY1MOMnAw4jeGSjqSci5p2hsKKlJzwEMaaIsMQ7qTfG+iLK/jBu/UUl/RA4cO3TSU9+C mskIxsTAhbbvC8LVjhq+NqihXJE9SLYXWrjiB5uiNxI1BCkgtkc87jO4zq/YVwz1gEwBMIcMbajt iimDqeYFHoL6MnuqTQH67tzYuNHw3iET2oF7fC5NsXFDyOUFzItC37cag5GX484e+9JuZubi2V6r pu2HWbnNjRPFoYQPBP1zTe+SUikMVpgzlswU0xtA+c/3U3jdehmR9j+bS1dytjA+wrn74tC7nK/U tQRabO8qYcUXI3V0v7tqYN7QzP51R/VpZdg+eVBL50mxWlIpPdmAhVSLG02sNjAksewTNwPbgqGc Hpdm5x1unrhn50YthdcoYQPY2hcUIpdfxUvsNYJ/+at2BzKeSKwR4AGDzmKOI/jaBCD0ZMl+y+MC /a5VOrGmuWiRqioL1Za+D2LYb8QMKS7h/dUo2v0zQkVCPIORvuqrvDX7uHzrCxFvO7UlzVXHHKnI gsyqV2rclRvAd1A09+bHfU2lFD28Z8qj9fXFMHsr3BdfvMfbuGECFhkY/vNcSvGeR1WDi7/E4B06 P68AV+ogMdsEBw2Lulf0dhyMmJCSSGvmEcKs/syCocXAmpLA59vnCffKKMMzWDletRGSTRVpUHoo xenDGKra4uE6i/jAPl5doxGravOfefxbd8u3RwwUVdcTL+EQq1rfrHMN29dFIVnigccsqLW/l2Kv bkmY9WS4rMEXoHQ5dvKXs2n++o04uhyaILiGO+5jybUBIpP/E4zMycKWY4g394+lo9XyxUDCT3Ph Izl+crpa1WhkaRNjUuyT6h16CClBc3MSoAvp7O5sOcnGMSSYeeOrXALmxiRo6YEzeDkrDaK94xYT RIywnfXq2OXlJ1YyjpThU9WRotCV0nLTHTEqoSyxmnrZ1TPEO2k3okL4LmEB2JqgnS3534LPRpYe ufdyVkAe1bEoz+oYlL7eZhewYhhnlKNCXh3B6IkeIiScIbVXaTrC+bVjjSKfH5aN6tbbkDY7qK7N yT2cwzR+i7hHvMfm8fEOl6WggdUiPKBANzNiMNuaYCZ52I054Qy2fhlKNpCcrUP4MSZ+qEkAGP4j so4WqnGK/XDjlBQ3IedYMTlrCf3bQrO0NLidcuQN05VNJeBF1bh4OCREH47Y7PbaR5PD1X9SZGZq 08Srqoo2896O+8jUIUIcfj8y2LDN/Aip7CZbV3NBBeMWLYoh5rqTMz9gJKLiOYt4wdM4uI4f5zkJ iOW/FdwcNlSeJxZUXBY8G/XRSk5jVD6FkHHK94b4LqbaHwISecfS7tF2Gc2S6YQotc+cs4ImTYSQ qnZ0lNx67FWmGsitZXoxnV9l+wJTue8HxLf+Sy39vbVuN0ODBrDB3xpTizU51l5gxnQZdle1XHut drZmuXZICh4E1BeTnkwmvG1jHYPzXLqxjsh6PflyDqgu0cxnW2zA5BMGXZzdM5ND5heniVYBUYwF mdbNPQDJD1ZavAMp8daVnVsf2rRJzevvMiPC0wMC5TsM2nM6/cwbg1PNS//cXgBGEx1Dzgtetyb0 OcyLrYquEvZDhPjHuA5Drw2RSDcI7Ci7DFiZThrrcqC6Q2jIkB4ny4Kcjs34VRUsS2CJrRuF+O0G CPqCihOtK4ggyArFlXTQoTzKgzanPbxBDCzT+kH04t5iUuZeguxI3s7WHZ43sq+f3w9NOxZbndIY nV82FMl3F+s4HRxu49TuWalM++W75TxXeDnxNebQ6ApBSlIbKFn15yje6CbW/TOZ2fOrUy8RXulH iEdfLemh1vg9srz/I1r57Sn9q400wka8ZAqEvtcMRKacDNmcZVXlAKa6+3ZIn42WetOT03XpRuSR 5qj4XsIa0jXnqHrmOIsIQLyz3nPxUJC+xBxBs5KvvKzT3q94Rzd9ro9J8Xq+qqgcJljfPM4PgAtZ FYwyCctfu4yyXQ7WpiV0Uy8cyVkQruQrSZ9oyvHOrKspmzH8r3nS5lWoW6YOoFnhJANMKrgUzu8x JBzFlzZJ1V5I8leV6pe4oquoh8anGxzjFU/oRqVkzWfXmvxQLQmlukBdvynmYMv4RIOiytM3eHFh ZqJciQS6kHQsAUGbKhxE/Hi4Otm7xP9ewjI72nDQ6vYKT1ndq1JqCKluBiyMiJw5t5E6rkHA91cy i50JHQMg1y3i9L/rH14njXPfOFqK0HnMPdZdJzUF1DtgsiWnIc0KrcyCBaEJ/N+Hv3hoLI5roZEs k2eLeN4fox/TVLF5sMDNbyjmMQV4siwpr/fLBOD38dE/UjyVveT1A06LnWHQB2OU5OUkKjUW9pI3 iETmZ9pjpNyEk+rET9FnCzeifn71aCX/7ONggCkz4ZZeX2/kCXYmw5t7hhiCRkpounEjbnkTDQRR s2P0po6tiIHZK8hc0wxBXybcAMqNaAfx3AIsIbafPCrYMiDwpaPV6DxgIjCE0EAqWzj2jB/LJ84y p49YU8hwT5twwNgFqvWRlilkps0P1woadydyAJfS4Vktx/9r+Ana5+fGfmi+aebgXKLV3FbNha6s 4jSQuA+mnB0ETQAJGGOd9lPakbMemWfi0X/czPJCl5TjxeoG+aOYOPBr3p1uqwPlF/0bJX0ocMLt /4x+mrWBuOVeTVsHFm4OGyYy2QeLcx9eDrr5ZPWQmt/WfqCHaIa5JR7Fbnq2tWS0tJOrn4LvWYKo 09wUhBHZAwgIZlU2dR3CYZm1oDb/EUbNYCXSgJEd7aaon1RDFTrfw1imsUWrP4RB994DIpjeiN3O y3WZOw== `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/async_fifo.vhd
19
33343
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DoylSncttFMA3kx042gUfpgfS9f7wYF6CWxJheifm9U5oZE55E7a0/gn13EV1/Vn6tAoLpUpkm/0 hmdlNetDYA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nsjL1A4AfS+U1MlmYTovZuA+LXs5hJP3SunimigW7xSFqc+G1o1qnLbV4BnmOncmqUv9X6mR1dbm lvuLbnkHJpdv3qype+E/DkwUU+uuHlSP7/5qiYqLK0/kXVQ9CK4RGY/33UuCkCUXhFP+4VquDr0Q ctFJ3ADjSF9u4KfkLp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e8PwETDI60MBXnrgCDSTetYRVktLV/+TTSXZzS5MByZtHEX2iao5JK/khM4FDpq/v0uNsNW0rhjn 1dIPd1mlQZEDfzGgZ7rgxmjzboNMUH8CMdtSuB8lFy7Tjd1hDXqhliwc0PhPBGYBs/YEff98J5pB EaQ7x9e3Dm3lUX43BX76qZ9cgUsaVwP5tX42M7Z1CZ11+5f7kvoiSco/DGzJuhCbDcHoQ2NjrZeO tRQwYWFDIi7vBls1ETe/q8cjQLCZThAhSFjjijV74aEYat0gpNy4Hxz/UN0rUMO/XCqC2k8lo74U XZlHepR+ABhyrwVFzKEwcRDXuuh6ogUCrZ1mMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YvHkp5oDmh1yxPKtyY+bCFF9nl00iIDnF4JnEfzCQKeCjt2Tok2cPb5/9L9T+H/cQ1x5qpJZSOJk cf36KzabCPbu4/9VIe9vwmzzbE9Ndy2Ov8q4+HYXDGn/u3gDUJZcIYEnVlc3E6se6bxCrEZNyRYc iuoolgurhXiPk/HMhX4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XZ/Rjfda7p8W+LhE3BcXwsLXrN7RfTJezMmvWQf9ZKb6JJ7gmlPk8WkUFEwjbu79kr2SMWbEP0wO UouQmHkylGRubs4N/1VfavspwJxzO5pggGGBLKHkmxqVxAWJEQ3Kp5uoaJSKWxqKIRLzeGXsW4p5 F/e0YM5v9fK6K2B07V0FxCP6WuqrungKJmSTj1Ji3gWd+VJATYp+hkh4HPUA/aDTgCzwwIaJ6QWy QvHMQKHrEHbRztbzfLMH3RPC4Jl5v7PMeYTnCv8UcX2dwujd4zD00VIt1jMD19vjN2WZ7U8Tl83Q sPvYlUbNQVTnqIBf7mqYAoAlbAFXbg0t5zqPAg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944) `protect data_block laGT0Nu3dViMwWvR1e/9rjSs2vM+vpJpe7976O/c0WojRYtsDVl3+DvTDQqhipgMQL2AVMlMa280 BtMCDvx468iPQdrPqnhTHwDKHtJ1iNASeI+N1I6sxBo8sJrXWAJcWadcRZJKd5qScn7OZm7W3+q3 wB5aBijYvGCq0LAUC3LRi8nAkTBjXNIK7QLLUjuc/dHkC9992YjgG97+uJ19xMFzr+TaDdmDDj2g 5m+b1UtgAeNe4UU2wVxFsV/K8BNhbplMDbUpdI8tD6skklnZsvM2m4KEUTh3cou2JBMmCrEtIz8t J2wa57iLo7RvrrADJTS5xrFTj1ZNjsNYHVY0V8eDkeKQ8gk+HHwKpDHMk6vb1OxY2V7guEhlWgiW +kfamQ1dJM/AogLTppuBxP7SsgnicVW7h6EiVrpIxipoeP2THy6N/GzlpWR9u7HNP1uhOJ9Uwgpo n4rfSJmcVmU12opanKcftFVdG6KfUQBH0iHmDmg9kitcDsWRE/dWxuzSRcPy1WBXZb5DMnig0o8Z P/7B2onWdNW+tY6Al0sBSaxG8Th1GJzGnDO9slev2S935T/DSQmlkwacCcT245ZVy5t5kw0sVvBl 5OBMA48plczyCHwyjRgwIeD0QIlYpgIU5o3yM0ZQX3JlN6r5kuv3snpdA672t1b2WG13Qna/+0A8 XR4eXcL0ptAGhuqexJOuES6ZSgUZchXafN+S1wwcr7YNRnvS70JuxeyFNuaSbk1/g9EiTJoL5C4n +NY1AIatGlGTlgtL7gJxSMh4gcMHd05ifq1FFX7I1orpdksxutycMAXlK2vEvbGmwzMU0DBe2BNB 5bMG1e4mXdCXdeuUh5vrP6rwgBfNS6O2TjUQxvVFMQI/H7K+czSX+U+2iwVUmOfVHwrtF8GI9Yey IcHlyFHWLMHAgiTqElOTlhvCuC0niawhqCXcOO5d4ud3zTg8Y5rWdpNfgL0aH6oTkCIf99njeL5C IZi5zRVyDCzZ2RHQgBMjOyk0P0nGKM4q7+JLs9yWusXXPHDvioTwR7whdl04R3BQKWVH59mp/lfa eaFfdVVN+wQbPQ7wZh5m4T5+B69Oe3qF8NMXqobctBNaBVUUPh92MINcG/VKQ4biMDYwBCRCulZu LNiK53OAp2pmqWMa2PqvBt4p4sAEfKoBsO9hgrTjRNHjg1CjGiupaugzDIIvy+GVfUCzCExqjp1d oFYNt45HwPBDOet/0hSzDdu1ar6Ux0BQ/Ys68xYxG9MczS2zIt0jtjx5roDjSo/ZJD8/5/TJrGP1 dCDrJCXKLMGYSh3NwncxUy+DYOFioIjAEEvzUZ+DONWYPuCP7+OvcOmIrq5EfVYDhPlQqPpsgJb0 8dxgFSG+7vrnmt6fmg4Jlr+AbV0l8BGryM9pWt/wqRdwiTC/yqpsI/4X1RbIY5iOb+uh5xbpmx6s SVXozXdeHacB1HW+7wn3bYCC12/ehWRr0IlMnHx0dPwp237ZxqYjqXVRKev3Z5yyeZFf7vJoIoAX smg68pcByeUk6mxlsxe2FcLQMV7i4U+9/DoaUF+ftAeDNiJmVGMoqmVjidgKO7nSAEgDv+E7Hncg fCfCCoW2tghPbZr68dLQ8mwO8WiXXrKDeFYigHOgdGTUcK89t1mFsb/BX3puFKZ5Vi2K7Z2z+5bO 9Rj6H8OAT/oQmTBkha2Ionkso/N5/EuOEE08MXrGHfREZztA2kMeRl0f+qISevlBS5DqOE5XGpoB KM41c9UnJq+m7osOBZmyKPYUUuKLZISAhRDdNw9elXg4XYZ0yceHiUA+iGU0/a46TTj6GAuRdXMl msbNzgkIIB7TaA8Wle4ofYhCDTfYjcjDOVsyNXOfAUx/QKgenzcOV3SqRkYGfsJ3WdBZuQffcqB4 fX4ZyXogsVOyedtIDeni67bK1YkdZgfkXVmP5HWQA83zbAwtVfvA0zrotoDufaprocP7FROla/aS qj3Ry0aYkIHvItNkCI8m4cLmUersL9qQLIx/9/26q3+6xrFDil/EAcOXe2wFAUvukADMsDOUm/aC Brspx7d56Gx3NqFN6X2cd8u2d995CW+aMa/M65hEcHXPEkz5+U6nrBzVNPofMuPFbfjHKuhnmpMu tbdOQ68tXbsQzpHlKQ0idcqsQVcBkSkStSuWsp+OmnTVLQh26G3aj3a+EF2yckBsBS0uEqTsyCKX iLs6+votvN7spZENhlM5rBXY8R2n6fkR/cZgBDQbRT+8sxTParP3CsaPG+7ivS2fr+mgBuJHHOiG eAA0YO8EvQpoM6mT17yolmzl5P4Wo/bR9frA0K5qBhX9oeM1eGdmEUzRJKyW2GrpJyrTqPMWUg1N EBhG4FrG42vBO/9CPrbkXB4A1owsPBcLdazTIJtO8dW2dJrJnO4EB6FM96WGzLP7qwP51KLqswiu xmx6a/WT24RV32ASOPahYqvyqKc+F98DDHMGhSvJz16lGf4EPGYiLZwGXRPDmK301ecBlXJNrYGN 2C7JDaaR+CCjEHixFp4G2HGQW0aRHqQEVRSFqc2KXmY1/xXkCVsEmxnAjuWdYXHAdbyDtWXxAVtW ET1d6ZpgU8o6gBWgBpYkS/l5JfIC75cXj6dNN7m0LmNNMJJiu8ex2kzVFLJUHTBvv3dTPjG4mmpW 5K/+AJrUBJcXlTNZOWvI5aKVJum09XV49Tn282S0NqDiHjaEus0y/iBigvmszq34zm+yRPHzDZ/v r1u0Or3GdogLLzBhz0zoc4Uu9kqJdzfWnDbtJY9j/pyJ0A9O3cn+ua/n0MxNHt8SoxySZKiJURR0 Lw9+AMPJUjrBeE4jtJSlPUM0gHdvQXwTEbCI8rm34H9nja7h80CUfAsqDCuD7iReMnokrngTOaf3 ghBBIKfr36wBCByLgaovE+i0dkdcQbBYYI1rWlvEMEFZs/okDOemZqwFZyNxSdmZLFt5ycowJhwt ImkZltkeXZmndHIfmleg5pal+K0psy3UUgFDfYOHD4q+faIesMA8fS3viqQZmdAYc8AVvDoJCXWa mJK104YlSIYP9EMkfeYgfr6x/lJ4YLiIlDjzorDUIQPDT3krZ5go1jAqDMqFFVE9bt6BgjKBAGBr YUkwgvmtKJwKRKs/Cm7HkZeFV9ZE7V5u4h079Rdf3Kz88OGtLWThTTn3aqUY1he0/hUfCvP0RnIS /EKGXbWJpJBxVghclpf/d90cDzy3rkHf22aeFafUOim2FXql60Vf6/2rvhGYF04q2IE7GkijvFlW w1ipGGVLc8gSmzOTOEZ/MdOifI3KYcc2AfzHQ6g28Xiz/XKAlXgr1yNzhrXmaHHMXowTMTFu4UEs zKOh/hmJgHngh6h7A95SUJ2EEF8sMXl1uymHJLFOteSD2bvMvlCOAR4jOppTzvt14sZrzoSdtabO 2sDBknRLZGrYRp3XqaH/3qDPwCQ+y669rnZ8cI+BtXQh1XrLpb/RBftFGAJYr+a748d9L6dPYh1q +UJSeRYgPtEcuinIW9IB6m0b4sXt7oBTYBS03u0IJiF1PONmWM4nlNztyy04PQSBJN2AqlRM82Zj Bq21eu+cVD0+T2KPhOveOKX4TBzsfTqOrjBT7n17ysFXm569fm34EKDh6BB6drWBBF+NXDkNzyTh Y1hvx616gNRSmcuo7hybAgyFw/BFQqM88zUWXKx1H/PQ+ld5ibw3vpAE0RlxS/SEWR4JeVoiebf5 m7+DMduQBzDWUGqqYrJmkjB/5OkbjmYdXA9Tn58mJNllZhrJoy6g9JL6UPFepbQE+c3+vSXiIAsL Y/4y8N4u889/H1RVrGrmS2izK23aEggR9tZCHzWNvprC4QVqoGpRE04O2gxd+pNmYC12QUmbPLdb BT9g8X9Dgm4JSpPn+6z1siNIaC3hOiGcnHZJMwsAL6M6RP7bj9u40u1MEehk/ZV5C2L2f5+YHPU+ Ur4B0oaUvXX7Ij2V/ntB35/DcjDObWeFTRiJiDRZuTeC3Twz39eWtBybkXtqlMh+x9rNugWDGqIP 0sqzVUfW6aFgp59OjC9BrA2OihQL1vivronK6gcmYMnjg6mF/YxQ2FZRcBOTTA29rsmlzrfHr8wa dAyKUhL1Z2mUZzwSsSLSVY+QzzEYopO8gt/XXvg762vVnZ+aVFY84pl+/YNL9UmNEPgMCGn0pS0/ AB/wBOXgRwk5rcfbNfsDg1tthxy4UjRKL5Jb+yQHnIpg0t2yYXdNVLgCXlE50RdMVWB8Lkjy2W+4 2oMWdjjBlIY2LYw2jTi4WeXFg6FhngRxXFuYwVuv848zk8vDKBWeWz5p8t3wx+aZIHQXHDBwLi0t TZCX0IR63Q6NzLGNNQFpEGDZZGCZQCkPhLN3MIu97NPWQAFXK0QsQWUNRQSqILeDKNV+WIhoTKI9 fYTRw5F9SeOK/bf5QFoWO+m9/w3Gc0uGPp6T1U2PsvNr6Q02u70XEsWVtZED4/w/zpdEwCEfxXf1 sGT0KxiEIjM+PhyIZyx5dIrEQqI5L097jyfTpoZBsO8k/s79F/ZbO3kXr1i08F8sYpBqiF6vyMhi zhFtBQ57OI2BqS0akCThfOJaLJSfaEiMoqMffz1spiQTWpfFgwmOwGp0ZUCRJSWcglXGb68WSDfc hEOdzt4HDm2WX8hveAEEb2AWeX1Sl4VvgU0CQaQMfZ2udewRR2MlRWvDKkPUaGuRtZM0yE0Bwn/O atXmh/Dnh1hor3hyAZdc3ORlQ1LejXHke5Mq+lf6zumkh1SevRdC/fldeJCMtMar42bdUgdRYLjU RSMOB0p3LCWSHjSE7tL2TjmhBYbPNiSzr0CWQlAKJUmsF3arL9iKotsj7IDGKhLsQ51N1zAbp7v9 KVn6PJEaK9w5KuDKUdkHmSi8kjefjfeG6EfkCr0bTxaHzFzS8x8uepkm5nIS2dHx7usRmasjx34C JbX9fgDaUHBfWbftSVe4SeEh7v0raxWH4I2OQ5BNOvLDcxPYdDwRoepczeAqBRn+lHeLPli+X4oi qplY8GolqmqgzIomA8QAn0W3G+VSjAiP7R5f/vyackLmJSN3DdqnjsZqBn4I2r1XNN9B4TtKL1pR K3IVxRahCYznJO8DKvwUMYOmDjAVkYN8Mbpus8uZOUxpBofL7NrRZoPjjb/D15b3Vm/wHreE8OhH FD5yzD4c+EGX/yEZFc//sXhBZGmmkGDAAYa77CRlt92VJmHzwfkKJfl/0mXNmyW4xMA+zjugK1dy vfJ81fWc1znqwQ26nD1xXLtfekCBkguTQ9wIdZsmSY2PykRxJub7+3Kyc1/UVVjUyZSSdkBE9Wov rMBa1rjmcjYlbRnjfEMmBgQIqmYEMFM8jfufA0k05lw3xbhwGLCnq1tAMC9ie2psuf6m6eJ1T1hx NW0zcSu1UEjsuKprChrF9E1M5BMyf9H4pArA48QdCqgcErfqa3r6gOzEtdRXD/nIMWqZzAXBodYD EkENqOr+Okh0rocHXkHPReJTc5m9KWuPXAwEHIwbN7irnk135RgTnvMVgh9a82e0eBd5N+GqXo9m y4pr3aMj5BuuBxnqtETK5oCr25/ObqUXgle+y6V1qq/NyRXQu+9Qr6T9NKaK83o8ZC0QyYeq+j5c AsLQYedmFBLlbqgtr0sxCqg+z40EivDN2elYRx5RwjXgn4NVVS2H7v+qVhutoIHJkSFFgkhLGfEP JjI92iKa4G3ycO6THt0vJhsYLlIz5liBaQdDQEnklZjeSQscc2T2DXIF+WwH1zI3GH8jsREuiSlc Worp/4zKS5Dovv/T5s8tZrkjdNgdlQXJBptE3m5CuMICyG/9XHWeb1VI7N9ZJSm0Kri2R8M2ljAR +XsLjw/RiwvM3wRolHuYRjI/MHslzeE10BZvKFewu/uuaVDzl38mMJ7Y110TE5dnbHQkEXMZELEI 9D/tKByZF81pK+lLKO6I0EA0HawocnwbxQmqJB89JnVP8U2BsMJrNx7EAP00QRPtQhv59AOROs3v y8rrPD80w5g9izOcvMC14LOdgeq02hlcpgvgoSdoNEZlRl6cZiBzc9xK1TKajt5vGbk/bxWh9/eF 65caGPh5c08Oh+CNSQ718IkzsBwkpjeA17R3pjpQCPuYFPAOtquWYui24aREIqBFQKLyXvg8yKSe vEBxZTKJEuB6QyJ9dHtLtdFox4ZNClM7w+qtrvF4VEJN71A/ivZ5Cbd8j2nbsIyQUoZpEUw14MmJ ZZfkZmXKVJcb+qGe5wp+jezbrb9jaVTsc4RuvmpEZMJ1XBzIXAWh60vbsv3rmTRG7i3AIEWsoThM wRYL6tn7S5kzLXo3L0gREVDw7jnNyvWylwh/A+K89Whml0cRjT/bp4DTuaMfbrXqJqj0DMGTBERQ TZBy0w6oOLAgMz+EwpdIcHZGY4q7nDO0mQ5ph4Kw3iZnxUIz8Vek3RVzqHSVg4uh6HuV44jl8L0g iZNtNqT3Wff9fliVg4hOJG1IVMPul62LOkEs1mxvuePq39+LQLGsg/G0MJsFVSiVlNewSDSnVfDH T4zOXxxprdnJfmjwYU/PDPOdTrbR0enkMCWlZh4X/g++4ib5sVbdwg5FWmhS0PbtIMV2BavcxRyM zb6+q0T+cSz1T5eK5LmfIedf242S4PJzj42jkShC3UFnt9r73lYFvOCXKZCUmU9fGILonEGjPblp pi+qamjeGdbJgQjFEBFOm9c2qXhr+4TQNVhd+NTmAqjby+ONDmC6B8StkGUTxmbIxpfPP6lCl8Ok /twkKSHYLB8Kgjhn59t/7yq336VlTCDi+4irZNYyWJ2gRbXOGUPDo6T7NnxK/r/nb0OPJ1iLzA5R QJfR2noKVYlX0QeM/KKiVexY/3FrNiwJIvreVsw+FoJigDTD4Ai2V2stJBY/HpC0c9rUnwfAk08t +C+mzatKm67SpAFYsAZ7Zj62zNIoLnQTnPQxHGuwuJ0EUjPNqzSVxWimetpp0rmo8EKLCZlOLBUs H0rIMkjHVSPFOYLT8Pv2/kwfSJ12Xk3vnHP8/5p+QwxnSsOn0OWahL1JBpvRuOO7kPir2ji4FJi/ DjkjCS8Z9jxVcUh/EDjuYnno+X1W4ntdux1epDrqDkmiFMJN7NFBfcMoP0M28Flyw5FInLdLdhFc 4ZW/Oig4c+qUn5k/qrJSToS/6HnjvFs11G9Wj7hSzpQj6i7Ek5cGPexS0U4TGDjcH7RRtxu7GRO9 2ukvnXNugTcrn0Dg4C60ms7FcHDBAhlgpNA8AUSJSndC33PjgbdizoDYzW2X8P2QCicWM6kmT59Y cfsWjUrthfpU8G0D28rqiwVyymKAdizFJ3CR0ANQhMqM7mSAMBcfF4+vKYBhhS1BWnbx6c4cE2hY llUGJvtwKtlVVQ5rnWyEuN11JCParx+WdO0cd9p/SVPOS9ATc/UGVWlit3SQBpHXvTvZ6MA818LU Si6rzjwegzNrjuoCdD7rrgzpZao7gLFbesy8ecAhTbZ/VXvNWokSF/im95DseEgJ2b5BNmLiWR16 7oxE8VJISjI8L4WGXRF6co3UxH+US63CFEY8Pj7pUfR7IMrQQ+CsV5Vem3wZYMILF2+zo/CwFb6l kuRkLkxKRO8x5bPbe5FlrP4tzFRR8rr8CvCwx4EuLKWHgF0JumAu1yjrlvf17Lj4v9+8lv+wwruX G92km3xgXcI25a5n6C7A/rk34klCmaYn9Mz5t8QcvwsxPqlja3hOlCUM54MKZMR3zk+HwzDpNboP JVE2QWWHE09dhcaTjPbu2kTsv4lGveiO/j/RgpUMxk8WsXWveGn99Pihx2GeZ3Gg47npwqf641Wq WrK6jw3VLZn7XtSknVwRWcAjfRfpsVijk3R0JVMyPTOzA6mcQkc/4iRRX3oJ5TOldiP1Ve5/S4Nk o87MgsK03RMIY7Roma1x5mEP5yA8mkKwqQYvPY6xvvb6r7BhDd3oBPgaAVusWCRZ7g8t/j955T/9 /5nScOuE+UGnItDM4BdsiUQyXQAH3Ao9sDTlwuohWqN2XVXCbZ1tj+S0U+O+m+pUCxWoZBAByF1L L9jTRJBe4J0UmyRGPCkwVBOT3xz7T5EOxPoOaZP1T3Zlngt2gZo3L7YWPAAb/5KZtPaPoJiPt9vu cR+k0PyG/6OuluS7JDP2foXa/EHzuOB0RD6l31psgOsO5hLfhJUH1lYd/NPNJ51XQNy1H8lmy5z9 N5Qv/c4BdEugHsLMcD33Xtv7umInnBKalqd9ifpL+HI9CYlTPYGo63WxRF9y/Ww+5akkOxNEISKl rOYcvBFbU93MqbtgKV/RjT9U98Zw1oFSP7hCQmRMuXh+1P5n8MqcG2zNvw0CuXJXPtuPnkR9b5B9 WCAzmr2DBHEGodAkPf0RRbhLRNRHUX3XIYx7dSS3sIGWSp7n+RToYIFzHqZ8hA4zSuphy2tjR/kx Ek7asb9Llv/F8vAtSvp0tYJhVljAzF9pak/I+8DxwqIFMg/kERVWLyv+oArKCbkfVwWWwA+N0/K9 meq02WocptsUq4rmJFS8tKuc8MM9GEa2bQJCiGuPAebyeutoi8fUQvRFKoCdmZJjcxiUTgCf7z4w iAmHPauirl65HiOk+t8/L0iVVHUFQoSJnIi/bp8kRufv5XX6LkvaqAUHj2Ika/G0fzC9ykQjqNUx tJqF9zrFiNraSfAjIQ/Ws0wGRxBJufk703lCZyPu5lfSq2KqDHUZlpyvdtCV/P2ATvO4AlH8rPIJ WQ9W7VhjGLIglXxUCCPy2zYr3YLmMhufxkW09DHKsMujoAUMw7yw7fsDN21kIcS62MUzCm2VjqzM acL3kkUW57g1pViCo2e782pmNYPCmzUZRD6vq8Mguxx0b0d2aYYeT69liMC+ARkrO6V23nZ1rbka k/R5gwdornCnklvxGA1dke3EPL42MFcZ1pBiiVfn49+sOQ1thiVEfu41DtuxWIUB5vmEAxKvWZaa /mH6vac89EKE5IlQsr2Jsmd1opVMqSIBRegNGFdRhrgdrVl8eg+VoMnSSFJ4LYQQ7ldwlNQt6lyK DgFtncyEYP4pMj7ygysEfmJ3hH7MLXWXVJsxGlHTUHR6VlGNHtNuTpzBQ/lMOSK9eU2qdSr0NA2d a84DBow5GfWrQOKSEOWfVU3Md6rtSgzXyWGrILocNVTcDSNszb6B7I9Q/i8XvY3u1DxgZwd9B1Ml oj+N8NquABnVipBNBttY5d4BgN1NSa8TJtCnZHjKA3qLDO41bJ8RZ9fWL7xr8+wGlyY10xKeeerC R1mtQkJNm5HPLEBfLY/AccAaHF/npUioun4yhPUpv786Lk0sgdEP9E572Ia3zhGAUIZ4WixLrP2G hCtjRG1GeIzqjwdbo6MY/NVgkwNv/jwBVm3A7mxu/dXsKgbUMnWVxVForS5nbmgBob6qGN+ifRfp MniBcqxYRX2LLzqqj6g/IUhByk1vRUtPW4CNcXCtj3u4zs8phGeHquYDa7znaEWdnV/EKYPfBMDR eghhe5/JF63XM7jAjnd3Ti+lK+uZKzyTZf91yWWiVYtwYIbUX/k4/ZUQkulrNrudrcD01s/CnO2t L0UtninBzfJOMhtiF7QMWLSJfW7pWqz/gXljW/1za/iDOSUjKuS0zRTWdA5rzyO6dHe8iU9jDnwZ 6hjPAVQs7VI9GtzW1Y6swKzDJ1WOQRJQv5uMeTzPJQm0I34G8VCZHt/gxqmxCPktSQ0iqDgJJu99 a8e73+vO/SNu3QtI1htg4b0akLke7Z6ZUe6g/5hR1dFBgNqi/g5jHjcULQOD9SNES0tL/BEpofQ1 MAObAsBpUtQaefDbk/giv0z6VlZfAJApUOPzAsT7xELwx+0KXRNIeIQ/keMuj+/LsHtH+0IoV0DQ Ng1aIsvvYF04Crh6erZyFwQl3ho2kNwyPcaNc+QmDwaVGoVO66F6ut3RgqGMYCENbA6pXSSeuDvC TIK1OhcnBAlq0sW7ds2VmKhOq1YvKeXd3H9RPSOqf9amEW33p1nlwwAcjhnCYaoEY92yL/jzQzqb h7P6u8DiDZ47Gwcw3D+CN9E4IJpluUG401D+N6IVCtlEEZDIlioWN4lK+Xw8QEksph3oGVBOX/JU omJCGpynTRQ7wTsD1E8kPKtVeBpMftO+B92HPLWpoZqwFm75EuoR9XOGqPz4qAWgUxS8Oht2M8LZ r6GDbEmWvxfkPJEGFYgvIgbkB2IuIaoZAOhiHXV6nCFrAQDrzC5qd52jcMMQcxsAbFH+YeNW+ofx dwdaDu/n9vzl4I37k5xMW9SR4mebgYniPfwYEYY8FSkBiNMYBK1Mq0dI1BTtlzo9gDlI7bVtc45Y 3WZIHoY3loJUHx2Cf7/w+SIcts9vK81QMxaagahZ3jW5y8k+ga+sVs+tlv88vPxTUx99YDTtnFOV ze+nzHLg66fr2rgihzoZprthdUPweyJrX+Smwo6s/Z02qeiR2OsDUsfDbxvG2Ia3D2+/jzQrcG/8 FDj7pWgZ5lg5YYajyh9/e0wqRGfVbrxQ3GgTLjlxzEMEaVW57DznqZEt+YB8wxur0L2glEBD1dRy Fq9evIIUBsGjvxCJ6ftvbrLLkS/hCD9gkLIxcELbs58syxuEZs8OokqTnQ2ZCAETOM1zIMJ0r8Sm 8lObL6QiWjBpnY3K0qkfX/Zm9hJZ7eaVqyRsHkNG2D31F6g0lqYoeEMPOh5KL1mF2ikj4KXRpXiY DGCkgg897Y2tOgzWSIxYFGITpwIKG2BZ5cXlBT4/m3dXtMmuQYDJWBLMNTVbLmB9BOnxPTJN9JfC 3OLS0KgZ7/l5nPqGS3KDEkRWJJZm9PIs042Og6L3aJ4ie7Msp/3WcYtjTTNGDt0VID3tVxURuuE3 8FoUqv1hnfYllrcs6ZhKp5iR+p4Fwu2lkSYeOTjuaITuOHkB632aRCwtHWaHdFsuw2Bc2cOCJOUO m3mWHmzMwFYFMg/V+mKWsgE4fGbOt3n6vnqqFVYzGFV5PQSM5xYJOEcTcVw6MQrEFBVISdDro99C IRHnZLey1NtSwPLZx1cCpv4V8Mto57Ncwki8OOWgrk1c7DK7ZUFoVw5jdBW5fi6mxECPcHtuALU1 Kv7ogqx7j+2C76pwU/qzC7incIzywgwi/+3K2ipoMbu6Y7DNQm47nsrY8RrJFhw55V+eazcfjTIC esmC322zUK8qA6CBLR9CzKJ9WIyJPZtQu/QzfMjsWIRYwPebTxPvI9TYL94FULU6N6Pc4zPijlcM Wy439qmJTFbGjJMpUyxz2NqQpB2LHmIkmdWkBf/G/bXnHcXb7rvboj90+WJj8tnuPuOsXR8lTHN0 ZXMGdpYoFmBOf1uQlRpeOBnzNM1aZHU4ywZuUP9fE+2fb3EWU+u0Sp26+RED7FFiTn62TUvrHhsD mjpECd2PR1QIwcvuYciqGpzS/+nPn88fmQtkFZ6fIop6g3ypQK2r7L4obDmfPr1fCmeQNg7L6KnF /mMUEbvQehO9JpTW8vO4Ed6HYyHjeayHFLaj7YeVGeVObv5WIWAQ7S7zgwF946Cvv0bt/YP6acha WJTLfa3Agx1TlKQcCM1GnflsBz+r0/+keCV93cCTH79vVO9Xhb73wOA7mSP/PRvxYql2Q/GRZFJ7 enqqtV7OKDZc86gp9nChL4msbLR/bujbgZr+9UsopGJWgiVcVK7lRysOWn7nhruKKXvWFsE1NEK9 bloeS0u7RTbxlfF0foZzPNIUqXPGmA32EaYe0A1DnFhlYS0nkfVUmMBS35Uz45oI+vO26QpIS6Mo L34bnSEVz58E6jN4/RVCmrXMjiotRoHN8dj0yPy1PVb3W6GWtbp54EHpdDbm+TSJCK1NxoEfzpTu qdYQ+sn+XCIfX7ghGt/km2Bo1CG0uIqUXqZfYR1s1zc/7e3re/6j+P+HtNgFJZvmRneeYxnk5sO6 +Kjk/cdxbEFi5KKY0254eE7PBD0ot1pelk1D5bAjQIYqfxV7Te269GVOET72vp/slwCxQv1Z3Zpd tyuoVdmCYbVlkpwIwlqc0FdYOzXxUgaNDSAROZxe/mPgopKjGQ9U/zFsx74zD4lyrYVd/b2yHY4f OCiLlEO6k4bpP2GyJzlg17VZad2jwbnVPUuG4JxwWGcKNQUMaPi5kQWpAhUXBzFJqRSTeMpk6QiQ Wp+06k2JMUVE7gGsQcu7ET3JnsFr3VzNYCqudlEfh0qI+j3VAMm9KUJ4DX6z/AACWMIl4WyJ/Tnu 9SgfBz5OwIjDzxRJfEjuqbxSzX3tvAkx9T237pyxzVHjEtCQ/DI6g/T1hZ/ey7kVCTY4anCQin/k iif+a6p7iPqbXVy25f4giIL0d4Z5Hfl8cemT8bKHhSaTxEyXXL2FIlb6No9wOBHwA89aGc26wEYe KrNE78jjvu9/GO/s0poamJdK86y1f1NCG0x8Un89Z4GnAiUXhEeT7zu7nXw7XYumYyUmHPHljUFx spR8Is1CK0mRLnt8ejn0I8QWELHcj1M2iDem0m/D0Yiwm8/IZcOJ4TnMtOcG2JC5LtGi8lVfnwgR 2Bi4AxUKeL1uLOOsbB73Hz1LgSFW+nuKeZpzjcHvSBddAm84sltDQa+1z5Kp+flInHQhjWZYPEi1 9Xwqjn81jWXewnIfA8xfF8RR30WZPIuAfaW9k8FCAn6Gh3rwYB7rXQ/Fa7fuoWpLuwCFI/PnHc2M 5LNx0/LsLLhvoLVKkJ4oxGIxImRVFd/hm5enDCUzLu2yl0nIoXaPOD4Zi7NEvuUf8JGq3jZC+tlA JXMPMtYplTgXKL6i+ErRir84KdGV9irYu0K1hVnziujohS/pI17JsrKq3WGu3V4LYAT/zajf0v8t n1frkXG7jHkoSfqiv7N6psXGzbmG5XCAIuUD1s1zmxx78xOy1RGkQZ/Wbo44VPkNg5Zs35UfYpgW wiNs+ff0eRJTFRh+SdMhaPXoHI5jTUZ6FZqjmzYuV9mt+w3S7cbuWLfGinZbb6j0NRI/pKvq0xZM MhqKCFD5vUNHKMZuckFgH5bfNJJtmE2c9lE2/qXVcjK9WQ2wW5PgTaQ5KXFvnkq90s6r05cgciCd fzaPyZHUPem8eiU3gvrd8tK40NnG6O/XRpXEyoUKq4XTNjBM06gmG1uc+E5Vuf/FufhccggX/vTS kBoCGe6XrUQNqGtX1oxrzXNkDbCtY7vto2coMmtcwuaLAf99AfcTljatQtcOyLfBVwEuZLV7xvie mG3zJw1qvzHcjg9aaJEGZks1oeL6caZAyXMUfPg7ZN3KgQwwFvOf2oxIbPrXncu6Py3Pkh4oUPPN mRjsUEwxBaJC2p0ciI8TSGcQ8KrzAes4byAJcG4CwjKhQorArS/ZdskpqTQ5ltPzkWbl/6B1xRcI 6Ew7z+em/ALCxs6rEYh++1yReXydhFSa6WoWw0Rot99r+OJjBFl2JoS3fwSrbBA0BvF4s3upaezq fmi+toNxj6GJkJS9mdVV3GOpCvjy8XKWA/tetZE1wtRCd+44XsfaRhL5vlU74MU7b+1BrE6UUnfo 43OKuBBFuSLCqHsP3xM6QyqCpPmkw5cTMb5erQ8YLVtJbKCzDjzWxXgyNp58c7HHS8ByVqZMYyj1 gSB4c/2Ly3tj0AUDkvJKIoQ/L9nREMjJj5QXIvA1XCLK6z7Rd3b0nweIAvQ+FOZyX/pX6Hp2fJVl y+gSXxujPiOHkfvTN6M03wCSwRttDXYer1h6eWLpeGOFPX7RLiUH0kyuxtrG2mB+j400iSYcgBWr DA924kAIKZqKNN3YeqPsfXP1aqqOnZyopQEJdXHZB4ZLlILzToLlbtpaG19bXtZOEzUwVCkqpdtu YV4MkfAVcLXQUEVATYFLojp+yUscAYDaKpXG28RvHI5pbIM0jkvH58HgvlgiSyagRs+zpR0ixpKR sveXjksfR3YwlYk4JLp7gjJ0l0taYj+F/AEFLQfHfN3iFTknNyWyiKTpEfb9aLh657c7jcvHvUi9 wujeP08xK4sXaVqLGsftqp5mNcj5UEfuP9ai/xS8L8gUfbGBX460SErLWH2IK63/1XCYASUEkgfY smcNzibaog+wOG/H5kGgnMmOjyU2Hf7TLWL8wd+c+Wz+QO4TGHFuuLeR4dVzAyDBQclmg/UeK4nN Pwh9xUY+GGiy/r0PHnDgd8L26fFX/YtMMkiaj+xV3U/7NAQJc+o76ghoBklBBj6x38TCytAoHUbO 0UWC80TkZgPzBCPrx9cUTuS4VW5Wv9aaewHgcQJDn0jpDx7lCLJLE3fVWB8s96+bEY0herScNgku d43nfAHZ5P5HNy5QE9ARBI5JTF+loTQ95lIPuCBH5Oq4QiNaNx5RS+v4S1/HPbAASp5kup6uA4d1 w3/Pj5HfWkArHuuXQNKLUm+wXmMinU6Ubr59oA1wvCYuPvj1SNDDAg33WFcJwVRi5BgvsuYc+WO6 vHsumSDoqmQ8g7hkG9JfpeWqkhaci3Pj0810Er8feDWuyPNwyGabtda/TPWWlbRJ6Ma1Ykk+bIw3 vbdbORXDYGQwd+8ntvYhWPids6PL8sL93Q1EguRhMli0EI0MmdmCMCHy2FVi6oNtc4ZycsN8HMTw FirmfX0rwOKLqLemDZhIBK64PSpnqpV03GLfR6ro5P9OVK7r8wUpAkKuS8iJO6v8S/k04Zrgp/gs Bi2IIFnERPI/geNG43pYaVDgL6a5SfnrXybzV4g8jfZg3JbeScj88kCOHX3WXWdBzA2rpxCzKyCq rsgvhT4cLoHmoTufUbnKdYXTKU6dmaPRSZ+awIzPcnLWimhPpYq5IjjrYOZ71IEj1AlTmOZ9ITx6 EgTyxAHQ0BduNU83f6X71OeXihA2Aw4lE3Wb72JnTYWLEOVbjT40P04NnLGiGh5sgIiqHuK+cojU KF/G9G700zbSCHJ6nkGJWS7P1kHD/18DoVHxc9CIe/Zuq+mzjXpZBdgNTglRvsVrdfYvrQoA85Yp Q3Ic7qNITRhgqCaApirUaq+jNg34stPjz4/rSwrACIFrtwGGjQEVndGeCNrhsp+Nycx0Mslqco6o ujsHq5GLWndvUFbREAez47++hns+5QjvoTHrxV5B24nXPIWpl+VXKEgbnXpsdTrQqZXWo2Kd20wu SMulkfki7/9mbyI+SfasDuuwHaoWUrBMTlopZnmGqoCEnnXbENuJsDHAGTselE6dzrccvgabqnEV 2FWUusb1BcTAry+gS5J81Ny+J3kzgwTAAxyAgwbWKnLsqd0bkiI9TCaGjvPOh/LdU5yjfeweid73 3RJZx94Cnsz4LHf3j6bioDymsP/XKklffAK3XIMEfstMdxhyVz9b8fvaRqgWr7LVCU3i1MoWU3/6 AHMS1KflgePw4nmapcVkzLBYzvWP/Y4Kk52RSS1w3iqsDBC53w+04SgiRynR/ynfT0d1L8yrO/la gV6wuZqO7qVV33B005l6yHUazLq75RPdPt5/uUf1Tq8I5xelV4wAdc5JikUuwqMKqJTjLxLs7Eoi I5odlSh8Umzm754snXLwWNnntOnIpRis7PxinBs3KBshPZzowTnWVUnCFcf8aZ9q8GNBK0ghjOpM hGDhZw7TS07BN1tWW7l2dXnNpMnNXzJee6iL4wLYGjefZ3ipW4DdGOs8RFpAigD8DA0UBC6GNlfm dC0g9aaw+4r/CG+VhIfrZsEkah2fZkr2T/MUnKlXFmQhr/6mgHXOaT4/2jcUl+1Zl8PYgaMLun1U oJz/2rAOu0MNV2qt/ML1Z0OlrEtjpX+MXfvUbJZhHt5zu7X/ATbaXZPiBDAPi/MJ78MOvnft6Gap ll9xFCC6C8E+1d+ka7yfsfZvoBm+aeh8B3czkJRyBbuyRfno9N5RC8GmQ8M1osN1ELT1XcOZNiE9 iTJVsyqgLpb3YF7flfudpjow2Ht8KEVT/Ka4Z4PKy7qfC4rlJDQ90DtmhqzOVBgrV99beyA/QISO XaLEHEGa40XSl0GxXEQdeAHROsTNk0heiVzxOXmZ3pbrdAxVLfjvx4cAlDe5vP53F9aTa4mMzlbB I453gSyOsUBUbLiSrjzOLraXNHGiieOJZL49hzn6wB+L7yFURSmNa+KTPRr1SbCbL3gN2ZR5gG4N pXKvoviTWj3bnJKhWBhhjMbj0oWRFhl7K2Sd8wyKLNTe0rMb601TndJbTFpspkDo3HcIfRAzIYtT y813uaV6UeaEML+pEIVw4OKJrxH/vsfSm3Ry68PvZMyMPEMCoC2tfhzT/aV2yJmMWINvgZRg5zNW MF8863BhUagllXrn2CUfYPIFfnWOxz7f2redTOthdW34YkD9hPucPPajhq2hC5ZqXCjMjyGhpJRJ g5m6Fpdz/us3wyDj/ag6tiJ9uyQnwIYPN+nN1K7nlj4tTUbIsiaR+JXfhunWGvcxmYf2W7OkQbEi y7DB97y3Kb5H3GePXzQvA5j/RNwnuqTQmDg2YEgSYub5e4IMNnSFPbDVDwiBeeTajQ77j46b7lCi vbk4lREfIT6kLQhNVPvhgI5NWFkScunEWoN5Fx52rUn8DxzXhX1gFGASeb7p0apbn/Azc9YiOL2o VOneNzhTlLTi6XEywuE53he4oNSltG5u5N/ydeysYQnPQlJu/wnTC4PkWUxJUG9GpBcwxhneNMjD Q6cuNBEpwB/i++v/0jAGnOgPDRlJb1bEomu5l22SYeQvXPfNd/W89GQf1Wf2ozGDNNiiSlkhe4JO /V6ucPeaQVSfa8XFr8wwiFnRCF1WkNboNCcLqBR2wILpBQA+zk6ZfcXbKR6nCmbafW4ZKAibTCvy RC5qyb4AdExw9fecZ1nP8w5SyoVj3dJqRulJlrrtjtzftoNJ3q6gs4oxS02tuURtiVsTdY+btL+E he3hUtRmDO8PLMtZvOkdx/EBcDeqK80ceaRzEMJwXOY7w/Vrf5gf85LUWJTH5Y01A+FWVR7d5tLI 9HSnncphG78N4ZUrHn574OZzJhOggrV5F2ewmsT7P2KpL+8ffucJSAOEL1jfpurc99E7nzgtQrYa dKbyj/JJN04CAF5k17bi75NMvtudLk3v91xr8vnm+wshEu/unwATtksh5WUJbRztsEQJ++r/8Y8J ifMR0tmwyYEllLi6MoE9e5TaNJEpwCVXnZObywCCNwPfIQ0FcqgySHuLHWzjTytjSYrK0MMyFZIh mG+Iyh/xks3tkOU/g1ZESQy6EhQMpNV5D+68L5PLq9kyb2gaLrY5M1VkMtHB7QI6HJwtKETylnyb ack3djxq4crMdyf+oCu2Cjb7pfsMc9JFKQOUmRFIphokPNtdljMuuJhfOLhJPhxIgkWAdrbFTgv3 EecvTIRg5Ow351q5zIrARFE6JTO7kYxabmCHRpsut0j4uPbHiiCC49jMSyI8K+4jl6ACPSgQuDOe TwapfKr9ER0L8M6OJ6c5GhqfHMY7LrRnVTLa9xvdDhizzHNVjxcepMEfW2A455CN3UX2Z81Dn0JY a87GmLLQWj4vvoFa88PFldW71Rg+cXXVWwECRzZJ/hHAUXVfIcyC32QoWoYz8lpSreZXgS/zZfJu sBseUFRXTNlHaVSBTOAMtzHFOWWv3TuGzB3fAyw5/gIzfxdgAlrX5KTuVpWviDnxL83dt7g5c0B5 YG1z6zSqAt817eoYZFH6iT7sNWkCSTF2A+jscXWSnExXNz34NT++9jbRg7gUkNMAkEDO0P28QpY/ UJPcHKAkpUhoj4r1dVoNdDHYvE/AbEL6Fqn77+t6MXI0AGcXinZQPqIW1u8fcR/WzD40+Cl3WfUR HhsnohFRVfmxmJ9ufWrqHJy65LB/Dv9tweii3jFT06/Mt2yxcCKQYso2I0N6+MhR1y8biyJuz8/e SV9ftwLmHg8mgPUzVxc6pto6XxsX5ufhMk8aH+5xxhzV1+0PV5xt3VpHcvG2L5GF1yXUlf8gP7l9 339wOGbZLJZf+g1PSJDyUXqIOnTKdZO4nbmGqmmk/3ksUSuMnMcLDX7P0Mf4MHQyQIUD0fjzz1Oq jaB4GSboQ7UfN7+t6kgncBp4E1Dw3kBglaQ3cKqG5SXQoLWAvjVJZAxDZyPwJAvJiI49CdXK80tW QYMpuHPxZpSP44QkK59gBYT+M7WUXbZywH8kOxibyt05nadPA0M9XuqWt8f80Ftfhxrgcdjtit/I h3qcAuQr3qKeW2N5tDfIle3Rx/z0w9DkGx1jqC/joqZmo6fEmdpplYiAqmfA10ibypYW+XTiqtnC Tf7pZ+cdBxiLWTMlCsYnotRcmnGt0o0k+6ivsCpP2QlfS354TCq9VCEzwY26Pgb2pXYgtBvawFtJ cv06DNMnfN+o9UANEKrHWXm59k0BtKFx2E/2NooCXNH0Yc0kJ3xQn7vwm41LdG84YZnsxskVPp5k uwanR5sV1R/3dX1S+wRUuvD22b+jXnUa3DEo0D5f/Li/jfypGhePa1rtmcFeQHw7lkXitkYpM2wL TvPO1IIGw9Xfv1yKiSspfHO+J/cpvVN+peE0otzniy2swy/peE3Il5g4rMJp+Q+PeEkzjtzJD91d ytwSkKa/9MKndJT6wu1EBP3bT3xE1VsTV/9Sc4pFlIMiA3JyjqDc8lnnoubWvoRFrjyXo7E6ynZh +xXJNgnaBd+2oD+AA12rG8+uuuM+Wes31pDGkfO3hD6EerKinspL/YpuXvwLsfOl/IG+kiWjtdi5 /JJ1ET5TR8EkWpx8rAFCjlZlxilD5ylqn6IrvwBekkADgSgBgC8OfXXaMXQLrVmvdCVuma+/uH68 wYhEA8tmjC65lmxXM7jhZtFzChHycS9bzHQCsnQQgj0kmgWvSRqT/gmdBHKstYA238Fb3kmQDqXy kpbg16vU4Xez6k0RqI2sGMbevMwxvZ1KWgFCExihefuoh7A+OoEg6leURALcCrxTrtRVPfoINnZl iR2vrtrmDdHvdXVOrdXktWdjTNYjkKSmPrQbZRJ8zrmieSpSK1uHTVOsoIExq2FD1JE++NAWLTLV 2mM/et+5afD1SJ+zRvaTn7FMK0uCvsrXPLv+nS8RZraR1x2Hk61tf1ZdSFaVPRSKO79nDigMdK5A pSy2luxLJSNYIsqsjlIGDDnH/NXhsUCN1hoG4QO17/P94XIG4nSN9+/KznxEytDOL15QLPiPCBbq SdMqocFqi1f4UNxlQk/10AnNA1r91x1MhPO3btqotB24xA0YAregaP7efJFrcPTYVaZHjGL8JK5/ jOetXxW0favD1qBMGWpPl6FHuI2/d8qdJUMelO0Gz2X9zGseXdijoTk/CLhMP0SBEtYuqrgahaDH DjhZQc8oeCxaW+g5pE6I5lMy7zDd9e7j6rgSSCTocFDOcIV/CpOBaX2KMfsW+YDbnk92kX4mFLOq JmSwvJyfmozjmdbiR0y0tHOC3JBNzp/vvbSGySeQesKQWnV0YXC0ljJy8YP22YLQmiD7B9sEMZ9y AKlTs+9SERxVPcIwHJdmgrr9xGP7Id71jvWDfdruFMHUPeAxMTLZCLtPfgKKn+ObW8nW4qTvI6U2 jAQtktdTKvoYk7CRNB5tYpexU3lPSNm72/6TtBNm+05o51fVZOmXfet1hfimeQ3pVjvclDAyqDsC 7Do/jYtl5+7nZx1ApqFr1xlOdONt0sH0xEbUe/D+oJN72JzO5Zsab3P+a+/ZEu8F6/DGntibkXbT Fd3OZmUX2d2LR3LxBmEEVCGJReSeKY37kPJJUTnQpxsPaPOxlVX4seXSvsbVX6DTbWMUxsnAxNRz s4J/57ay7fNryofp3Fk+2jVbGMdKKZCkQjeH1FD6cuuoEluwR+5+p16LjhCx+uFPXbXurMOYM2EF /pyCREVCXr6m6IfWjOPHqLTK5x9efVA55sZPxYKRMY+9n8jXgjyELcv9trz0PI6b8+i5cGGpTA1e plIZUXhcbw5pzFSnXAeDKazN05YyhYItvIpfoX5ZJPH8pOnpMDFF9I7aCKmJdwxbL7ku2JqA+9Sf NoH8w8e3yx2IVnarpaUXz1HZguNqW5pkpE47t7uMIrvCJL4iTtjsx2yLjm26hR2F5g11cfnXuKu/ J+dKz8/0EeSJOxQfLh0hdqoZtIOv/PmZL6CTS/p9nRrM3+a0U9wq+B7H4Ln+C6ch36K3aqnayrD4 w41As35mmBh/8suVBVpBM7U//Z/O9ohJohPXxlH6xPvdi1/zl1keNhWIO0aUfEryibGtDBpBS63f snWPb7Or2h1hmzej62sMPKGNI5enCm7lrhLxizB05kmFNUBP025Se2/+pHA+9J1YDrov8swbaFjN QAkyKsNcCwXukWRmPibH2aTpgKDJawhRf3x+GubV3hmsXbx2hajGbhK1m/mKjxf6JMSP2AtVicXF Xuyktn3o3g11S0IfWu8IQFL4k2BMuqxbz3CBk4ou2gotuNoTDmT9+O/WQrLOrl5ffehJN5zeySY2 4E26bnnDyYlLoqs22+EPwEON6niXdYh7iXAl4nTBec83BNlyJrghmJmERkyhhbHxNyfmSvSp2jrs VluPgbXuKHZSOejqnCsLvjx2PsPPo7NJq0FHE1NiqcwuJ/fYNY8w4gLS62iC0c9JHvg6a3zK4XSG IaHwifNA6IaJ+ZCOyq/6JcKyYNqGSJAKMUw0qtAW4c+TJas1MsIaUtSXnhVSTJUG+EnrL9Xn9n9U fRMN50zAbe0VQzDP8u8rEGIfsGuCKWPrnLXPfn92alPCbVTbcdY+w23YR2SQmQ3oJLMcqGCK3FbS nzct6bxGCd9horkpZQt9snQDuhx2b2djADTXPQOvBoGU0Y2rVPVLb57riL/+uZcmuv1fi1jp3PHc G9uCkGg2bj9KSFIY2YYwYWqQ1EZ2P78oAaoL5pdH1RRn4HqysxoHJ0tK7qLoIGn/xIZy6JExprs1 V1KLMlemA1q0vt+176jPJCRGWjLXYjKhLIQB1VlShQTU02rEflQW3PzStwFRlZXxhhyBiycRABeD Z92n2nA4yF3hdh7ChHL6yFDM+qSBoP3EZhF+tdE/kMLZPQfKcTlZY0WERoyGmR64bmIXpMNKjTLS w3z9RYLBxZoy+FcNDmO7bHXBzN0ZrIDCFdJgHVlARMahiO6GdfVluyEtXArfbCoxcQdl+2ad+ssU xISILuOhm/aIcO/Pmu0l0wLCf8pGH0N+aAITrFMXY8LMob2Xxd1jDAkUQVxMN+T7fFAUpGIeo0MA eYrvdT/Zqz68JNBoT3vzqwYohGKvpI0zdIUfsH62zKQKHcHPDaju7GzcryvU4wWqdipLfa/jN8YM oJhylguKrSriMyf4C3qFXXM1DYULnwx/v4oTXJymroTciUL6T5lGVF0tgLilCWnbOPoxUx0+j9gk VekaNbeKRjdNXf18xJQg0Yebzkerj3vGFFjg0Mbc8D7K0XHU4RJcpAWvFCeX3QP5yxjJtSraOZya FmO8Q4Wk17dfUK6rD5iCFZ93QiCv+upVXFQ0hoKIXGgZYTUo45LNody+1YxOosuTSLS7Dyt2AgxO Y6fO4iVtHWcHyHH7VVScBicc/miRicHyUz+rkPAWZiwV1QCDzihV/vPQgaYWO4ILqw3TvlIclVdV dJjHFPwW6WBiJwEZJAHuZ8B5ZVGdrM2e8ppS0Oj4ax7pOWbYt4s3FOr78WkL5yQ46jLQHugfPFPr S3sG/UTwJMa/nLiTNsC4/46dGfLo5oaMeZy6UYTAu0VVIXfcm0i1fOq0NhXJuGIyFxRKzBjn284w GhwXXUkDzyQMY7SIUk6W9ZRk18HIgIatliQjwV4SQ3abqNmUHbIbaZ5pzKccewjUvfchOs4PQ5hH HsiWjRIt6WS5sB+bVcYrRH1n3U9TUI0+Gmwd7gTeAiuvKqOYn/ZA/Ad5d6TGcQAoDB6jK1otTCJZ 1gLPMY+XoNY6mN0nduECI5FeQv/wrQHYfOQIR9breOHjcfmKaUJJoDKy0KcuDCynf/cdZvF6ecQP FDnfaHfcwBmqnF6VRhD4Fl941rflD6+1XfBI2fz1Wd4CP+0pqUyNf8YILNm/pukc0+EqBudTNXUc bFBp95oGXhueIuVoSnT976e6EnTqtRatQtxJbvx5ABRgudtrr9sDGhcsKkwkVsjZgO56EjvP0mSe e8LmZ7sSxP2RR6AIG+SGJZ4EZoDvYr1kpBa5+nlOjYiXIJbBMp5zDcB6J7uOK8T8FSTLdL6vID9C F3r8QIlj3mxG9HGzxskxYFda7L8EHrdM5Bxrd2LjeL/QwgxsXCt6b7zc32F5Da5jFTONHJE3bVGs bfpPOirrogL8ZU6gbyGDPhqMwkSJ3a5Aj84qGsyiccYVZkw4gFbkx/ioqDol7W/MpBF02ViNjNEd h6t1Hn1Q+n7GBOldN7rC58erLTpJ0kOCwtU8Ivg65imlsyVwF3Z0ZuF1lCN0JKY5cjuZEQHiyyia QV3brW2PBtC648BstoG7lthrTlWjNdc8q/Cl6guIFWTUMF9RWNBxb2i2pLCmMDO6XiZyvKuqVSCT eNq2+2GrSoBMNhGh0lJCBRRee/Vann2kBd+AXIDQ1rqOCLDsqb45tQqaxs4bPmYMK8xJVHdLHrMV f6sKxfg6unUn/u6gExGKeQFK6o/iOURYTDh+STbQq6XxI+aEvgHt+s1jsmre/spGWCaHDjmrDJ8Y SagYHzwI42U3U6jmOQ8yfALaX2+aWvktPTLEMhGCbNBv/P8w8PX+pAz0bmS1Dk6iRoZW5ZOp1tA9 cmPR2rGH2xQtxxY5kqiVrjiKUqa1ZzKYRpJoK796Lib4rvJR6omvL3qIabUtnut1aYIcIHdCtPK7 yqS8wEiJp1+meLil3f8Tr0Hgns+f3fNLVwTbJIuCWWQ2TWQ77DGJAe3C035KQj3+EIzJ5vaMrE3d 7OVlV8HUFIyKUHjgrGRCa7VpNmTphME+cI3mgWYY5xojCCwn5pCbI01uq6cczHr5G9BgKL1ZNiob UKflZWrtuLD4kW+VHVdmAdD555PJS87Y58AeftnDYtMUQXNxp8NnjsEos0wblCCQvMCl+c2U8GVG dBRzHLxrDbO54QxtDgfLLenACKxQgRZ0aj7ULqr0bR5yCgH42A/1gMu0cU+ICaVN2I+WGqVpKYZh b0XPMdMIa1by3hetJjxqDhed9xzF4O3WdXUaepsd2qleQsdZMBQY0wzvI6miww6r3+q3vuz1bU3O ohhHsSOHMzwyr8O0bn0pTSw4bimw+G5uCDV5RuMQ5uhwvfTAje+2Z2OY2q71gSXSgdcsBbiuYfhG DOfuXYRtvoN5vONsSLuy9tUy4dUbRTags+f+XhA0nDgOe02uhvM7vr2GiaemPi0eIyG27SZLyguh XjoKUNgOJGPlD3H56XjAHUg3cwMedqsZjyqSgJ8klTobt5MT+KrlvgOLiPZexna3fENIKaXG3hQw 7Co31NxCutFWdsBFTcXkLHlTnY0yQ6yDys6xg1/t7vXZXj1qy2Y4t7L83/dRwmuKgHtnDyhPFwCd AaXdjaqXEK4FUc6MMRft6yMUClYfKJth9A0Oq8K4jh2AScEFOSyXl6+7JqRol1FjBszZnGOslWOB UK/2PVwQE0q9/6/wVuE+WNHCkXx6K6qjE2BsH0UpvSUcbSUr9XXJtAZIwZ8AZDbewJul99hPD9SS bQT2q8P7F4KUlExUXAwb37erQw2T409oOI+m68J5XUprkNr+Vn5hTB4NbotdcyXFQmlrvVgxoKHA WAvScLmnxdxt3DJMM6hII242FIM1rJo5rUCGH8Rj7jGFWQUiHCrRBDfxbe1qnm3jGW/JS4Qn6gkM OgBTzG0FTeSlJOhQ9HjlL0LXwE4ODKR88XHPESRMz/UPvTFoIJLevUZYU19PZYttP6j0A/VY5YeK gwFS4kEw6BD2ijzaGUEKLpflZXH1brt8PnqwYLMXuQMW0WaFUUTHfvCKGb1NbeuGnlRBP/z00j7n 4m7uKybm56a5vk+cG7/2K43POdHingzVl8vyTattWsEZubyp3qaStOPyV4f/p4kcys9asyhvDjSD cH+Kcux/YXRPt59VTAdHCHD1Ub+bvobfo6xa1Ha4O99rTVmjJSHz/fmwAdd5BnL+ujcgtPw16wWj NQEY+4mmYvJFy78LQiZyUPe/OMVoRKYH/6/FCAEG7e2y96N9aSb1OjKwH1NT45RQpEWf2ZFJU8tu +vmwWFu6lZE/ekkmwyx922W05c3G2Mld4Fmgmkcf6/aQwFPqRB9LzCJLvFsqvWVciha/VL0tnd+8 /wsGLVYH57eT8Yo8wZaMrOqdQ2iCWTHLj5pwRszi67SU2i8Ie3cwvFY4hW+PUCZg3R3eDQBTm27c Wm0J2vatMLnxDZCUndw8PO5V6JRbrbpViTCF/5NWTJiLLpnlvWUilcO+K7onj5lny6UNHCtwr26n k8xyP4I/VTLYAR2L8YBCN6IJAcS3PalSQntdLpvMIFBkA/4lW9UnRw50M39nxaolSRxgwZ+9owk1 kQ1BsGFhjdjOiLnZh5c8c6m5GsXPWG49jZhSZOxS7sDGiRGWShU9SkJU69zDBmvgSZZ8EdRCIGlR LNyIO77pnS7ODv20rOOee0Vhi6yvrzEbdsYgggVJDRDHl+UeeldYBss5Gi/fZjB9nuMs8++iF7GA qmblLKAk5DGxN8MtyiWlQAuir4vHm/j3WChLd2cMa55O/dQvFxhoavQZH0mlhzPeYE5HTf06BYGV 1fbqGbEltEU+qfXoULP0UdhTlLcMq/XPezN956DbdZwZHJRPZ2DaFQpGdhAQZtJhLPidY02faIsv X0C40WGHX4b1Uaz8xEzF5aTuebeALj4TNWlPcsQcRRbSRzs9sE7TEgMv+640SueU/EZCLVAvWDYD byCAPy+v8IHDhzlfqrUbqM5zELtF6FNkbwU0ESNhqPpK0e4TYqQLCGqoNqfVLtRrg4jHdN1r1dLn pkyoqcwBsJe3DRJWZJcq04YlBet4vvaC0dWa7alfP5dCwvuLYN3Q/mr0dABw3jy4YSanCkVcXlCA 8bSYt7ul5unNrORrhziHzoXE5yHSMhPHc0TpMxxuWkQ1cZ7mWSWbvhQFTCWurkUqge2GiFCQ5kPc FjHGCdyY0FG03xGLv+quw6yXZGkcKlO4af3NAfqm+ti1PhsSxc/WwfPYbF+FicsLjJa2ae/NeY56 EFs3cqziwjF+MyLdFXfGJATfVMQllviqlsMzLOsfRWldLGzuh6Tg+SMBP26+FFBo7vt2cgvtkdE8 F70SryC2E+BJ+o6+urT+tCv2th+KOPMfpbuHlanTbSXtO9s8H6VNe+ijGmPvK2q+DjmKf0nPNV84 1BRMC4HPj6ZQLMjRA4YGb+5WEUPd21HplPyCTDXyrjAl9Q8kx85HfbNuAVyGn7uXNn5XTOjDk0Iy Vc/LlDSMGn02gWFBIFic11bBYHhhG4QezAtpdIJARsSmIc2AnCB/4PDeVwgt7ZlDdzN8i05u7Dr8 z4IL4QIqvlmNAsrmCac1WhQeJbR1XvqiakuAyq7Lf/eqwpJ8dy9QP9E5qbLH0YsRsrpvzoDVd9Eu 0VSQvHBAJ7E60UwfYzWZGNaFrBZJmai+UgBKeLx6FjACwGBbaKPmPmPkJrIpGccaMJf4VOkRtndE gyi01txE8cMRfJz0V+5SYsBPSIvBpQmLCWSCw8CZvWaBGTJ2npDwOB4rI9DH6ErVVmkRaVog+Nv3 mrtCwErQXOLUdJg4YD+MZ/XDq1R2v3IVbzscck265LK/4ORiIeZsT6eYIzQ6jCJco199rq0FzMuU s4KrAJiRtMSHuS8ltviWconhwvPs+9ocIq9dwuqWQLt/e0OVHG0HYkX5N6kmCJu/EDpLFL20FcJ6 p3owwMbQCKtmEJaYMwJHfzdr7cn6n7jqdNFibgA9w0Vx7iq8F7eK6GRZXgUwtW4jJrMxn7ddOyuM stvZuNxRmbAMg+Lq7IorgHjYJFWpXUmETo31GCc4x6wcsHVYrQzkMOGfLA3Hfw+5nEiZ8ZN04p9I dD+Z1z9QvkRmpyiwRhQfx+/6xanmXA2sMlvXK1nllmULLTGSykfIAOOJeaGzk4fkkBtUOdUUaKY3 vmnH5aeSsfFl1Bti83HWXrJdoQJcilM4QFd+IUJ3aBMRVM9CsLicfk9DhTkmXNoRkKbt4DNi441T UxrEFblBfKjFujuVOJoEi7Ge4seNRlowyXl0+JQKfGFqUQ3L8GcBoh5gB64x8cd4Gw8lto9FkiVF dWGTOOVq/UfcqoKkePSXGR61FgB8FuKYgVmaVa4bEEfw8xBxcHUByYy56rb1x1WbkTDZI1IZjwUq Vqn4MYk5HZU822NNQmbaTVQFBzPcu62wg3uAPdup4xTaT3qi+yC6T0B1oTnUO/oY5HE4OxKqSMF5 NwHTZg/OjQ+egYE6Jm2jaUZix2AUnItZnZ8a+z9zc18F+D7vn/i1uMS178kdNbIXJxWs/B4N2JIq sPq2s2pxEBXmJLx7kmrQaKWcxvNoyYfkf1FOCno9f9iKWTfLw+BLPhvPrDFKconzzPSGb/jAZ48+ UUE3TKOA7hCR6neZajQVuD3zmYDDvd64BaLkTtffWSSXKsfPQXAh7X5SP3hLDhLgmZ03mcoHeWhU BgB8Ak7m59J+OIzZUYNiL8zT6kV3x70SPXT1q70HGwLImAeQnx714kDplIGaytIASdbzSaPrCObU Y/s00+WmfxjL+EiFD5fB/lsew4yIGdYmsbMkyCaIQstn2VbNn0a9NAIVs0+jOx21NEVFmVFJ69CB zDzIiP5P5DTmIIPLtMR92ggfkWH8/kea25i4uB+1bUluLF4ElF1hd/zBt/qI07f/A9piTOA+iys3 kvTdFq2AK5/i4/P/kelSUxncBQiRg0Qa4Deto+j9EnTDYpaXVcX/x+zAnumWBoPahXh7L3ZHuo5b zRAhFpQw4oZI24c2FIJAQN5Ax/C8ftFTtrxboigZZAIshFZbk8Vk0CKbKZic+qW95IZJekBtm62S c002EPySPS40redQfj4fw6KJuoFkqVNFNffOR98xDgpiy4RPCWs/muuQo6yaKjJfxUCpv+7fZFnn 1FECrwA/W2/ycm5tT7sN2wCXLm3f4Tb8Isc3EsbTdFgXYTKddfz2vtgoBlGCQBMtN+641cSEfk8v bX9am7gaWMYqy+RmSdgkNfYdXtno80iWn6cWzAOd8ceSpuKC4CzAQs800MVF4Zmt+uc0BiAGqkQs qBx7FWcf+evJJyBh819hNEGlDUiuKcTPo7+Ijp1SCwn1yJ0VZGd3ZaP4f9hq1SBqkRklc/aY40yJ 9pcL+DAHGUIfNquZOXMeCV3XkZfwCaSdKXjVnQ3l1JZPSQSjPwFKPmOPaQZ8oAkW/0Uljt53D/hd aoC6VsdGcg82yE0Y3bIGTRiSYqMtWMiTepwnhA6xuNm5fDKp8yIgzCgwLpDJT1pw6imV03c5R/Cc rF5W1R7XJfc4ku6yewS1Ww2J2QiD8AzarhrL56zuABznx2KrJaV9ofYDpsqQ0pREF61MOFDIOxl4 yu7rIXnVsiiNDS3umyT0r4vbTvA5waXEoR8L7MCg6R5mhXsNLvLQVr0+fEr6FfjM+3ZIqId5tPMH YtmCKkMZ/ifcbo+LU2ZoMgiy3LYfllQmU3ptPfh7i6BYJf2UkR7gkBIB463puqZQq+8c0fP+8Yty JBC/YGBINIkMIG+fNwDcQFHfJw/11OcM/fGDnojOufyRQ5t4xcWHH+8X9IEsHF/gC23+ZHO/SRTg IEkk23eAQ0rN/wZI/mYtrV90FSD30AzcdYwCu3dXftV/7RnkHsun/KfX9DN7BF5XMtmuxu/wAEuy zoFgt0JNuRzF/GVSq1w7GcqO5TqPwjg0KZg3rnqPmbxzHdjlqTZ/LColdStu+MnSkCuN0TcqyWRi w6UgAnecNrkTaEvksEUdNauMC6LjzRVFAgnXbTWcFbr39mgh0s5lhKSkQp5qIAwgZz2O5iDh8p9q E+B+olTXW+tQV0P/EV3UBOZn1sqtpXOsINx+CfrHNEg/V3vX03EktCSJRbYfeJhKrVuE6j+4DsO4 Xo3fOn0zb+EhKmkoRrNI26wwXlQ4iFrP6M0HxV0RxMad76K1CxILhzyCKS7Xhrte9mShRNBKXlPe Q1xuPKh5O93wzhCeZ5ETxbCNqhaDG0BGMgOjpX9+swJ0LaOFSyMPStqeXtxaDDCJ7d2VqomCbJTU II4qWDCZO7MAnmZvb49VML6dcY2VB6lWY4OGV3FBCFK/y4RmeANo1jYQfqWlu17KCQCgXFeNmLGl YGUp2js7P0DN0bslMn5I1ubcRZhTt9h8UCG84frAzgussx1RyUU4DnlL/4uxI9hExRs6i4E6vQ7J SVioz1UMZ1u4iJQaHZCQvs9bsbTNsVQ5QgWwjnyAfzJlbIHwCZ189e6MBw7hmMj9aMitC0vEY24I b5h9lfmrisgqmD2WixfquUgibIIy8wKS4FYsnQcfIXb5hIy/to5bZqgnNwwT7f2jRDeh2yAFJHJI Zrofy1qmOBAlB+x8cre7cyJE4TFk63+paqDV/EDd+eSYP03XpaDzSZ9mpaionBJK6zo2BGWh8C1h f5Hoyv5crbpe9S2jYIWe3bm64lFWu0w4uUiS8vxf1RLmE1AltTw4Q3+MAxR+Kjhs83zKIjpsQT2g qzc++SFxeTPyDhixZy3vUx1cXV9Pmh52AunY2hbRaM51V2N0XnTXKhUaq0me53ircxjYYjquJtYX L4igFi5TP7z6j2UkAr0IsydlrE0NKYFQZvHriQvUpDKVEWVnNCegk/CD3TSvG7TJqRZA4vsPKKsr fq+Sc+VfBinwG8H40IGrqF5BSOMC9wPmKOIkYY3VMUfW7iLNszbg4uhgj7D41CUSQvHWrXHjgXZL TxnivMbs3atHPS8V4t0xOvDEXYSNWRmU4fqoTu/j5i9lE6e4EFfVUdjz+H0W8cVMGHtN3flBNEs3 K1syU1/TU3l4d6Y1mEnHn2oreQAVR9Z9R80VDQFe5MMDuJa9u5+Nrx/RjgUgXP+uNRTIfFXrHaOs GiZy+8VPyqtF0U6a5wAAVaMkK/A4w1JwD6mQ3Aj/agE8skflFKi6jpRWWTun+oICzsYLbmtklLSZ oRgfDFAlMDPhLh0JD4Lwxy//iZotU2QY8ePwSr229TB2VgBeLepdP8uN4Sdmkp3VNOXZd4SXVSN6 0DT7MD1gKfH3QGZGJAYEHfeCRk3f1sqXwfCHlV2M1UDvgTpJI9UIH4ZeE4x0/ccrLBjTZumPQYFM 31dRn8idOj5mqsaGS0sDqgKi0HwB+X/tAy0srNaFU9f6Os+vxlsrTpjxgH43nW+ZdDKuV9auT0fz 3A5Fe4p4+MsfEFwmoJmI1z2aUiHHhTCV9PsH8pwaNST9Y8n+xFRFzX46IMiTNXuw6r6i3Swt8AuT 3SwE4zpVQCQKjXKkOCiYzID9FJSNX2Rk0CgZ3XXII65/6s/UEHeYffhFBXazd+ed+urSbiroGeGP codT42hYl43J6HjcYd6MQWPAlOf8u/r5SHCOy3S78y/nEogBrptiWLFhxA8fi/dPGVISgwNRS2t8 GBGmu2QF8b+yHGwA+aL185dUTIOCqEcqayooGxgU64YBM8lKgzfdULcvkWP5k0FeNnynei1YPlPu GFmw5Fs/qxkSSZVOOQWET/MJnfsppz4W2jAFJ9j7e3DZd0ZxFOaRiCLZKpu4rBYIuA8PHL8RzlOz o5KpI49j1Ini+msoLAIqTmDTpQTHFaVC8SynlpppY55wG8Lgazp2ymphw07VNYqX0C+6hImBGH5k KoYPuwWRxiKSKHnPIvy3UjLNd2TcyTcxSh+nUtnB207jT0E/1wTlG8vmEFWishxnhaLU1+Vzl0kW z+2vPERGtXIXP8Hm3zMGkadhuQWvtqaREjaHqefb3OLEs1a2jeTQnJB5H9qBDGDQ0cs9Zsp9uymh 0WPq/teZ3Q6rfu/Xo+1xB24YU+52i8rLik2KZ9TAZT9rPhJckj7OO4Q9/3DNlNBk2tYrR2OuvBw0 HFdASV2FdedW16qbgDQ+g9J6krwO0MVLnIc2yXcSJPcEPUwhtB5mQVGubQTdISIGjWLW2aaOiURn llnwsn+q6pgrio1HvpOQVOd9SYRjaF3FeOnxi/s11Qa10IFMqWD3uEs5FI71keCSN1+epaorn2NS H6CMphVui5fMD/tyY4yPMzPTzyGh0CpxSvplW6aABK5E/mTzZVZ5F9N50gwYzRkKtiimspcdYq6t huafjvFi1Jirkdy7GDEki28XNjNMPCk3MTglIHaPJTnoy5GIO7cDgLygksB7UemdvOSuyKvgbqwT AKbcRbamFYd4PoOQdVg2+SQvGRENsOM9xyW9ip4FjOKmE0o3xBQ1bpBmVlru+HtY+BXvw022BG/C iwC6Rx34kKqvsovRIJoyf1RazRqN+5dS9lm1ds2aOk74tCJ9/+BVYOKlTEPVVce36sfLxbuAXuDI EZqrDWysPyB8VFGs6sE+f63Nw+2UVaPYnelKFtOicXxHWjgVanK+ie2/nphjZGJqainv2/NJug4A 48UBk62/+Mv275JWCvhrm8lG7TrS/wW2lMw0Gn6z `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/async_fifo.vhd
19
33343
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DoylSncttFMA3kx042gUfpgfS9f7wYF6CWxJheifm9U5oZE55E7a0/gn13EV1/Vn6tAoLpUpkm/0 hmdlNetDYA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nsjL1A4AfS+U1MlmYTovZuA+LXs5hJP3SunimigW7xSFqc+G1o1qnLbV4BnmOncmqUv9X6mR1dbm lvuLbnkHJpdv3qype+E/DkwUU+uuHlSP7/5qiYqLK0/kXVQ9CK4RGY/33UuCkCUXhFP+4VquDr0Q ctFJ3ADjSF9u4KfkLp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e8PwETDI60MBXnrgCDSTetYRVktLV/+TTSXZzS5MByZtHEX2iao5JK/khM4FDpq/v0uNsNW0rhjn 1dIPd1mlQZEDfzGgZ7rgxmjzboNMUH8CMdtSuB8lFy7Tjd1hDXqhliwc0PhPBGYBs/YEff98J5pB EaQ7x9e3Dm3lUX43BX76qZ9cgUsaVwP5tX42M7Z1CZ11+5f7kvoiSco/DGzJuhCbDcHoQ2NjrZeO tRQwYWFDIi7vBls1ETe/q8cjQLCZThAhSFjjijV74aEYat0gpNy4Hxz/UN0rUMO/XCqC2k8lo74U XZlHepR+ABhyrwVFzKEwcRDXuuh6ogUCrZ1mMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YvHkp5oDmh1yxPKtyY+bCFF9nl00iIDnF4JnEfzCQKeCjt2Tok2cPb5/9L9T+H/cQ1x5qpJZSOJk cf36KzabCPbu4/9VIe9vwmzzbE9Ndy2Ov8q4+HYXDGn/u3gDUJZcIYEnVlc3E6se6bxCrEZNyRYc iuoolgurhXiPk/HMhX4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XZ/Rjfda7p8W+LhE3BcXwsLXrN7RfTJezMmvWQf9ZKb6JJ7gmlPk8WkUFEwjbu79kr2SMWbEP0wO UouQmHkylGRubs4N/1VfavspwJxzO5pggGGBLKHkmxqVxAWJEQ3Kp5uoaJSKWxqKIRLzeGXsW4p5 F/e0YM5v9fK6K2B07V0FxCP6WuqrungKJmSTj1Ji3gWd+VJATYp+hkh4HPUA/aDTgCzwwIaJ6QWy QvHMQKHrEHbRztbzfLMH3RPC4Jl5v7PMeYTnCv8UcX2dwujd4zD00VIt1jMD19vjN2WZ7U8Tl83Q sPvYlUbNQVTnqIBf7mqYAoAlbAFXbg0t5zqPAg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944) `protect data_block laGT0Nu3dViMwWvR1e/9rjSs2vM+vpJpe7976O/c0WojRYtsDVl3+DvTDQqhipgMQL2AVMlMa280 BtMCDvx468iPQdrPqnhTHwDKHtJ1iNASeI+N1I6sxBo8sJrXWAJcWadcRZJKd5qScn7OZm7W3+q3 wB5aBijYvGCq0LAUC3LRi8nAkTBjXNIK7QLLUjuc/dHkC9992YjgG97+uJ19xMFzr+TaDdmDDj2g 5m+b1UtgAeNe4UU2wVxFsV/K8BNhbplMDbUpdI8tD6skklnZsvM2m4KEUTh3cou2JBMmCrEtIz8t J2wa57iLo7RvrrADJTS5xrFTj1ZNjsNYHVY0V8eDkeKQ8gk+HHwKpDHMk6vb1OxY2V7guEhlWgiW +kfamQ1dJM/AogLTppuBxP7SsgnicVW7h6EiVrpIxipoeP2THy6N/GzlpWR9u7HNP1uhOJ9Uwgpo n4rfSJmcVmU12opanKcftFVdG6KfUQBH0iHmDmg9kitcDsWRE/dWxuzSRcPy1WBXZb5DMnig0o8Z P/7B2onWdNW+tY6Al0sBSaxG8Th1GJzGnDO9slev2S935T/DSQmlkwacCcT245ZVy5t5kw0sVvBl 5OBMA48plczyCHwyjRgwIeD0QIlYpgIU5o3yM0ZQX3JlN6r5kuv3snpdA672t1b2WG13Qna/+0A8 XR4eXcL0ptAGhuqexJOuES6ZSgUZchXafN+S1wwcr7YNRnvS70JuxeyFNuaSbk1/g9EiTJoL5C4n +NY1AIatGlGTlgtL7gJxSMh4gcMHd05ifq1FFX7I1orpdksxutycMAXlK2vEvbGmwzMU0DBe2BNB 5bMG1e4mXdCXdeuUh5vrP6rwgBfNS6O2TjUQxvVFMQI/H7K+czSX+U+2iwVUmOfVHwrtF8GI9Yey IcHlyFHWLMHAgiTqElOTlhvCuC0niawhqCXcOO5d4ud3zTg8Y5rWdpNfgL0aH6oTkCIf99njeL5C IZi5zRVyDCzZ2RHQgBMjOyk0P0nGKM4q7+JLs9yWusXXPHDvioTwR7whdl04R3BQKWVH59mp/lfa eaFfdVVN+wQbPQ7wZh5m4T5+B69Oe3qF8NMXqobctBNaBVUUPh92MINcG/VKQ4biMDYwBCRCulZu LNiK53OAp2pmqWMa2PqvBt4p4sAEfKoBsO9hgrTjRNHjg1CjGiupaugzDIIvy+GVfUCzCExqjp1d oFYNt45HwPBDOet/0hSzDdu1ar6Ux0BQ/Ys68xYxG9MczS2zIt0jtjx5roDjSo/ZJD8/5/TJrGP1 dCDrJCXKLMGYSh3NwncxUy+DYOFioIjAEEvzUZ+DONWYPuCP7+OvcOmIrq5EfVYDhPlQqPpsgJb0 8dxgFSG+7vrnmt6fmg4Jlr+AbV0l8BGryM9pWt/wqRdwiTC/yqpsI/4X1RbIY5iOb+uh5xbpmx6s SVXozXdeHacB1HW+7wn3bYCC12/ehWRr0IlMnHx0dPwp237ZxqYjqXVRKev3Z5yyeZFf7vJoIoAX smg68pcByeUk6mxlsxe2FcLQMV7i4U+9/DoaUF+ftAeDNiJmVGMoqmVjidgKO7nSAEgDv+E7Hncg fCfCCoW2tghPbZr68dLQ8mwO8WiXXrKDeFYigHOgdGTUcK89t1mFsb/BX3puFKZ5Vi2K7Z2z+5bO 9Rj6H8OAT/oQmTBkha2Ionkso/N5/EuOEE08MXrGHfREZztA2kMeRl0f+qISevlBS5DqOE5XGpoB KM41c9UnJq+m7osOBZmyKPYUUuKLZISAhRDdNw9elXg4XYZ0yceHiUA+iGU0/a46TTj6GAuRdXMl msbNzgkIIB7TaA8Wle4ofYhCDTfYjcjDOVsyNXOfAUx/QKgenzcOV3SqRkYGfsJ3WdBZuQffcqB4 fX4ZyXogsVOyedtIDeni67bK1YkdZgfkXVmP5HWQA83zbAwtVfvA0zrotoDufaprocP7FROla/aS qj3Ry0aYkIHvItNkCI8m4cLmUersL9qQLIx/9/26q3+6xrFDil/EAcOXe2wFAUvukADMsDOUm/aC Brspx7d56Gx3NqFN6X2cd8u2d995CW+aMa/M65hEcHXPEkz5+U6nrBzVNPofMuPFbfjHKuhnmpMu tbdOQ68tXbsQzpHlKQ0idcqsQVcBkSkStSuWsp+OmnTVLQh26G3aj3a+EF2yckBsBS0uEqTsyCKX iLs6+votvN7spZENhlM5rBXY8R2n6fkR/cZgBDQbRT+8sxTParP3CsaPG+7ivS2fr+mgBuJHHOiG eAA0YO8EvQpoM6mT17yolmzl5P4Wo/bR9frA0K5qBhX9oeM1eGdmEUzRJKyW2GrpJyrTqPMWUg1N EBhG4FrG42vBO/9CPrbkXB4A1owsPBcLdazTIJtO8dW2dJrJnO4EB6FM96WGzLP7qwP51KLqswiu xmx6a/WT24RV32ASOPahYqvyqKc+F98DDHMGhSvJz16lGf4EPGYiLZwGXRPDmK301ecBlXJNrYGN 2C7JDaaR+CCjEHixFp4G2HGQW0aRHqQEVRSFqc2KXmY1/xXkCVsEmxnAjuWdYXHAdbyDtWXxAVtW ET1d6ZpgU8o6gBWgBpYkS/l5JfIC75cXj6dNN7m0LmNNMJJiu8ex2kzVFLJUHTBvv3dTPjG4mmpW 5K/+AJrUBJcXlTNZOWvI5aKVJum09XV49Tn282S0NqDiHjaEus0y/iBigvmszq34zm+yRPHzDZ/v r1u0Or3GdogLLzBhz0zoc4Uu9kqJdzfWnDbtJY9j/pyJ0A9O3cn+ua/n0MxNHt8SoxySZKiJURR0 Lw9+AMPJUjrBeE4jtJSlPUM0gHdvQXwTEbCI8rm34H9nja7h80CUfAsqDCuD7iReMnokrngTOaf3 ghBBIKfr36wBCByLgaovE+i0dkdcQbBYYI1rWlvEMEFZs/okDOemZqwFZyNxSdmZLFt5ycowJhwt ImkZltkeXZmndHIfmleg5pal+K0psy3UUgFDfYOHD4q+faIesMA8fS3viqQZmdAYc8AVvDoJCXWa mJK104YlSIYP9EMkfeYgfr6x/lJ4YLiIlDjzorDUIQPDT3krZ5go1jAqDMqFFVE9bt6BgjKBAGBr YUkwgvmtKJwKRKs/Cm7HkZeFV9ZE7V5u4h079Rdf3Kz88OGtLWThTTn3aqUY1he0/hUfCvP0RnIS /EKGXbWJpJBxVghclpf/d90cDzy3rkHf22aeFafUOim2FXql60Vf6/2rvhGYF04q2IE7GkijvFlW w1ipGGVLc8gSmzOTOEZ/MdOifI3KYcc2AfzHQ6g28Xiz/XKAlXgr1yNzhrXmaHHMXowTMTFu4UEs zKOh/hmJgHngh6h7A95SUJ2EEF8sMXl1uymHJLFOteSD2bvMvlCOAR4jOppTzvt14sZrzoSdtabO 2sDBknRLZGrYRp3XqaH/3qDPwCQ+y669rnZ8cI+BtXQh1XrLpb/RBftFGAJYr+a748d9L6dPYh1q +UJSeRYgPtEcuinIW9IB6m0b4sXt7oBTYBS03u0IJiF1PONmWM4nlNztyy04PQSBJN2AqlRM82Zj Bq21eu+cVD0+T2KPhOveOKX4TBzsfTqOrjBT7n17ysFXm569fm34EKDh6BB6drWBBF+NXDkNzyTh Y1hvx616gNRSmcuo7hybAgyFw/BFQqM88zUWXKx1H/PQ+ld5ibw3vpAE0RlxS/SEWR4JeVoiebf5 m7+DMduQBzDWUGqqYrJmkjB/5OkbjmYdXA9Tn58mJNllZhrJoy6g9JL6UPFepbQE+c3+vSXiIAsL Y/4y8N4u889/H1RVrGrmS2izK23aEggR9tZCHzWNvprC4QVqoGpRE04O2gxd+pNmYC12QUmbPLdb BT9g8X9Dgm4JSpPn+6z1siNIaC3hOiGcnHZJMwsAL6M6RP7bj9u40u1MEehk/ZV5C2L2f5+YHPU+ Ur4B0oaUvXX7Ij2V/ntB35/DcjDObWeFTRiJiDRZuTeC3Twz39eWtBybkXtqlMh+x9rNugWDGqIP 0sqzVUfW6aFgp59OjC9BrA2OihQL1vivronK6gcmYMnjg6mF/YxQ2FZRcBOTTA29rsmlzrfHr8wa dAyKUhL1Z2mUZzwSsSLSVY+QzzEYopO8gt/XXvg762vVnZ+aVFY84pl+/YNL9UmNEPgMCGn0pS0/ AB/wBOXgRwk5rcfbNfsDg1tthxy4UjRKL5Jb+yQHnIpg0t2yYXdNVLgCXlE50RdMVWB8Lkjy2W+4 2oMWdjjBlIY2LYw2jTi4WeXFg6FhngRxXFuYwVuv848zk8vDKBWeWz5p8t3wx+aZIHQXHDBwLi0t TZCX0IR63Q6NzLGNNQFpEGDZZGCZQCkPhLN3MIu97NPWQAFXK0QsQWUNRQSqILeDKNV+WIhoTKI9 fYTRw5F9SeOK/bf5QFoWO+m9/w3Gc0uGPp6T1U2PsvNr6Q02u70XEsWVtZED4/w/zpdEwCEfxXf1 sGT0KxiEIjM+PhyIZyx5dIrEQqI5L097jyfTpoZBsO8k/s79F/ZbO3kXr1i08F8sYpBqiF6vyMhi zhFtBQ57OI2BqS0akCThfOJaLJSfaEiMoqMffz1spiQTWpfFgwmOwGp0ZUCRJSWcglXGb68WSDfc hEOdzt4HDm2WX8hveAEEb2AWeX1Sl4VvgU0CQaQMfZ2udewRR2MlRWvDKkPUaGuRtZM0yE0Bwn/O atXmh/Dnh1hor3hyAZdc3ORlQ1LejXHke5Mq+lf6zumkh1SevRdC/fldeJCMtMar42bdUgdRYLjU RSMOB0p3LCWSHjSE7tL2TjmhBYbPNiSzr0CWQlAKJUmsF3arL9iKotsj7IDGKhLsQ51N1zAbp7v9 KVn6PJEaK9w5KuDKUdkHmSi8kjefjfeG6EfkCr0bTxaHzFzS8x8uepkm5nIS2dHx7usRmasjx34C JbX9fgDaUHBfWbftSVe4SeEh7v0raxWH4I2OQ5BNOvLDcxPYdDwRoepczeAqBRn+lHeLPli+X4oi qplY8GolqmqgzIomA8QAn0W3G+VSjAiP7R5f/vyackLmJSN3DdqnjsZqBn4I2r1XNN9B4TtKL1pR K3IVxRahCYznJO8DKvwUMYOmDjAVkYN8Mbpus8uZOUxpBofL7NrRZoPjjb/D15b3Vm/wHreE8OhH FD5yzD4c+EGX/yEZFc//sXhBZGmmkGDAAYa77CRlt92VJmHzwfkKJfl/0mXNmyW4xMA+zjugK1dy vfJ81fWc1znqwQ26nD1xXLtfekCBkguTQ9wIdZsmSY2PykRxJub7+3Kyc1/UVVjUyZSSdkBE9Wov rMBa1rjmcjYlbRnjfEMmBgQIqmYEMFM8jfufA0k05lw3xbhwGLCnq1tAMC9ie2psuf6m6eJ1T1hx NW0zcSu1UEjsuKprChrF9E1M5BMyf9H4pArA48QdCqgcErfqa3r6gOzEtdRXD/nIMWqZzAXBodYD EkENqOr+Okh0rocHXkHPReJTc5m9KWuPXAwEHIwbN7irnk135RgTnvMVgh9a82e0eBd5N+GqXo9m y4pr3aMj5BuuBxnqtETK5oCr25/ObqUXgle+y6V1qq/NyRXQu+9Qr6T9NKaK83o8ZC0QyYeq+j5c AsLQYedmFBLlbqgtr0sxCqg+z40EivDN2elYRx5RwjXgn4NVVS2H7v+qVhutoIHJkSFFgkhLGfEP JjI92iKa4G3ycO6THt0vJhsYLlIz5liBaQdDQEnklZjeSQscc2T2DXIF+WwH1zI3GH8jsREuiSlc Worp/4zKS5Dovv/T5s8tZrkjdNgdlQXJBptE3m5CuMICyG/9XHWeb1VI7N9ZJSm0Kri2R8M2ljAR +XsLjw/RiwvM3wRolHuYRjI/MHslzeE10BZvKFewu/uuaVDzl38mMJ7Y110TE5dnbHQkEXMZELEI 9D/tKByZF81pK+lLKO6I0EA0HawocnwbxQmqJB89JnVP8U2BsMJrNx7EAP00QRPtQhv59AOROs3v y8rrPD80w5g9izOcvMC14LOdgeq02hlcpgvgoSdoNEZlRl6cZiBzc9xK1TKajt5vGbk/bxWh9/eF 65caGPh5c08Oh+CNSQ718IkzsBwkpjeA17R3pjpQCPuYFPAOtquWYui24aREIqBFQKLyXvg8yKSe vEBxZTKJEuB6QyJ9dHtLtdFox4ZNClM7w+qtrvF4VEJN71A/ivZ5Cbd8j2nbsIyQUoZpEUw14MmJ ZZfkZmXKVJcb+qGe5wp+jezbrb9jaVTsc4RuvmpEZMJ1XBzIXAWh60vbsv3rmTRG7i3AIEWsoThM wRYL6tn7S5kzLXo3L0gREVDw7jnNyvWylwh/A+K89Whml0cRjT/bp4DTuaMfbrXqJqj0DMGTBERQ TZBy0w6oOLAgMz+EwpdIcHZGY4q7nDO0mQ5ph4Kw3iZnxUIz8Vek3RVzqHSVg4uh6HuV44jl8L0g iZNtNqT3Wff9fliVg4hOJG1IVMPul62LOkEs1mxvuePq39+LQLGsg/G0MJsFVSiVlNewSDSnVfDH T4zOXxxprdnJfmjwYU/PDPOdTrbR0enkMCWlZh4X/g++4ib5sVbdwg5FWmhS0PbtIMV2BavcxRyM zb6+q0T+cSz1T5eK5LmfIedf242S4PJzj42jkShC3UFnt9r73lYFvOCXKZCUmU9fGILonEGjPblp pi+qamjeGdbJgQjFEBFOm9c2qXhr+4TQNVhd+NTmAqjby+ONDmC6B8StkGUTxmbIxpfPP6lCl8Ok /twkKSHYLB8Kgjhn59t/7yq336VlTCDi+4irZNYyWJ2gRbXOGUPDo6T7NnxK/r/nb0OPJ1iLzA5R QJfR2noKVYlX0QeM/KKiVexY/3FrNiwJIvreVsw+FoJigDTD4Ai2V2stJBY/HpC0c9rUnwfAk08t +C+mzatKm67SpAFYsAZ7Zj62zNIoLnQTnPQxHGuwuJ0EUjPNqzSVxWimetpp0rmo8EKLCZlOLBUs H0rIMkjHVSPFOYLT8Pv2/kwfSJ12Xk3vnHP8/5p+QwxnSsOn0OWahL1JBpvRuOO7kPir2ji4FJi/ DjkjCS8Z9jxVcUh/EDjuYnno+X1W4ntdux1epDrqDkmiFMJN7NFBfcMoP0M28Flyw5FInLdLdhFc 4ZW/Oig4c+qUn5k/qrJSToS/6HnjvFs11G9Wj7hSzpQj6i7Ek5cGPexS0U4TGDjcH7RRtxu7GRO9 2ukvnXNugTcrn0Dg4C60ms7FcHDBAhlgpNA8AUSJSndC33PjgbdizoDYzW2X8P2QCicWM6kmT59Y cfsWjUrthfpU8G0D28rqiwVyymKAdizFJ3CR0ANQhMqM7mSAMBcfF4+vKYBhhS1BWnbx6c4cE2hY llUGJvtwKtlVVQ5rnWyEuN11JCParx+WdO0cd9p/SVPOS9ATc/UGVWlit3SQBpHXvTvZ6MA818LU Si6rzjwegzNrjuoCdD7rrgzpZao7gLFbesy8ecAhTbZ/VXvNWokSF/im95DseEgJ2b5BNmLiWR16 7oxE8VJISjI8L4WGXRF6co3UxH+US63CFEY8Pj7pUfR7IMrQQ+CsV5Vem3wZYMILF2+zo/CwFb6l kuRkLkxKRO8x5bPbe5FlrP4tzFRR8rr8CvCwx4EuLKWHgF0JumAu1yjrlvf17Lj4v9+8lv+wwruX G92km3xgXcI25a5n6C7A/rk34klCmaYn9Mz5t8QcvwsxPqlja3hOlCUM54MKZMR3zk+HwzDpNboP JVE2QWWHE09dhcaTjPbu2kTsv4lGveiO/j/RgpUMxk8WsXWveGn99Pihx2GeZ3Gg47npwqf641Wq WrK6jw3VLZn7XtSknVwRWcAjfRfpsVijk3R0JVMyPTOzA6mcQkc/4iRRX3oJ5TOldiP1Ve5/S4Nk o87MgsK03RMIY7Roma1x5mEP5yA8mkKwqQYvPY6xvvb6r7BhDd3oBPgaAVusWCRZ7g8t/j955T/9 /5nScOuE+UGnItDM4BdsiUQyXQAH3Ao9sDTlwuohWqN2XVXCbZ1tj+S0U+O+m+pUCxWoZBAByF1L L9jTRJBe4J0UmyRGPCkwVBOT3xz7T5EOxPoOaZP1T3Zlngt2gZo3L7YWPAAb/5KZtPaPoJiPt9vu cR+k0PyG/6OuluS7JDP2foXa/EHzuOB0RD6l31psgOsO5hLfhJUH1lYd/NPNJ51XQNy1H8lmy5z9 N5Qv/c4BdEugHsLMcD33Xtv7umInnBKalqd9ifpL+HI9CYlTPYGo63WxRF9y/Ww+5akkOxNEISKl rOYcvBFbU93MqbtgKV/RjT9U98Zw1oFSP7hCQmRMuXh+1P5n8MqcG2zNvw0CuXJXPtuPnkR9b5B9 WCAzmr2DBHEGodAkPf0RRbhLRNRHUX3XIYx7dSS3sIGWSp7n+RToYIFzHqZ8hA4zSuphy2tjR/kx Ek7asb9Llv/F8vAtSvp0tYJhVljAzF9pak/I+8DxwqIFMg/kERVWLyv+oArKCbkfVwWWwA+N0/K9 meq02WocptsUq4rmJFS8tKuc8MM9GEa2bQJCiGuPAebyeutoi8fUQvRFKoCdmZJjcxiUTgCf7z4w iAmHPauirl65HiOk+t8/L0iVVHUFQoSJnIi/bp8kRufv5XX6LkvaqAUHj2Ika/G0fzC9ykQjqNUx tJqF9zrFiNraSfAjIQ/Ws0wGRxBJufk703lCZyPu5lfSq2KqDHUZlpyvdtCV/P2ATvO4AlH8rPIJ WQ9W7VhjGLIglXxUCCPy2zYr3YLmMhufxkW09DHKsMujoAUMw7yw7fsDN21kIcS62MUzCm2VjqzM acL3kkUW57g1pViCo2e782pmNYPCmzUZRD6vq8Mguxx0b0d2aYYeT69liMC+ARkrO6V23nZ1rbka k/R5gwdornCnklvxGA1dke3EPL42MFcZ1pBiiVfn49+sOQ1thiVEfu41DtuxWIUB5vmEAxKvWZaa /mH6vac89EKE5IlQsr2Jsmd1opVMqSIBRegNGFdRhrgdrVl8eg+VoMnSSFJ4LYQQ7ldwlNQt6lyK DgFtncyEYP4pMj7ygysEfmJ3hH7MLXWXVJsxGlHTUHR6VlGNHtNuTpzBQ/lMOSK9eU2qdSr0NA2d a84DBow5GfWrQOKSEOWfVU3Md6rtSgzXyWGrILocNVTcDSNszb6B7I9Q/i8XvY3u1DxgZwd9B1Ml oj+N8NquABnVipBNBttY5d4BgN1NSa8TJtCnZHjKA3qLDO41bJ8RZ9fWL7xr8+wGlyY10xKeeerC R1mtQkJNm5HPLEBfLY/AccAaHF/npUioun4yhPUpv786Lk0sgdEP9E572Ia3zhGAUIZ4WixLrP2G hCtjRG1GeIzqjwdbo6MY/NVgkwNv/jwBVm3A7mxu/dXsKgbUMnWVxVForS5nbmgBob6qGN+ifRfp MniBcqxYRX2LLzqqj6g/IUhByk1vRUtPW4CNcXCtj3u4zs8phGeHquYDa7znaEWdnV/EKYPfBMDR eghhe5/JF63XM7jAjnd3Ti+lK+uZKzyTZf91yWWiVYtwYIbUX/k4/ZUQkulrNrudrcD01s/CnO2t L0UtninBzfJOMhtiF7QMWLSJfW7pWqz/gXljW/1za/iDOSUjKuS0zRTWdA5rzyO6dHe8iU9jDnwZ 6hjPAVQs7VI9GtzW1Y6swKzDJ1WOQRJQv5uMeTzPJQm0I34G8VCZHt/gxqmxCPktSQ0iqDgJJu99 a8e73+vO/SNu3QtI1htg4b0akLke7Z6ZUe6g/5hR1dFBgNqi/g5jHjcULQOD9SNES0tL/BEpofQ1 MAObAsBpUtQaefDbk/giv0z6VlZfAJApUOPzAsT7xELwx+0KXRNIeIQ/keMuj+/LsHtH+0IoV0DQ Ng1aIsvvYF04Crh6erZyFwQl3ho2kNwyPcaNc+QmDwaVGoVO66F6ut3RgqGMYCENbA6pXSSeuDvC TIK1OhcnBAlq0sW7ds2VmKhOq1YvKeXd3H9RPSOqf9amEW33p1nlwwAcjhnCYaoEY92yL/jzQzqb h7P6u8DiDZ47Gwcw3D+CN9E4IJpluUG401D+N6IVCtlEEZDIlioWN4lK+Xw8QEksph3oGVBOX/JU omJCGpynTRQ7wTsD1E8kPKtVeBpMftO+B92HPLWpoZqwFm75EuoR9XOGqPz4qAWgUxS8Oht2M8LZ r6GDbEmWvxfkPJEGFYgvIgbkB2IuIaoZAOhiHXV6nCFrAQDrzC5qd52jcMMQcxsAbFH+YeNW+ofx dwdaDu/n9vzl4I37k5xMW9SR4mebgYniPfwYEYY8FSkBiNMYBK1Mq0dI1BTtlzo9gDlI7bVtc45Y 3WZIHoY3loJUHx2Cf7/w+SIcts9vK81QMxaagahZ3jW5y8k+ga+sVs+tlv88vPxTUx99YDTtnFOV ze+nzHLg66fr2rgihzoZprthdUPweyJrX+Smwo6s/Z02qeiR2OsDUsfDbxvG2Ia3D2+/jzQrcG/8 FDj7pWgZ5lg5YYajyh9/e0wqRGfVbrxQ3GgTLjlxzEMEaVW57DznqZEt+YB8wxur0L2glEBD1dRy Fq9evIIUBsGjvxCJ6ftvbrLLkS/hCD9gkLIxcELbs58syxuEZs8OokqTnQ2ZCAETOM1zIMJ0r8Sm 8lObL6QiWjBpnY3K0qkfX/Zm9hJZ7eaVqyRsHkNG2D31F6g0lqYoeEMPOh5KL1mF2ikj4KXRpXiY DGCkgg897Y2tOgzWSIxYFGITpwIKG2BZ5cXlBT4/m3dXtMmuQYDJWBLMNTVbLmB9BOnxPTJN9JfC 3OLS0KgZ7/l5nPqGS3KDEkRWJJZm9PIs042Og6L3aJ4ie7Msp/3WcYtjTTNGDt0VID3tVxURuuE3 8FoUqv1hnfYllrcs6ZhKp5iR+p4Fwu2lkSYeOTjuaITuOHkB632aRCwtHWaHdFsuw2Bc2cOCJOUO m3mWHmzMwFYFMg/V+mKWsgE4fGbOt3n6vnqqFVYzGFV5PQSM5xYJOEcTcVw6MQrEFBVISdDro99C IRHnZLey1NtSwPLZx1cCpv4V8Mto57Ncwki8OOWgrk1c7DK7ZUFoVw5jdBW5fi6mxECPcHtuALU1 Kv7ogqx7j+2C76pwU/qzC7incIzywgwi/+3K2ipoMbu6Y7DNQm47nsrY8RrJFhw55V+eazcfjTIC esmC322zUK8qA6CBLR9CzKJ9WIyJPZtQu/QzfMjsWIRYwPebTxPvI9TYL94FULU6N6Pc4zPijlcM Wy439qmJTFbGjJMpUyxz2NqQpB2LHmIkmdWkBf/G/bXnHcXb7rvboj90+WJj8tnuPuOsXR8lTHN0 ZXMGdpYoFmBOf1uQlRpeOBnzNM1aZHU4ywZuUP9fE+2fb3EWU+u0Sp26+RED7FFiTn62TUvrHhsD mjpECd2PR1QIwcvuYciqGpzS/+nPn88fmQtkFZ6fIop6g3ypQK2r7L4obDmfPr1fCmeQNg7L6KnF /mMUEbvQehO9JpTW8vO4Ed6HYyHjeayHFLaj7YeVGeVObv5WIWAQ7S7zgwF946Cvv0bt/YP6acha WJTLfa3Agx1TlKQcCM1GnflsBz+r0/+keCV93cCTH79vVO9Xhb73wOA7mSP/PRvxYql2Q/GRZFJ7 enqqtV7OKDZc86gp9nChL4msbLR/bujbgZr+9UsopGJWgiVcVK7lRysOWn7nhruKKXvWFsE1NEK9 bloeS0u7RTbxlfF0foZzPNIUqXPGmA32EaYe0A1DnFhlYS0nkfVUmMBS35Uz45oI+vO26QpIS6Mo L34bnSEVz58E6jN4/RVCmrXMjiotRoHN8dj0yPy1PVb3W6GWtbp54EHpdDbm+TSJCK1NxoEfzpTu qdYQ+sn+XCIfX7ghGt/km2Bo1CG0uIqUXqZfYR1s1zc/7e3re/6j+P+HtNgFJZvmRneeYxnk5sO6 +Kjk/cdxbEFi5KKY0254eE7PBD0ot1pelk1D5bAjQIYqfxV7Te269GVOET72vp/slwCxQv1Z3Zpd tyuoVdmCYbVlkpwIwlqc0FdYOzXxUgaNDSAROZxe/mPgopKjGQ9U/zFsx74zD4lyrYVd/b2yHY4f OCiLlEO6k4bpP2GyJzlg17VZad2jwbnVPUuG4JxwWGcKNQUMaPi5kQWpAhUXBzFJqRSTeMpk6QiQ Wp+06k2JMUVE7gGsQcu7ET3JnsFr3VzNYCqudlEfh0qI+j3VAMm9KUJ4DX6z/AACWMIl4WyJ/Tnu 9SgfBz5OwIjDzxRJfEjuqbxSzX3tvAkx9T237pyxzVHjEtCQ/DI6g/T1hZ/ey7kVCTY4anCQin/k iif+a6p7iPqbXVy25f4giIL0d4Z5Hfl8cemT8bKHhSaTxEyXXL2FIlb6No9wOBHwA89aGc26wEYe KrNE78jjvu9/GO/s0poamJdK86y1f1NCG0x8Un89Z4GnAiUXhEeT7zu7nXw7XYumYyUmHPHljUFx spR8Is1CK0mRLnt8ejn0I8QWELHcj1M2iDem0m/D0Yiwm8/IZcOJ4TnMtOcG2JC5LtGi8lVfnwgR 2Bi4AxUKeL1uLOOsbB73Hz1LgSFW+nuKeZpzjcHvSBddAm84sltDQa+1z5Kp+flInHQhjWZYPEi1 9Xwqjn81jWXewnIfA8xfF8RR30WZPIuAfaW9k8FCAn6Gh3rwYB7rXQ/Fa7fuoWpLuwCFI/PnHc2M 5LNx0/LsLLhvoLVKkJ4oxGIxImRVFd/hm5enDCUzLu2yl0nIoXaPOD4Zi7NEvuUf8JGq3jZC+tlA JXMPMtYplTgXKL6i+ErRir84KdGV9irYu0K1hVnziujohS/pI17JsrKq3WGu3V4LYAT/zajf0v8t n1frkXG7jHkoSfqiv7N6psXGzbmG5XCAIuUD1s1zmxx78xOy1RGkQZ/Wbo44VPkNg5Zs35UfYpgW wiNs+ff0eRJTFRh+SdMhaPXoHI5jTUZ6FZqjmzYuV9mt+w3S7cbuWLfGinZbb6j0NRI/pKvq0xZM MhqKCFD5vUNHKMZuckFgH5bfNJJtmE2c9lE2/qXVcjK9WQ2wW5PgTaQ5KXFvnkq90s6r05cgciCd fzaPyZHUPem8eiU3gvrd8tK40NnG6O/XRpXEyoUKq4XTNjBM06gmG1uc+E5Vuf/FufhccggX/vTS kBoCGe6XrUQNqGtX1oxrzXNkDbCtY7vto2coMmtcwuaLAf99AfcTljatQtcOyLfBVwEuZLV7xvie mG3zJw1qvzHcjg9aaJEGZks1oeL6caZAyXMUfPg7ZN3KgQwwFvOf2oxIbPrXncu6Py3Pkh4oUPPN mRjsUEwxBaJC2p0ciI8TSGcQ8KrzAes4byAJcG4CwjKhQorArS/ZdskpqTQ5ltPzkWbl/6B1xRcI 6Ew7z+em/ALCxs6rEYh++1yReXydhFSa6WoWw0Rot99r+OJjBFl2JoS3fwSrbBA0BvF4s3upaezq fmi+toNxj6GJkJS9mdVV3GOpCvjy8XKWA/tetZE1wtRCd+44XsfaRhL5vlU74MU7b+1BrE6UUnfo 43OKuBBFuSLCqHsP3xM6QyqCpPmkw5cTMb5erQ8YLVtJbKCzDjzWxXgyNp58c7HHS8ByVqZMYyj1 gSB4c/2Ly3tj0AUDkvJKIoQ/L9nREMjJj5QXIvA1XCLK6z7Rd3b0nweIAvQ+FOZyX/pX6Hp2fJVl y+gSXxujPiOHkfvTN6M03wCSwRttDXYer1h6eWLpeGOFPX7RLiUH0kyuxtrG2mB+j400iSYcgBWr DA924kAIKZqKNN3YeqPsfXP1aqqOnZyopQEJdXHZB4ZLlILzToLlbtpaG19bXtZOEzUwVCkqpdtu YV4MkfAVcLXQUEVATYFLojp+yUscAYDaKpXG28RvHI5pbIM0jkvH58HgvlgiSyagRs+zpR0ixpKR sveXjksfR3YwlYk4JLp7gjJ0l0taYj+F/AEFLQfHfN3iFTknNyWyiKTpEfb9aLh657c7jcvHvUi9 wujeP08xK4sXaVqLGsftqp5mNcj5UEfuP9ai/xS8L8gUfbGBX460SErLWH2IK63/1XCYASUEkgfY smcNzibaog+wOG/H5kGgnMmOjyU2Hf7TLWL8wd+c+Wz+QO4TGHFuuLeR4dVzAyDBQclmg/UeK4nN Pwh9xUY+GGiy/r0PHnDgd8L26fFX/YtMMkiaj+xV3U/7NAQJc+o76ghoBklBBj6x38TCytAoHUbO 0UWC80TkZgPzBCPrx9cUTuS4VW5Wv9aaewHgcQJDn0jpDx7lCLJLE3fVWB8s96+bEY0herScNgku d43nfAHZ5P5HNy5QE9ARBI5JTF+loTQ95lIPuCBH5Oq4QiNaNx5RS+v4S1/HPbAASp5kup6uA4d1 w3/Pj5HfWkArHuuXQNKLUm+wXmMinU6Ubr59oA1wvCYuPvj1SNDDAg33WFcJwVRi5BgvsuYc+WO6 vHsumSDoqmQ8g7hkG9JfpeWqkhaci3Pj0810Er8feDWuyPNwyGabtda/TPWWlbRJ6Ma1Ykk+bIw3 vbdbORXDYGQwd+8ntvYhWPids6PL8sL93Q1EguRhMli0EI0MmdmCMCHy2FVi6oNtc4ZycsN8HMTw FirmfX0rwOKLqLemDZhIBK64PSpnqpV03GLfR6ro5P9OVK7r8wUpAkKuS8iJO6v8S/k04Zrgp/gs Bi2IIFnERPI/geNG43pYaVDgL6a5SfnrXybzV4g8jfZg3JbeScj88kCOHX3WXWdBzA2rpxCzKyCq rsgvhT4cLoHmoTufUbnKdYXTKU6dmaPRSZ+awIzPcnLWimhPpYq5IjjrYOZ71IEj1AlTmOZ9ITx6 EgTyxAHQ0BduNU83f6X71OeXihA2Aw4lE3Wb72JnTYWLEOVbjT40P04NnLGiGh5sgIiqHuK+cojU KF/G9G700zbSCHJ6nkGJWS7P1kHD/18DoVHxc9CIe/Zuq+mzjXpZBdgNTglRvsVrdfYvrQoA85Yp Q3Ic7qNITRhgqCaApirUaq+jNg34stPjz4/rSwrACIFrtwGGjQEVndGeCNrhsp+Nycx0Mslqco6o ujsHq5GLWndvUFbREAez47++hns+5QjvoTHrxV5B24nXPIWpl+VXKEgbnXpsdTrQqZXWo2Kd20wu SMulkfki7/9mbyI+SfasDuuwHaoWUrBMTlopZnmGqoCEnnXbENuJsDHAGTselE6dzrccvgabqnEV 2FWUusb1BcTAry+gS5J81Ny+J3kzgwTAAxyAgwbWKnLsqd0bkiI9TCaGjvPOh/LdU5yjfeweid73 3RJZx94Cnsz4LHf3j6bioDymsP/XKklffAK3XIMEfstMdxhyVz9b8fvaRqgWr7LVCU3i1MoWU3/6 AHMS1KflgePw4nmapcVkzLBYzvWP/Y4Kk52RSS1w3iqsDBC53w+04SgiRynR/ynfT0d1L8yrO/la gV6wuZqO7qVV33B005l6yHUazLq75RPdPt5/uUf1Tq8I5xelV4wAdc5JikUuwqMKqJTjLxLs7Eoi I5odlSh8Umzm754snXLwWNnntOnIpRis7PxinBs3KBshPZzowTnWVUnCFcf8aZ9q8GNBK0ghjOpM hGDhZw7TS07BN1tWW7l2dXnNpMnNXzJee6iL4wLYGjefZ3ipW4DdGOs8RFpAigD8DA0UBC6GNlfm dC0g9aaw+4r/CG+VhIfrZsEkah2fZkr2T/MUnKlXFmQhr/6mgHXOaT4/2jcUl+1Zl8PYgaMLun1U oJz/2rAOu0MNV2qt/ML1Z0OlrEtjpX+MXfvUbJZhHt5zu7X/ATbaXZPiBDAPi/MJ78MOvnft6Gap ll9xFCC6C8E+1d+ka7yfsfZvoBm+aeh8B3czkJRyBbuyRfno9N5RC8GmQ8M1osN1ELT1XcOZNiE9 iTJVsyqgLpb3YF7flfudpjow2Ht8KEVT/Ka4Z4PKy7qfC4rlJDQ90DtmhqzOVBgrV99beyA/QISO XaLEHEGa40XSl0GxXEQdeAHROsTNk0heiVzxOXmZ3pbrdAxVLfjvx4cAlDe5vP53F9aTa4mMzlbB I453gSyOsUBUbLiSrjzOLraXNHGiieOJZL49hzn6wB+L7yFURSmNa+KTPRr1SbCbL3gN2ZR5gG4N pXKvoviTWj3bnJKhWBhhjMbj0oWRFhl7K2Sd8wyKLNTe0rMb601TndJbTFpspkDo3HcIfRAzIYtT y813uaV6UeaEML+pEIVw4OKJrxH/vsfSm3Ry68PvZMyMPEMCoC2tfhzT/aV2yJmMWINvgZRg5zNW MF8863BhUagllXrn2CUfYPIFfnWOxz7f2redTOthdW34YkD9hPucPPajhq2hC5ZqXCjMjyGhpJRJ g5m6Fpdz/us3wyDj/ag6tiJ9uyQnwIYPN+nN1K7nlj4tTUbIsiaR+JXfhunWGvcxmYf2W7OkQbEi y7DB97y3Kb5H3GePXzQvA5j/RNwnuqTQmDg2YEgSYub5e4IMNnSFPbDVDwiBeeTajQ77j46b7lCi vbk4lREfIT6kLQhNVPvhgI5NWFkScunEWoN5Fx52rUn8DxzXhX1gFGASeb7p0apbn/Azc9YiOL2o VOneNzhTlLTi6XEywuE53he4oNSltG5u5N/ydeysYQnPQlJu/wnTC4PkWUxJUG9GpBcwxhneNMjD Q6cuNBEpwB/i++v/0jAGnOgPDRlJb1bEomu5l22SYeQvXPfNd/W89GQf1Wf2ozGDNNiiSlkhe4JO /V6ucPeaQVSfa8XFr8wwiFnRCF1WkNboNCcLqBR2wILpBQA+zk6ZfcXbKR6nCmbafW4ZKAibTCvy RC5qyb4AdExw9fecZ1nP8w5SyoVj3dJqRulJlrrtjtzftoNJ3q6gs4oxS02tuURtiVsTdY+btL+E he3hUtRmDO8PLMtZvOkdx/EBcDeqK80ceaRzEMJwXOY7w/Vrf5gf85LUWJTH5Y01A+FWVR7d5tLI 9HSnncphG78N4ZUrHn574OZzJhOggrV5F2ewmsT7P2KpL+8ffucJSAOEL1jfpurc99E7nzgtQrYa dKbyj/JJN04CAF5k17bi75NMvtudLk3v91xr8vnm+wshEu/unwATtksh5WUJbRztsEQJ++r/8Y8J ifMR0tmwyYEllLi6MoE9e5TaNJEpwCVXnZObywCCNwPfIQ0FcqgySHuLHWzjTytjSYrK0MMyFZIh mG+Iyh/xks3tkOU/g1ZESQy6EhQMpNV5D+68L5PLq9kyb2gaLrY5M1VkMtHB7QI6HJwtKETylnyb ack3djxq4crMdyf+oCu2Cjb7pfsMc9JFKQOUmRFIphokPNtdljMuuJhfOLhJPhxIgkWAdrbFTgv3 EecvTIRg5Ow351q5zIrARFE6JTO7kYxabmCHRpsut0j4uPbHiiCC49jMSyI8K+4jl6ACPSgQuDOe TwapfKr9ER0L8M6OJ6c5GhqfHMY7LrRnVTLa9xvdDhizzHNVjxcepMEfW2A455CN3UX2Z81Dn0JY a87GmLLQWj4vvoFa88PFldW71Rg+cXXVWwECRzZJ/hHAUXVfIcyC32QoWoYz8lpSreZXgS/zZfJu sBseUFRXTNlHaVSBTOAMtzHFOWWv3TuGzB3fAyw5/gIzfxdgAlrX5KTuVpWviDnxL83dt7g5c0B5 YG1z6zSqAt817eoYZFH6iT7sNWkCSTF2A+jscXWSnExXNz34NT++9jbRg7gUkNMAkEDO0P28QpY/ UJPcHKAkpUhoj4r1dVoNdDHYvE/AbEL6Fqn77+t6MXI0AGcXinZQPqIW1u8fcR/WzD40+Cl3WfUR HhsnohFRVfmxmJ9ufWrqHJy65LB/Dv9tweii3jFT06/Mt2yxcCKQYso2I0N6+MhR1y8biyJuz8/e SV9ftwLmHg8mgPUzVxc6pto6XxsX5ufhMk8aH+5xxhzV1+0PV5xt3VpHcvG2L5GF1yXUlf8gP7l9 339wOGbZLJZf+g1PSJDyUXqIOnTKdZO4nbmGqmmk/3ksUSuMnMcLDX7P0Mf4MHQyQIUD0fjzz1Oq jaB4GSboQ7UfN7+t6kgncBp4E1Dw3kBglaQ3cKqG5SXQoLWAvjVJZAxDZyPwJAvJiI49CdXK80tW QYMpuHPxZpSP44QkK59gBYT+M7WUXbZywH8kOxibyt05nadPA0M9XuqWt8f80Ftfhxrgcdjtit/I h3qcAuQr3qKeW2N5tDfIle3Rx/z0w9DkGx1jqC/joqZmo6fEmdpplYiAqmfA10ibypYW+XTiqtnC Tf7pZ+cdBxiLWTMlCsYnotRcmnGt0o0k+6ivsCpP2QlfS354TCq9VCEzwY26Pgb2pXYgtBvawFtJ cv06DNMnfN+o9UANEKrHWXm59k0BtKFx2E/2NooCXNH0Yc0kJ3xQn7vwm41LdG84YZnsxskVPp5k uwanR5sV1R/3dX1S+wRUuvD22b+jXnUa3DEo0D5f/Li/jfypGhePa1rtmcFeQHw7lkXitkYpM2wL TvPO1IIGw9Xfv1yKiSspfHO+J/cpvVN+peE0otzniy2swy/peE3Il5g4rMJp+Q+PeEkzjtzJD91d ytwSkKa/9MKndJT6wu1EBP3bT3xE1VsTV/9Sc4pFlIMiA3JyjqDc8lnnoubWvoRFrjyXo7E6ynZh +xXJNgnaBd+2oD+AA12rG8+uuuM+Wes31pDGkfO3hD6EerKinspL/YpuXvwLsfOl/IG+kiWjtdi5 /JJ1ET5TR8EkWpx8rAFCjlZlxilD5ylqn6IrvwBekkADgSgBgC8OfXXaMXQLrVmvdCVuma+/uH68 wYhEA8tmjC65lmxXM7jhZtFzChHycS9bzHQCsnQQgj0kmgWvSRqT/gmdBHKstYA238Fb3kmQDqXy kpbg16vU4Xez6k0RqI2sGMbevMwxvZ1KWgFCExihefuoh7A+OoEg6leURALcCrxTrtRVPfoINnZl iR2vrtrmDdHvdXVOrdXktWdjTNYjkKSmPrQbZRJ8zrmieSpSK1uHTVOsoIExq2FD1JE++NAWLTLV 2mM/et+5afD1SJ+zRvaTn7FMK0uCvsrXPLv+nS8RZraR1x2Hk61tf1ZdSFaVPRSKO79nDigMdK5A pSy2luxLJSNYIsqsjlIGDDnH/NXhsUCN1hoG4QO17/P94XIG4nSN9+/KznxEytDOL15QLPiPCBbq SdMqocFqi1f4UNxlQk/10AnNA1r91x1MhPO3btqotB24xA0YAregaP7efJFrcPTYVaZHjGL8JK5/ jOetXxW0favD1qBMGWpPl6FHuI2/d8qdJUMelO0Gz2X9zGseXdijoTk/CLhMP0SBEtYuqrgahaDH DjhZQc8oeCxaW+g5pE6I5lMy7zDd9e7j6rgSSCTocFDOcIV/CpOBaX2KMfsW+YDbnk92kX4mFLOq JmSwvJyfmozjmdbiR0y0tHOC3JBNzp/vvbSGySeQesKQWnV0YXC0ljJy8YP22YLQmiD7B9sEMZ9y AKlTs+9SERxVPcIwHJdmgrr9xGP7Id71jvWDfdruFMHUPeAxMTLZCLtPfgKKn+ObW8nW4qTvI6U2 jAQtktdTKvoYk7CRNB5tYpexU3lPSNm72/6TtBNm+05o51fVZOmXfet1hfimeQ3pVjvclDAyqDsC 7Do/jYtl5+7nZx1ApqFr1xlOdONt0sH0xEbUe/D+oJN72JzO5Zsab3P+a+/ZEu8F6/DGntibkXbT Fd3OZmUX2d2LR3LxBmEEVCGJReSeKY37kPJJUTnQpxsPaPOxlVX4seXSvsbVX6DTbWMUxsnAxNRz s4J/57ay7fNryofp3Fk+2jVbGMdKKZCkQjeH1FD6cuuoEluwR+5+p16LjhCx+uFPXbXurMOYM2EF /pyCREVCXr6m6IfWjOPHqLTK5x9efVA55sZPxYKRMY+9n8jXgjyELcv9trz0PI6b8+i5cGGpTA1e plIZUXhcbw5pzFSnXAeDKazN05YyhYItvIpfoX5ZJPH8pOnpMDFF9I7aCKmJdwxbL7ku2JqA+9Sf NoH8w8e3yx2IVnarpaUXz1HZguNqW5pkpE47t7uMIrvCJL4iTtjsx2yLjm26hR2F5g11cfnXuKu/ J+dKz8/0EeSJOxQfLh0hdqoZtIOv/PmZL6CTS/p9nRrM3+a0U9wq+B7H4Ln+C6ch36K3aqnayrD4 w41As35mmBh/8suVBVpBM7U//Z/O9ohJohPXxlH6xPvdi1/zl1keNhWIO0aUfEryibGtDBpBS63f snWPb7Or2h1hmzej62sMPKGNI5enCm7lrhLxizB05kmFNUBP025Se2/+pHA+9J1YDrov8swbaFjN QAkyKsNcCwXukWRmPibH2aTpgKDJawhRf3x+GubV3hmsXbx2hajGbhK1m/mKjxf6JMSP2AtVicXF Xuyktn3o3g11S0IfWu8IQFL4k2BMuqxbz3CBk4ou2gotuNoTDmT9+O/WQrLOrl5ffehJN5zeySY2 4E26bnnDyYlLoqs22+EPwEON6niXdYh7iXAl4nTBec83BNlyJrghmJmERkyhhbHxNyfmSvSp2jrs VluPgbXuKHZSOejqnCsLvjx2PsPPo7NJq0FHE1NiqcwuJ/fYNY8w4gLS62iC0c9JHvg6a3zK4XSG IaHwifNA6IaJ+ZCOyq/6JcKyYNqGSJAKMUw0qtAW4c+TJas1MsIaUtSXnhVSTJUG+EnrL9Xn9n9U fRMN50zAbe0VQzDP8u8rEGIfsGuCKWPrnLXPfn92alPCbVTbcdY+w23YR2SQmQ3oJLMcqGCK3FbS nzct6bxGCd9horkpZQt9snQDuhx2b2djADTXPQOvBoGU0Y2rVPVLb57riL/+uZcmuv1fi1jp3PHc G9uCkGg2bj9KSFIY2YYwYWqQ1EZ2P78oAaoL5pdH1RRn4HqysxoHJ0tK7qLoIGn/xIZy6JExprs1 V1KLMlemA1q0vt+176jPJCRGWjLXYjKhLIQB1VlShQTU02rEflQW3PzStwFRlZXxhhyBiycRABeD Z92n2nA4yF3hdh7ChHL6yFDM+qSBoP3EZhF+tdE/kMLZPQfKcTlZY0WERoyGmR64bmIXpMNKjTLS w3z9RYLBxZoy+FcNDmO7bHXBzN0ZrIDCFdJgHVlARMahiO6GdfVluyEtXArfbCoxcQdl+2ad+ssU xISILuOhm/aIcO/Pmu0l0wLCf8pGH0N+aAITrFMXY8LMob2Xxd1jDAkUQVxMN+T7fFAUpGIeo0MA eYrvdT/Zqz68JNBoT3vzqwYohGKvpI0zdIUfsH62zKQKHcHPDaju7GzcryvU4wWqdipLfa/jN8YM oJhylguKrSriMyf4C3qFXXM1DYULnwx/v4oTXJymroTciUL6T5lGVF0tgLilCWnbOPoxUx0+j9gk VekaNbeKRjdNXf18xJQg0Yebzkerj3vGFFjg0Mbc8D7K0XHU4RJcpAWvFCeX3QP5yxjJtSraOZya FmO8Q4Wk17dfUK6rD5iCFZ93QiCv+upVXFQ0hoKIXGgZYTUo45LNody+1YxOosuTSLS7Dyt2AgxO Y6fO4iVtHWcHyHH7VVScBicc/miRicHyUz+rkPAWZiwV1QCDzihV/vPQgaYWO4ILqw3TvlIclVdV dJjHFPwW6WBiJwEZJAHuZ8B5ZVGdrM2e8ppS0Oj4ax7pOWbYt4s3FOr78WkL5yQ46jLQHugfPFPr S3sG/UTwJMa/nLiTNsC4/46dGfLo5oaMeZy6UYTAu0VVIXfcm0i1fOq0NhXJuGIyFxRKzBjn284w GhwXXUkDzyQMY7SIUk6W9ZRk18HIgIatliQjwV4SQ3abqNmUHbIbaZ5pzKccewjUvfchOs4PQ5hH HsiWjRIt6WS5sB+bVcYrRH1n3U9TUI0+Gmwd7gTeAiuvKqOYn/ZA/Ad5d6TGcQAoDB6jK1otTCJZ 1gLPMY+XoNY6mN0nduECI5FeQv/wrQHYfOQIR9breOHjcfmKaUJJoDKy0KcuDCynf/cdZvF6ecQP FDnfaHfcwBmqnF6VRhD4Fl941rflD6+1XfBI2fz1Wd4CP+0pqUyNf8YILNm/pukc0+EqBudTNXUc bFBp95oGXhueIuVoSnT976e6EnTqtRatQtxJbvx5ABRgudtrr9sDGhcsKkwkVsjZgO56EjvP0mSe e8LmZ7sSxP2RR6AIG+SGJZ4EZoDvYr1kpBa5+nlOjYiXIJbBMp5zDcB6J7uOK8T8FSTLdL6vID9C F3r8QIlj3mxG9HGzxskxYFda7L8EHrdM5Bxrd2LjeL/QwgxsXCt6b7zc32F5Da5jFTONHJE3bVGs bfpPOirrogL8ZU6gbyGDPhqMwkSJ3a5Aj84qGsyiccYVZkw4gFbkx/ioqDol7W/MpBF02ViNjNEd h6t1Hn1Q+n7GBOldN7rC58erLTpJ0kOCwtU8Ivg65imlsyVwF3Z0ZuF1lCN0JKY5cjuZEQHiyyia QV3brW2PBtC648BstoG7lthrTlWjNdc8q/Cl6guIFWTUMF9RWNBxb2i2pLCmMDO6XiZyvKuqVSCT eNq2+2GrSoBMNhGh0lJCBRRee/Vann2kBd+AXIDQ1rqOCLDsqb45tQqaxs4bPmYMK8xJVHdLHrMV f6sKxfg6unUn/u6gExGKeQFK6o/iOURYTDh+STbQq6XxI+aEvgHt+s1jsmre/spGWCaHDjmrDJ8Y SagYHzwI42U3U6jmOQ8yfALaX2+aWvktPTLEMhGCbNBv/P8w8PX+pAz0bmS1Dk6iRoZW5ZOp1tA9 cmPR2rGH2xQtxxY5kqiVrjiKUqa1ZzKYRpJoK796Lib4rvJR6omvL3qIabUtnut1aYIcIHdCtPK7 yqS8wEiJp1+meLil3f8Tr0Hgns+f3fNLVwTbJIuCWWQ2TWQ77DGJAe3C035KQj3+EIzJ5vaMrE3d 7OVlV8HUFIyKUHjgrGRCa7VpNmTphME+cI3mgWYY5xojCCwn5pCbI01uq6cczHr5G9BgKL1ZNiob UKflZWrtuLD4kW+VHVdmAdD555PJS87Y58AeftnDYtMUQXNxp8NnjsEos0wblCCQvMCl+c2U8GVG dBRzHLxrDbO54QxtDgfLLenACKxQgRZ0aj7ULqr0bR5yCgH42A/1gMu0cU+ICaVN2I+WGqVpKYZh b0XPMdMIa1by3hetJjxqDhed9xzF4O3WdXUaepsd2qleQsdZMBQY0wzvI6miww6r3+q3vuz1bU3O ohhHsSOHMzwyr8O0bn0pTSw4bimw+G5uCDV5RuMQ5uhwvfTAje+2Z2OY2q71gSXSgdcsBbiuYfhG DOfuXYRtvoN5vONsSLuy9tUy4dUbRTags+f+XhA0nDgOe02uhvM7vr2GiaemPi0eIyG27SZLyguh XjoKUNgOJGPlD3H56XjAHUg3cwMedqsZjyqSgJ8klTobt5MT+KrlvgOLiPZexna3fENIKaXG3hQw 7Co31NxCutFWdsBFTcXkLHlTnY0yQ6yDys6xg1/t7vXZXj1qy2Y4t7L83/dRwmuKgHtnDyhPFwCd AaXdjaqXEK4FUc6MMRft6yMUClYfKJth9A0Oq8K4jh2AScEFOSyXl6+7JqRol1FjBszZnGOslWOB UK/2PVwQE0q9/6/wVuE+WNHCkXx6K6qjE2BsH0UpvSUcbSUr9XXJtAZIwZ8AZDbewJul99hPD9SS bQT2q8P7F4KUlExUXAwb37erQw2T409oOI+m68J5XUprkNr+Vn5hTB4NbotdcyXFQmlrvVgxoKHA WAvScLmnxdxt3DJMM6hII242FIM1rJo5rUCGH8Rj7jGFWQUiHCrRBDfxbe1qnm3jGW/JS4Qn6gkM OgBTzG0FTeSlJOhQ9HjlL0LXwE4ODKR88XHPESRMz/UPvTFoIJLevUZYU19PZYttP6j0A/VY5YeK gwFS4kEw6BD2ijzaGUEKLpflZXH1brt8PnqwYLMXuQMW0WaFUUTHfvCKGb1NbeuGnlRBP/z00j7n 4m7uKybm56a5vk+cG7/2K43POdHingzVl8vyTattWsEZubyp3qaStOPyV4f/p4kcys9asyhvDjSD cH+Kcux/YXRPt59VTAdHCHD1Ub+bvobfo6xa1Ha4O99rTVmjJSHz/fmwAdd5BnL+ujcgtPw16wWj NQEY+4mmYvJFy78LQiZyUPe/OMVoRKYH/6/FCAEG7e2y96N9aSb1OjKwH1NT45RQpEWf2ZFJU8tu +vmwWFu6lZE/ekkmwyx922W05c3G2Mld4Fmgmkcf6/aQwFPqRB9LzCJLvFsqvWVciha/VL0tnd+8 /wsGLVYH57eT8Yo8wZaMrOqdQ2iCWTHLj5pwRszi67SU2i8Ie3cwvFY4hW+PUCZg3R3eDQBTm27c Wm0J2vatMLnxDZCUndw8PO5V6JRbrbpViTCF/5NWTJiLLpnlvWUilcO+K7onj5lny6UNHCtwr26n k8xyP4I/VTLYAR2L8YBCN6IJAcS3PalSQntdLpvMIFBkA/4lW9UnRw50M39nxaolSRxgwZ+9owk1 kQ1BsGFhjdjOiLnZh5c8c6m5GsXPWG49jZhSZOxS7sDGiRGWShU9SkJU69zDBmvgSZZ8EdRCIGlR LNyIO77pnS7ODv20rOOee0Vhi6yvrzEbdsYgggVJDRDHl+UeeldYBss5Gi/fZjB9nuMs8++iF7GA qmblLKAk5DGxN8MtyiWlQAuir4vHm/j3WChLd2cMa55O/dQvFxhoavQZH0mlhzPeYE5HTf06BYGV 1fbqGbEltEU+qfXoULP0UdhTlLcMq/XPezN956DbdZwZHJRPZ2DaFQpGdhAQZtJhLPidY02faIsv X0C40WGHX4b1Uaz8xEzF5aTuebeALj4TNWlPcsQcRRbSRzs9sE7TEgMv+640SueU/EZCLVAvWDYD byCAPy+v8IHDhzlfqrUbqM5zELtF6FNkbwU0ESNhqPpK0e4TYqQLCGqoNqfVLtRrg4jHdN1r1dLn pkyoqcwBsJe3DRJWZJcq04YlBet4vvaC0dWa7alfP5dCwvuLYN3Q/mr0dABw3jy4YSanCkVcXlCA 8bSYt7ul5unNrORrhziHzoXE5yHSMhPHc0TpMxxuWkQ1cZ7mWSWbvhQFTCWurkUqge2GiFCQ5kPc FjHGCdyY0FG03xGLv+quw6yXZGkcKlO4af3NAfqm+ti1PhsSxc/WwfPYbF+FicsLjJa2ae/NeY56 EFs3cqziwjF+MyLdFXfGJATfVMQllviqlsMzLOsfRWldLGzuh6Tg+SMBP26+FFBo7vt2cgvtkdE8 F70SryC2E+BJ+o6+urT+tCv2th+KOPMfpbuHlanTbSXtO9s8H6VNe+ijGmPvK2q+DjmKf0nPNV84 1BRMC4HPj6ZQLMjRA4YGb+5WEUPd21HplPyCTDXyrjAl9Q8kx85HfbNuAVyGn7uXNn5XTOjDk0Iy Vc/LlDSMGn02gWFBIFic11bBYHhhG4QezAtpdIJARsSmIc2AnCB/4PDeVwgt7ZlDdzN8i05u7Dr8 z4IL4QIqvlmNAsrmCac1WhQeJbR1XvqiakuAyq7Lf/eqwpJ8dy9QP9E5qbLH0YsRsrpvzoDVd9Eu 0VSQvHBAJ7E60UwfYzWZGNaFrBZJmai+UgBKeLx6FjACwGBbaKPmPmPkJrIpGccaMJf4VOkRtndE gyi01txE8cMRfJz0V+5SYsBPSIvBpQmLCWSCw8CZvWaBGTJ2npDwOB4rI9DH6ErVVmkRaVog+Nv3 mrtCwErQXOLUdJg4YD+MZ/XDq1R2v3IVbzscck265LK/4ORiIeZsT6eYIzQ6jCJco199rq0FzMuU s4KrAJiRtMSHuS8ltviWconhwvPs+9ocIq9dwuqWQLt/e0OVHG0HYkX5N6kmCJu/EDpLFL20FcJ6 p3owwMbQCKtmEJaYMwJHfzdr7cn6n7jqdNFibgA9w0Vx7iq8F7eK6GRZXgUwtW4jJrMxn7ddOyuM stvZuNxRmbAMg+Lq7IorgHjYJFWpXUmETo31GCc4x6wcsHVYrQzkMOGfLA3Hfw+5nEiZ8ZN04p9I dD+Z1z9QvkRmpyiwRhQfx+/6xanmXA2sMlvXK1nllmULLTGSykfIAOOJeaGzk4fkkBtUOdUUaKY3 vmnH5aeSsfFl1Bti83HWXrJdoQJcilM4QFd+IUJ3aBMRVM9CsLicfk9DhTkmXNoRkKbt4DNi441T UxrEFblBfKjFujuVOJoEi7Ge4seNRlowyXl0+JQKfGFqUQ3L8GcBoh5gB64x8cd4Gw8lto9FkiVF dWGTOOVq/UfcqoKkePSXGR61FgB8FuKYgVmaVa4bEEfw8xBxcHUByYy56rb1x1WbkTDZI1IZjwUq Vqn4MYk5HZU822NNQmbaTVQFBzPcu62wg3uAPdup4xTaT3qi+yC6T0B1oTnUO/oY5HE4OxKqSMF5 NwHTZg/OjQ+egYE6Jm2jaUZix2AUnItZnZ8a+z9zc18F+D7vn/i1uMS178kdNbIXJxWs/B4N2JIq sPq2s2pxEBXmJLx7kmrQaKWcxvNoyYfkf1FOCno9f9iKWTfLw+BLPhvPrDFKconzzPSGb/jAZ48+ UUE3TKOA7hCR6neZajQVuD3zmYDDvd64BaLkTtffWSSXKsfPQXAh7X5SP3hLDhLgmZ03mcoHeWhU BgB8Ak7m59J+OIzZUYNiL8zT6kV3x70SPXT1q70HGwLImAeQnx714kDplIGaytIASdbzSaPrCObU Y/s00+WmfxjL+EiFD5fB/lsew4yIGdYmsbMkyCaIQstn2VbNn0a9NAIVs0+jOx21NEVFmVFJ69CB zDzIiP5P5DTmIIPLtMR92ggfkWH8/kea25i4uB+1bUluLF4ElF1hd/zBt/qI07f/A9piTOA+iys3 kvTdFq2AK5/i4/P/kelSUxncBQiRg0Qa4Deto+j9EnTDYpaXVcX/x+zAnumWBoPahXh7L3ZHuo5b zRAhFpQw4oZI24c2FIJAQN5Ax/C8ftFTtrxboigZZAIshFZbk8Vk0CKbKZic+qW95IZJekBtm62S c002EPySPS40redQfj4fw6KJuoFkqVNFNffOR98xDgpiy4RPCWs/muuQo6yaKjJfxUCpv+7fZFnn 1FECrwA/W2/ycm5tT7sN2wCXLm3f4Tb8Isc3EsbTdFgXYTKddfz2vtgoBlGCQBMtN+641cSEfk8v bX9am7gaWMYqy+RmSdgkNfYdXtno80iWn6cWzAOd8ceSpuKC4CzAQs800MVF4Zmt+uc0BiAGqkQs qBx7FWcf+evJJyBh819hNEGlDUiuKcTPo7+Ijp1SCwn1yJ0VZGd3ZaP4f9hq1SBqkRklc/aY40yJ 9pcL+DAHGUIfNquZOXMeCV3XkZfwCaSdKXjVnQ3l1JZPSQSjPwFKPmOPaQZ8oAkW/0Uljt53D/hd aoC6VsdGcg82yE0Y3bIGTRiSYqMtWMiTepwnhA6xuNm5fDKp8yIgzCgwLpDJT1pw6imV03c5R/Cc rF5W1R7XJfc4ku6yewS1Ww2J2QiD8AzarhrL56zuABznx2KrJaV9ofYDpsqQ0pREF61MOFDIOxl4 yu7rIXnVsiiNDS3umyT0r4vbTvA5waXEoR8L7MCg6R5mhXsNLvLQVr0+fEr6FfjM+3ZIqId5tPMH YtmCKkMZ/ifcbo+LU2ZoMgiy3LYfllQmU3ptPfh7i6BYJf2UkR7gkBIB463puqZQq+8c0fP+8Yty JBC/YGBINIkMIG+fNwDcQFHfJw/11OcM/fGDnojOufyRQ5t4xcWHH+8X9IEsHF/gC23+ZHO/SRTg IEkk23eAQ0rN/wZI/mYtrV90FSD30AzcdYwCu3dXftV/7RnkHsun/KfX9DN7BF5XMtmuxu/wAEuy zoFgt0JNuRzF/GVSq1w7GcqO5TqPwjg0KZg3rnqPmbxzHdjlqTZ/LColdStu+MnSkCuN0TcqyWRi w6UgAnecNrkTaEvksEUdNauMC6LjzRVFAgnXbTWcFbr39mgh0s5lhKSkQp5qIAwgZz2O5iDh8p9q E+B+olTXW+tQV0P/EV3UBOZn1sqtpXOsINx+CfrHNEg/V3vX03EktCSJRbYfeJhKrVuE6j+4DsO4 Xo3fOn0zb+EhKmkoRrNI26wwXlQ4iFrP6M0HxV0RxMad76K1CxILhzyCKS7Xhrte9mShRNBKXlPe Q1xuPKh5O93wzhCeZ5ETxbCNqhaDG0BGMgOjpX9+swJ0LaOFSyMPStqeXtxaDDCJ7d2VqomCbJTU II4qWDCZO7MAnmZvb49VML6dcY2VB6lWY4OGV3FBCFK/y4RmeANo1jYQfqWlu17KCQCgXFeNmLGl YGUp2js7P0DN0bslMn5I1ubcRZhTt9h8UCG84frAzgussx1RyUU4DnlL/4uxI9hExRs6i4E6vQ7J SVioz1UMZ1u4iJQaHZCQvs9bsbTNsVQ5QgWwjnyAfzJlbIHwCZ189e6MBw7hmMj9aMitC0vEY24I b5h9lfmrisgqmD2WixfquUgibIIy8wKS4FYsnQcfIXb5hIy/to5bZqgnNwwT7f2jRDeh2yAFJHJI Zrofy1qmOBAlB+x8cre7cyJE4TFk63+paqDV/EDd+eSYP03XpaDzSZ9mpaionBJK6zo2BGWh8C1h f5Hoyv5crbpe9S2jYIWe3bm64lFWu0w4uUiS8vxf1RLmE1AltTw4Q3+MAxR+Kjhs83zKIjpsQT2g qzc++SFxeTPyDhixZy3vUx1cXV9Pmh52AunY2hbRaM51V2N0XnTXKhUaq0me53ircxjYYjquJtYX L4igFi5TP7z6j2UkAr0IsydlrE0NKYFQZvHriQvUpDKVEWVnNCegk/CD3TSvG7TJqRZA4vsPKKsr fq+Sc+VfBinwG8H40IGrqF5BSOMC9wPmKOIkYY3VMUfW7iLNszbg4uhgj7D41CUSQvHWrXHjgXZL TxnivMbs3atHPS8V4t0xOvDEXYSNWRmU4fqoTu/j5i9lE6e4EFfVUdjz+H0W8cVMGHtN3flBNEs3 K1syU1/TU3l4d6Y1mEnHn2oreQAVR9Z9R80VDQFe5MMDuJa9u5+Nrx/RjgUgXP+uNRTIfFXrHaOs GiZy+8VPyqtF0U6a5wAAVaMkK/A4w1JwD6mQ3Aj/agE8skflFKi6jpRWWTun+oICzsYLbmtklLSZ oRgfDFAlMDPhLh0JD4Lwxy//iZotU2QY8ePwSr229TB2VgBeLepdP8uN4Sdmkp3VNOXZd4SXVSN6 0DT7MD1gKfH3QGZGJAYEHfeCRk3f1sqXwfCHlV2M1UDvgTpJI9UIH4ZeE4x0/ccrLBjTZumPQYFM 31dRn8idOj5mqsaGS0sDqgKi0HwB+X/tAy0srNaFU9f6Os+vxlsrTpjxgH43nW+ZdDKuV9auT0fz 3A5Fe4p4+MsfEFwmoJmI1z2aUiHHhTCV9PsH8pwaNST9Y8n+xFRFzX46IMiTNXuw6r6i3Swt8AuT 3SwE4zpVQCQKjXKkOCiYzID9FJSNX2Rk0CgZ3XXII65/6s/UEHeYffhFBXazd+ed+urSbiroGeGP codT42hYl43J6HjcYd6MQWPAlOf8u/r5SHCOy3S78y/nEogBrptiWLFhxA8fi/dPGVISgwNRS2t8 GBGmu2QF8b+yHGwA+aL185dUTIOCqEcqayooGxgU64YBM8lKgzfdULcvkWP5k0FeNnynei1YPlPu GFmw5Fs/qxkSSZVOOQWET/MJnfsppz4W2jAFJ9j7e3DZd0ZxFOaRiCLZKpu4rBYIuA8PHL8RzlOz o5KpI49j1Ini+msoLAIqTmDTpQTHFaVC8SynlpppY55wG8Lgazp2ymphw07VNYqX0C+6hImBGH5k KoYPuwWRxiKSKHnPIvy3UjLNd2TcyTcxSh+nUtnB207jT0E/1wTlG8vmEFWishxnhaLU1+Vzl0kW z+2vPERGtXIXP8Hm3zMGkadhuQWvtqaREjaHqefb3OLEs1a2jeTQnJB5H9qBDGDQ0cs9Zsp9uymh 0WPq/teZ3Q6rfu/Xo+1xB24YU+52i8rLik2KZ9TAZT9rPhJckj7OO4Q9/3DNlNBk2tYrR2OuvBw0 HFdASV2FdedW16qbgDQ+g9J6krwO0MVLnIc2yXcSJPcEPUwhtB5mQVGubQTdISIGjWLW2aaOiURn llnwsn+q6pgrio1HvpOQVOd9SYRjaF3FeOnxi/s11Qa10IFMqWD3uEs5FI71keCSN1+epaorn2NS H6CMphVui5fMD/tyY4yPMzPTzyGh0CpxSvplW6aABK5E/mTzZVZ5F9N50gwYzRkKtiimspcdYq6t huafjvFi1Jirkdy7GDEki28XNjNMPCk3MTglIHaPJTnoy5GIO7cDgLygksB7UemdvOSuyKvgbqwT AKbcRbamFYd4PoOQdVg2+SQvGRENsOM9xyW9ip4FjOKmE0o3xBQ1bpBmVlru+HtY+BXvw022BG/C iwC6Rx34kKqvsovRIJoyf1RazRqN+5dS9lm1ds2aOk74tCJ9/+BVYOKlTEPVVce36sfLxbuAXuDI EZqrDWysPyB8VFGs6sE+f63Nw+2UVaPYnelKFtOicXxHWjgVanK+ie2/nphjZGJqainv2/NJug4A 48UBk62/+Mv275JWCvhrm8lG7TrS/wW2lMw0Gn6z `protect end_protected
mit
fupolarbear/THU-Class-CO-makecomputer
src/CPU/ipcore_dir/blk_mem_gen_v7_3/simulation/addr_gen.vhd
101
4409
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_xbar_0/fifo_generator_v11_0/builtin/builtin_extdepth_v6.vhd
19
50137
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hezhI5arYh5Ll2LsYr9SKRVb8M09iAN2m4JSbciXeqmprOA6kAYKyNVYZrZl+7uJ9rCbSy2t8SS7 C18wuehlMQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iG3qoWxeKUs22C9+IygRgNw/Ob9GNJdHtLxrQAtYdMzP86eceFi53EP4Epvud6QFqZ+YCcJAJz6X BiP6+zFZ6SCjFFuXw9pefFKNSIH8+q7UF5dPb1d06lbHzIZD+3mRDkhnSZjrqT/zLAUZb/IQ1Lbm Z5oVMb2d2CoW5etMngE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lCcH3M3hshWBn3vT8V7Ds2ckpLb00IXg/NREvwDTgQ0x1n/TYrAfJvH7lJwH3QNYGbvde2S4oTtp dxVz5eb3NKybz4CG1wYBC2N8cyfQblBGlezgCm3PFTB/fb7+0CJP6o+JNkedc2s49uA9zPZB2axM QOZ+WiL1UDOqHRt1CYUPiwYxRC9z2R+kY3HwbNnbrtScHXOfjyqwc/ifFZR8DvMU1CEJYRjuFvoW cH+V2gM6YyOHMcuZuaYjA16MxseT+50plqCZJKvjkYTDhSYcuZeDAun28dPbdfRu3AO52/Kq9gTu MLy1G+7O2B+746vqe0NC8W62Tyb+rHxVnOWRgw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NvvNy4fG+VCfM9NYumsm2clZ8IZDrJQ3Wi+cnwU6WbSkr/joDlB0ZRXsdo0mhVbkhlHdY0OhRpkR 3RYDWBuljULA6BTyF1sag+KB46HFjV7grhZmVLUbBkCWRKYz0xq7bDcNxf7s4evpI4rWpbAGWyJ9 TlfOT5npzM2PM090g2k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KN7EzciqITw/PNwj48fL1Z5o1AjZa3hMKXx25N37JjIMxkR/++b3PX0LoYvLH1v4MmFRO2F2HE6o +A9StU1NJwej2oLxLD63NMJa+VjJBFCfkNayO25s8BHSFsZkhjc8mIC5S+PHU5t+p8zDOXzJvXOx j/qM+zNzxFnZOpagckJWraMSJbbFjRIGq2RuUI6DTykdz7949XyxajpE+pE2TrgIaNudJhMJkV8s PmKxeai9osJTVlAQyTdS+HOwcKIcXexlGTP+JSkiagntbBuHEhDR83LTtvkaJx0GY9b8oHB0RXsI Jp2E0CkC4MgVpkaduxkwBZ7NjlyO6dFeIGiehA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35376) `protect data_block /woyh6GJLpiwOUul1TNz4DVoF2tJq/d6R8jRy1QYhHHYUMhWROwDb8Z8HuZ1A9UehYJWQ2146G90 sGmxawsm8YvYNUVd6v7T0YYtEaCbTcGwMWUvsMPnsi+x0acBae6oHA7iCXjCClgN7+Xpgrd3hsTK bDmhpohSJ2hTEYVRU1jjkieYe2gxAw97DeiNjI9eWmeHH+G/LBkAj0DEYo3Su++WRTsKAs+9bf0i 5Q+RqZKtI4JTLUqv+JsA9t9csGY2uadHOdF4yoBMCrbUMkgZRmOimzKfx7wmIgMYe+OtyXXh/M2J D1De/Em76Ky0qEkgYLyFxDvtyF/erCwQjivqQmUlFp/gQi53TVXsEXggZB5wiH54KylVNvOLI4uA cWS2Qkt9vl6ZYzJseyCdM4PveCWsb3wl94ieTLedmzcfUmNdcAUr3GoC7Eknao3kkiy624knjWrI XxoI2/EpmI7Jk2WIwEcaObi+Obg3SDkAGNOcQA4zbK0Vp3MCR59F0xvE2nGoO4kxKe5vg0nJgx44 nO9fCRi3cy8XUrIkAbXXcPypWDq/r19aBvbIjPIOXU2qpZdZ2LNlKlYNB+h7tP0pKopYLILxo1KW MjbXaahngTWlP8zRJDI5R8dzFeK/jJUlmWRGmSXHeMm00vxXmwkoLUWUHbNEi2yychNphy20WEt3 g8Y1OjDfoG0Rw9S+xgUhCXM7dDb56Kj+IGdL4F0KeRPhf35aILlbqWe30BH7JHbXH5EVGxVOsnKr t21iAoFcXSclPglG+vMitZBb+tza5htPN6BzNwcoSmztAqMaJOthhROtN4/oS9GHuB9RU8/Ioz/d HLYbea/5F33NXNQPVeRZblrdWdhgHHBknCoL40jLnjSGdrbBlE2Uon+BrexaZOMsG2OOH4XtDtVT w7RNfIdn49ZyvrmiEOgBb1nz3a0n0Vo4dLdHJsM6ktKLh6a4azZwzlu+YE2DMkzog1mu3GRScM3D i9pIiIzxCREv0lw0v9RaTLOZz49es5cD4pbWeHPmFpV4Sa/8r4ByrwSQcSz0v8PWvZ4Bo9K9zdBT OIs9VBvw5pSORfYTnVeVfD4krQuPHh0v5lr0MiIFR6fUVRRM/QMaDz+A+Ym422pLkYvW6uTSXfUc DqTI2cnqChNiMiLp2uUHU5zD7XHZWggwCthE3HhpP4cAT18Wlrg4ncpxFroDLE2rROtqcON/ddBd HyS9bL/vIPCKiHI3aRxRW86um/+Iu+OW54bTnF31gkA6LiKfaVlC5h3VL+6KKd9UFvm5gwePugzQ D8/f3DJqNs4ZTpL9EIUSYyE68X1Y8rtACcmJlupFJwai+r1gHXjtJcsoZWS9vpls+/uZ5mc2/K8J Gn9jI93hchqElDY6CZHhdDNcVMW52AE/HMtFYCOc9SssGbYaaqz1lB+9URQp6qCvsh9uz7xNfJmr mGEuPkWkKC8m/Z9TTEWrPBcHhl7Q6f5705phwva3PDu6ZX/3pl29JS3XL+DiQH+jU9i5w5OrsNZT mS2C/TMFoUDDWx2VnahP5Tj0ufb+5Yeyw1OVaNfuLKrGzNa8bYl8u4Nsw6PVLDtvtoXgAAS2bgjB JPq7GuydHqS0UnqQ6DdRXRtGHZjiuMCST+IebXwo63eBdDQTEW9S6LcEoQTC7IR+WAon+1d0GzI2 GRZ9gAtjeCaNuPhiHPNcdJ5bEfR7IHUtV0HKeDtR/KLS5YJoZp7IU5VWRV9xinwkIZC03sO/roCD Dodxamfl9LrN3Q50ba6JY0BA5UOHjbY/xZ2zAj5vPLbTlbeVkg1G5FI22/Fa97ZZiiqXEk4ZL5Cm Mh18am7aBApHzNJsaSdGr//nVTdT5MMF6yznTv9H9CYhGQ28ZvCT1SSAWoJ7Xa7BKJLfWBaUtmE0 cTVxpD/Q2/Hx4xJTHVg5lW11XJOKNyoNuVSoWofmKIf3jVRYBHuOEKBI3ZanmraRlrZkKTxCM1AO 1VvHkboRDtfkjUY9KWOY0wcoVdvR7ZOYfV1AY2THNA4SqQ3SF9vy3z9GaoHIfYAmlMkyhvugEHpe /Qa1Xuc1bXu1WygGC2F9m2cdGWvF/v6QUczmmeL+L+tB+QHFwAFeMfiKHJLKdQrtYPj8foPftS02 lZMU7Ta/WWbSd42kCXUofqtlqIbHdy6o+Qum5GJZIci6dj3CtcmBh/lGzHli+v5xyu+nHnQBow3t 3FWVRszXlmQm/Ys+45FTaA51O3tSTVcB7D7ue8ga2fR2o7lQT6kqAcwuipXfHGVDCrXFMzEJIx4V oFJI+02FSLbhKeDWuArd+YwDIkttXFjMSkceZBrD+ODYh0/p4a//AI6LgMu7k5b5+UpMto2Jcm11 EGZnh6Dk0iuTSWd2rPt8vmFQ1J+9f8VU2sBYslzsc2aoIuAsI7SAtzRKKgukET5L+kQMyOwU5RrK 9o67PVwLzPD36pqdonYAhsHqHzyH+gHes+lH6ug33fkeWLyCegIYV7D/gwNf6YT+6p0W4j4+V4vX RoK0K2C7gv6nO79ew5tIGztdL0UvcDTF4lo2JqRzF/v3dZnLF3MzzIgY2b4Zmo3TFca0A+ArHp2A UQiPwTO9r9OLrHaxLx6LHRbA2BSuXoaAm4W4w7Uxth3UC1PTfmv9Xr33178Scij4TSK0PsYy6mqB 4xp4+GTr6I8rVSBJ1GElg188Ndwg8GfFpkuYiX7wVv9Jq7v3t77CWutRE79+XuwQeClVBf5fBU4n 7tqkFsbDkoe2Si1k68B+rAPsU4H5bFzRd4Tlv+YB6FdL3Qy4SuCShGJeJ2w/8QznqbKsRr67tHqe m1bMRLxV36TlNOvX3mmAAdDN0ZWXkrOSRRLiwB3gSjsZ063Il4SAIZzNu+gln8KfCCIA77e2PpnQ k57snmvCq5lrpLjYdEz6B0uUw/DczsejlUzigqVhB+3hSHahQYP8xy0Cu18DEOysjystYhk3pvuf ra4JuxaSLqgH/f5RFEZA4rQNbTFmkWloXuMk2zULOP17ylPfZIjIEF9OSsrTAS74KeEg3s6oXfxH J432XRNCgdZBNOLaWKlbp0uKFDqHMuqPPzAoNDlhx6zQ99wig0aLV0AqyraTCc95f1xmPTfGj0Ox FLsUbQGCuK5rTuBx51u9MpVUUyNQDW52Y4aPteBw6YQkwS8qEqmWwJtqhqaa9a4AE2WGQsNcTXk3 w/zW+nMiMUwEuXp/ztZgZtKlAem7gJi/mGQsVGoGOt8DcY7Hqijn5NK0RfXSNpiZlfjCzSsqCTsi gRfQQEc2vaxjbG+QaSPpxsuR+sTO8Qxw3m7Sdn6htAUuOcC3jcTOMz5ZMycO/eYi3+hjgurK5x/z 5QSnScYX+cQdUTuB6ZGj+Z1NY1RwoXKTp9/ISmrn1cCQTRJ1dRhtz7yX30soEgMrMyFcEKCQhs/0 dNtGoUzRFxsY8YG7DDzF4cD4S6J1BMrQyBflIswjrFxNiJcP/Bfe/Q4bJ5Mq4zhBVqwSyT37sTvs GqKMl+oTVxViejFvdoNz8J66391edE9hziAnJX+4stIdzCgrhVCY4WWw922xSExhDoLtP9dXYXOH 1LdX5JkeHZ2Dz1PCZ1bro3ZEU9od0niYz1UOFIaqoE9hkTwo4LFcBatHBg8ThnNGJmYdMRTA5Dmn KqR5tqWxjJcMX4jiXTBMwwNQkzv1IMiuth8UsoMG8Uzrxf7xNQcidBaSRgbaTwD64JSV+bnzl0Vx N4XqyqFSozGzoqyUCF5ma0vd+Eyb7oAZwM9ff2gpnkFbEXY1/VZQQpGM3LrYUho7hlvt5WVoBliE GTiscVtXC1s6FE2ht6vipKGmB0mGZ4mIWnu/a2XMOAo86iirmWaedQMjjWQO2nvBPYwGPKTyIudX DRBRugVUp2q6Hycgyn7sD1/L2guqlrrzgjib0JvZis+OYh1slMu7aMOxnrnt6cGhp+0sHJI5kA3i xP0MUgQoHgOMDG8czEHn5tqaDfFm4tI3BHVnxcV9bamSez8aBtlFKesYTn/GkEURuotQwdqt7HBy PHpW5W5pimUOCnpyt7NYRNVl4rvumjqSm8rc9pnSb8tYe/ehIAHvc2DNOyNuSwRuiMt2kPJOElib FJLu4Cd06dZuebBFZZKr97WEsW1Wl9xaP5bQ1dCFdqxUvkhu6GHzUu3l47aHuGSYyLkN4Kdhn5ix gBY4WbDx+7ZQbWbS2KrgcjLNq1mkZbQhITQvBCUV9RurhqksTisL3b3f2nPHiQuPZEHCnpEvP7SE 5KLZ0aZ/Cc8TD/soSestbdxLwEliA3ZUOjJD1ZpbHf8mfQ4ze6D1bU9iGhCn7cGagdcBrTt/ig/Q xB1avnT17DNsv/Pyt73y+t0mXNp3qfC+Sp2u7J78iySB+vKP65cbMzHjyEHib2u9OB6TJHtBJbt8 dHjSeELf8hDHfkzFdxY+sgDbw9d82uZoiGbD8VolFvUklBRKgla433ap1bHdwIve1/ZQkPmEROaK cEbmj4A8hqZXcVLwxb4YCKna9BAH6H5SSSrnZ+GWGNrArImlEROVIv4Ukbt493hagfcDe66vdJC6 lhT2x1TczMwXNKVXv8xTYk578F1TF/KPr0XS3ikI/zWlH8NXcuoWlO3CibBFDg7+DifY4FA3400R 7V4+qA5vItKy8j+7h5ojMIEU4PJB9iUph3vyljoc5hhYzFBCftIBgLlDutlfhnrFOPLW5urReXmv bIBLds3Tnqs3/F2WvX96zLT5OOVk0Ma2bgeLYXNz+vAF64cOIA/VdHEMxj3xnODR1mk+rPPHsfma iyfh/izsDpsB9pFxUPx4eqvcIi41cTWPY+EBkyE/wLEZNZOdFm8QuJjfbLO15GII6utvj6Ieogwe LbmeWJajdQRLHiSXM1dmgu0Hd+ocVBV4D8KbKECjaXeAxnCCsKhyJVUDw3E56te97301GF0vYr/m /NswrjvvWBu+l13clWAA1lanYAnOt0SsWhZFs92611LnE7hMLiU4uwPPXTfPZ0oe4VEFBiVb2sne lEBkWmP00u/YbYyyDZlRoffmUbTCVP6B5nUR97Waw7SE4gGzcIFsdjbBRL17xV8t8IQ/3jmXj4Yh //XnbCsvPVsC2o+MugLxY2+Kchzy/JeqCL1ycwuXxUjleWd+q3bw6RIkF3n3Nyi7pd29Qqo4hmoy Q7SjpU8KLKxzzTSb6vbtFw4KUVvfwZkNw+BFjZ9hjZ6qEJcmGHS6w/jZQtqCvkCefowGE/Mb0h0O +PcS0XphJw4ISjcc++/wrVat7AQjE+pRVcC1N/42Ln3jD08/uS2kSWQmdLEphCq3b5ZtpoxSjDTD VoW2DO0db3xlxinOhiT9N6YI+d0/SsQOPQHwjmylTK9JVQu0uTa2xiy3r9FJ46p/fYftUZbbVw/g 0evK4uJwWApwUlcdhVEkWuJj+RXBqQemPdSZrzwHsB/jKemAQggKi9cxJH3JKnffROJ8EvEyrrO4 goyddjzyBNQtye0GUCrS5m7C+F6ymlQv5KE72kl3/lJtYtG02DjIGi29Lkw+hOiBTCpqasRH0ZPE qgZxFv/YSCVwARamM7YYIRtUGleDIjFgAGkUmmKGjX6RLw3v49uxrRVfBAGuigl9CPe6HGujcvXj 6UintTwLSgLyPExZS4vEI/dwQUMCjuu+Dxsy4yLWoFQAi46HIahzksns2wpPwCV2fi8DG/8egRXe AVnxz9gDVDbUOJ4OX8cEoZa/eQjTYzVHgtx8ZksKyD/ftgf4TBf6pQaAHo78GfT304vXEcNNPLVU aU7P5Nuxt81SYKJY21P+iXDZHKOPEdmP88uHBsI4Q2qdXHb73/VZIv4p7Lch1B5zT07fnsRpTnSg 71mOHLfSKsfBoFq/wBr8E1e9QuyCI7qMVeP1aHPmkqs6Ys2uwszRt05S3uVQajB1e/QYUEAU5vGQ pUiZjizt7Ve3XI/E5u63dAKhBcWsxC+zjMAAoyLAX801ls0pxy3MP+XROb6ACjorhfy5Pn0w/pJ6 Td+jrlXUOmPOaOyLqcriqw2Z7AbIlw0FLgfwKg94lVntoMhBZS3CYl0My7PppsQBaFhcLvqeEzQ9 dIcFrmzmmQtPsm2neu1Ou+vje1HnSN7vGihgYDwAovitLyMEecfQ/dN8ck98bP+fqVdbszIORL72 bM4+J6872gFfaymvAmh3fTR3vWgyAfNohBqKzzDhkqCQ6HYqqRK169GZ31FQvcOQg3vRWvZ68vkI OBlrwoU/0ZdEwBt1x9d/KrMvOT84QAuIZEoh9dh4ZrVRyW9QCpq5pRydOIzZqC/alNXxE40Zq7a2 itkEjrkCdvZBTW5j+eS2AYeRuwFT2AtuMTLFqlwgE1j6q8l1jGjWJisq4fGFpDOPQNYrFaFHasEQ c6W3THOCPR4Vkke21tYqHryxsn2gJ/vkUBk3OymACrsOts6XFDir8PAVAz00JwHZi2VwhRUnpCJq 3WZw+5vjktzcazWYWTAgmBZMQ9seAeqZp81G1frSfnq6IPQJ+/y3/Vv8fh06gUhfSgK0CLgjMFrT EVSLyoLRbdig1CAR47Y6HVfaG0vcm66Fwt9n9c9fTfaWodmMb0QU2Snz3glx+sDBbpeR+l0edgfH 0gF6c/MsWqtylvuo6GxQZa9lJlPVQBnL8nJK7XAnMH88xSFzrMPaETMw8bVxSrHbT0Q4bSYRBUw3 fvZ4wDJLMhmUTKTiHrmfUA6pJNaXepcTtaDBuW+LfcgQM+m/kkwb0WJ1hcjdFqrOBR5Ma2JVLEyX eBQHJSTJitSNTfkTF6Wqyg2LokKaPhu0YyFKZ9T8gA9waD2rhO2hn62o0Kmh6OL26DXcs7KeskcK nnYxH45j7HczKPZ7VZVERvGPULxdOqHp8CcTO80oEod0nsllWEc1ihikNs2PP9LtEFdiBtXN4urV ckorhh5anrtwb2HrKJEY7Am0rOxJ9Cmlo22Ym0WI7P+SbGUaZ4TqCO0sXdHr/I1aKTqS8SD4yse0 8IbmkGqjBReFTCxCLiEWTrPN/bBFZoEZjp70zjNv9NevmS2E2ur6VmAqlrIPEtox+dCtDaPAStoq ZG/FRFlsHw2FFdCmJ1/8+fs3zXCSliAdJwvME8XrchbpzGAicWGbjTJyk6j9hhm5Ymj0oRBDA4RS bcB4eaGXtCkaYATI6Puqp4QOws9iex5fO2FvruR/FsrPQWFuRlbC4BQEI1JE/yGwwhmpi9fguNBz qQxZFZNO8qdeaDrkAdfwPnPGpiYScIfRLjvnGEDioFsFiz7pSyC9ciZMInuyvMGrAAor8A4pD77I p8D4dznYtvZdNKneZbWFwAiYzTApnYbGUylyCW2BWIBKsuhpTTihCKr76v/gn7RUZxanBXt1BYlU Ksijn+65D6QyTWhUS6jeIm6UDnytu/xLVIo+Cmj6tDMHOU+FBY10rH45nuFzcm1ocV9MpDctottF HuTDKahe6Ozct6GKkSp2oUTfBE2vVo0tdcz3y6MVVp57wOOG1OhbisMNkC6+3qFx7hZWyvGYVi6L 7DEq5lTFqT7Y56waTzjH0XTrSoYS3PKsxHNZ90ntYK4sum+SWsgVBZpvsMGIdIrLxvCqUvWYWvVH /BTSe43LdttccbUVZRTXE2Lt8SgsEzkLqGmUx7Hbc38jfBVLHGr4mh9hZMfUS72RIin0kWD9637g vkD6OH6n2cNZxEGIhRYdqdXz94FRl+Ln4aOtkd2cYyGE/F67QQ2gIio+dUi1ni4zmqjTkueLPQxU hLXYrr/osHrUA7JxUhw6ZKIbwnW8m6uFjUDqmMK+IcOiCmc3Q9LJY+TnvuJG01nQdZMHOOy5TprK o3aoGn3jbsg/UJvLzgI+sVC0atHDGcdr1Q3SDMfl3sLLHMHzon0pvAcnwEpe666VYUMnsycijAEr 3TmWVfL7G37QP2B5uBtQsfiEFn23klb7bVkstSK8QEWXrEI4hqvQkSzyUPBz0MUb9rC/qSqb5AwC rPA2GExzByoTkygcyOF0Fp/X6TeGVGnryu26fJ1Hx+aScqx2GdIGZf198UE9x88/x9wBasgbqkB4 sZP49BJnt3YYnXu2VtHi25ohXOeQH9IeyXlZNN75NoAh1a5j0troyagJv42tIYyeLpovG8sqiqcI j3hHA2Hetb6BWqVFCDwJQruwq9z50VmC2C2SX4q2DOLVYOEE9JaRpZbPrMBn3LpLA5GKhpD46zlY 2VwRj6tMf/orqsQJkdxvQRkeAGyb1tvIa3XJVHf2prKN12Urdl0Uqk2QoHG0LoJRqBuTYcm8KJXa AVUQfVnnav0HwDJgz1WFYSv6mA6btXg/Dh1qDVabwFTcm852b8v1UgwQCzFd/VTET5I6eRQTNDEe LacGo5hj9rbN1inoOFCRoTN3ykBpZfMfROobiaLAUXepgTI6m+E8wStQW7BCWaEbCstDcd+BYIo3 Db3SvT4L0qPxOANkzbtg1knPU41tKLg3phA+iQ+aJV+HMmfABYHquyolYvbRBr/pAeOe0WaPBLXu GK3fI5A8nvq0O/1JRC42CUAk19leAAKkI5M6NzEUqDjHWVzCjwMCgewIMql9lTYmwlkLeWVM11uA ReR+/WixaATpjNrJLYTBQnuGjaVcbaRoxWsBENDYgLlhkJeihtsS6mb4O64swXE0oP4r/uTjquIn uPcr6EO/gduxH3HfkNjUqmfwHuj1d8YHnA2DECBk+LgoGmo6vyq+sUrDEyJZgmXUPFlWgZDdeo9w Ae4jH6uk81l8xVV6q2WmJyXylMXvnwYMSihRuiTJdZqTvnaKH27tWdl4o00WwbcIeQ9muM5mJgOo lfKqrjk4fFNR7CHSgZRXp1bd/08zGp7B7L4clbcPBjNVBHcuCSLE14mmzBjm28WqnwwkDxsBUvTA WDzlaZtyWa5lI7jzS5qwGwoOMx0/tBL/q0zMD+xU2WITJBOE4P/7vff4bWCPp8iV+cYU3dYWoRKe 17taX27sRsr3na+VQeK8EE2UE1V4nRuG5WOQLLtu2z4UM5Y58DI3KPq+0WyWzhYGQeXh58HpQOCp 7YheiKmaVKx0UbFEb5ow6WltcVQwWrrSFzFlO5DEShNKIaMI46JWbM+c4ucz333xPccfhqsRZr/F 9RTLJke82Z/UbcZu4OAnGvey7lRFmqU3M7H/yU02xscfoGXK932ArFVER62/O8ogLU+kNF26nFAL V95sde8NgV/LBJMYfiT6osdaSI2dkrtIXTBzZxP2v0BT326p7WfmMdI7tGojxkjtECl8ockFhBLY x7uT+VXnHP+5IOzcJ5vuZHFKhmgaOrYzpMNi2Sd1RoNuc2lYt9MNOz/CRHVZ/x20IoyFLUXgScGz CF//a8fl5bgNZPpJ/gZeyKwiYP+Zju7EAC2A0wQKym5dqbmX2BhiN88htwVzk4ofRogmj7Yw39fg yMEZ1/nGGhwdvXlooZcp+zXtPEICYbwLj4IgjIIedbMwV9GNQVbd+IuG6nPgKE2GBFCODrlmRh/x nW5z57tOaBggOzYhSxw2qfF47T4t243m3IAIBx1ycLYWUibUfOgB6hRquE/5Km1XZCCgy+cb8cs6 BC3GUDByVY7ur/GBPk9uof1R7ga+Z+C5nFwV17LN1OM1OnLTpnUVDo0+5SNNMVhZDOFE0rVJw4QN f3EUidmHQ2fFMIpfB0LgxMg5r91kFqY00d6Sia89JIdOj7VArf7jXwp8ni3hbex8a8+51NP2M260 FmAXRkOI2uamWt/l2huCeXOeHGx/+QZSz5HglDf6Vx7EQVPHZZ6wqCLRDxZwsqgtzWwQRa0xF8dn lzX7+BITCZMhqkl69O6YXNd9r6wKrZF+23obVdVOwkTwLacKKcn5GWEJ/F5hQagUBFzXQykgKYgw 75smryGjou1SVTv4mR5q005ioz6HTiJO+Is29jXZb3tH+YaRRNqFoUS2oNtO60h1yROZBTvUZVUf 6FwfzAr/wYigXz6hjf1C4ipChRQzARloXfu2ejffyOQGVKSbtJCugAEtIG34uS2lqskusLBM5N75 4B1OBE1BrZC8QZP9fQ+0ZrFSKMLqfSVTimemZFMV1EuztOO5HM8z5d+7mxrzxXgNyqDCLl5wyA4K UcexG8Ly7HVoZQyAO1AxQCsQPrUj/z+LLx2EmF8SmOj6QhaYIAYCeQJCs6u8rrFsU3T4SvlWVMGa NH7iECOko3lmw5NaYJjpJlt1+5Qxe1tW8hyf/dM8zG1n8o39sk2mqXFJovhQGqoEO3Mvyk4pFA26 mOOFcPHpk4343KA2nmuh6psfR7sybQwHnCUCYCYCg8UJBf7Zx7xMEJ1MoV1HQ8ccuj4ypilO1avF tWIQrUGMNPt/elkjRpMefuwGHYdOzyQaviMdU6hlB6HZEK3voSF7ZS+hdE8lEZa/rkOMPZSii3K+ ZWviJXvaVWYHidEyv6Ot8sIlf8Y9j8O831UjsEWSXwzoANdVei/CXmt2W7UCj/pu3CEN0CX88THO prfMveVzJKAGM6J+4ALdN6CuU8iJdAuJk3Vny0xK8hKYhcQfHYBLeqxQ0WurXFc0Q/zxqkDMT92t p9/48RUqYADe348SQPbAGSV7E4eY6n32N70NqHjcsZt8UBof9xEtp5uVsYLiANVPVKpEKRLMpAmc S9O/ZXlfXHJbxJXP6b8JxguqjyvpXNL2QX4Ux3Z4HWHrGhtmkWd4N4VanLEAIc7KvvxfAScjpJCo t73fdoLnOIypKpL3nfwxYb2EBCiGA3A3ivg1CfOpyRCu8pPViGoAzT/11n5TYxynOZqS4k2VBA+L zrfD5Lsuscy5mjGpa5Ycact+pu6rOZcJs0HVjndUkl0k9SnEUJvG6kAvAZ6FqnxBpfCpOqYqEvLc cxQLwQ6EHVXq5+yBm9i+cZU715XFV2AGkCW1ODdgR98BMM1a7m7pNRDv44C/jtT6sP9BaJ5ivbiM 6dt8rqurDm4Q9vPIy0+f+1rT9HNs128X8m50uIRQu1flkuvHd+H+ZJe297WwBwqSjEFkPgoVuJ3+ wj+l/eP+Mr9CyWW7AGtjhjhrZkeZwpa1LOPRkM73Mx45nUQWYyUw7HK/6c0iHCrtRKSse3pGesW7 +c3ka0ccq+f8Dgxc+CHmiGm48WxCndQ+T6RsyR2o8CKkOnOLKE3BnlRk+lhf7XxZ5DF426hxeAmh mbI8qJh43dG+CwIkDiQtt+FKIJZJLEu4oUa72vLdK//JLMJTbAsnJvVfB7vhMc1tu2XspWx6vbB8 77dx9S5Yi8NC574YUjcIFKWYODc+NMOmF5V0t/GbsvF0gXKF/qxMRewqw+QGVagVSIV0VE7pHYij 93gTqdhCmoXQ8LQqEsse47ZJOYtOfI6hGYj38l+VyxketxoHsCA8JeDQlB+UwT0kBqZbeRy0cBwR TnwvkglRBExFESoPLQ6z5aPoGMsa89enyic2NFpojJj3zGsOUj9r0hJrZX9+3AYMf+F+8JuzJfd6 GHtA56VICYm3w7Xg/4gofreZ8JUgD/bdc1Aagw4Xw0AVAt+iFohbJQchPwMoAvutIHeNlTw21yZB 6nnvRJUfZHOadLiUngnjRArsyqbKRyd/glba4Xa9if5y4vnyL+mP2O/qp1oPyVQYSJlWIIG5+5Yf DuuDoANI+8a8OF9ql6Z8aScwuhQgXanikFcbu4xn/4W0XxtISe0cqdla91OOhZD7DUy9tHLrgZET +FIXC6yTgm2e/RB3uzamDW5BX4zuuK5Cx63XcmyuFX/t/x2b+c1SDsA4gRfdaTDFSxDRvzmbLqsm S4FjLXAW5tu6jhagnWt6pjT1ajAVcGd6Q9CuOaVDj/sSwPDim4iaGGkupQwWUQUQfKQXI6tEFDHE 3I8J2oLyIDCf7j+awKvGeUg5mgvVDAXtC9tBJEd78RL2bwJZ04KI4oXNbbphvl8iOeHGa+61rwzk R21vVEdXe7GemqF5pl5xUuvxUNE0Kn1pXZgaIMFPYPj3R5yiDAg2DkwVog6hr/971YLJ494SCnZb 8ieF8b2WBKStYMyHKPXTvzIVvRyp3yZbh1/Qi4rteE7qBDPfYQiG/+DaUsn7FFhsnXzzffM0SqIu 0pt2HSkl1GPuC3t/bK18yQYV2CTOXCop1SjeC+LObFtIJiKeFs8iBzMIS2C563mpQsbL7wbk/VMc r8mY1HW/0P2eTtZANqGY+Cy2iwOfywZUlTWdG5fimZjg5mlN38c5IcPoBU56IW3CokzAgB+C/sLQ 6AANfxPRU4KrK84EDHL26i7FkeHIct4bd6nmkcGLRu4zGVGXbhD6aCQECNByDMHwzsL/vExmxJ5n 19nUn2Mua2BSYiKOTqWHEg/JyRAx34GGJp9KMisrWq4g45n5edkg8Od8gRwQ2b40yhGyxs30gDLg +eWoJJ/mlMXxhaVz6u+qaoDdbUIFcSNXXJDRSd73gFsiL88t6JPO2WXr+Jyg8d5zuqTOu8T/vDGT brk7kvOGY0MeHqbuahIDCkg0/traRgZ5pviQ5b4xh+4uWgV6c7feuXMAz8OXIWPbUxiujd1ZBdTg VMEXf1utnh63DeblFX7maksD8NIm0y01lW9F6x7WLQb1rE+qA+gRkn4P9MLcI/IHEtKFk1x0OV8t 2tnNcTWMkqYpQQ0Vezn0PMWmVvdZVcK+TrR9y8Vtp+6cykvZgjsHJfCeMwPq9ALpEUnZbm4Blbx+ 3nTaa9udZ4aETwMqty9OvZ0yLPN1dXTcpQ5/liLEXqXh3MzWhvieEkJzrawqKlbCpKqjsnmWy9cG PIaxG2UxnYTA8syXOQOGmKdTfmAwpBthl9hUXf9eY1wAd6sBaEtheDvCxMe5XAj8wr2N8udBPhwJ wsLNF4bPi4T8SrnwFnXWoijYb+oFgwIxXNEsfBalD1qIfuq0PWLFNH5xyvPcJ4GxDN1axfjUtZAR RkirC7yreG+3YVqFQuqr7zK9Sqt4zUzBReqvjmu9XzFW9uWBI1idrgZvq3OMdU6JZdCu9JBwSsc5 U0J7GlDaZKveFGCpDo/POjAaAw2Hxyr2olLN5DRXw7uO73T1iQoAEkA53p1tsJCcU04xjS/208o+ PPc+sIMTyzxOw5wnLn0V9xk3KRznkeaVe7MvF+T2B5nbWC9Gaga0h8fCzbYR9x9+WsEzoaL86ORE F30l1ql+zgi1aoMsS/niY7X8cE+TN14JehW3LkKDedxolnHG35DoqVtHO9Dt2ZNr1OIpa9X/T6EH YzlJey2XqrNDeJr1enACfKrrnkcAwQFXqHpQaPCtlmx1TcQXAlZKPBbwhcVgsQ0pfzVP+tCleHXL d1Laz7kdLIMrqfPGe2V/fnGVYd+Rj8O9TWFlsFFVNuCHu38KBu8uoAZ73+CKgZkhGXW/ADUKID4q qeHyBU/3veVX0dJF4u7ys/uOJxULF4vBUBPaoqxW1OV6oF5aZhII5UFlxJApov3GfvNmDbSizCsU V9+mB4eFi0IHRKdYKSQZ5XvpVCyl63xSw39dTogwjN/j5jZH0oGSS2BD382x8SV/2qnohG9wKDXk 6MR9WNuGBOxADaQYIV4zHohLts6wYZG3bax6Dh60+0SaaWvTrradilTp3ZiNAqJsGiS8oQxAWGCv noP31nXhNa4/gjAnXRZ0xN733zEuB7x3g3AB/XpXE0JvOspAUkrPzUyvz12tIA7vbBTYqXzUgnJN eI7gJvGxxOTgPd4iJ3We/woZq4+9PVZ+CoadBKjgBRk77FqXPK3sWfHHE9O7K1J/VOm9a1S1C7Kh xYop4V7PEOAmfE8zdF2eEDLS9i+cPYIZfu5YfkvF7459QZf5S6L6qrPdBwjQAcYfZCj+wssB42pD Xik5sSIlHUbynzCNekIlhmR/FSwfPojjzkp/OeO8jvoqJO5S5nqshoAbf6RN+0kG0KobpdEI0EnP uWMUDkHj6UAFab4riMpga4abhyLZxezRbQjbe/ihYNf5hGe+H14fYz24EPdVrfcCCt2RbcTSy3Fu 5zG6U1M0nxy5o090BPE7Htj3vVW1CcyjJ5i/YColo5kIXGDExJ80fqJixuLmGSd8bykI6E59qzLw WTM+nc+WJ2O63au6lAgILR5igRko99Pt+BX8H+NGElDsyn7mpX1kDuACpaaJHBumhKAOEoWsQoSe yM+POI+oeMtxx9+n+5ezq7DEBk6IIDd/IJWQ/7U/X/gLphlj9qtuXaUKyRdK78PNPRpzcONKwHce D8nZ7lS+VsqGQxgVwd0yQF/vW+sGSc0QodWXjh2utjWUmaJnN5/2O9cJATbgn2IMPFhOU5Soz7/b ekAjdqPGOFMb/kXXOEOj334aIH4TwmfS1Wafcx+37PE7wna/9v4o4wkub3OHpUgplU0nZsMjjjoe 4NOeXlkKeVanvxuRZa8ZBk541rcB5f6mXm7WgjZyPKHAa66YID0aaifD7CJmjdKw+e8yQmfyvkBE yhl037/4nQl8S/fJo1BDvv9pPq/Wz7XL7F2kqw/U781LOPTpJUU2PSGdo4afopS1GrUHAFsiRyjU GgCj+FkPE1chE7ypWWHpxJLBHiWMlhsdbt68LXpJb/8fmJmNf+bhyA6XJFt8rTsp/53lcQyvvXDM h+rINkvF6RYrFW8umYmM1XE3IfvrRwVSJgXxcDUNRgu4hQ/eNEGlS2jb0pkU/rU5w0NRGRiVS6xC i9KTq9ffaffxIceJsLbteCZTNI+BkrNEmTQidNIX29hyX1q7RymGO+VMZpxb5N9XbWKJXQPy9Itm 5yNMQntM7JPLrSJSYQ0/tcLK7n9iGAK3Di2muOfG+bjp4/O7N6kD8tQ3Ia4gcmS9BKUDUpyZlwlg wPK4iPdTCKq98HjHagKdpMq5cNviD3IxwdUxPDQcg0qjsh4OT+O+CHQmI6z2MowoKIe7gXXVQarp E/QwPUYDQ2fyXf+Nm9aZHBJFtncE09BHJu9P7DWyl3H+R0tq00se/k1nmE7wvInkuZjY2JerHnQn 2HyHkKb5cjmbwD//EyufDfTagsrzkck5m3MpUD95DW6XXTORkt0rd1173JDLvTPQpXJ8XR1asl/N opUhP2ltEjj+3rlaVPPr7nyLArSXoYxnNoOFG5yKlvKmWoH43Ofdye9b3/P+c7VqKgTw4+t2GLl3 bBaE0rr33+Iv+sa8qr8f+QfvW7XlSBnu5r9tl7pnjyY6lfMfA+mAG7d6vAW1/A8RyXYoMr5QM+10 UBxhlO48QqMIeJQusHNHhQUi2PaiqAqz8VlDDKMxfDDox4311Y0nuFTX3oaRq4jPVulH1Mmlo5Hy XUF/+Km3dI3/5WW4zI/5MQYnvle8CGXvCLPQ0eWzBGGqeGn51PpHQ93XhoMDDDSBCSQgqj7xc3vI eWd1VXveiGxWgXR/87yjp6Q7UhgYiEHXg9JMkOBCF+A3JGlEWKn2swVzyRMDmOBqOORsJDYPwZ2P cAU54CUSOScMFtx+6ieDKu/K5I//jtLS2eXxrCV76FC9EJsnSf7/9HBCm44RLEB5ANyQYfnn5Qwb qDarBiKOfiakPk2AzW6A4VxWSMPkLndDAsmMOWD69+p/aHUV0zS1ruuZT1YDsbgv5fKFY2oCT0VF GQLXCJh0Rn3Q/0OPOblzTi/nLRCkmT7/vqdIj3Zw34/1l+/reT9v/9chhK8xH9u4i3z/JZJ5Fcfd muNX5LzoVm4fhbpCkwr9jRcwYgOuPC0d8BrW4WZGGx6ZIxkcAaZugGLaQ8P/dGnpCCrD9oUdI9sc azYD8sUf3daY6uJc92qpUK6w3A8RpfQMELXgo4wR3p2D5Q94LHlLiDETUb33A+e01MRewrqe2wGq MfzbvJaJQOcj9HRvnA1hLotLPCmEbZPL6MHOwEvactCG8yqg/0sXc4I1egEImgOtfrvXRtvADSta Gziin9ZFAxtnshHvVZlpdstgUeH0E778e/QV/mcmWP+pHzJ9mOrnVCYkQTIlcBtNh1j48+433hid b7o+bPyEinJKTnNTRixtduRLZogOL2csMf1GeLPhn5rkEzm1V74ge/S/igYZQGK1u66stv1zkQRn uwQSdXcURH7Xe2VxkNSu1YF13NTrVnwIhGscieeFWlgd9VWhZo+9WXWyfb4xSWqGXI09P/HE/8Lz tl4Gw/wZ+sZcAN4aRYtaM1nqULPess5K9MVvuLwJolPEJmkYv+Api/eoglyuShxTc4UvW8rjdA8L D6qV0rsEcJSPnIU3V6iiq1wbyuqVSJcudM7P5I73wGx+VSUp7sNwKID2rUBJR+gnKdSOaa1+p425 cNEnSO9lrcJGkp5NBd5aIDzVAKuhob6eKJjudZd3cYSQ6Psrz6jXlT9UoESky+VloDGnjiF+cpMo D+RRyXQIqH8j5GhvAQZG1MlgXzZ7Rvq879+5NidYYJwbfKxzOoRE3rn3uXTQQJohDdH/Cw01y0z2 VpN1lEuUmeZm1sKAFRIMUiGz40WFGy28mwAm8xHRaGn9+IB6Mm/VarJMDNOuZ+GT4xHf7lSHxJDc OZdwLEbNmNQPimR1b4bjiN5/7MsD9ilgJrozzg3aSk9cH8tJAgCtY23kDL8Gcg1QW6QoaG8tBCPn vsApHqvp8z7vzluKdfxxlvMW9kgoaL+eQQHg3xuqF88ROFoU5Y0D0G2ZFilleEJqvCHUsYIPEr4I VmKsowibjJCyIR8zr39MwkWlfS8PD31yXyv6ZnzpZFNwtOpW2EZnjxupLjqPuLEAdoavTB6X8bJQ R1GlNpFjOUuBDwmdsLa9S7s8MdUcqA+e0OLNlW6h/Nk9AxuKRfauAwudVjHISah40HpL2MBY7g6b RbPPEJkiSLvsrS9TBzeHIwq9Cr4ZDlLiYeeEYqE99djGYRyNYG9TVwiPFO+8qeK+7AhDKWxqj9xr n0reJSMkhf0ZbosWbk9BVrh8xOigWPXQTAUpnVkwasM+a5QkyZvmDn+rlqXQ2fZvpJKUHGPu/F/e tZHcpbJnkd2bo9LH1BLpPEcgTOcXUpoDcR+j9rTieCrprvzPmza69GT7vpolQpa7lLncXKXHxpgy 21A64a6dU8GEexxdg2s9bd4tT8pmEpM4TEkOqdyIu9Kv4Opuf4ApodamktdgBWsMaeIzortGwwYA weJADn+siXTnAhw8jWk/JuW8ayH1n4SDeXmMxCWs1nJ0ML5cAqO6gB0UdQWanNhpAgzr1in7xEbD jg1dSG0uY6GnDIhJvMXootTdMpSGwH5RR2uBcnJq7EzOK0x6qlK2P1WR0VFhajRZASbN54b+nsRq ryCD4QbwokRUJqQ1MbS7We/CMrwjFOCx0wrI9KYaLWjvSlDdCZkuB7WQThGkxFpIfAp0zkG4eHc9 eX7qHhM1yc5Q4RMphOO1JYRHHPQXfzPintpk0/Az9YhsEJZTZh8F3GR35KmRXwAg6btq80YCoMFs Nb2vOVUxy0YXVuFuNS2gATeBNBP62uU9ehNA6sKrmnRtu318i+B/3CdxAa/6IVgKUfDpi+3MVZZ3 NDgi4d6fXCNorRx/2+wAOPTVEOSa8iQXr43lZxXp80oDxdA6u57vX5mHPjQaDFk91Xnk+wag/yyb Xkxe7y/0F0kmjPMBULUetx57I4BlkE5X8Sb3sSBqi9+q4ZjaU2aoPDN5yB98175jshAkevQdE7K7 Jv4aF5q8hVBttdJpxCPbElfwes46bo/vN1hwoO4nlQ3UGIVMjK59oDlUsQ1NqQGa01TzJyVTighV sZcsr7I2wpLU/zIbeOvpUCFbeWzkkB9qPvz0K0rNa23jVdhTY+xwtKKVOUdHReLL+B+z9bVI2gND WtSeTOVrHcUKK9kts3lbIwVzYu4sB/cJnSMQA6t5lyqHfy2bcA2fa2M5/6gZZR2LYCcNbihAxllJ w/oBl/FMazGxhRqSm/AeOw1GX1QhdG/XOfYInRt6R3jt48PdkYURlG+j1RxYmp9BRDOHTCgrHSH0 b8xGRg2hkvd/ks33ivsRtlsqbrZ5AcWhS5S1qiEl6RfOFNGlCMydWHMXpq0y7nNkhlSjh9BFgXQO fp9eF5AqsfL31ckvgtxb3D2EnhFmjMgdFEW8tBwvUFQfrTbO/fQgCmMN2Oh+v377GplbdEdis1L8 Bepc6QYZwukTTvK9WTjvnapMFpFYDzm77jRTClDPoiv9FuRpVbR6rH5g+pVmRS2t9cLJs46qKCqM /Zcnr5HWHJbgWEw7E0p8WYxf9Chn6NqZdvncZDYBEt652Enqg1sUe0nlRTbR0EQSkuWid74xoIs4 TciNblW27Pn5JLxO77KxoXuFjZsXwac7K7NFsfxvZ3jGVyvIZvh2nGYmNqOmSWvrLxDHdl6bj/eP IU4oVpxM5R5Ox2zsVktf1sHfUqNiE+9g0ggHZmiSahcNBjTHpj43Y1TiVx0iuZ8EjunWZFUzYSlI 8hTjnRELEmLDDg2H58Ug3gDOx181tDCZITDNVB7lOeFmyao4X/pEsxhe4IUny4/LDNWJOlZ5wHWi Kg2WlfD68ygdSxhsO6I3gP9/g/xgFSEXD6tWshX46xvik8xwmqVovwBSN279nFWyOLCGQ7lF6J9+ W44MAPvKG8FPPjP+Y+pfPSdKJS2R7+EZmrJdeuGlEfM/+plC+VcmvGbGfDZJDpsgKNkOb+ZvCav7 WnrMF23xPqtQzC99BotKmPtZTGfiviu4myN+4ooqPK2qFGZIGuTO71aZTRFnBflu54nErs3fmJUl bTQscZZc4lXGRoccd1ChOuaeeFxKarIFljPvHIqeTUi9EJDhgqbGw2MkTV0B1beOm90Gw1xBatGC Tjmqd+4i+gwIduz+SJHw22A4q2LOjlwD3MUdqJj3FOQ88v22RLvPL3aO+qGS5cO+ZA18m/L20PVE VBGxt9a2HzLG0xNn2q14qLbZPKpWz5GJNuiSyHjnjtKZnpvug8OwOscmpFRPT86g8ZEiW+s/tsxF ib+ASA4FX1Lm6dMIgkcMO94Jr1zwni31OoDxRvAdBbojQ7yECm3w+9PlXaFJDpEYFHEtAZHFCb/f PkFzOg2MnJ8JxD1EXaWG5eufiR8oZecz/SV6zj7NdLhYi/KYgIhLzVv4fIwh/y2Oi2xZWE498wkm 9qlUQqvNRX4wK+kVpAv5dzkKSZLgQD9qecpt8CB3lHJPO3WvCtWG2dJv/OorRMwaseHwi72fCHBk lqNL93pGznhRfU9cIOkeI/t8aAyr9Z/YDOnqKSNNKdc1RwhUkuMLAzsyp57fYXmZwXSWSQvBhIWm Nt7Wxz3X0soau2ad7uZeiNIXJUiylO9KGvLLLNUCUvkApKZxCI+HsthAmFZakgRKdAtaC/Ke9Jx9 bTtV5wnrG9vIQg4EB2qLmCP8DkqVZRj+q86XztwCsjr7s8F49fyU8Ny4KN/OSGwhRx7ZHH5G7u6G vozEEBvoGYfo4uueJdVOTOjsAsievgycOVPAtjA2X7IhqmLMvdG74wVOrHHV1pyvInCnd+kBZwMF v1zGQSCqoGonH6jc7yoaob0ouODoCKffgcituC0rYwhxbLtOZ2bS+uKOmkWVxY0VVkhWgviQDtgw +glBBg684JJmUpoGJGxd3fKEeQ2fkl5tng2b3yLvOw7F46EkHi00ysfsTf5df1jaorAsZ9Pq8tlI MOga3ob8NwFeqbwjXM1bJWQBHkA4b32pfZOyUosP4KyELogcL+L4744Z1aK/2ltUgwmmE4JqTBUs gWLcaUACFGoVSEw8FsYI9TrlHWFjHlMLWSTM26gm96oDS5dT4OMERo22W0TB9kadMDMMeHM+X7W0 XRGZkHfo3in/UCxwg2NGILkNXWeChd73e/j5ZysK2VakG/Vx0bvrLUQzObY0DSQUmSx1N5t0KXO1 8p/TMcAvcfxepbgoqZ91QoyKCJzgF5pdCsDd+w2X8H7KAUizim3nGIKEIZxIrrto7AxWE0kQkG/m 3jGqX0vrNV4N+eyMT+30vQ8b7jgV554Uv5lDX0KB3HqvvX5/4h5FFyTtpHWeU/scI3S4Z132cbYU cR1UeMS8dhNZFKXQjpIZduY9h84xm5cj4mxF/QlGROGb+Ywu952M6q25vBTtagfjWKjEhKofOYgF T3St+BzNtjmhTJFm0aNtffi0TKVcK2P7rpHuqC6g2SJ2knWjXQWv/VHc7xmvvPFO81fiocb/Zsog RY2AALpEHmp+aAZk0Y9P0S5+34ASyOOT9z4D0sbY1ivxr05kcH3fQ19DAqIb+D+QXN9qgsGtH4H0 ehHt/aVPgi+30AL5CZeaZzrPNH6cfHsJ1J9BxbkRSsS2iOMpajfDiQT5aUC3ep5ORtAfGbzamZt2 cVMlUIEuZD9ru9Z1kM0T9JffqlAZTL60OWlZL1c5JZclsWMkCXqCU82cRYn1Ih897moxrcDPhBGM bxy3PRVGORFmHr1LhVxLJmTfIhUtbIVWbuN1ZK2t89bp4u5LPkWgpFBiGen3fcStoC2Y9y3hY74X 85MhNRhMuVUChKSzmT5KhcJMArBeie/cFLhxXwVx9g7SpfuLbK5glyuPSw1FUX7VryHGK/WYPIY1 mGSxeCFpD27/1XShaxWANbYwrJ+rLIZzc7Zm+S082aEXo5iNHTqgz3MWGkGJLQCMA1eGLTprOQPy DT5AHdGDs3QxOiWlZ0QhTWGwV0xyfNkZqR8Npp0m15guffvuf5zMgO7gv4XjUbEO/7JbD5p4lh/J btH+auHDfoQ07W98nJISOvCG4j+i0OaKumnZJ1xifykdb9ou6NUtt8lOg8ZOEZ1yA6B6pV5bFarw vvRAZTFySkBIm2A9Z5cDGG1D2HE4tXw7WcwJ04eTe+kmzmf2DsoJzGrR0yVfs/kugVrymLStIfC5 cNR6n+8FKHJAfOS/3U4Gqb0i3XumzD5ZfljW8hz7F7RU7nAW/mvPj7P2VifYWnlkMnROAUW9Z+tZ NC6Mateg5aTHPNI2NY6qPY+ZHODfs29Zkgl6DrWeNdKk+mWkGXLY8Oe+toOYQ2Z4uY3gK38hlR4K N4qyPgsseEgYFmfQuNkmRsn57jEkHrpf34VtbgegyXcP7qaDkTiCGPVBbd9TKxodhgkmAmbkDBxb 2YfhIsjkWLjMZXvUlI2nQ0lbUh9Yq/VblD1XzerHY2hX0c70gCv0FH2ULymaafE3yYvTv1nGqZ2r QZ0h+PRQ2Ank01A3dJiy6CY8APrgVODRDooycbcRWejG+4XF5CtfEkJ7gMRjTTNdXf2kqSqnuzE7 JqU46c9KXLbhlMF3m8Sgsoz3E5hv2uS5Q3dN3KKTQOvvCql8/OEthuQ4aLaOzaRTWdAAY40uXanO ldaJbo0I+2xTFV3orcQ+HYClpJAslnExz1Bt8MBzIpdy0Tzr62Y4SwWfc6QmGRXYedMY/dw0vbUS 1CEUwNoh4wYWa1qFsvVTOTRef1k9NHH+CCUxYOdm2tSu6YOcNJMtBlO/NqLiqDiWG/IzAxhiJ84P 0evJb0PyTRA50jOqMJMnaCLcG+g8ItoPw0A6Qgy1O3/TxtFU3qgpBO9vN9QK2ggjQtG1eAMQ0jiz 5dCAU+EXS63hHET7fWYfcE75z3tcqm4JIe6PLeORiYYHL2dssJo+005z0qhXdsRs6B24E6RQIRaF 5n9BO23M2ueoe6983Ei2O+hsQNHpUlkvJzOPAFSl4OFIa9EJ4bMDny31A7TUgfOXwSQY0VCXMjM4 mVeYbwSspm+Er/9O5fPKFxoVIG4Z6kQcG+1f7CFaotRVzwxQTaAMu3Gu/bQsCWDc1CtLqXLbv9oL EeMDp/t6IpeG87jkAUvcBBnwjhC0e7YhfMAvr3PoRuc4xv2UJZdQNpP2EUVQEcbXfuakp1lkbd6j uOaWZeLjP4Y9LcVxnUSetqFVqbklome1SicGIW8JOSylCas4odLad/GdNAWY1QO7eIvOxt4auGDB Oj8HRbpC6xRXNaN05pyl/YpRxDPK9Da6H4/Ky08jIvhP4tzKoG0Ln9s+F4hrB3v2NlBOGvfEBaVL RxyVANPcpY7XNSL6+Z2fm2w4zH23PPK/GH9INxC2wFOftBUud7RxpV/PHrf8N5rjCPaiVhdNZAo6 me/TUe2HdwTt40tdCvtKzpVqZsOBd34zK1TpS1RcjJjkQhU3VOgaKnV9I5NOJlOHoc5KXyR5zoCY Rm4wSiZ0xVIIU9jloh/D9P8gk+K5itxo6csQxzNRlEO9p27dc6KeF9SHBkQ8LwHx4hUj2zs/yG6Z t8suK7LehApf4SI5h3LPFgpuy2opMt074Vpk5HHl62TwbgHiczdnOoNuPVMTZGNb1Z/mDS+Kf1cw dqCLzoNLkTQcnJFKH8ODlfIG087vK09MIjbBJzmjrjpAfdBImd9qjuS3PB2OkD6OrFX/DOaoxRZU eIUk5DMV/ySMyXAqlas9BGyZbcNlskOFV/aLndEitiGTxer+QACGoyZUjYJwE/yRqACuqJLKuxry z/lTjwMVrtWsAje0iJCg4wKM8R/xDIHtfnMNKSuykceXaso97SbhOPemEvwAuztyILLbPf4gPNF5 b6NzmII0M6GOQFOR1NQhn/Fip+HgiyjBIIbuxWQUsjWc5lo1v4F5Gt+I8Nuso6UjwdtfAxCEWTxm k7tD80XxprjqNxMNoo6SDLCiNmsKervgfyg66l5eMvXCYpBvVCAUJQXCPwB8T+gPBSMbSX2cKtGl jWmrWxIPGlm1gyL4cb+mQIsuztdn31GNG0zen7QT22wwURpQ0zE5BRPHT5JI2IVAXCxVmgiI6YLR u94CtW81fO4TWz4bQZm2TMmkgI91GvSyxZI7rzfl1eKSt2dRPeMy8I1IEfOhpOg8EI+3VBynunfB eHPX1QseWyaV7LIJTztKh1mnH7UgNCfeLdQb0UjKJTT21KLZq7qylscoOy2VWXM6Yh8tCD5BwXon f3U+4namfKIUfVZpqr99r+JfOo14fLG8SHqJtKFB/raa27fOS3XpXmoMMjzhEKUB2Xzlmp3DtJP8 TeCpTBqs8/jl1ggfiiiPMGlGbUDt0BTa7WR1C2QVSpiDerhCClV4fbxWlj1K7AM50gqfX3/sGWJx mvR7qJcrr7kvoxwEVH6n/PMkgU4NSjK1d9wfRS8hyCOSHp2YL5GJZ3I3kZZw9T5pssLTEqWpHzU+ zFUTUF2DZT2qp49E5zH7KMoejjpZcnZwMbjZqaC1sbWQMtSoqiaSpeFC0Yyk2f6/6mF8ro9liba9 8HzojbNq1cCrVAqinDQoEUt7bDeAZqoJo7yUWGhFQFFDK7BihfqcmGz93cPSPq8RxjHgrMkilLri aralk37moO2RnAVug2Fogp0zof/c0oZ5K8plex9JkxRXmKGjBHHq8oi+OrtWWfJAA1+Pb2QOX1Ca 2yAvZFmu1J5t7V5RnXaDiuoYf0HtNIKa0EhhtnUIUKoJjGkTrCvpAL+ARlq7Y5dmdGNaUf0GEW2/ 3TJWuubXCUY9/+R+7SXznWL13Vcv1Asg0nLE+88x0hYPyG7uwF49iVlCIYNWHk3JtiRtENXLQfjU wmr3dizFA5Bc4J3agol63yLPCRYcpd2ka6V15DWdLsynqleaQZLRoOd+hMHN17Iqmunm0CTGZznR yRRBJmoX7hnPWLWNUXUXGWwLk2N9vDk1tt1OJ8/ikgkX6RAhU6EjSego+HmYTCXCAvcPSYITCJGg Y6M4FgP3XzCw/8LcMhQpIet3KdVnSPtVY0TltP9QBVvvZ5750Kn3eIQPF9Y8pRN8NYJ27wPhWXFo ydAwS7RyZIdozLacFEDME7LVlaI4ygB1OZmBCoFansWCdVll6NcxpHPYcTMI3ao0yfR2McB4nWGi aZ8gRY7lw4YNz/kQEd8Gn9znYYIOitdx0IHR2Z0CFDyySjNMBO08BPv31RMvjUoH4BUGQdDdNrsJ DnWki8b+/Q6/eDm7/qEp6APZAWDHfOR+4DRW+ghBl8i45Q5+ETdgovkcw5NzEa3YFupL1hFyF8O3 W+bzGsIEaXAQirdxXJhUhGixZDE3HbDBQ2iEUigIcdek/u3ML+1Brx+viMIDlNoU7hNbvIKKTtzV USlkXKZ6b5DvNOYPdOiPPq3VmNSvX42LcEcf42iaKA4cbXnMHxGojijoo5Lo5W6xHHw2L9obe0G+ nYGUsPWdo2AjpEEw+mgCEcMJAW4F9qSdUDHuYsdK6Ku1LbwYq5MuAQ5OlcEPE9zfHBySOo6VxF3A 1TsCoP3npDKxMZRszA9H7G2lIWjvodP0H4YTrDfWxzvC+V/OMZQgXpULJo/OgNt4YMqbdmnoxVMa CktsyAk4GvsXGYkYUfg8P7YfJCvtFo99bdwZYv9ZWybQFmN2Ocv9/l87KiWFoMiuxj/dCTX/Hmwg tk8wUrkCUDacoD90l+miN2FqX43XsLa+8ypqs6mL5zVcAjfUV4IrFPRgJrXpyjb4EVucAg2+avDj z3P8RL3vOLBYSpEq0Osps8bgeeVx5XYELR1G8K/SoC2l1ZEghC/hThxEnhG5EmJ9B521cBtMFAVF /PBV6keGlaKi2uk6m0+FapHQgHLfNdbVVPQ0BI+VbXffTrTF7O93M/YOdC4GOKXzDIIKsY5/GI2j 8o8L/LNn8YRtcbHJutRntCVc9DmRyXod+3djwnEZepfrhsuQTYHRZaTlFVw0Vk4FnJHJ3kb52vOb t2lpPDeEVSjFkuuhFOy714gVHjq4OJNUaS1O/1XABfjEpDzWhAWnY9eJ1DtsmKKJrozWM4VS5kQL YGR9tXAc+3/x9QgJBDt5GasG72I6rVEbTChpiMKLSQBtTyYSit6uprxwUPveIyOHgVBGhJkEQrLL fVKbqn0Cdpbssr+XXqeZmmzFzVYqCjJhFyHjBQHkut6UD7vNTikWLGYYu61yr8O/AI2xcwYOAbqL 6h6Iu1PsZdkicNyfRpkfGTGj8C9n8cLjZsoPOJAAPT3qMETQn740+MOB3HTsof/DoItWdMvN1gSb RwqBu3gVgWFhxD2MUjVQQF8fenODeM4RU/XV+bm/O7NYfja/bQpd5WtaFSrUtXRlzsSCAM+uQ/3+ LFiBqbRtnGXp8XopMt5OHyU2A7kD7GaDqbyQ32+Af3gHjiRqAQDjKPUDsFQPPg9mpFaGJBa0pWDX oCAmQ//5D7j+D/8BXFNCtZoin5+Wmp0N3gHNbt1c3TKMoXB65D/Z1JHWHVeGxIgjW9ncKfp+8kA3 M+/aMvMV6z3Nk2+Nu1eUpM0p2yR3Dx6Jaun1OmLsJgWNhpU2F64UWqLnoFkK/5AU8rWNhhw1ymLB Scr0Yu1PBz3Fjd+8tGDseX7VSi0WSVK9kvxlwA4pBaIm413FD8Edp1TS+B0MimnaELcEIZKqdJK9 HcNnKYMEzXVJZRpisCvW8fbUJb7P7z3ahZ5F5vYxoEwabfAplk28ZX2ckJsnmkJu3GIeJwzlO3Ja mSY9H3VkqHWsNlkCqJ+QOHU3cCuNhV9qo0GUi+iDdSDvVzDhuExen0tsDI0g/Q6gK8Ae/+EUzwi+ F3S8hUPzA3GQrp4NcKXl1wi0GMFGqOLvTQ27Hv3yrnddTT+s6s+epXck28dAVTwfGiys3iEcdUJj ZXPCM2/1TbnwZRqp4nl1WBHKh9+LkGh0rmtCrIuXAG+w14+0EKASSIMgFheuKTwInfnqDYXSC9Kk s3/mrwcOoRV4Bsu5QvYTxP5hWUX+/j7YZtDZNRDpTcI//vpMLj9YywqMTamgK29A5gAMm/5h8F2J FE9ZY039Lpa0tOllgFs4nSjKJgyyl2rsIUe9O1S42EdsW1mEl1erwwlS53hLW2amxKKwbzkGtIpO 30Li3gf+vGEDb1N6zDpuavpE0a/lJbNOlUIEzHKqYIAr48KmeMWjF14XyqJvHdIvKeWF5I48DRJT Aafq6jfbRSpvv49dYGUcumjwXCNyvWJ/GKq6f+UmgUJxvMPd28MpUxc7MEcGsZsbIpTi/daOLKjL Itbt61g8dLUFQ1fd/cqpbsM7iOA7VuNdjbsGCRGY/igq3/Dlo6efSiuPBkBV4DOQGfwDSaUhteIs bImq7LpvmVA2Wls6cWJ64QtqFxutmQXqSSSvnqInMwOC8KnFpoM0qnzKWE7I00fRAcl1JfTp83qO P9tjKjqkVrC8IG2chYmttQWygH4rkmsTUFjzWgJYnqwe2obHVWloLgS0p5RxkoCP6AthnzLnc/ve 6EYzmpo8oM/QrVVRpsSQNkc8ojf0hh2LxjNIVNccPEbpjDIBB0tEbCbCMcPV+1jjvwT+yG+o3o4Z oQCRU84A1g4Fl4wUy08ltg7LaEbVPcWE2SbGOEzmOCkk6kke++zjRgYqXdsnIQxeKZFacSBC8X83 k19Z+BlDYPLOx2XsCRftheIt0aBuVN0Q45C2MKmeASpa273ZzEBl/Zlw/plIB9nf//kgAnJZbWWW wP1gIbbmD31ala/tflXBHMim6AkO8qXnfRT/Tzc0OOWNQX6UfhxCvt7uCnXkPUgjGpG9gRDJ9GUt 6SydJB+YeSiUFk2CjD6a9afgSZJDrcE/wMSUzTj8rxc+x0REyVKwxu1FnKA7q6my2PmvdYALi7gM z6sQxfJdO9SE8VcYi8kOI3WWshf+vPZ3ro3JtxUbHkmRIh74we1TGDnKoM2SKZD8KNO0kF4GpjHt yzHGJ+ijMvMWEN2lnj+239S6IP/zJGZkNKjVCjUyBS++fw8Ty7JL6+1XUWBzYFO2Fd44bwC/axfs HqcaP5JsbADB3pIU1anZbg1uWi8fOWALSwZ8GbNGXVu3MuiXZEu2Sk4w0TyC/0PRZ2sOpo6KvPHQ h7Cg23L25JqtkDNb0hJvr4PA4aKq5WqupYDxu3jnz5D9e2f/YdFG+zSvHJgPH1fuBsyWcXLmzkpA 6VN6GmxOlXeJLnQHMa+/xmrO00RvD/as4X7pyVCq3b5eSZRIsJxaHRLSs1k8Qu7rMAUQm38ax91m poamnoQw0FlrIMRjgtlC+NHSzjifiC8QCsoK8K8xl6ZRG5q46qzdy4rR0MJ0mLyqv0W00sbrnTKf G2Y6upfF4w8XfYE1gYe+OGRTFxghQu9LMJ7HbGxu4D6prt4eMd6/1Ao2D1zrpGIkR1DqXiEOqEwp Et9VpHWFpv3bhSgrrqY0GUi3L1uZeKhr+wWOtGtQg0rmxpkUjRzevHhyKt4DdFaDU1qpqXaUpfCE EtfBHSWeN3F1cERneLKrOydaXgV26Nqw+iIGsGjtiNPNE0p4QFh/0LdIpZtpJ5YX8t5P91z31Hl1 VQkflCZ3aI30lT53b5yOcW1hvE9f4gA0BWyngk/lPD8G/xh3WJBkrfHc7DmAj9A8hTD+uVJxk207 R7ZyJlJPZiNn/kPhVEF0rXbHPk9cAeAvubM2RsOFFmsil1aw7ks8k+05FLGpmDEZ9C4oYIuLdedq t+uRMDCoy8qatJPhHxS6/KFZP3ID9csDJfHrbs4khn7bMas3HIo9X4AJbWu8jNpodgbyVMq+WVBe gQj0P3ocuTs2UULoRy3wRhM/Si93QpCc/0uIdA6ptsNcFXZuWu7IoujMYY5YJ41ueLCTOmtPmEAD jVgh5DZKh1qrLfbtHgVO8wtOJ0oAsFzCv9iYQx4gYTxPx/+iomgFES0IvH0bXRfzWZlArVI273AC Bo636g0pjo4ZlD4da3iC6wJpvUS5xXelVVJzF5Pp2H/tSIMnDaIw/UpwJ5IIHoEoYO0SGh8w+7+o 8QHO+/PLM49RAKGn+2dOhNqthR8B9/eNcCyMxgSmHIpZzfJ6/gJoRA+WTpwVrFjYX4GnW0JIhkKi cKCih86Rgxk5Mi3T7g7CtDfu2UdsD+ZwQVw6F77dbQlBwlgyLi76jLJlW2Ipx5+wVLGmJFhBA7we EfKlJNAtZCbvCu6YJM2qpnZlX0bEk50H8n5H23u0/smQSZHCzYDQ/m+tLnPeZLMFo2rAgxXihv4V UNtD/xtwelVoifp6KmIZOMZFx+EcMNDqdQtccaTnaIIujoUeovQDFh3h3LVbOBY/Xr3IY22JscmH tENoaax3spsnLu9UdVPuLwpJw+yoyee/SE+krcdbQcko5WyTUWu8owxh4dy7x1BGtbXnuCXEyARn sNKSpIgL6SGTDTonRjxYWkQpNF96SYJzQgE1Imr3Ej5JeYRMBuxOF62TrBvxApMvbK4NbYiZshgh OWrshnmXvbMPkf80Ed1USBwTRuSN11Wl14qOxBKAMeu/BxutQDFd0D1zIi3WukBHkO6r/OLff9OE DZUB+7jGlI1OFsZt8XUflOjIX36totcHG7RYBh+VQ+kOpdMv+z6f8UPx85gbonomE5iDvy9ejTEi iAp0bylMpJDQbxQm5DKNxGGRLpOjRveamfY7hOSG5A73LVTJTurZ/5b1cGnPzoab9QJFR5GRSLed +gaX0TflLjUHEGA6NhizyHwQQ+dsHCIIBb7TeaCAmezK6CfN3oey3ipinsY0KTblo5KBr9cCbohw J9MT1iyHWRHlShcibphljrWoGFyANMdranhDyaZ/J1WCZgCj1cIJ2foB+z1v2SB+vYWZmLrbEV5A 2D/WNGugqP827g8A8670Ak3qqUNdV33BwfYTfrA1ZgD5VfQJhAf1g7wmhuqZWFEqERXUDwvtrdr7 HKha0sC3g5FGMCt6a2KJD/OWBmSlVbtyHgatJEEwegNJi+YNFSHDGToUq6DZLhY30diSE9jGbcIW 4E+thyNhsSfUI8j5k5IKhdfDt9eth9N0EcjhrdTZhFrATNGuI+JoTIr+/0JfsZxt/8igLf/MAno8 YKTsSeslX1VDnCYqo5Fd7TgnyGTqu+0LRG835lJEnv7n5tAfZvVeypH6mC1tNk2wYhjy/x+VDv9y N2WCH3QcaezBnwSvbnrZcArh7NGSQYfL6gZnlClcDpVQ/YaJ6R57AQTgmlk/3+jNPlzVCKfVowB8 KaQjFwgDslT8HIH1Is/yttJ6fu6wN3CRxg/r7KIG/zJTXkBNtyp+nJGkGQvmasbXc42yW8H6906L ci170sAl1dBZNgxvOQG6xE4V9x9BSgnMaVrp3yTzY8scbw4NpuyxoUEEKtlQHLh9BPXC+2wZF7zH ZjLjMtWIOjgKejbFvy0KXsOr9AaJilo5pU0muUsun3oG/a/1gONgbo1xua28IkDA4VM/NSeNq95W ubSf3IOZ5csuUlleZrJx/A0/KzXBCT5Gh34lUNeU7aSjUvYHfpurX8H79nZbbKrkk1UrMoRGp2i3 gHQID9sxZJp6wiXMR0KvmpEuYCLDhwxNxmqLH2ImXeGYp2FIS8oRGCx/O1Bgxr40aickwf9rJ5oU sPUzqYZ6De0ZT0DB5l/DMDUmNJgLn/bb2oVYvgovMGGUU2kU4v5M49kUH8UTylpgg1BdmvJjx5Gm LSo5QiMei6pTCO2LDi14CCQnQxyN9ZWUA1tAVYkSAgHNUyim5Ka4RA7rNn/PfkEXQi2pkNs+xvD4 AX0P0S6ujG2OvqQIyi3E5R/lFAAZMPcINTonJTlIgNMhB9X1DyKbMpRVFr8YvVB4VQ95EJpeSKM7 8+K6HG0n7u7dejPZZrvLUAzaB2PXt0jifEodZbrAd3eVnW73J3snHmC3L29Emt5WjAs9weurx00Q QdwmKa1HrVp5wcX8bXmVjitiQs7yoUuNiRyPA76/5bed4WsVZ7ezjXWBDcqboRII7ndtuuFghql5 fD+xFGQu08yV/PdpQFqvughW12sy1g3wUQROadsAsp7mIsuaVZtEyEAgfkJ3OHqLyKCxyIDriKMl ForirbXoBabRmmE8FjYvEpREwLVMhW/RpqMEf1oeaGSlKcTHfG2KX2pyabSSqEoZYWOvYDCtJRfU 5FlHUxELs4crC+Ts8rRo8rcJKBtKy/VRC1pB4fuMAm8cCVF+14oKEMNXqFll4Bw5Vgp6zKTawmWS CbZWE9oUe/57MrWRDImqxbP7UX4k1XXN063tXjNGWpgVflHT0dQNqh+Q8eI56doUOxVTkmRzc65w kx3lJYb5VRFaXEvw27dIt9Df5U1HZCXc8CsbOVlZS++4IvjV0rd+4HilKn8bZVsxD3mzGxFF56G8 AZ/UrfFbyywsZAW/B6JyplrsMjqt8jePwK4I4VE+L9tIqO+VY1VPsN5Yk86pZWCyvsVYyp1DrQgN YBhtSatrDSuloo0zrZnilfjOt4hztmnqOC5g3QD6KkZvO5zLTkjdessYVQYX0cgbNXQRlNL7d6c1 IANDliP90De2sM14KDhg36cBnX1AZiMWSWoFyzK6rhuDcg+FrRwBRHFuypN8LLkoqDwNX+kH+kVD xKzsPJj6DTc4CsD5BGdIrHuNV6oHTD7i28A/GVmDPCBQapHPe/MJbm2a30C4YWkSjlytBnfJVCm6 fUVR3vc93qCE19AzC9PN4avxestc20seSTxtnWPX4sFeq1ZhgkAKMq9GVLL4wsqwZFftRZ/ieKgw a4h2Sx+tsPrgmswhGzP1Axd0nPhIKA1XTZmK1LWnKCCPBUhcQZpZYfGJRdVEIPPdVbTVSJ/4T9U5 Mi9TbaycIxuhQtcQl/OhAHzl2qgsfwYFwYHYIL3aVwGoZ1cvi3U0MBjIbvoAS3rJylE29qn32yaz fIqcCVN6WpYA+1BKyk3+WVkHwJtr/SYRzUfGfQY6kZs2/vJUKO+a4550zANR18ObfaOjsh71wPGM C99HEwbarIaLvoYqtj+6OGMsKZfYyiu4BTHcvHc3UjLuMCbc90sYLdFDJisSk0+DR5YMW5Ww4rGd N4Xtimfib0PB5WY0v4Hwrb3SJ2Flt/FnecOxj3B23nugSt8YVEWnPCG7oKzL+uhQyt1avpqEH/Dz x2+H2bAnBlD4N52J886yHeztrBzRTpWhIkAqDebThIxOZ7sbdgqPrl2fwttGjXxb0/qYUrKaUywq nIOXUg4ZDRIeGb0dLI36CkPGdh/ejS+pf/vbvmCxgUIZjjBDRMIpuHyi22xnJKcf2IRy/qwfgUYR ET+11cznyvsv2yugmGB3yc3FcqDHkL/VYUpi7G804N4thpg+3aHkF1fkb6FcK4HPQ4tg6sdXP8IQ J0Jdn1DMGzgIlUgM8eiRh7otuG/srvYEnsibgvxOE12Knm40fLjXX864T7F/inEefHHyufeKrsE2 9+5nawfUsu97vo7ZUOPmD5g+X9tjtLl15Qd4v8N4DAoWh5hApPg6ZGq9dCO4A7LCPoA6333DVbHc Vk2vCmfVE5oj501zDPiO/Z51l3zQOHLBe4mLjJw7WosWmfnmS9f0z5uR/aYTObc4K3YWVzG9mgMt QT5sfnok7GyPODGKB6sAsAG+lVRDswKfYUICVt/dM+pPQF4ekYsICdGkG8KLl6RGJDyjYtWA5nhs khg0fPOVCtghp+hZk5vVqiEt2/ysTsy2/hNNnrEdnCXemkU6j42QLkpp3hJOSnPwV2n5pDpYwwcz Hq7KjmzkBQcRWOCCBZMMCA+pqCIg9wzmDqijhtepdHiaf0l3rmX17B6oc+x+JpFRa6xsMZFcDcDO F0ck3QY/JiojS9FLzIGgGk7NzvLLw09k+ypt/oimUVsdTUnRLbirYP1wiak52T+D3N3k9WyG70VA 3BqgtJJ7aYmNQ+iYJyope+TG4lp1mrOed80FqIsj5qsu8epOCc+tRu4R/pFkYoJ1D/ZiQAUOefWA eJ/Cw4eUoqMb8mHQQkKEFfjTTlDQHqW/+ERU0krNKMjYp0Bdojl++RhKN4kzfqTFBv2NJNOhB7Ua OJP1iymNVnf7E5f5ctj8iBhet2j3JlMUiyWXeK2uvXukUYN8RGN9EcIFKceq/B87gr8/D2xzHV4x 8gNrmFZN5Vo+MCtUOGKaIFReVxXpNc8PQUDhTT/Ng7r3A3zHFWJVsbxXwLlfkFU3wRFIMWDr1JVY 2HBbhXd7MT7BR/z7Jsp3Z3iYMk49swSOSstFK7BhYC22UmSzd0WDzOES4vS3YcIi1zXqolcPUa0Y UE8SASFaTLbl2z/P/zoV3DrF6fImgvQtlUOvotryRdTdrEqESrN82L9OZ+TpCmqPGGFn7IIw2qTp /DNT9Hz26s/43J9kwpnXB5yObdkvmmV+MXruCimRLDlkuT8iGhBgrsARS9QvU6WaHwOflquHcla+ cC2so74uZcVOwl2D2zAnudycBuYv0NmuXhZEGTW/2I8kACGlsIEmpgg9RGwQaHwY1ugmGk5atXIu uuTeubJRnL3SjsILfUVgdLg9uUAdS9UJ67HOE9gTp86ie6eVe7J3pT13o5Ud6Hs/ig4kdZz+qiyd rpU338F/EeNl6VZx6eGQ3IfArbFOVOe1KPE3PSltwviBZoBukMhUdcw9PIxokjBsMWCBbJfqixyj N4D6Hi4SwDbY0VmdzDyCuH6z/diEdeOaF433+37xqd6Age9GtTkL+mmQxRI72QrgNMTeqlG+X0+d T7vPjNtM+hXJm8xcy7cXLvsBPGwrUBWZz15faJZshxpkKHEiSVs9ciVuHTAB70GYk0iZK5l36wKD xRlqdIdXB75IrUYoA4Vna0WwRouHwNCiXfcDSnjFLfQkizvZ3zjJ0UNROAOLzhruWr4TAok96Pq2 2f6vBj6+XBxSWzSl9TBFhEgXI7UtBCrexFXsxQW2YwM7owVHRmJCn5cTHcbVOqulkwnyFzdC4mT3 mR0rA3EFoobsrWnQgpBR7p3LGawPHSWkiKAlwKA6G60lSsZVM2ov+QyaBXneHBLBpf7HBu6QsQdB KCn3TmxzNMsUoMsXuVeLbO0mWhtZkVZv7Gf8e011aRt0kRnC+I68J7geatYYja4BuzVNYkkMjjoI zaBSjqA/AexuBq48djBGAO3zyxqVDmsnNbt9250yuT86Pd505ybJMPLc7wvO3L3WbeU8e4zayuof RIVusEdEmtegXG7Y7cj5yCjDynDTdApOk9jcgVtFEJ3UHbh3cvaCM67ndqQApsZ4Z3mjzQ8HOnPn SaY1Zssg7tJc2bhXWUTehrp/Wk3fYxY1VLg7USDP+Ob0NAb+oapbILww51Kq2ajyzQl2AkVXWkXA /czRQaNG5okTP3NQb6JHL9pcsIpQDnlj/caO54jK8/Q4aayTC6Ya01ZTM+Jh/CkLKkkPYEUb6p75 OaCVuz4NdS94DLk6anNyANQ2PKrWyWulJAFZcnzC+W9joNw/RN7vjyTbbL+jDC1iwMJfseN5YeBn Eak0dbA4zDc0godSBi20z9X7V3IGWok6PP8HTXw4Ez+aBr5YpIk37ypKoP6Lve1fi5HUyPA7Dhtb nYQfZcrY9XF0ECRNXclg/rMSwaVDE3sZOPiOgWMlD/Kv3vudbmvMgjsaBcYZERx+SAXoM5BDOT0F VzWk04pNZ6wd2/Rr/Jkxh9nonmXc38YNgIP6C/wjLTUdFFVcshun+PzRKSKMabZu//+pPFlpdDFG 0iAblNQxQNGJTmPT2aHDv/GPxVVUwlYtU6UqTSeznwSpIoAl/5ejFLqQuqh7WPqjN3E0Jt2VcudC zFjUEeuriKSCWGCUnytNjHLD7CJYbRv0u1rar0V1oNCU9T/DUAZBOd8n0I+PANjuZf/0e/Nfq2ro 0MbjtGrB58vjQYMaAbL25VshZCbJmlvNukTTKGKN0a3IBlw/cX5PBiRayAyNFgWC5bV7u7LIaHrt +Jms3++jlO5H4CqQosRPn+MNQj4tPjDJCQi2yG0U14nMXq4AswifWMsODA2P8GN065sEo9rMtK2y vHmE355b4P+nXmJR1R2nKAV9YnIrqWSX9SbPe2m7CdJIOr3c0QJC8dTncd9wduxEX9Dpr9tLqB/U BgKU7EAH1uxDK8sB8ecw9ik0+rL2Wt82JbGJ2O4Cqhc276Z/y42c3pBNGABPmPLbyHLshQdnX67O dzHhYjTh5sWFxFY7IXXJU/XE7Rfaq4h7hHszmDEH+R5V/Vj7rpr4rXw6NMVpCgFeNQEz1bIghmSF 3bfgSo8yWvqsqo/4b8E1qiXySL2qxzOXGX8tQFf5S7zhAmYoja7P3hIBtUABuDiyWneceFdA777N GixiRvuxD/ziwRU++C+2RG+ud24quWbQGwaSJxei3XPDn2xQVEbfDI4zd6DSmzk5dhMHdmNImFoM OeVnLdhibfVuMY8bSErZDnVU/j+FKGYwSHb1FH2PbLxKRIx8ibOdOMJ5AGzbWmnUyZ0U2soTIBaR Umc3ogyPlbDuYknpBrOexv9x7goMnee2hrAHieOhvnoL8sUlEgidB4sZBmOk0QN1TKVVRyappyaS yBebLXUxD3Z8RUe2s5L/ag6Gg8JO8EcOhRUmxJLKnZHcOBQ/IxbpUVTzW8fTNS2fOmlUkT71myds DglfnU+EYNlu0gEPnOX2qBr0HibdbceayJDWkvTVHPpCSErqpADzbhq8DD93ycPCsE8wYT3fet9+ kOcTe0yec4NN4VN3p/nXfE8WErZId9A15T15ZMmpwNatIVgoGIUHpy+pcTQZ9hGoz7dy8uivr3zG IRkKhJhBsiOrghvIeBvocXqZXsyPN1eipkNwAhHpXnH8z2fSw4zCrKTiiNlgdlZMAQs+HB7kYXQn BiLL+iESeDnD5sPn9bxQjOfiYJvQe4oPTIfRa4smOPyj9ziS1/QmNXslJeqRJ2hNlN6rvOO6WIzG IiiNQNwOgu8KiQsLvGK4cvDW7Zc7GKZFCGCyYNTs2KzgCkUNsJx3PErs710MZ2g6MCubZYAMrfMq TJd+uvC5Q7W09oXy3E7pGsx7ZyH/jVaaIj64pxyacDHf7DAEM+GbnZMWvBzm2HCurCqysy02E2Iv vcHHRPUj7fq97+P3LyYwt1b58ZRNfKoKFZxSzbWNXKSFktUFdETLZYf1U+4v+/LTfpuanSWL8Lot PtSTDBTqXbUeSdL95abEx7FfOQrydPdN99AfUNSf6Q3gKrOGQQa0CVPRf07LIZ1xQVx9ylK6byFM hEO2KC2lbe4wkG2Ns+ln4bRkjPbxjzNIYpoO1+5TKHA3iat4XP05ZUcxGbZ8kDy2XhWqDVNOkoor n6chYUfTVpOF2cKquMwo2r6A123pMxWwCA8B4Cexp1deNlKK+gP24QhJXTYyqlqWamzhVksF8N4F D1A39sImu7V6cBu6VepHCbbjQ+MbQ1qghtT/btIHJL3AkpCbgqMww5rNBzBFUIbEh8kx1K1vrGzd kaEsU10xE/hrM6uyf2EsReIYWZiLQuRPs0zSCmwP8j7xWoZnRuf72VShYt+tcYrBKIeJEus68M/x hGcCKANqBU/xqQeVFKK4Ni54LRVIX0+gsXL0q23zdWMcYpUi9FMdCMIlVJP+9lFfq6SpfDLN9xDt jQ1G1gPggS5B5xJV8fKJFUl+VGwhBXl/1XqB4HgPzwQiL79hztlrZPvRIL3N/BZAUewI+PIH+QQS P7myeZpkvcfycLruT/uj/VtJ62Hcs90Rvxgsk8b4beWXse+fqYOdobcfeU1m5yELm76PcbSmz8I1 G6Oq9WBv/fds3UKjUVVJmQ+ZOCI8uoqSEPqLdJ5RiM4+lV6ZlFaLY3OFhOBZl8g3b3a5cFvZPe8p jdOPLrppFb0j8S/emjUrj5e39413d7FlC40pwOfjOzmdLVy3jCQge0ZW+zHNoUTSCN115HL3SvFW R8gqfWXfqOoYlaN4Rk7obGBvI3H/BTTLCem4V2s3JP4vI+pDGvraSai0n7JdBKiI3JF0taWmFELb c9aOMV+HSWgHtTJVoWsyNuBlv5aIbr9w2zR+vC26TuNDI1Typ3JUVsCrp6kMwI2lBnbs6CDTQoLZ 1zqbwC7VyvfCXkxfF4VsFHUKd4HlMkpp3kMNAsmKOTFc1I9X72EHoorqdVcg9bmoCfz+c1aQADQW 5hkm+PbS25Sgmz8ZTF81EUH9sg+fYygPORu5r2nbf/+zWfviitzB/ooUXciOjkUC/zHLQRcgSsGs z8ZwT8AbeC6UoHPQ9m3JRXNB6EChefivfkkpWLQx99ipntpOHlonBbqvj9nf9cYjDDGOcyvxJ+Ff 5QyqAZlJ3TjVlATJL0YTaH2KtU6IYsa/4a1ubD3Rj++RJo+bQAqwsD6fCHUTXy+b/7z+w+QgiEZf A0v3YZhVVYIdBDbyaqnOlbwFABgkYgMlLCvJK5e7a+Vdx3WHONYiuSKKSEicW6XGtHmUb0OOtnhx KcvPueDr48/Hk8xxpTQlOfSVtkI9Mb6MhW2mQY2wCAkqtjf3Jm2YEiz2vOgqdtO701vTTPhcvQ1O Hgp3ilPwk531NyO2QQKACZNRGoCLnMv0+kAmeiiNCWrK5WOG0qUtJYcOZXHZqYSREf2xoEg6zF3/ R21vnQyNFFEIiZKCbsI/e1wHhWj5jwSmXP8rUCxsL8p/Hh6LE+tFIcq5p9Rg7g4eiueqqcbBWZEu YkjWNRkDzv9r6cuSOiWCoqJZVutSSvgm9xDdne+vE8KbR9/8rXgS5q9AGdScBx68O4fyTJHXpFD9 RgOJ7D2LKRQBPiW2+yYB8pfvZ/xCERotOfUcjHp/zbTSafLgjgOXuatBUhDg83SJd7ItNLkuBtrb MnpD9w6S5nkZvW1pJPgrYdRp0NthR0jCcBGRbNREV4cW3d/uqK/rRX2AYj7h454o2gHVu9BALYi9 J+ZjnSq9V4iIafL5YxbmvPrEgRv99/5EvpwnNMX4AmxcP3Wxb2C1tFqOkTb7rChG6gCd2x8C251p RxqYpiPV+zjHLcMjmYCSa4FAAjkA+HUYFgxGHREWE82T6uDTv+im88DSXSWHgckry0urE5bgPa7j V+ptAbEJ0qk+fd7MqifDSbWBYH7hCQtppogW0ubyEbq6ncYXKWPDqfgNbKgkX9kQmlFvyvhXpZMD Pr2GW28dQHyenIu8UQ38ey+TOBlaV5bTIe+7O2WLUnuECoFCObKpTs2ZSyzrgzFc9S0OEzyJFzin E41VQwLZ//UbGGIa3+6vKEPwOr/oCl8gswLxHzvko9dGnwYy78xKwL3cyhrpoVl29KrYc1qImsi5 CHXARt7vDm0g5hEpwIDzO/LbA6fEcdFz8F1jqj8FFZRHVNgUGCzYABWIUP6tr2sz5WS+OUbn9/WK VeoWQ15uX7231X02LlE8bOkWiHUeDy7h8PkPV+XgYvQjNhKc1hL1XizEaF+ms0eS4jFItJ6dHlDj hMabUP0PIMObWtAHRcNG09jPmL9oGy/2VrOpLTUlp5nRaxzoTpw3qST1s5DxijCzpN2XrKbcbpsY c1KbcfzAgeTCufkCkrs57Wq7juAlclEBV5tjPQhZHwvoedV4NPdu+0fpV+y85ieIQ8/YIJHM05Jk JyuK72ouC60JD5Oamewv89sOv+c5KAA//IOgMXAm0JfenDW0WZQVQYzoUrsv97ZIIIRYgbsABc8m wkkMlz+cmFvqJOKNbSENEXGWO1mYtxc5ZZ2KqsfNCHUfKU+mtqV30eIKHommSRPPP3bBIJF5MSxI 3DJSNE9umIwfXrCit7SGWO+8NwXLneh5tPTlG2Nwoy23Wk3HocJf8J4JUE4P7Y0Yk4X6VcmkWODZ KanCDCFfAKBM9CjR3c4rT8oWIytJPhoXqOR8GhibviLHTN6yPACGTs7DqF95hfvdmLqGppXerAb1 oVLfzgw5UjBjJ3JkcmbkEDYZAl2RAUzSQxze2VLR3HnL7RDl4S0UNx4A5yLNsMiOFUe3mjHnT4dH 3Vm1oLPGeGzNfPnRB4PFwiWRIEkJ91wwzKONdi3NeGVBDIWTko7ekNeXNHaLlOd6aW8C4VA2k5t0 oBqCZIANvKkHdBC14au80tgoeuVPd8P/v+iKKd3UHGJrRmRRUltyT6yhUiHBuaMTPiUXkuFTg6Yf WXNsMk3i2A8u3vlfhpo52ZQ4TVCKE2XLO3jNwisjQCmSTMKyJ6xcAzcQrFi5xkBJPPTf3BWYrbQh fNgmIMYZAUqmfszyvXDIFhGXutE7Z9GTxVED7+cE/9OYVwCUYY70VtuD72UKbbsROVxGOJPio+Wh hVdXhYiwWfZxKnlUKzWjOP2zNH92Dk9kp7ZhUl/goXvT/d+EmTPxM0SgqxNVWTXQtgLynlRZMoRb efgfTtsaRwdakL83MnDmYN+RxIN9fve/rOqbtczs+hia0S3TjI0sJ5OyAGEf+T1RfvvJHsYidJEM +taKHMvrt0yDNaTrPLpB/yT1J+T7cgb4kMYqSNq9lgtLTX7bBlr3ScUvNnOn2YecEw8Upaqt8f3m 3CiOXFR+0VyT9PjeEKQiIdU5SgRYqkSHkYy50XmC8HGnJ5oSc/YM0G7cLU/wTqNQb6AIh468VajA m66AMtGLsX7Q3QGVideDDsbwxf837saxg4dd7IqZjXC31xOv3ZqcfNa+n2XOr/5jNX0EWlawFCAQ YukyRDixpogp11I1rvRY9RKOTs9UjcQAXsby1MTZqEDuHJloAS7nyzC0qdhbaij95JXMgoGIAuq1 uZeoECrgnGzlmYjmxZyG4sychRdfJp0KPtyT50aGSTT3CO38PrzOphBv+Dvm5OV7tj0IK2fPwUDI q2tQme421Jp+Tit02U1XCdTpz4ZfGPPkwsZ0W2FjOYWKWbQfDYJQ9F7uxCwCIh1i11Fu7lu22Os0 W6calsIZnDW4O0k9knYgDI8+EK0eLiEbfuUslz2P0wVUehjkgp2nZelkPrvXtPTZHrY+tDtjPk/b 8bZw7pc8K7va1ZeNUwiWNGOGzNzLSOqEo7dJIn0p7V/iZnNFvfruOVLhx8sqxN/2sC3SrSYWql0G dSNqVASuwJZEnXMKmoGFuRadI8SUviFVcBidGC0c2iNW5s/iqfGx7B/jyITfNroTOv6NH9J3CBY+ TtAggsbnMbDy2u0SOx/BzdsP4ECUkQZknY55z97K4p1RXwTqr4bmPwW/iEC/a88yJK+BtrOZ4jpn Ky4+5XgyMauTJ3HyVuwRPww+hZ2kpDXEUf7hCbegSvK7sd0MRpCCx/4QlguK8eZDkuMEm1fEDuDk 1W3XFegO+B3sPxMH8gIXz07a/HEW4KDWLQVkfePFewQgQLgHyDs4UaGsguAFvmJyoO8uYf8Ag6gs 5sdDlwVvxgytl7k4kpSmX77gtc6U+p6cV2x7IXJ5Hggfx7xxSq9kD5jqu3284R3YYBZj/92L63Wj MhoSR388VzrNXgt7jjSyXpuORi9XPkLa3Py1p/t+UvCNHoFZX9MBCMK1lpJGo/Xk+q4ym1pRKK8P po5+RScMG81vWTo/BA5UDQmWueiInKL7TU/NPt3/BOOPK4W9tRnJobta4Lc0Z/iQMP8NvN7MvzV0 EhW7nPgRyFcsZiAibQ+h5bAy9HxLky/daFGS55TntrYYL0/VrOGvntvhkmRZHsJP5ztdn0lfWmlB GwEYhmi9P2o8FGrCsXabzAjjQL/Rh1bb1CblYiqlkbpXdGhnThizm8gfh0wgkcAyXU/+R8ItC58M bb4QCJkuPjRSClBQ5W4sHO0mu/vUTgXIsva2q3dD6p3NeNQXoVvBv7Hw2FirQgOb+21rpuKPeG0d 7Wi0goVXW4SSHKKw5XZ4yh1CT+u03MzByl1s/Ph42tsfnPY4cqMglqnjhzH9xsmXrYJ+CwiFYqss ++2bG4F/czokO+9oRKMY3BsyU+43100wwr96z1PpMeL0mPOSNQ0J1Jhc3DoN0VGD9oG0LJoE7OiW UGF07kndcAFb5LFqDrmBZ6cyjICc4mYDN/NOSPwfNgRlOsrk9s+EaefxTBvuL4rDTYA1D8Y0rDI5 AWHRbLJzIkYqWUC4tn6SVntbYWeoWXPFbfS4D1tGeZ5WjkzU/O/x/My/GwOpE5YFr5bU+6eS0VJ3 OZkgSrdw6Ym/LlrXlnIHLeyPYp+r6fu4xX2Ncdnql3jAZQpPVlXlngAwI9BbprbV+KxjF0dAj44V KLPQY9HB92XEXwfQ6FDdRO1n8Z9oiY8u4bgOPA350B0pLb283WS50WrFXKAy56NtRW1+DE2o4y4C NgvEJUlM+6HdJZZZ5BhriMOEJzpwugJcddXLhx5ZT0AbudI5Vy1NcYsLUamVfm29h/t6z9FLBEWy MaJkw1QxXAPd00k9d3TGRZDjoiFWsgiBG/DE69RA4v0g57cgchpmFUjMrwd+EQ3OJWUt/szN2Gca mJne6DukRedEbjqXfoTsBWt8PqK0OSzxQXKCBleYmtGk2q/NTlhxInXSDBc000AxxGf0moch2B6S 8c0jheCr8vOZ/LSFmG0WuYeTKENyfs98hBctKwiYMAjcPuX8sN/b3MkpxiwB+XC8b1c8JLMAePw4 YigurJeZAj1CKpnEUQ2WQuNIA3xz388rubzvxqeBajRAyU8V6Pl381j1SXFdhnbBe9tvAPE7b7G2 YTrofeavBQYm9mCiGonDq/zGtToY42CC6dJlz/Bq/C/dQ9LYjP6aUa8QDoCQsuwC7oIRD9BEKsvU YQjlaLJWnE7p2XG4m8W21k4VDExtwRUYvsC/KHcgIx+vBO9EUuSoQhJHMP9jAnLGMB5Zyv0IwGL4 v3PwyOF2XZqU346ZK+UIiCwUyanOgh1P7kQACz9QkYRED185CvHQHEM9krP9IcwZZmGtjP4F2pM2 kExq5Yhl0YU5rSuEla+l2yHTOfaCLUKm+wgPNhyt5GGgT2I9HSfYjmrSQqGfSWzdBRzDLGXAdxzg SomD2OuzMNztoGhyRbtPYdOpZOd143CwLot1Q4BdywLD65n7F4KCcs/5eNvXGfP5wJao0EfTCGxB PLAt0KBBmEXeRgU2K04zn7Z110DtLtarH7OgddeYaADDxphrvdlSrAdjc/jLsD51wDUWU7gs8XqJ O6zg1xkAwZaHWFY6aqGT/qq3MRSUboKGyUts8menn37xvgqMhEOy7YPcGFr1yqChVS+1h+LJPKvU vNwbfhvXk1do6R7Kc3Cd3j+AtM2UDJRkG3O+D1RXq70+KBJDvESR8W66oVsX+BySlDKtWJOWtTCb dxx+s3/3QWt4Ej3edELZrrGet//DSJWXeNlfCvR78RvH+y/+yq50asr0ZFPFVe0aC3W50/iC+b/1 OSNbwsJO9S8weqMjVhdCrJKtNAoyLqfUyeP59lD1ZQMMCt1Re21V/5abJe/0z4cItIowbnnExnnk Z2pJic+ijZym+AXmLIR+sdcIUKEx84AJPJxIfYnrAcGPUk71nuxPN3r6aWxuiIA7H5kNxqfXbDXf F5nyBMdWnuXVPfC0RbBFb4zRC7cwTCUVy+sA4pR/wJBPnRIQ72K8mRc5PeCUa4vTzA+vcMH23Txj ebXokDwHRkvPdp2fdsDe8nc9JDxfOSr7YdamsOBcup87mfbJE3Ns9JMtx7NAUklG9MZUKohCeV4B C6ZxX0V0q6PjpFq7WWY+i6tLyTM9g60sgLV90QDsR10EiJCa2zhSItmrbqB2QZz9cY3S7A2kflPV s1foYED/0W1YhB9qI5v7m40+sgVSJjDn+/QZfK6qMRjk25L27vg2wxqtD70NPMQlkiTnfWC4+yjk NPOYVFMtTMdxYmuwK+5W1sOXPurDTmYTU+MrhUhZFOgjhyuKYA2MjEkVy9+n0u62CIvg70sSRcin hZ1soItMy/zt+xOYM+nNRGBymeXOhBLTaMBRtDyQtcu1w7RXwshV4kXSv3AWufj2P1LuDJEu9YzW GTnjqKWOpp0pODUpz/X9dTvRmRtJcQJpiM5Tq7CohaVeJFYBumdX9ZSuKipjOOpfSKWWG2rkqmxP bToNtfFmPkMvz/5vkA/ECamk7CRe33lRKXSsyLArhUQjvl22N6DXeRSZAcNI6eUERKtHzwEwrW6Y y4OWtRpFIqWPeD3Nq/AM6/nH83qgX2OuQJEZmmOJF7Mw6QuInvilgp2LFGQL74EJ/402lhF1GPMe u7KJJ9D2cDiNkTDAW8Xg0ZhOq8vySetWEB0LJzM4QNhG+9dXR/bJ1LR++wc1QQRNShFqaa4C1Bqc HbRLmAvTDs1W+nt+BSjEyt2260x3jgb3Bdgzqv5+eofGnul7MBJtVTFVPOHyBcrSmzI6m+Ayu/Kr UGO+alxGeosRSwHekRecFMCUNbnyOZSa2h+qC7JfZeIzXyRD6ynUOp70tkqIHd5M4WEiX0Yl7Di/ 4KfskBC1N0rKEr2Nw3DJL8KY0aMHo7tngc28m6mtA91BO1JMB9dEOd+zZAGMlFHfpTwJ7PorChrU EsBv2xK9vqYXm1hlgufifhU1s+OxpW1Z1KvtCDgrUwAcuxsw4e3xf4/hGh1maFzeHoZ4Ywrg03RA EGODr8uSwl+rbHTnYMqod5Jo7pdrBymYP0QxbWi0SoB17jEUFooyHhuCwcZTe+k9rpHFmq+9L3zY +i3OGzzQ4/7Wt0LxsiFY7EiX19s6zTA3t4nZdVN4YlzpMXeZoDpT2RSj9645nCrWJx9e7fI+eN+2 gwzSZkMKxn0PJCXwNiBiuKSxYvYaimDP/T8s7Vbrxc/2Ipycg0OE4w1NtOHnNZbs3OKP4pSOSjO3 KvACL6UtOX9zF4r34Gs+fqIKO3C+6KEfUGgFBcFMv6UGF7siwE8vbVaM2ZTXWah7KDGZnKPDkO26 VAzFgVtrAXBViKLmkZi7MbmDlRfhdJjw3I+Ywe3H38lLpQl6VUVIPAFAftrIT8MtA47Gqbu2K+OW 9o2OOx5nlREdkSVfT0SpBktySJcQErHNkFPrkD0Rnp8jFLmFldLr0Udhl1czXQLH4B9LFkJcyrun /kpnpIjCxK7hXrlzPH5XHjCLuJzdNylII1k3K2+3ceVlXYRlBmHv0BMyGGOFXtPU+JOr1jOr4LJ6 NcKwh3Dgme8FxmiGvln5l8nded12isZK1K2hY+kePfkDuN/qnTa+85gbIvb/PHaYjRek2Nr6RJFq Pt/5JbgJtyfq8ayj86vJwTldZSqLHugTqorXhkxJwNc4MQpwV60crt1XRm5dz4T85hUZj81Fftpa dQ3ubWu+T22RlIrr4YzDvfJ2GPwXXwgxf/hp6S5k5H4kre3wDyJvyEp3R0QxFf/laP96giGq6viN zB/leG6OhPrVAdobLehcFaw2eYg3cLp6aM2yMU6b95CsyKA+SbosKBmcOJ9VachEAUNobPc9FkiX bhXgh7jsL5fPsN+uwcA3lrXQs+sUBe5GOIBoxv2yQbX6EoOZlBCe4SUCscKn+RJDaex/lTTpqnOq FV3og+959z46KVKPzjLA1qFchel4zKg8N3ra4fWC0IuHYO/3nxCWM6sym9vKQY4tExH1ePTAsTfj t6AAEA80QyUYvlYiw1vxcAloXL0x/xSshquNQ8z/MPd/9vdCkWusDmfR165g//9hruu2KR0pR7O7 4C7cNPsRbBG6ygv/1ujZvmztMQCxl345zr+47Ljvc7ivsm+ydNZ7m7ja0XKMOfwb/9uU1CcQqIrX fw39tAHwU4Kmo367Y4IdwkHEDqH1fNegwFLjFA6Ld292IyBvrw3klNhZjNxU5ekKbNK8/TK3/veF ZVVTrNyDjTbbiEelnxgFf6mI76wQWU6ttKoHXcbrrJowuY72amncA9UST56PhUQJ5JVi9ilHboFb HL0S7QdrnNaupQ91DH2myOIyXivDBqi1aYy0sMEsAuG2qs1sVXMTJOaFOp0dlV8eWUB7ePLdAozd YJcY3XylWGMhqbyXgnq8Gp07w/Aw41hxix1xF71ZvrKOKjcwJfMyQTu2rsCwS44mIQXYUsTwX57e KT/dx/mK4HhdSIVLgeI9GSz48JMQLBPg1EsMic7qbHEu1Y9ylbOMz1yosT7D0GR3bv//aHJnyDJm dtCNUiTrHC9hPu9fz9S5fi3XnL6tkLIAtmtOUmMcw8NKOwimYu0brfEROnKGCBmMzOqIsE7ccYGK ri4bCVIaM12QSnjzUi1WrSkj/drjh0Xw2SlJQXHRsCl6KGJWXmu4ywxLuf/VAqZEXzT/eM7C3lhO q8Qw7qB/j9NyKVimF7EqONBMyW9TfDhW+fOiRkqfs8MluM8nxfQl9B9jSeJorssGQbSoJB/E14qJ zQmr29q4gaPmln9u9JD9zbewRCPZVjQpacFZTDvgXJ7v7eXGGzp8HqH1ykMjF7KMXT3uwAPjC1sq 68krFDdUS3rHCSxH3bHTQAC1WA5H1QX7kWzWQZzvxhCJz5CCrzit0GMEhXrhSISHLCdX1FDwEQ1y Ldp7CFWj9jKSMJGQht+G46keMBnWkH+1Mk4ZdmQeg74ojxv4BAauJxVPSzD7qvNDUrvobcNfjI1Y mBvvtJ8j/U7BdaZWftPEuoMLIsvHdSraRZEkyWMAUWND18d7av/BFwzQwyK/uUlQ+EZC2wCs72NR v4QVUvHPj+JlH1mNbt/pmw0MG9+ZjTOcHolA+YVfZWbWO97AKm5eazVWfQu8HnfJ9LEMJzaQnIv6 HrdMPVzgxt9kg3TfmwWgFPBbQf1dc5cX1+u9v234lV4U1UvFFms11GVwN2id4jzDZaua4nEMNjUY iW4KYGoid9yeqp38lCPyHlBJGUx86hGC8PeKGpcipKhwQDdes8SXSiwpq7kY/Yh9g2re+wAf5Qpq 0g5DPX9dQjrR1TT0aJ1AilWd9vnbgP/ZoQ3Hyf5aOF9akaX6lYa+JC6G7PsrjRuAHf+FCrUBo7cL ZuH77pfCY/MfcSgBrw4ZP4ZCYfxqJL55H9bPBKe+En4liJtedpondLn/YyWuu7YXtj2Bhp6MyRl0 ahvJV23QyovmwevfjcXXT0Q0VUh7y1649rVvSpjLYMg6Vu6AvPSbYFpCIK97KijjRYrSe3NGOB9C MB5Ty6/xm1Uv8NcxHCxla4zNhWvRSVdcv24qYtcW7A4zZqlStOi2t5IOJmqNfjHEwC2FsXZHLJRh yOl5eX/uKgZNU/MeHCueZFbFjG6oX5lzK+mhRU2MhCoO7zK4a4akhxRpMQbQ5POvYew3VRiltTtt UhjanJ7h6xrbukcp/G/AGSoFq1fLIv4r4RrIMGMVsWA3RU4YPKXDZ5ali3iO3SNsj+8bAvp1RmHP lSagKVspinwODsUZhPRO+rDkrGbgHX80o0W2tP9sI+Dhu40FaVtzAF7qBzmurylHHUIIre9U0kai eC30Fex3b03wenF8nvxlGjRKQO+LLfbzZl1fphZ3mLRr1vvVdWFpeWOIlI2pxQvKpDM6+LKQesLT Qp7EYDopbYmdXvm7Uj2THdx07oq8ZdzXPEU8ZJw6lqlmGHHIGkOVPm8m/tg/by8j2mqtX3X4ZT9R zSwIAJyALzC5ABD8nyscsiVO6yy5rnhWcX8XaiBGjAi7kgmPaGY34P5hu5ST/bPrWXRdMlGxUCnI Ymece1MuzUwmmhK/QbgmwP+ndlfTAsGnMJbWRZtwjUrWxgbp9QFgshiKB9HrpJVXHDs0mKt5Z4PJ B9LOwObL3KACDCtd29IVEfUkb3d8v1/C3C/Fe3b7VdxKu4QActUvpN9lk9exgiBINBOAUeSv+tvJ Yl1aEFmdmV/RW9p/1fs6Wyu02jd2ETyC97CRYtbdZ1typ62hcJXqWMZHE41wR9e2ZZyeaPgqiyzc 7p3s14d6zL91qEkZXnDtlykf3ZEbRRfBftY5im6kkBZpJYMg6Q+juRzH+iV6kFc8DvmINGLQPCtc YFW3cKyoY+X15COi1b4RPqc1WXEBpGuhJeJES/XYE9sgDNzRY/UQsBi30UNU7Bv/X22Mw05HEK45 lghz3TbCEimkfQfUmFUsZEX3P19zv14dCFF0eNO3RVgDes0v6X9cv3vuvQjTESWT21KPtNH31c77 JpZglXY2qajXf4eIKrDE6ptCBd1Ik2ePCrlDzaY38/YpHk41yOBRICnWJ7RMG65COAaE6q1VGU9z 1lQPOvyLQXt7D90mwprVuZDyvNLUC6/UfZctY5NdogUT/zZJFVW8+5vyTLb6di1igFIBFjJKkRp5 KefXafaFFy3ApG09cmZ1ZBr4khKGpPIe2II7cEHSswRtzwPeAL3Q6PTXBbNuU3gaJEPBVMrZkRcp PotFXz5KcZNZOzgBVj3rT/jBl1HaSuvDbV6LXrHfdl5w/itldzVngVfKUMPJTfbeCxKBVlp69i29 CEC47VTari6W6/LPBJbS2fDWcXInfvoCI+k9eIf9K4bzhOwpUKJecf+AXDAXKHxjOqw9zK1zqYPy vuTp0MFpdXSUj1nc10QjF7wFYYzelTvIeQwTjNOqA8Y9lxFLJK3mtOox3Tr69W33hVMNukLUl09Y EUiTVf5nI15Jk2pxUDa95LfvwH4WTIn1DJLNsIOuv4pSPbcY2Dg8dnWQfW8SOhFxKLGc7n6w4w5H HLLvz39htOmBOC1IKLIEpow5IaGQou/rFEyHz9TJXQSKUhSX4ifR5B+QE7wyPiYUa6XX9uDlZp9E O+KTOq5N7DHWgvAsZc7+P9hdO9WgfJUdL3rcBtx9+ZcAEhOsXeWxAXa7OG5rd/tQDCjSGy64ny6q v+zNxbEnUQo5E3Qz8ZAImKQJd7Ol7+BPyyPtKHJvFzLmuQgFI0lvfyrMZ67/E+BTVNBZtlIA6w8H DiKkXyV7N/pWYYvshI0+HK16i7Q0rPZ1nswXqdiTiK4D7ElKu1X8MYluQtUs6qdBQCpEkgMNs3eU DoeZQD3ZAbBnueqlJ5CU0oHDoxPIONUYXU7SOKumLk9z8m2jx3nnhePQqX6t1hIhSbk7avLnQhAu iewxGkWiuFgTwwAT7sg8KhDAv1olD9b7Nk+hJqRGBtEwvaUGg9AOZy75of5w2VNM0ICNxLrqyYjs Uuag63/6AHTL7QEig5Oyw1BtOcJwPrY0WVUx4JFas6ihTzGtAxrFQaxL28RUcIjk7GuLm5rsLsrK vYReU2NSte/IVsCA5VV0Q5/75a5QhkEB+vvn78j3ggJDiQVi7Wg82w6zw8MNrKlplI9OCnLtuPUz H3SvFH/CCOtLiHksKNNws0ASCxl+i+C3fPQufSNTynpSh+8zDwFHSe/hyhbOgdE01gPaTCFaECOC 8TovEWHmd4Z4gLESO47Y/8C2LR9Nr3CZ9VDkgxWy9IuEmLJIylQY+QZKh0kv2e+5BbmA919Gs/1R 8IsG6tmjxHWRJomispqB+ihc8RCoXvZ5OcQrhEuQQL1PoRkwHzhR7deIVCI+mlnhjwIQyg4AFb6O zANpMQIz2L2mqgIv7eaZcUpaaIwSNr3kXwjiGedmthnV/8RP04jxGUslwMctXIF3ZZfBEJude8tP JAsXWc7W98lijA5BPDHr+w5g/nApUhozi8xSHLPAe7Psuyiw `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/compare.vhd
19
11879
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JtfK/+1JKYw3I976gLBlwV2xqGRbyVsJ3RDvlPNJRewqWZOfwn5MuTyc+U7c7Y8NUZJKZ6RY1Q/g uXt328ut4g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SKJFICLwrmXfYqYNdiUThnnX5tJzUEdqxXF+PdKpwSGA61whpH8w+itTbLnn6xyBye2kcWPZGi5e 86BY4EjHm7kmXxm6GHfc5MWAMFduB72GxoAF5LRKlUMCOdVsZag78zFjXdMU64ClBQ4zjB8EgXvA zXBqthWa876wjTEo86w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ho0WiFevcJjvoEEaYGtHkcW737RD7c5clzugQBBm9an3ZkyNmpivYZbh5x9redNVt0HOAIz4unf2 BSVy7qVCwKIsJQlB2q0JzVYTIfuco8FlNbrUR7/BeLSPV7XOk/MTxR/0Dg6meFJjnWuC3OrBGp8S Ul4C2x7zg4t68SLTuFe/LzPmogzBzDfD3+nozb8sS3jX7ZaQAm/T/7eoy3grLVkFjUg9uj1IhVTP 59FDPnvyx1zZ/V9kzMjvM4XKEW4i0DGLbDEkqT5cZNTgcxi+sBHO7OnQuIvFzoIoNFONwh8iJ8xI jfha3bFVgIjIJWFL/KzL8e9Uwq67H4YDz6GAsg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tPUgwDCRFsMzMdJqCXSx12cw+CNwvndABCoiKOSYIqrjgxTgSZ1CAyY61ekJUz6cu1q3fnTmoaAx Nh8wOKV+UbnkqjbXLltbzNbjSEawEnAI8RSn8gStXvDoHe7R6pRqYg2wbvEPk6N6UhaMjVC8JxUE Nl+LL/ApnNDqgvTWrcs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EyCeFS/0OQO1er1RAmOJ0VIpIQN1auXP1dzcGUAOeSe9eyc/jA1mhBpZ1JPfDCNxALRFgLLGYZec wCmtwGwTJ9NXiyrouRmXyaKsTpp21jNq9KLTxpWtw00JZFdcekT3NPcfNHa7nkycvsM6yWSUR/cD frws/8FBuaG+siAqTh5qClTqkxCmbJ08Qh/l3c/D5bCXbr8wXY+SVe6EK7TiYFpV2oOMuwWw5VVW 3m3/ZK4knJ1G5Nn68ZhcGx6rqQE9ZbHMigIgQyt/y7vXemBfmAZ3xkMsYj2X3k1fFfReGPYzTOCE 6J8z+FWVfzx6XMFACHDbKayB8gE3RAvjSqIISg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7056) `protect data_block RVdqxvN2SQpwPtgpKRC46v+8mT78eTwW338qWd91xC7Uw+asat3yVeozjmhmB6r80gHAYtaSaEae KCwh+g5iL9CaznwaBx1B1OGIVt5KKjnVTl05qeFEOSmBU2TdF9i7Ny9JZpRK+bscZLWRKNQPXN08 jBuyGWqvZE1HU9r4KaApq8Vub2/G3UR1NKa11BmkANNusVOLcHjFMpXXO670ABDVW374LAkLbJ5g 78TWIvHyUdE0nrp9uwuNGaY6V+Ooyh68caJw5my4AarJK2p8gTZPCh3t/MjKFfH06mkTCz48xdJu bH62yMRLYiB2BUa16fx0V8onoVNj0lIAbXRbaEb7MdGWLpAFZZh6GsRsTXLrto2+LKLryMrEcUye o3574t10s3PxSvkOoq4W2hT76aUbShEANjgmCNvpJgqVdsklSlomXmjRHr4KIz4pJpVM0ftgpTNO BrCiltAJ0lh6VPdvk39TqN3ZdFNrszRyI7dGzHukbuGEH8URQHkNE1Q6lOKcGvurSSFBFAnr2lNv Lx3z28GlWfg6uV2ui9kK3bEDNpCtZzR4P/vNHborbwn7uKEyKLoPFgXMpi2Lcz+08ctLPVcg47Kb T4H1+Op11DiT1pFrA5SpdXOg/IR34UJu9VAN6FdOax1pdPd0OqPeQusc8mjf+NObMKO8XPPF6BWS W/FbTDl0M4yEnI5NdO3WANxq7bnRW+6UILwp4t/vDTZbAY9Ll0Bi857lmJsqEC8ZJAdSBWdYxUGU VXk4Lz5rir98gxKGm0bbMSk5/8Jm+ElZRUYUKo0mlgI6pbyYf8d+62MxGKT9Lpd2gEcKnfoAhIV9 xInbN+l5AaLecCPtDMQFTG3kKNuWk6oe0VXHKg+tzHKunQ6/dtduI3VXxhZNXwrYSpheFbjA/alN vE7Fz3YvZpLz4z4vhU7Wb0irBDO++NkOXqVVAkOB41M/xV3CeoSjmxXFkux5Ow4zy7DxPyfvr7qA 9wtiiaPXBsrZ9OYDSBnHzrCk5x0iwDwJV3WcYDnS0EmMIaHaAT3Ei+h5DiC7fbxfPDoDxAMfnzMx 9SDJef7kALOvfg/B+TaPMcdFvO0lk67G65WfSVdwFZ5K9V6QfjCvdZF0/X1OKolvfFskIfy+ADRJ v6/4Zehbs5US82hWVEy1bLgIwIN6DRKW2Zgmo0pnz8z6hlnDv8QyzXAEAzeJ3zGiGwgDVUEah5ky aIWPVA+DQSNBN0sNNoOizPzv+WMwdEa8Ng5y2sLu3qspLwNbaL4Q9585qIWr8Z9qYvGGoht3tKR7 GDsT1VRZcxVq8hHctE4ph1J48+u5LvQdTwE5CNGrNqG5ouZTv0iNuYMAVofYO0xNCJJRX5nheP3j K7QAKdQu8H8gDU7exgkHFm3HdvKDRLOsb78bkyk5cpd8/84618KD1NoCcQsxwA/Ux3Nj25uLUazf f5lTvKp1sF86qYgmcu+AQcvfZinyvtrmauW1nyQ9KeKq9z9zUxpQrzxSVyfYpg+iBTxpyRYtVnwX nnp/Y6fLPeqfz2zK/nd958kvFtE2zZoVQMGmmYE54cPUfs1aj+stNMEVLpVla4VdEMKbrcvacvyV UupB63CzPBYjGAouauIZQQ/xv920B4DNKgyDloDIhttZBxZVD8OOyF8xu0hgS79a/q/LPNRL3Iaj mLp+8kjuXQmF0fLj6ac8x0ayTE7v3P+v03ReJVBAmT2IjKQ5fgCFlp3wSqO6BrzEH3s2mz1TC04B chlOX/+XFXuo6vSSgaIdG6WtylDuNv5S8s15gM/egrR6oFll2lW4UVWcMumxlG8UmHmoNljd5Or4 cGLxOTj1P6XKCwhoEum1qdAffXoVnTwO5SvPdmpCBTxx3PIDRKwTZPhutXbA3AqWQSxjZqZC436W Uae+eswTEdlGxr5M8J8NkKHG6XtyLC7pdx2aUQF1NKpX4w70j5Y5yi2CeTyZM+m5ZwJ9s1ODt2uv pW7/Zak+cNx1TIPgZi/fUG+2NRBi2NXkMZe5AJbiLLT58IK1xK5iF5YpWTLYWbE5LouPMJi+RPse b2V9dhLCTm2DewtZIdsYQW53vdTLBntxGHNVA35CGfnQxjWwRN/uj6kSE25LlSTzifuH8m9lC4sj aOgaPZGJQp5L7NlS1i/w+UBZLPcym4tCHuTNpCA5E3CNcKhRRRC4DiBswK+0Bpxtn/cQC9EDRVnC kQRa9ov5NNDQjHoEQg6wLa4w6ziM3+cavcXxPDyVZD8dsmjVjeN6lCzwF2nE1vZ7WZb9RS9QE2Xi xF3lpJeqm2RrHDO2i6MarU8nJcU9I72dkldo6sUv4797SmWFI+3hC1s1be9dA4dlelj8k67/gcy4 jidhNxceO06yzWEcv5SBJwwwF/NL8m5lJAqc+6pEV+AfvboxSpa94QC1HTA4Ddzbmqcckm2Uq6wc wUDhtS648z8/hPmiNA9r4tdW02MGyckkiRTEyKbkk8zXfqd2nP316nobK3sv1z9vBY4AXqoUNF/p 1LSBS4UOU9BUaZr4WsVYHxK5lHnVyti9Hc4y/TvvDgVg4Nkfiia3UNyIGpZie84fqwLsrUgkiR0b 5IRI2sBaM/DQaSzMr0rFoASlslBycsGCixgRYH6BOUuZkOOaOWerxD4V9um5v0oKqF0Mhx7nyPoa eIj6eVfTV1TxMIXzg+7Jz2X3npmI0FyVwyazmU2awpvMbmzD40RDJOqq8T8zsJJ1Zw9OvsnD8mHV 5Vpw2lr6M/rhLBP5Q1m7LHayqewo7e0JVTAxKlOeMZgXNBCGE17ewkerZBiG4HEAV6o64Yjuhx+i +wXVc/qeJwRQGlhfxCl9Mil+n8lWybi5o/CGJBxoGjXn1ofW/qGDsZcA+YCp75NQzNaBIF2WdIuj 0thW1hCWLPvP+04wyg2E8h5ZHggBcQoz2c/uEifYHJttKUQdt0hOUylKFSHUXRCU7Vcxw18Y9Vaq ulKyyf9Kza50mZLcfWpLfWq2QfJejSOGA5j4FuC0u5vhN5d0wYcLoSFEXsFzW0r9z0V2oGYDrUom txc3ojoLRehJD0+O7KD/jft/nqrTEV+PzB82DqIWvCCibnId4e3UtSDnz9nhJia6AVrCn7YZo+6Z 5/skpa9dzmvXbGhXkN1BVTrrFDJ22ZQ2qpEr6Tn9xRwO4Y3TIqvrq3g+UEG+syMMnP3kIYpVvEzT yu/Qj4UuEmsZir6EU/FRcCLz+TfMl3TfRMEZ4I+5wBqEKm3TGcewEFPhv17NRDBE8VjyPDkPlhDO mxNRCWd00MxhZ0iSX1IGePvJMjOFErVrOiWwj982npycSbbzqWJub1U9QRislvtpdEJaqx9gnFE/ tY0ASog/kkXLFSJkNI8yPCglFHbGH+L/P9XBmMFnX9uhUbv3J2oof3QG21Kc5A8X25a5od11NNQE zHR5WIxkXU5uQjerID5WzqMrgVR0YYOpI6VFZHL3B+E770Wu+pW9iDThYn7xb7VcQwVvUt0Z44qs ftT0+BCGymyxPvI5cDCkCqjRO/m93WGBLcO6Y/dXpWZSjz+rTFqBJU9excNtBEKM6RQOSTFaoMSq 6znG2/4sTu0lQLi0CWTVVzxkFkN1UowwW0Fj/IPfC5VKHstOqOSDskLjbGaUy1mhFPoGuDhCAY4Q +8q67vkK5klh7nGLJtE7v8JNvcKp2R4VrEqf+30ABoakxcsS+2EGHZTlrbLcQxavlk/WoaNPv8xa yNuueeC9UdD54ZwboRhUjkIsS8zIJmXGXtH2HN3FRzdqQtkRw4nBOmHHHoSvtTaA3XlmfXFTxW0z QndoZYykC8/jX/eWskL43fHj26rYk8cM5wpoJ0M4OCvQl4SgrNo0zkrX/fh/9N/A6cNz47CS548B fIRHTZMVISwIiN4Cd6gmxvz/LTCp8EwYaXa1atIeuKA5NBGqqwFJGPycWSzdeAm/YifgXOyCiIuE 19G9SOs8WPLg9jvsoscLGH6iJbroFGV7Gh/2rawi0iQgg64tZWiQCMIQfh1YVxizddqe0s/tkFIk j4DyUQmQQHI0uihqCkKpe5ju6m1xy2YHM/hWWY1MZyJ94Ik+wZUGKu1jmB63jroUIodtQGK0yNt2 6H2iKeOiedGZ57LtgwPrB1JcQMZmgXACCH2qWNQYh4FciaG7W/w28TsXy3htbB54T9gC7su5JLQ4 BlaZSaJawLc+W3EijpfgMBAcDrna3xWWZq0wzPF3PgDMXubaNcx836RkL4WjBwKmlsnnBR2JGjde FAr/EEZbrlP7/ab4emI7PjV9oAPuGgD/9ohxSjxr5OeCFDYGzmW5v3hIOgcvvB6v6Mu1iJtfQc8z uVh3o/14OEgHF07lOIk3MBmFC4v2+QXmvKsbfuQ6LZ9pd9WwAfS272OyFn9hoR82AaBWCsAl26Nx 8iHOgWIfSOt1VKmqdXR5Oosga/otfTehOjKIpvP9w8qvdSMbQQQZSheCxpUSycA15q6DOt76Z4aH b0NdzUaBBEyIYOrNewwjK2o3L5iUzFO6WMkCYvPaVHDq3hDDmhskwGwtDZWhPX8wNuzXhFJWRXWS mZeacPwsf4HUdjjcQdXH/FRVjmkoKt1YuYdePgzThxcZEgEIxD4Ge6XVfqV23cs439m4DhlD1b3S ysI5KN3UoY4BL+tRisEezWRMlg7+bLq2qK9E//Ol01EbjYHSdqqqHxAvCiNCdzG9JS3cC8pYqPbT l5t+bzqSd+3D5Fqy2eLxqMVQElkcfX98NgbK1dderGqeKM3eBxGr+0P2yac/zmc3d5GlOMvZiuh5 kkTExQnxZDsmRVVzJol8Zf3DMFwABu3iQwToTkilci9YcAKE4KglLfssQWI+hW9KkXH27rO+1AFj /WZcVc0ABJOaIxlIR6K8PqAaYBbRUEdsohB61sSRRL4WAD1sBv758zRYMdGVu3dSIAOX8GAea2g1 FeyMkmhPdQ27e4DvyCsnyFPjLvzh2Fs0wX7rWkRzMLvSQ48twQafX+v604V4NAmfdVUL4jJb0RuL Ow9OGJqQQUgo1ugZ6uomnes2FGw4/Erubzc1HLogBv1mWTRdFgRIol11dT3xJzQbfHq43SpnVaP9 WZV7EjLz2nQviFrG0XEDV4nyIlN2Z2zUXqsTMEDKx1zGG4ibxXMxtc3ajv59/g2jZdLLyxIFNsTM AlUeWnImtq8mzFO4OqqzqWg7kmhWtlVBv30YORrd9wxtnhhM1YaB+UYpObQIOy15jjHrfOp9z64e W4tkkmNQ6SSyqWbKRLAeF6Y7PAz7a9T7kH9I69dRDoAcAGuvPNZsPlzhhV3xoKCwd/nHHklYbKcI X6Z+8YMKPYYcJt1OAeRRicBZ2ZL4uVMarKelzSI4I110UX+6KvdINqSw4/1MjGFAYAumwM0goORP eHAyx9NN8D5Vc9Mfa4OENk8h15x116emGUxmsbEfGMM5iAMp/KZ1xr6Z3DUfLZs0GVTykePshOVg u2vIHxygWwW+nAOmc3O6KmByKDgxeKwieYwKPd/Vkbidgq7H5lq4g1B4aIpdA+qngWMyAtxB0/jx OjTranf9kSVeShhY+b1AvLYzNKi2SfEnXR7aoKpOb4UeQKpBHVuzXT/CCzDCcXRXNl0tkDfXnxi+ 111chhxDGkCyQmgeivrwf9wSW9/b3f+bhjK6XDMN5EYpyQSlhSVfMGBjp6SglRJP38wh7b19V6ZI 83NqwzLhZ9/5YmS4FtQNT0C/dficb/VhsndKkO7wy+BG+iqX1BSL0qPFQROBseuKbxle22+qDDCh 3LUFdnxGrx3QE1pQZTgheepPqHlrdu4cvBBAEqNVyhKjYua+qerjn/pbZIfif55MCVY+nTTy81hs hYAp69JJHwbMMhpd6Wz4EkcJ+28lPVLW+Aarao94uFzZIw5tMUjh1ups7880CY6KCj+K97UW1GEw a4oCjY7uLLqYQxwmimPdy/PXC/EbiBF0TuRLR9rdvqNjcHIXwnvNcxS407BBot1m+P9VnJ/Ka96N STgb7yWaa4XmX/X8NrkcnM0Lylg8E2FsVyG6AQra04o7tNSaIJ7wGFlqUhf6gwaNI1lySgEWJ5tu cBUoBGNNMgIfMUV431L7zh4wUZAKqIDDkBpLMwe/yJa7X1p88qj35toXrJ5I9P0wn3Kej07UXUQ9 iOEENHkYm+dYBmpks/8yDqYQlgFWcoQ2M/eWEVXZw3ZBShYo7gElOBTcrSMIQWyyz4lrmtLjCJNT E4IyldDNvacUlpNzYb5MnH62gVkezaBF7vxRoYVA38DBHPNdGnzVMtOpoY0wPwts3A2Ynoagfgip ma6CYuuTa/mAuEFrU5Uts6bU1rH2G0W7R4evWzhCh1WZ4NHDFSWuiyEUPA9cmtpLuBpQOce+zXBb jBDxmQJ74eEDgi7gGy0uPaJRz2px5vtnIzGf1FqByFn4QZKgmFyv5X9f+M62SQXCXKOThHUyWMUB IzReHNcWGXdxXFiS476xnd5WadH+P8X9rKTOEv8Svd9n0uuSoyBEALvabAqoS2gR2lrUqEQKY4H+ sZKUkZnWZzlXv5sEiGJ4l2BhMJbE5+KM6WGAvbh0VlPctmQKR4qBUd2emlIjqS62BRsneX1RsjbO Hb8Q+/SYMCNwSJJLC0nlxNddqJo7Qx2zNfJ6BWMX8hNknhQhoaP+/yAv6nGacoiS2MHvk7JXRwt+ 61viNwOEGQHviZZhS5PbAjFcZao057el99TOFVKMIdx53ibPcb9InMvLlZg2yR09WzoS5Ska8rL2 XBLowUz96YC84jU0C0odOXPgUxroxOTEKQi6rxAEEORkBd4PiLThJXYXRWex7MsSR10+mrDBxPi7 o4Wc4IU33OOvJet1ZZaMYiCetprt8rUimZn8mNk2IyWG1IiQkrfDlnzRPYziF3o9Z1E902wnwafl yQ5o4nKwe0jj1GAuqifHQeDgu/kt8pkI2djHGXklaXud2AVIONu46ar4poJ2lAIPYtE3Uk/LK+2c Z16CXH05Rdnb/lEdPRnwuaCAEDR+SMw3sLytVvBXlxJNwSSZz2QC1T4kxm9dgKJznLnEGBKEJplJ 8fmcmFamD15iBD16mAY/TRD7Y7bkty5nEK6T3OjEw+m7b+D5zuiECgzNkHOSGmBMXLgTE4rLfnx5 2JaOoQeuu398jMgQzuNql4K6gvBagg/IeLoLlVsCeCmHfX48lpiswFNGkwBpHFAA4qKdO90CYder WZ7BEf/kqIF3QJaQFJ2zyYktKnvms6ouE4WFjwsc2ReiJN4OXHqFP/uACylvzCy6XUgO+gZ8sBbr GFlqwTJkCsLYoxf/KSQdmJrwYSBJ2GYbGAl58lJc4ZjqOfL9bisg9I1v16/wVgOLksQm3lBnnwHN mbg860Y1LmqcLiYlJ7iNSb12GAAXUiZ+Cdmuv7cJQ3eQyAO/2VHcl+Wns1zITVog/irCpqZ5e8Hf 11tYyMStZJUSJVHfk5sPIyyeVmJDG1TkwCUu/NY6t5Z6nBjrZm8xBozfdGQN12qkj2cAwHBMwPOI Z1wRF3ZE9E4ocC0Hz672ralbiTu7HxOMZhzyj53nJHi8mxKYWq2b1tM42mjUfwPEsCXdNZUz6w4x nMvrKJ21oCAzgM5KJGNzep4+uAvpCN67B5i9SY0qyPW7ued39or8wr1sLAHnTvR44GNX5OUJdiYH WcCrXzmllReOPdyFBuVhiZmbQcOUqDN3aYvhzV04ULBlLDkunIzXzTdOjMfPwULWwZQTYgm/xJ9z Botkk7b71p8b1cqLhPqXGukMLL7s7fCcTxHuhTGHWX79f/w6Oxo15OkYJpsA7f6De6pgDJAN17VC 4nv7vwhnPItLLgF+LHhAZiOH/4+zVGezU/npykCatrdNhIy9O6aMaIRMDbI452zL+qXXtXTN0EL9 ykg2X4+av+m/jzMbvaTenRmP63Rpb4INkYtoP/tmotriSVk6mFHG5aSRILUU7ks8/AFmWWDhfyV/ M87IuiuSff7BtXknc7/axWTxyZB0ec1wq4RCCnd6wlp+kZCQMRyMBhCLzrBjOWPPUxlImWoy4f8f 2zxlZJvsTiSA0qq4cNTPmdaj232BbrBsw2cO9qFgRmKyMvkf8yhG6QTwelMm0hxri4TAmEDePu6w 3iMa7i9tShsy0kEYAMx+M9FHhbk5K+TPEV2zd2i4TJ9i0Aqv8m9VBFFl2GhAu21j4PhlCYAMHh27 jRxM0rbhxUguHyZ5t5/IJ6zxB+z4rf5sVh7HEheGOhzKi8DuD3954ZwZ+UFNg2kb3EKJX/Q83N3t vo19LSf//b6GAiMxohOmuDCNgj1iu8jKSxYUYEU+i67Ul88oXa6S3TtYhL92g9AMqIzo3PnssHqn efC2gk6A8J5TwmWEm/m12OzDF5xMK2z9wlaUdh8bi9Ik4tvgfhiJ/hSaT4MPo3w18U/pfyOsXZ5O 2jYGUF/1a/SsmW5lOEzARxXFMFhJdNYCGsyaBTa9sv3hABDOkr0N659i1mdZJNIy5oWEJESSD9IO wUjSgcUkXE/lzQrrUgnw+98uU7qBNPxWm9aXAuq1WLKWTEM9T5L/qt2yqsipV/yN1iF9fAfF2dgq fqE59yUC8jSNFpDCRelLPH1M1ZeEzaEUfO2LU9QlaM2RddLGokOlRlo2OmmztEyzv6Q9LuZuW86Z J/XaoRWwxSKTjRmgxNay+oUblRjxKceGWQC0QlGA6yS02leiQjqu+Ditf4xAhvxHTmbdxc8sVzbA KUagAYN/5pOdzy/Aaw17SEd1QBMZTN2tdkxqf6lXnFFcJtOnZLXU7SxDsjxFjjwNblf8NRhT76i+ AYPkWNpMGKRyb5AQwkcXTZHfCd/bB8RMFF4oberS8Sxs9+Ak+p4y/JCxlmoIgDTxvrvaZC1VRnah 0Bql46GsD7PMlOo0FhBWz6FcG2p5UlaJAXxfioVbobtzmtUk4TF7DARIoKPZ/jgUmLv9fxQqv3ey VflKDIMuL9AZT3KZKcYbRTVbex8aEUyqYycmgtJgXt8T35MrIgdOWaM5j+cfPr50MKpiWi90PHM1 qtxlTcnFvXm3pxzw/45inLOYvgMZ3ywyI6MA9uknNyAWq13H9/wwybhGHTu9g2/sBBdqwLq9O5XX Zj2JPqOeqId+rvRgPjfaaZGdZvYITNaylATWfzgrWg16tsfueTysxWdDQ6u5OCaslwug+cnRAX6E 9wdjspT9+U8JtiwiGAepb8iHVamQBW6j78UQmr7StuCuSs5WCA+HFmcn/IULDxyDLSZDvkGj7qx0 PIgKDXZ+CjvEXba6V1AAlKCwlNeR+um9VIRk38QCrHITz0NX3sKRwBcOQHAe0RbpmDCtWs/YFHv8 gzziW7WDVyAHCi480noipQyNOCgwiN/FdIgBrYlPhO1mOt2Aye9VHYV/WgO/ `protect end_protected
mit
frankvanbever/MIPS_processor
programcounter.vhd
1
2001
------------------------------------------------------------------------------- -- Title : program counter -- Project : ------------------------------------------------------------------------------- -- File : programcounter.vhd -- Author : Frank Vanbever <frank@neuromancer> -- Company : -- Created : 2013-02-13 -- Last update: 2013-02-13 -- Platform : -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: program counter for a MIPS processor ------------------------------------------------------------------------------- -- Copyright (c) 2013 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2013-02-13 1.0 frank Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity pc is port ( clk : in std_logic; reset : in std_logic; PC_in : in std_logic_vector(31 downto 0); PC_out : out std_logic_vector(31 downto 0)); end pc; architecture behavioral of pc is signal PC_reset : std_logic; begin -- behavioral ----------------------------------------------------------------------------- setPCd : process (clk, PC_in, PC_reset) begin -- process setPCd if rising_edge(clk) then if PC_reset = '1' then PC_out <= (others => '0'); else PC_out <= PC_in; end if; end if; end process setPCd; ------------------------------------------------------------------------------- resetPC : process (clk, reset) begin -- process resetPC if reset = '1' then -- asynchronous reset PC_reset <= '1'; elsif (rising_edge(clk)) and (reset = '0') then -- rising clock edge PC_reset <= '0'; end if; end process resetPC; end behavioral;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/builtin/reset_builtin.vhd
19
19078
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block E82wkdGPZb/+6GZoDi5HpckkoDtuL8TGRb/JCIEDYKunG0ehlHY7rWSAl7AxBVkDytYXn4VY0NY3 tD816aZ/Tg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aN+8nTYiRF19Ga2xgugxmmkjykOIKDSAJe8CuGlE1RsIGMA/TeZJn/LIOmkC0L4RXBBy5zkZr6mC 39gWvg+KhH324/pLiKCLqvJkIObctxdk1QghQFlwGyR5AgwumO5V8XR0wkFrGx5lcmF5I1Ic7QCL 4FCmeVtU3m0TggWFC7E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aDXP5DZMSmAZ37R6bG0c2an3UXXBQ9f2UcCbZO9jybJiEbg3jaEsz9OP8BILMEuM2Gg6zqGospJo IL0GjwnUkhmqiXNrUyuU2ZA9j5Qfpqi0cT39WDwUPJ8gireHKMW3Lk2XSOOhzAT2gL6kjlBz97a9 e5WZk5XJ4JpzHsyykVOoT9yBzVvTvBYrbMxRFsaT4GZ3NCp2/bL7FcAdHRGbG5cNEc+P//C3rwO8 4GNkm0wKVMVQq/2HclGOKJAykNBN7fGuG7zIF27nKqnI3IBVFzw28uEsxwVFMpLMQ1Amv9lQcw/X S+F0+1sbjSvaH4de4WOv3cOUzYKQ/wzN6fSahQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c+SPO+b2cpVqItr9nAdAKH8LRjqZZjyv88QHjXDKD8kCd5SL0IXE6XqQ/EIjme3B6XJax0d6vBvr 92G/L1QzXOo8P82zgbpcUFM1hqtYFVROwwLTcIHV5QmMcqgWTv/CxjwYFY9l1w/ADUzzHakm7vO5 G+sQHpPE4aud4403sjY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T8GeY0or01NdwqMo6UKJMUTsmtP7APuN0oCIY7KzFu+PsK+FyNTk9rSPzJS4j6dAZuNV0qTymCiX Xbb3asOZtqkbmx9Ts0TBudlU37PFSlhj9aboLv0+uBJsltC8lWgypATvI3dldUNiHT8HwKeBDDaM ge1f8g9YSSRm9Jao06pgbL/b6i2WQcOEh+n+/rJDy+mhlYh4b7sJni6U+KkkIH+Nz+FTmo2KpEia kiQmZaPY0KLlWtwgAmS9D9WXDnBy7lDRle2NygR7a23rjPwxBp5MqpWylPuquQQaCFWvB6BJrqSH TxLzvd+PYmz3XQMRs1MJrzzaNEb2P8EXhMkKPA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384) `protect data_block xi6bIXHrJ5UHNBNM8QzSfDcOo31OPvVYplYqoOlGhoyl8zjKoPwy6vBgTGkFTKvw38pNn+75l+ol jYDe5z/sRIAtBsWhRvjW4aNOPqqSVngKLPRlRyiFjQ9o3WLkF67bHlyWFn/ceqSzgUIko2Nz/6uK Vx5VX/eaY6F5a2PW9nlKgSmUVqH6GkjYRWKbJt2U+prx4jdgnMQdLOcz7ektObz56smIDdmgmVje IYspPniGZtHXZ+Vozy7o0TFyZVN22fpHRXjEHV03DKKpOfhqsjdUfzl1E2cGTr9MGbXsz4g0e8n3 wGUpY9ms+I+KYwiQq4+bDOSxdXK7rbcK0PvZK6hNNkQuwITBQli8v0YR/Lf1DO9Lom6jMnSvx4uz lMYFNvotcptNaqfMT40K/L4q6dacR/8TIw0R4671DB0CNY2CGp/g4kgWvW2l36/QI2c75CMH+cjC oNO5jYbTdpyRZxGZAJJubvBNA1D/dWX20o2t180aGH4OjkhFt6x8vEjG3YstQXzCCsrxLdFnlSq5 4Mq4r2BpEyOy/k0Vg9zizqakU2UBkC4eNr0HLBZgpv3qZjCBinYG0CUwpnayXJp9pDuqaD4kONwL IAHY5axDqBNuty0scmk+EsC97S0JilcGs6IvtrQMnAb922iV9qqk75kihbMbfVSarIoCqWaqWLGl ehaJbmA3M/BX/LsPSYpsazXFZP5FTjskN0j3s90Xdv8VUfTzLbZfMki/XWF4REiWtKTI54Fdp1QI GP/ReOp4K83nKUQJCpULqxQsc2KyHQhKOAa2Ulv+rUTieTtYfKo0xUP8jI/1NtxlUJk+ZAiqjQxy CZ8kO72mhu6I91AsuVCVtqIR//ZuWelI4kCRh/q93vB8hnb/tzkvQ54E40ZQFXlV2gyWyNN7D+DI k3rUVrOI3u0+eeHJuZ4Vmtyqw9u4eiLrZU/AoqVcn3lY8H30tn6/D3PAwi5bQVCzD1BIhNWWBSk9 Z2g/VILFn/ordNAxoGhAVZeT5Vth1Bj+lKB3RxKW9n32OhpbXofm5J574bi6ND/SOkYIQJ786kNO lvGJn3TVoeFwJg/HcENV6+w5+zsFoh/cw8uWuuIhjUKq6WshF/juxLeq35RsDSLl1vfRZ5D9G8d4 WJAU7nCb/ZF6crdsAs1JnkwMM8lLxsU0cIP/050Q7AFzChT2QZlQ5buRqLO81NlaJZwGAoWwyAgK VKUAXSomne3XQPHbPlBbpKcGpiUFm3pTuAZsiV5TWMidGJvD7k0ZNeyNfNdxdzbmZFiS8RxCnf6M 0OGgD2pFXZXIGbjdu69K1SZJKPdg/M9ZrGc6VUibFjDlawKOPmEIDKcufbQxYH5S0pSyVY2u8B6N Hxd5gBy9MNmWlDH3XbP2aOLXhfY4HWnDx/GXH7k+lnpIE9L1EAdQmH9Bb4b7R3c5nzzj0kwKWzQs c/i/LYHolOpT005wnF+4ehbou+3SbYUyMcOJqYyzzuXKqw9QQf6rrWFrt75jsObNOkHfQpJw+jcI G51ZLh9h7btQBU+ZpRsCi1wOpn6+7qColPtqkgctmZad6r8DFqXwgyGBLqDnHVi0EqOdYBtbMG7j Leiic60okZ0xesPXz3OlpsYKxX20E6Kd5/OLhqYaDiYKnoT+P/V0FYVR4+VJsZeuxzIrgWRgQ68G FaOUTZ0mSbf460p9UjbDhMGn7zZwLmlrVaoTpjzLJd/HX3rdmefsiIOcP6t0s3ZI+aFHHLWJ5MKv On7/he3LS94tG0u5tC0H6vzsUmwobM08QO3LnCOikiSQlCmiP3u1WFV5W/EqX9O0gX7JyRQzhPBx tClJS4BmW/RwAVmumBw1cGPG2Uq9WAA3JFICkpmyy7W4v0c5lQ579uI5KGY+MrzygBd06tp6OmM5 EImj0ymMXrN1VStLwiK+kJ7YdkV9+C1KPXQwoMJXgNZtVIHvhJ9+7QITE2h/avJag/J/h/5H6fS+ jVKb+XhvyqrwDupY4lE0duCZLHtZPNrDR124zea3QX3Ri14jEgkaSZdhtrUPQa6kziKss0944kZo kLbQ6EGaBQIEjzoxNRXCCz3L24G3bkgjSBYktItM9Ew6eN4cSpHcSa8/4iIM2t+K8rMPH81QkWb1 5nLw+s9OJy2TmiS4XZOfaWzJ49vtSVntExw5cO8qbpgoTfbz8mjq36+Y02OfgGrqPpW+sIAC4djl rhKW+ywGmJR24rymbHAl17hzXyk2WPRb9TWtTrJ/a687OAgQdGsR1OM/kF6AldEIZzFdhebW1Fw2 rXPDMf4MmT7NTRBeAQBxHxB/2p+fV2njjEsisNPRms0rQDpnr1kGHJ0FhOtUr230aIPOG24w+sik 4402hBwKsyVpvQ9j28CUEWRomMblVITOZhebUREIWfTSIwz+VWz+qmE+Lv7WDxOIl1QHSKXU2+1a 1OW8mFqmSAy1rp/kmvkzcx3ZcIcHGBIjzbpI1wage9ojxg0iLr2FegC0oY+x2ZYnK30rTRHk1bxl 6vQQ1IiTVUzPAQ6yDQJ0otWgUalNApu+IihWTJ49T33UrWMoENZpscqAuTeNahlI141E8udLnZMt HK5769pBbnpJ31q9z4ZBRfb+dXK8y6Xp7iQlxiJC0q3v7K1Z5tN6YnGA4mdImgRXTEvcmE/Ct+4O xDs8ruqW2ipVAth+mmsB11mme+8pJ2tQo1aK3LnqGN8GZvAvnrHysfkxd/rHPwAK6ydghklhUmG+ PJjNM39YqR5xPOjML5OI0AQHcUVA7W19Q35cLp76UvIUBEpsL9TO0R8CJtcz7QyY2rHF4a7saNQz YhlCPG/ChTYzcLfWkkVdlj8J7NO/0qFldtJC9GboUixnBEV87B41IdxSHDUOlc9b/Leq4wihr0H7 WuRdu0q+PBuTHK5EAjYFNJyxvJHRM0ZetcdQ4x2VL+m1VSoN5uFEhNd0zpM3zyRuuEYIvQttqI2E qflsJ3h54yQUqrAhaIrUmC8I9SsyOMyBF9sRPVLilpUHzg67la12FuUrrSR4BSs7Fw6tXRXuKC5M PccdA3w0YAzglp+RREU2X/rMxSB68ow8blgMjgpqC0PC2nPPmlarkgJV4w3pE6NgdTZbrBW1mwdD e83IPLF+iBVa/olKeowncsHOxnykAofeUFOQUELbnusiikfEpFnn6ktShY3PIaWQ6AyKboBf61ER n5nDpDRjqLQfEbwr63NEpzVy6vPuQv08ic0iipi10sRy0uduRLRjcNABLD/01OnEGXIsjNo7Wkb8 4BPsjA/Hm5BHqbV0WUzwRXiGVLQoWWOfLUBNDkTSS1v0SBaUoWi0dB3VTnN9xCR9fDyKaM63+OEG a7MFMRf/SQdm91Gf2xfFmKZyeb3T8AVz5QcF5hDLCp2IeXrDL9DD34jJBeCb5rT6UP9zYxLPS/ix DgV+JWbVkBgj9bC/Euhu+l29niKp/vSrJm3EBgi/tH4YxqgS+f5TymdJGoAKdpP2snPsxM0iGnzF 1LPbythKhrQKhWiZn3wNcZZvv88bOO4VdO0BKmmHCoNrJ9a9g7fIGK73HaHYzzc8x3slG20C7r8m Hd/Kq6u7j0KjFVZztpsU2Uo1TmKV9RSXHSNyVGl3MDe9hYT8NGNr9/Hop3gSjDPt4xLPV8+FHUTK Cquy0tUvZmCjRth07n3GCrvpxKYP/ZiYJvenXTpg4j+OlkGq5UJaN00iUyXB1Q+1PPKwtOv6u73V p7pRCE19NRbI579SjbZXKReMfy5HPfE+VZUO2yGAO8bqOqr7XhUpLRgWMwaDQgWgsfz/WWQ3kZRI 8QpVNyaJOll173r5T7GHWzA5mT7iVwxbbLM8Tdew7M5/EcjEhaYJ4enOp1qLnfnANwyIFtBKRObL BNqj3wteF5K+Zo+fAdJl/j49d7i0EsG0S8f65eM+cvzjECAUF8uEs/6Io/IFQJluk0IROeV+Vs7c CRTBOhQ9eqNh5eA6EoNpGkByafz/YUDE27Hp9iDIUdSw/+BcaGLp4hOfbVb5G2VDJvUYxH4hqABC W1IgXMfgo5Ubtt4AU+BH5uDwyU+/QUfZesE9mXZk79WFqbbGtZZ/BICJuLMA+K/O6gWSOzGwBx9g 70BZk+9fdgcjFCAAqLorGCeW+GtSrcU7F6GpXa9sQ631T2/koZcrd2TjGv3tyNykpmfXRflkGYFC FiSiq3QJzpujSCtKd9sb0bkInWSeNgjt4nKEQ9Fqog6gCJ2EndhBtbd2Ra6y0HO68lNJ8v+CFp8a qey5H5/gY38CbtsszTqH5qwZqYHWlOTzxtkitMcbKpltumpagFVPkdu6R/iRsPkxPdL8mRlpt1bK YWJokxccoUhTEqo1bhp8KlVQCrsdAyOmPiFjUNDN0L51TsUABreC8GMBRhahT0be08hpUq/98v5Q 56u8hPXCNzhcRKXWEXZib1xrvUDG52m3Tz0u/tFvaWX6JKRW2fEPCguStCI3TdlcnPBY/NtakGkE LRnRkhmbsR+JdphWCIT60LDVcyZQ4cQmDv7uJf7M/Ho7JFkTpPRiDtE7uxpWrLWwLuVSd128jMVl 6tFYZlHT9zWrlq/chCNbJZcCNBtPoDsjFHqcd0P0SbEriG403vKS2XykknoYznBGIzrqPVEqnn/u EOrmOFjv+/J4cKNGKBzWXzHxdwm4QBYz4hDBthTtQ0D3DHOP1Z6I88RTYUYE/vTIzUFOhIWhm+1q JA4FQJakmZ4nvqDn4a53M5Qs7sho2WBVwf1UOFnwd0Gf0/Wwl6dudum7ypJAbqGL1j8QhuCUPAI2 j6744MhCBAnNIATFW5qVReETjwFNv9cTmDFgd5l3jvtiyBvzLS6dEKJDOfUC3NVjosjWA/Kmxzkx Qau/ezxZspwuObs/hSBQvW4uH1jPyxs6+u/VlZaMAXFva4GJJF33R3QMkQ30hYDbjFaoN2PTE65O S1pURx9bE5G7m+SwFTqUDiVz0xstSDkVAEZgt1M3iNSfwmrutWhuIaMKaTKu/2bL0//n1tERhz2D wrAMKQs9qCfOT9pPZidELukohhGuwknejvZaOPFURm8Q2q3DGXaDjQ6Cm4IeJv7qze3U9Jg6/38B 8H5Z+tQbDYrakYwGk4bCPVNc/KRiCHMpPziufnJ+EPQlVoUljo3MalEfpZLv3MHKKvoyQdUpHFfT TgedhfrQXl53yP7Qq+3nLrpJlDtV9w2aGTkvsqY7LJqakYMdQdln+2Igaec7O4ztOLOEin7QtLqA kmUZyb4a64GsIqj3E/nWXXkDSur88x9Ij12omC/L3G9DMrQREt/XaUliWK/fLZs9ZRIr6HFQv+8L JYbPcnpU9NouhsMJ1AmQT9LHBE84fN/+zTnNCN5o/faCU+v2Imp8d6bhtEkDViPwbEusHTKkCRMZ h/PijzIbk71I5roX04bWH33+nk0nLANpL6a0gClB6aopmcO7yIswrbSfTymx0nuuec1wxwZMUQpv 6xlnxSHpwv2X8cKCOO2DHURfLeqMVbDK7bKF6g1IoPgIOPReXvrNYLcpdb/OqqJmT1MTswjruU0H mzncOxWgmr9mCWiki233ujER83DqQgI/BhVtilRSFGn3goi5SHJme0KFEVXuQW4UjaYku5+IvIwf CAtP8ltAuGP5G/BIhLrc8cC8nOIuU7jzDOGlUJOszn5MVxZ4LqMiva5Tjx3dbDotT5MwyDZl6qqW azEspltYYDxY/lX2lziVuBl74qML+TmS8Z9pd5c7qhyEEwNDRPWqgHsFuGNglfMz3kYDHGxp3Wey muqYnhQjbmyQUgEVWPm4xscCgvyY2lcSdJ68SzYDUGKZ3vw0JBAew430nxl1vTZX11n5GdQKsjrZ +cTnslr5mT+eicRY1KykgP7/EwbD2uYiD5ovAYaWWP6CfeefHB3SGl0J7akbS4cMp+ieh/B4DFUU DF3PKsye9VQYwJzItq5EfUnzZtFQ/D3ME+C0Ww3yVCYlEjm/U16DRS15vu5y/1YRdRvTaSNqGgt7 ecF2o1wjYwjv8z9ufPjWV5FfWUxYfkRySjmIU+1rhzT7XATBQouqDZ/QSCer+qXXqayZolF8r8b1 2sIAz0uRt8iM6tiwGcwaMWYkqteqXKRb2anG+N1EQrPj3VTl9Q2TdkkZKI1lEnzzpC4PC/mCz+6D DMy606XjUXz8bvgVf7DXZOUtN98I5vh7P88MNhxY7X6L/yEePg5M799hvhcMhvB83nWiVgiqduHX nmtU3lZ5JJLQ788SH5WdVETCKlfyENaF2UsckCfR15ME7rSTsgHtMJRiug3vkNiuKQJORlZhYKAD DU20cO15sQa0ggP6Yun/47qquV0BsXLwgiXKVXKznoUjcsSWyHWZ6AtZG+nulxCtiRohakGUVGMZ bPNOYPIJJB4rpcl2g6BEBkcTgzbkIhNDv9NXlVmFqpvH49tFu+QJbc9TsWnIebo+7wqdkTLj39Cn SD3U0XD4HRKgpsM0kbCx9i73VyCfARyGIPq5P8zJwKJC5cfgxS7DXnx/7CUP3srasHg+BXxVpqMN V2Jlks63Kf5wcW599R2c4EQ5fAuD3poC+gffxLQJpdWK5frLuVpv6JfzAko0EozyHulTTZrYwH1Q 845segHRYdB7V20BWuoNG+FtodN5F4gG6LH6TsfnmWc44k5jg/pgIBdpJbG8GpokDMLUcQOpwlhG 0Y2TidA/n+8WY15Me2nSFRtN2O+tdWrFrGla0KsahDzUSO/j57HCgDUEmka2041EhHXZHL2IAuCl G5eQqDXmryJiUNbVS2sELcozvHke5RWgyJKtF9nhM5z55yS5P/cf8X7f3eBlJoTEufr97olDyXf/ 1zj4S8DvtACay9OxZRJFBmS8bmlTFLH8KEPemzjqq12Q+QHb7zzUH/FkykTJIm8o2iMel3n9TRYN kFWqsU5ekfKOQlpddo3fRVwgSFpR4SGTi626VIiGOUggsx7mAb6MllbXDRxwZ/uK1b/yffkIJWe9 pFFC77QFZQ+ygnVaeWyzDTk+ILr4Akc2kq+n2JzzXAJXPWXBZUUPiDlBgW4MI6O0pt0o10RomatI G5n6zHrHeZd7JwTgpR/bxsDYD6BvGfNpMekk2zk9ewja78iN9sS5s4yxyVcWBLt591ptONtz3/da QE0W8aPVyoztp8Xptoa8BIW208b/grChxyNdh+ACCQPiX2TzMzwLUuk5VVLCKNLlBnsQjUBvvuBf wCt6lt2GOX3Xg2FtIVCi9IvHunXko3PaIz6KX4YFP7EgvjarL+ok5stUFsxSPGFttKcVh8e6/2hK +xnBjM1ODVkgUbUelbKboI5sW3JhuznArD9uu7bJ+Akv2Kk0V9gvRhSvaUI9LZ191kCm06v8HIP0 tF0nHvqitkz1t8SXPdSTCiFhMsUdilWvTRvfObT4gfw81FtZzQ48jqX8kCeiWdYt3JayQGZoCqNh Twjfx0WmDUL0Jtk8FN0c+UxGC1GU4BCIpOlw7R/dqOiqIwGpkpZwo12/KezbLaDKP8QKK8q9jmef hobf9GDcAs6Ep9UkoV2SxwhbEW9AlA4tLsegw6j0/UlB9QhXX4h81K3vTpq+PObQrHcQo0BpjG8Q UmFMwmGhM0OdKu3hagHa0JJqRq/X5cURIY66uOHTeR6aRVZ1bPFUAAzhO7P+pHByArAUu7YCFuM4 dr26Rp4lWKjyL8ZqQlgNlemOWsNjfGvzzvoG5RG552iNEPJxemNNOE1etz/FGV7HkB34QwJImwZR pvUHKbQe0TkbR6JO6D2eKeuq8SJP19W6orU/daIB04J9DwyrNPjq7BR5M8MDMznSc6u0zBtRZsIv 8lDSHgU/duws11h35pjPWL6ZxEdBZ3wsY3KcmcgqDp+4BgF6/9wsCyMmsjWbVj957a3VJlt2L9vL 4kpe69FcNgfZP11yC6JdpG8wP9EY7gb1ghqriTkcoSq7+B4zJ6VveeWQKq37uv0UiBflZXfCGQ8E vhtll38DiUVj/3RMwlFhKAl7TsAVK6Pds01s2ZVbdFrhaqmlIv2lYHjf8UDrEVpnI4RKBKyL7jij lB0DAJ/zqYUoTK7OrsL7ECPNfxi9fPpQTK1NpLcl7khEbRqDEZpspybXS4L9QA8mevJfGkwZv+9H Qr5xAhxdDlW5iXHlD/mhvR2qzdOfZEhujsDBm4rmiSEYmjgvBBDJ70/QTuC7ybeEOm+pySKyEkU6 k8Bzokl0PR67Z4DTmKoYHQGhjpx30NIXgrkzD1urgaW1J7fpXLVv+sc4WGdYQRMrjNribEyKnMo9 raXA5HjVmFvcy3NUM9EMqRWYCkcl3YUg/4WStgDIdc9OJ7oWEvPpFtIFCdqOfSEikd47yvNLqTV3 A1SjDiEV3PYu3FPA+De7ITOS+aOkabCSkiDAoZAYhlJ+Oc2vNi7gJuYZaCU+Ws0xGC7PUl82ki0R rEzapZBsYFaFfcoQ4CjdfLVr4rYQeAYDY50eV5kMTCpw1FTTpUh3BDELwWA/44NbWOSs3S+Ch3W+ Ry8MfPlA6GiuCJ1NYSM9RDfSWxiNqPfJhwF5T5SCUrwnJnLiemKDQBvrBRD8QF3LO7Rcnjbs1CXX YyaPBCf5qK48yKCeQxjN28PraczT/5LIunKdydSS8jIfa1rYPVntTYl3fDXavXnjyu5fKIdqEEPM yVYiuoCSzTah8oYfmtwJSDj10G7hmZSfGHZ1lCHfz+8HTgaqTobNIOK0VVkwPBhxj2eE6z4IuKrC YSqD21HIHbidLoTOIEeZRqsOYZFV4lw+I9p7JR2OhBAIFuRLLJ4MBH5TurKKUqc9gA1pIeW/uv/G sGDmy2CIR8EpaOurR3zsf/cBNCNOAWIG7Nge5NVT6rw0y1XH9BmVZJEDXP7GUJfcQhX9VFduDlHZ yJu9+LiAQlLJacv1SNxtcA7PJ2XC8/JlNc9lKHrBGc4PhJGYFYL287OJa6xQPxjC7dyp0etmzohi DJKWa2ovI6T4WZxsIfp77bNgkGL5HhPUTXjuB7m6LsC28Fr2OMDnpPaXnxag1XUKcmLi0jJMdnTj aHJq0itoyGo5qODcidZ1BKn5TVTdxPBaBmcp2lxmXFaQN5s2rzcN2Tbqapaq1HYpU+dmFqyzIjXF CKCKWiLa0/OwHsexXaW70kEXqFtpE73azWXx2t/V42dH1jkSXcBRIbMp/a/Sx+OsOKGTd+SiExcI al2ojsCt9+HLmBFZmY+B0nbIyZCWGwCPiL9y+flsZ/R8DShJ05kUY31oF3Xck20u9J1Pr1bvGYST GLMeMm1chJSf+/W2WsMGJHrw0SYgeLuac1kricZjjbkYN/AVamuYFK1cIVjOIQl6GuYXa9M4NC8y /nguL/Fc+PVsh32muidOrgGcY+v84iEATIjawa/Sml5FR8EAIa6d1Dt0cp3VDkni13D3ULSadtII x43QXa01vh6hZKQEQ9jyDTiJo6Zd6GvItN+jHhvXg8icnLeZ5JXPr/7ZWHGar9EV/QxpJsyDpGZC EMAQi/uF8bt3Z7fFxL4FOVaZR7aHJVg8jl8LtTeUpTn9si6Kh2CkBvC/8/+b5zJZtQmmIFrH18qP 8fNd0cjWc5GUrUpxZEN2Iji1/MVmIT3/5q55iT5jxI7UCst/2jIGNRP4YXgS7Fm9KA8zwOvjF92H 8LuiJBu7nD6O8isZp3DQw3JQg7kbWc19nAHLP6OX/qKWT3RYCyTs6pDu+4nQsbNsjioslLX71Sa5 N3jl+Az1c2ZxGjhVmcVHGLXosyymQElngnHhLuHFFcvZxa6mO/NaugNoobuVIE2rh4eK+2Rsl8Kj MhyPlk935zFX18071nGqKMMn3snLgYcTOChRIXnbrHDLBLMy6YXoQadINolnXpY0bcH/tDvhKp2B iibjAmNtvp8Btr1mZmiCJgq/ZzsX3z3F4kjHz5feESKvJXDlgfq/CD4c4VQ4AOXNqUXXnrtiWN4B Pm+7A+sRnRBub3NxS3iQ7YOBXZ3vo4oTP6b0HPEP53xq7zwWavHJHQQnAaOI5ZU1PktZSNT2kL5X /SX7ViAqungO6qKoSfmAJgYd9DMh0NqSDcWUZnD54EbweY2fR1Grc2gh1D3sZlmjiz/8mJitltkc p+k8iqgbD4GYJ7y8ZcfHAIJHHKvdSMKgdSQziO9UWC5i4nBE4CeihYkCBNqzFTT9fPDeioKC+dwx vN1yWzKDSU9A5MeKaYRJ62G1bIeS57eRFhoNgRLeCbNWWdMlxvDSPcEduoMlCWnT4Yipe8SKsDIo HoEPSKmutAeDWRaHhlr+gT1wHXfQwzrDAzjk+Kt6zqQy4GiDsvv4PTmkCvqB+qFAFwnbKm9/cGkH BFb44eZHSwFUuXvJTftOMmSf4F9vcUwR50Y4fkZPy+W/b6q8GxpPDFLycThwMEWWEj4FtxStfAjc IJDWXXGrnzea1zKK3ZYAoULv+eCcfQ+MWbOC7c+I+O4nKoqHP9APljOL3A0Wh8jG1w8J43eAZjEx mxHH2SrElBuHyHEO7suCuSd2ZiQ5EHqyeb5TLeYfZDFMzq0OzIuhPEB29e9vi+FyxiHYXU8S8ZT1 kPzJoqJwmW8kcfGBGWnOFh7WYIMUH7vi3E1a4VTq1ic5ridgUxYBrF/OZGcgSE4O4e1mFAasNXXa cfVxaA75n5UShUTUupe+F7ETOaAOGeOav6FT8z+GbIwgGJjxYFlx3XQZiN1/9ATGsG1na/0XGAfZ 4NGgoHFu9cjpMF+orav+4HozuXPrhbgO0zzIJdZ3uKe12eKX834CutYuTVl0AM7rB8fZ6CvvDWm+ NJARMx+GLpXeYAtmZGlqJEYogaQVUhUO7OTLuiMAC1YmBuv9E3a/HPlzB7To6aroUUPqEjU3vFXz lS5+jdcGgwWjQDYPLHxg7gpC/dR0SwQPpm7BDyjxH8yKFanfv22X9y8BgEMEQOqGJMoGJn/f/tRd JiIaEyQHE8qFCZ8U6VD7loBnSZ/SwZkDPPfgx5JE9i12wOZQyN5I2Q9fy6rWmJrVhqe5+LxHg9mZ CxO3Y2DCqwAJT+b1i90N7uIo9DNxMyesg/6CLrY7fh9Kw0NjNzLRIKTYH0VUkhU1JAKpGQczxtEj 0/PE9UFCmF4FMhw7iVNNXElGT/Ki7XxKdhdD/db8Npo7p0QT4vIHXHRY7e8alKbmjbIONOTTP+Lj A4HTPc20R265/QAGyBsJ+xRX7j5lKw9ERlU0aeRaaSAbqH+GanI/QR6k7B1hwlRF19B1Y2J5A7Gs ftWZEsiyPt+APh3V0qPvqbaDqKv0T3duvnsOsYjh0MZ9VnkD6nrC80x8Xgl6knHnEpCOGMwher4l vkgY4AEyOofjc/E2usLYLsd14/g7W0HjbrW0By9ntBE+DDFT9iHgDRVD2ViqChQWP6kVIr7lJ4iy /u6QNc7ZzhJuDHXKxBI1A2XRx4jpZSBAjalxJikQJ8UnFvvzGhL6SyrB6Vpqs9rg9/H3gWLlaIJQ QuDt1XxUARpJhzGcIJI2rVLlwXCKuVq8uGdEMBmGOO74w2WjmGDnzRpZxVJ8bcqOYnzYo57Qw7O1 FPNOKQCxNI5+S9m71GnWWZemtKQ4ncv6MUMbyc8DY6UhKX6aPTbUMjKqlCXsQYyLmgVSQdIsfTmM pcbDNi+d7ZnnPjPkcxvFm/Td+KncwX3SBehI9pnEPSP7jYYLFUNLq6y5gQLCMEL/QZudh/zaTxt6 ZsP3PYvaeDocW/9AfYbUM1QBz6Ahzss3UmzPdct/oPxxNLwixuwrkpqjpwL8ZSNhOSsx9RZnCw+E wJoP4iXL2/9aRAJL5C+xNAsE5tvSYDo40c6cV5fZYAITmgO02WXiF91xtpYbQOmFinr0HZh9FwXb AN7NuQj4VS0OxvEpFrzU/3baop24UhdXxArjTFyN33z2hnSNBRTEsJkMuf2LIYjTQHKCP8pmMiY6 jtaiFiKBsvAhpk3pOHQjUdxAaNn2paBLTLIuAd41uFXAxzF6KE7wKM5ds9hGjnsoVfncwKKEvw+/ 2JcuQiWWn22HLcuxJ+f8whb0kYwGE7pdeOwconAJQ/yyDZErareB0fxLL4tfuNK6LgX9m4BAlOlx B26eAw9Uge/PYgssUsWRFB4zIPQ5q/yzrD/a9K9D7cg2kXvarWD7fiqr1zdpcR5SDeAO7QX0y3CN XDuVaa0LeOR+PS8+taR2W9iQxr4/0BadNyU6kbQqrBdqgVH37IhZKAg2OXgWObIRPoyEPOHpBZni 3zR81HBiCNfsH8Vonrz0JsfT73rvT5fOr+rQ0uF9mUT/s0EOj3AHzAIrpmp5BzcNLikmt7hoyX/J JfhJvb33KLQ9MDgag0R9G7m/ywThOHPCae2sIbGPPhJwxV87uuElKxlXtCBEZTNhOAOAbyMueqWj nD3LvqFjxd6Nm8Ag0tkEy1pcOvpQH9d0ggLTAa9VlqLJIx+77C8yUFNFSy8XA7djU9/TNYMmnfFS h384+Tu7hNoOSF8XamfOmXn6J2X3GpMCD786bbK78JZj7Vpf3Uyv7SD8ZOBngrQ25tCisfmfwJd0 aI5boXbMjRRNj6A5nNASLcMGjzmOR8i2LrKhW+jpUDRd8OFZ93JOKzt7Dt6TWPGR8adbJn/8CbBQ vidLrrX4AygjRoZVbxrjdRWvOSYc+/UdNj1pAdy2BbqmdJgeETj7g+pIgDZolnlJSIeAmLMDAj+y s7GS6AdiNbt+DcdToPsdfyd6lawUTaSgaeMgL3nhM3JxRWWihfPnaN+ENdgD9+vr+Tv1oF+HQ8Ew tSkeHZvzmQeC52cJLHY1ci9bGYgcXrkquFNbipDs4MYOl9pNhZ1q3zlbuclmqcEh45cmfGUD4huM w9tgSlV8tpkx/lI3IlJCYvNWPsxxciu27P79FChLrEnt2PjLZP5kOUyVK68qNnKriGCwujMjD6c+ qkoMM+qhoXv/fJcP1Ie+EyzvQ0kuWqKQw6wEcrCGh6UF/I2MfrNl9d3SnThfcIWQedrG3iKhVkhe xHV/hbLwlL1wpkjhKgLtBJyLrO92FsjH3bqABpsnZhv9huB2b2I8NMOLcMg43g09mw2PbLTf2sl0 BBFIezvOfNsQJ6tKKx3XfZQ8y3ENVH83vgud05cLzLuuamu3sqLB3r3qGCl4QuMPVsOYHIBL1hIc mTg/bbHlHPjdNWyrY0/lBRiUpQFIMMJh9K/h376Al4vreZT2Zj2816CH+s6M1h9yIgEHzgDBSM3k HGev7kulKcloBFSZkFmCR963OmsKV8+fyLezrAfFrpXU5CZcakur37j1zFXT5KSLLmM0dHAemH0H hhoVb5amvAytoPBSB2ab5hOXwKH0c0sX2UBu/f1+wbhY48N7/5YdkaxmgtLD/r8zNBUrvR9DC9zT e2kP6pCSxTtECoHJgEHkPqYoMu37XTsxPeTSY0Nxi2M/d1FaPS9hsP1QBtZKxiyDpY7jC9pWEDka r2pAD6LpusSoI6XHf1J4woBVKvd4SiiFwWy4q7z6pxvsGJmuC8WKkgkXPgrb34C6bvKDcFgZZWw3 zmwAHYbFaQnhBBYdYkwbIp4EkwKtZCe1YxA9O/+jRCAFDUKAambM6nrmB86hwG3+FAIoO3tyCmwf Gef3IdK/1cX/x3NYA6tBrrOF6Iak05Nwwb/GdLyXJbqycY8V8tJJOOgf1anWBmnF216jrwMFSZtu S8gnqWb256snAidbIlAcJTS4wr6GOiI/qkhdPjwwUw2a471Ow1oyXnbGDqi+24EfAGd7/G6kjhz7 QAoTAO1GRNi1va9qBF8jeyifNfePqT8Nt88Y5LbeGddCJp050+VuXMJWglp3+42M4SQHPjZwQDdR e6SjcnhNWVQZJwrV8QN/8vPsQzBk42IsUrywxa4c8gtHYLK9oQqWbeGMa/X8RroOq4qU7PZxy6ab 3oV3M0UX0nEZO6jSjKg0z0RkAOXGwTmKLbS5ta7vVYG53K+nNIELXSDes5tbqzkeRqzg2GJczXcV uwBr7KeSoeHfEoEH70CA4Vl2zhPrTa9HYoOMplXLfRFFOZR6g1Fo04sFiIIII98u++wOC7Wpy+U9 S/Ssy1O7yd3aw0CwldHpNwOeuXSXi2ichNwzwpjorUQiplnxpH/gKovLsXydZJFNEDjBxa9iqM2x GtDvS7xedb5ddRzu/u4LLWaxsfEbVmpcub/AumCYO49gXwcTEQNp64w3v11DCt1eiK+gKsSHm28p GwGqphqlz9rtaGKNwsd6kRdYKgc4A/qGXCN8PJ0lmorWugmIggKg7ks2y08fDz7vR0GxeBp1uNjB +nB5uVD6hzfczCULSSkijwDvnlEnwsI3ab5e+9Ng6iJ3Tatl5M6CMV3VXr3bLK99Yd+YFYk3uYQ/ 3J8hdEWC78/h2v+eW+C4Y4kdGOdpgSLgu4EoJ7CmwlNwPSsf17kiGcTnGDhcT4fq7lky03QzBADN H6u2kC8XMeFeQhsKWO7dkRL6IpOTaFHECLRJOBXmPTkuMG2reY4S15UIEQEXtfe5lnTVTv8CHvhH KWAKK0MkYkoWVM+yYQ5mrRtMc0vrXvhN3sJy6D+RzfRmwf9n/EUgNDNSZYrwal5NuM20+IXIyXj3 Ewo5uvLuGiywrlF6FvN39zN3tapEScNZdsL77IpFNWjh6c2vxH208LkXQ+D/hsz1QlevFuMX5jx5 bUujUqcRV8uicznbq5KjmUYdiEmKYukuKzem5JtA2IRxdInimjfRU0x2U5HecT4P0b4uzM+XS3VX CPvuLHsj6wSNd1Ls9vn1ji1/WY1L47OUA+dvWxpo33+mZEjT3r/+KjUhOUA/uVSoorcedtX9dSfJ Q0zB7m03A7joooy1j3lcvgSehRh4Y15rQ3XuvzWy/qBked24l0IpIZIoLLfNtWUOEDqj7TjXeVwA TBk3FCFLJK0bf9yLJ/8eivvEnnDpXCGH87/JTwFKhiKdK8jtFinjX/LqUHgI9qr4orJ2i1vBSqW3 X1aXAQlwG7icbVe0lpubh9rGGq++PxKSSU8IsO4AuNIGhoUbLaCPqrlIWRzjyRg6rju2EWacNmBi 95KUm0SigNqsuzLvTs8UL/Vm6fiV/tE3wrWx8XlV5ZqoA9A5D+ECcPQ2+7+h79J+3gGWVQV2AlGO Vlusf/nGvC6pgiwkG8nLgHvWy8r6vmWEOW27JFb+BKYAH2t6fanXys37D27If0HbOEitvYZHKsv/ XyxpN35j2Lg6CdZnHAdE/01IvR28RizNvHJNo6fZoLNIf+oQ8hpDectrUoLOGkLuidd5k7MCWLXi dMeJQCe+PXGSMjKOs/Rfo7YI/CCzZYYsXUjecq1jeQNsfSWlyl2DIfQxTvVLJ49+8etn91dY3dbG 41dNailX6qORRXeifDHTyUW2ETDUcGJ4d/bvlCJaPqCKiyeOVEdDAkOwzdFGf2/S6OWQe6b3KHtx uC8kOkTGLvL4VXW5qmjn/xKZqUHKLR+qU2nw0Zc1/l+qbKz781vV2BfjK9QqXurkqJIGJY3oHhrr PnUc4lQ6e0h/Mle5QYmGFRo/HrahG9D2C3YHRdprlG71v+iNtHpbQQzmn90QGKbougJCOZ2GapNE XH8Q0EJaWW1v9MQPtPyotS1UjZt8AeG2QYgn0K8MgM41Dr4nlxcKsgn3PLIG0WrjE1Ao/tewauzD +ITmFJSU7VhCKgvYidPsK0sFJq1IBtMZpLSflDyYSpRACQYloKNnV8tdIla/NYtn3YYJxChxRJMn RAAq6UJmKYnN6s8IFdTXzwQf6+NXP5s/AwCHg+Z6WtK78UstAZNshScCpqL72b+WoVZ56WFQEe9L /iBts27xoFL7WgdyyjQUWNzRqCiThB8tMTM3j/fkSzs6OaKFXC9chCxmROT19iL/9d522aR9HB8n wiJBwoaxO177Yg57fp0uFpXfuwB+A/p0QMU1yLzw+sYuT30msCLujWTX49S55c3mVnBZrcNPOc+D 8jga7ABjAsqCTD4Cxf5kpUehberjqu/GvntFfq48rvLHf9vSEruZrGS19OMW8cKFgjaCi5dtZvrU RYKegb/zi+s1hunPZRkXK+anFn2ecZrvu4Ey628LFiGtldE+r516x8JI3Fsny+OB/31ludRs5YSU E9QIslWio81if8eJG5wdnTd/GH+nksjbPF9nFLAHFa4I8hwk3eSDP4BVM4c+sMPLve2SRDmyXQsy h3l7L3RMytlEhRnzIlYJpfDpIxV/3dnudd4YzZL8UJxZvtH8eTs0xCnlR0DS/mcclzqnnCUlW+mk EVx8aGYjsaMOt8J86BGrhRlvXe4cm3x8qOoAfGROcTRep3F3F3VWjR9khYd1rP190hkkWhax2aCW XRaOEqD4F1WVUkBT3nQNEeJS5RbyMM8ApjwcYAlzBOmkNlAX7RxNbJ2ufJ8mnAf6N78/6/xowxF4 uM072/+nQupWpINh5qYYnLYL4P6t+DlLFeOxzvOjOgoK1iSUsVHe3q2fZCBfWvutNd1A7JcXkaV6 DIFQXWmlapq/QtdO3UXK `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/interrupt_control_v3_0/hdl/src/vhdl/interrupt_control.vhd
6
57024
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: interrupt_control.vhd -- -- Description: This VHDL design file is the parameterized interrupt control -- module for the ipif which permits parameterizing 1 or 2 levels -- of interrupt registers. This module has been optimized -- for the 64 bit wide PLB bus. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- interrupt_control.vhd -- -- ------------------------------------------------------------------------------- -- BEGIN_CHANGELOG EDK_I_SP2 -- -- Initial Release -- -- END_CHANGELOG ------------------------------------------------------------------------------- -- @BEGIN_CHANGELOG EDK_K_SP3 -- -- Updated to use proc_common_v4_0 library -- -- @END_CHANGELOG ------------------------------------------------------------------------------- -- Author: Doug Thorpe -- -- History: -- Doug Thorpe Aug 16, 2001 -- V1.00a (initial release) -- Mike Lovejoy Oct 9, 2001 -- V1.01a -- Added parameter C_INCLUDE_DEV_ISC to remove Device ISC. -- When one source of interrupts Device ISC is redundant and -- can be eliminated to reduce LUT count. When 7 interrupts -- are included, the LUT count is reduced from 49 to 17. -- Also removed the "wrapper" which required redefining -- ports and generics herein. -- -- det Feb-19-02 -- - Added additional selections of input processing on the IP -- interrupt inputs. This was done by replacing the -- C_IP_IRPT_NUM Generic with an unconstrained input array -- of integers selecting the type of input processing for each -- bit. -- -- det Mar-22-02 -- - Corrected a reset problem with pos edge detect interrupt -- input processing (a high on the input when recovering from -- reset caused an eroneous interrupt to be latched in the IP_ -- ISR reg. -- -- blt Nov-18-02 -- V1.01b -- - Updated library and use statements to use ipif_common_v1_00_b -- -- DET 11/5/2003 v1_00_e -- ~~~~~~ -- - Revamped register topology to take advantage of 64 bit wide data bus -- interface. This required adding the Bus2IP_BE_sa input port to -- provide byte lane qualifiers for write operations. -- ^^^^^^ -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed proc_common library reference to v2_00_a -- - Removed ipif_common library reference -- ^^^^^^ -- GAB 06/29/2005 v2_00_a -- ~~~~~~ -- - Modified plb_interrupt_control of plb_ipif_v1_00_f to make -- a common version that supports 32,64, and 128-Bit Data Bus Widths. -- - Changed to use ieee.numeric_std library and removed -- ieee.std_logic_arith.all -- ^^^^^^ -- GAB 09/01/2006 v2_00_a -- ~~~~~~ -- - Modified wrack and strobe for toggling set interrupt bits to reduce LUTs -- - Removed strobe from interrupt enable registers where it was not needed -- ^^^^^^ -- GAB 07/02/2008 v3_0 -- ~~~~~~ -- - Modified to used proc_common_v4_0 library -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v3.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of Interrupt Control to v3.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -- -- ------------------------------------------------------------------------------- -- Special information -- -- The input Generic C_IP_INTR_MODE_ARRAY is an unconstrained array -- of integers. The number of entries specifies how many IP interrupts -- are to be processed. Each entry in the array specifies the type of input -- processing for each IP interrupt input. The following table -- lists the defined values for entries in the array: -- -- 1 = Level Pass through (non-inverted input) -- 2 = Level Pass through (invert input) -- 3 = Registered Level (non-inverted input) -- 4 = Registered Level (inverted input) -- 5 = Rising Edge Detect (non-inverted input) -- 6 = Falling Edge Detect (non-inverted input) -- ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.numeric_std.all; library proc_common_v4_0; Use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.ipif_pkg.all; ---------------------------------------------------------------------- entity interrupt_control is Generic( C_NUM_CE : integer range 4 to 16 := 4; -- Number of register chip enables required -- For C_IPIF_DWIDTH=32 Set C_NUM_CE = 16 -- For C_IPIF_DWIDTH=64 Set C_NUM_CE = 8 -- For C_IPIF_DWIDTH=128 Set C_NUM_CE = 4 C_NUM_IPIF_IRPT_SRC : integer range 1 to 29 := 4; C_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 1, -- pass through (non-inverting) 2 -- pass through (inverting) ); -- Interrupt Modes --1, -- pass through (non-inverting) --2, -- pass through (inverting) --3, -- registered level (non-inverting) --4, -- registered level (inverting) --5, -- positive edge detect --6 -- negative edge detect C_INCLUDE_DEV_PENCODER : boolean := false; -- Specifies device Priority Encoder function C_INCLUDE_DEV_ISC : boolean := false; -- Specifies device ISC hierarchy -- Exclusion of Device ISC requires -- exclusion of Priority encoder C_IPIF_DWIDTH : integer range 32 to 128 := 128 ); port( -- Inputs From the IPIF Bus bus2ip_clk : In std_logic; bus2ip_reset : In std_logic; bus2ip_data : In std_logic_vector(0 to C_IPIF_DWIDTH-1); bus2ip_be : In std_logic_vector(0 to (C_IPIF_DWIDTH/8)-1); interrupt_rdce : In std_logic_vector(0 to C_NUM_CE-1); interrupt_wrce : In std_logic_vector(0 to C_NUM_CE-1); -- Interrupt inputs from the IPIF sources that will -- get registered in this design ipif_reg_interrupts : In std_logic_vector(0 to 1); -- Level Interrupt inputs from the IPIF sources ipif_lvl_interrupts : In std_logic_vector (0 to C_NUM_IPIF_IRPT_SRC-1); -- Inputs from the IP Interface ip2bus_intrevent : In std_logic_vector (0 to C_IP_INTR_MODE_ARRAY'length-1); -- Final Device Interrupt Output intr2bus_devintr : Out std_logic; -- Status Reply Outputs to the Bus intr2bus_dbus : Out std_logic_vector(0 to C_IPIF_DWIDTH-1); intr2bus_wrack : Out std_logic; intr2bus_rdack : Out std_logic; intr2bus_error : Out std_logic; intr2bus_retry : Out std_logic; intr2bus_toutsup : Out std_logic ); end interrupt_control; ------------------------------------------------------------------------------- architecture implementation of interrupt_control is ------------------------------------------------------------------------------- -- Function declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: get_max_allowed_irpt_width -- -- Function Description: -- This function determines the maximum number of interrupts that -- can be processed from the User IP based on the IPIF data bus width -- and the number of interrupt entries desired. -- ------------------------------------------------------------------- function get_max_allowed_irpt_width(data_bus_width : integer; num_intrpts_entered : integer) return integer is Variable temp_max : Integer; begin If (data_bus_width >= num_intrpts_entered) Then temp_max := num_intrpts_entered; else temp_max := data_bus_width; End if; return(temp_max); end function get_max_allowed_irpt_width; ------------------------------------------------------------------------------- -- Function data_port_map -- This function will return an index within a 'reg_width' divided port -- having a width of 'port_width' based on an address 'offset'. -- For instance if the port_width is 128-bits and the register width -- reg_width = 32 bits and the register address offset=16 (0x10), this -- function will return a index of 0. -- -- Address Offset Returned Index Return Index Returned Index -- (128 Bit Bus) (64 Bit Bus) (32 Bit Bus) -- 0x00 0 0 0 -- 0x04 1 1 0 -- 0x08 2 0 0 -- 0x0C 3 1 0 -- 0x10 0 0 0 -- 0x14 1 1 0 -- 0x18 2 0 0 -- 0x1C 3 1 0 ------------------------------------------------------------------------------- function data_port_map(offset : integer; reg_width : integer; port_width : integer) return integer is variable upper_index : integer; variable vector_range : integer; variable reg_offset : std_logic_vector(0 to 7); variable word_offset_i : integer; begin -- Calculate index position to start decoding the address offset upper_index := log2(port_width/8); -- Calculate the number of bits to look at in decoding -- the address offset vector_range := max2(1,log2(port_width/reg_width)); -- Convert address offset into a std_logic_vector in order to -- strip out a set of bits for decoding reg_offset := std_logic_vector(to_unsigned(offset,8)); -- Calculate an index representing the word position of -- a register with respect to the port width. word_offset_i := to_integer(unsigned(reg_offset(reg_offset'length - upper_index to (reg_offset'length - upper_index) + vector_range - 1))); return word_offset_i; end data_port_map; ------------------------------------------------------------------------------- -- Type declarations ------------------------------------------------------------------------------- -- no Types ------------------------------------------------------------------------------- -- Constant declarations ------------------------------------------------------------------------------- -- general use constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; -- figure out if 32 bits wide or 64 bits wide Constant LSB_BYTLE_LANE_COL_OFFSET : integer := (C_IPIF_DWIDTH/32)-1; Constant CHIP_SEL_SCALE_FACTOR : integer := (C_IPIF_DWIDTH/32); constant BITS_PER_REG : integer := 32; constant BYTES_PER_REG : integer := BITS_PER_REG/8; -- Register Index Constant DEVICE_ISR_INDEX : integer := 0; Constant DEVICE_IPR_INDEX : integer := 1; Constant DEVICE_IER_INDEX : integer := 2; Constant DEVICE_IAR_INDEX : integer := 3; --NOT USED RSVD Constant DEVICE_SIE_INDEX : integer := 4; --NOT USED RSVD Constant DEVICE_CIE_INDEX : integer := 5; --NOT USED RSVD Constant DEVICE_IIR_INDEX : integer := 6; Constant DEVICE_GIE_INDEX : integer := 7; Constant IP_ISR_INDEX : integer := 8; Constant IP_IPR_INDEX : integer := 9; --NOT USED RSVD Constant IP_IER_INDEX : integer := 10; Constant IP_IAR_INDEX : integer := 11; --NOT USED RSVD Constant IP_SIE_INDEX : integer := 12; --NOT USED RSVD Constant IP_CIE_INDEX : integer := 13; --NOT USED RSVD Constant IP_IIR_INDEX : integer := 14; --NOT USED RSVD Constant IP_GIE_INDEX : integer := 15; --NOT USED RSVD -- Chip Enable Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR : integer := DEVICE_ISR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 0 if 64-bit dwidth; Constant DEVICE_IPR : integer := DEVICE_IPR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 0 if 64-bit dwidth; Constant DEVICE_IER : integer := DEVICE_IER_INDEX/CHIP_SEL_SCALE_FACTOR; -- 1 if 64-bit dwidth; Constant DEVICE_IAR : integer := DEVICE_IAR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 1 if 64-bit dwidth; Constant DEVICE_SIE : integer := DEVICE_SIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 2 if 64-bit dwidth; Constant DEVICE_CIE : integer := DEVICE_CIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 2 if 64-bit dwidth; Constant DEVICE_IIR : integer := DEVICE_IIR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 3 if 64-bit dwidth; Constant DEVICE_GIE : integer := DEVICE_GIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 3 if 64-bit dwidth; Constant IP_ISR : integer := IP_ISR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 4 if 64-bit dwidth; Constant IP_IPR : integer := IP_IPR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 4 if 64-bit dwidth; Constant IP_IER : integer := IP_IER_INDEX/CHIP_SEL_SCALE_FACTOR; -- 5 if 64-bit dwidth; Constant IP_IAR : integer := IP_IAR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 5 if 64-bit dwidth; Constant IP_SIE : integer := IP_SIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 6 if 64-bit dwidth; Constant IP_CIE : integer := IP_CIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 6 if 64-bit dwidth; Constant IP_IIR : integer := IP_IIR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 7 if 64-bit dwidth; Constant IP_GIE : integer := IP_GIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 7 if 64-bit dwidth; -- Register Address Offset Constant DEVICE_ISR_OFFSET : integer := DEVICE_ISR_INDEX * BYTES_PER_REG; Constant DEVICE_IPR_OFFSET : integer := DEVICE_IPR_INDEX * BYTES_PER_REG; Constant DEVICE_IER_OFFSET : integer := DEVICE_IER_INDEX * BYTES_PER_REG; Constant DEVICE_IAR_OFFSET : integer := DEVICE_IAR_INDEX * BYTES_PER_REG; Constant DEVICE_SIE_OFFSET : integer := DEVICE_SIE_INDEX * BYTES_PER_REG; Constant DEVICE_CIE_OFFSET : integer := DEVICE_CIE_INDEX * BYTES_PER_REG; Constant DEVICE_IIR_OFFSET : integer := DEVICE_IIR_INDEX * BYTES_PER_REG; Constant DEVICE_GIE_OFFSET : integer := DEVICE_GIE_INDEX * BYTES_PER_REG; Constant IP_ISR_OFFSET : integer := IP_ISR_INDEX * BYTES_PER_REG; Constant IP_IPR_OFFSET : integer := IP_IPR_INDEX * BYTES_PER_REG; Constant IP_IER_OFFSET : integer := IP_IER_INDEX * BYTES_PER_REG; Constant IP_IAR_OFFSET : integer := IP_IAR_INDEX * BYTES_PER_REG; Constant IP_SIE_OFFSET : integer := IP_SIE_INDEX * BYTES_PER_REG; Constant IP_CIE_OFFSET : integer := IP_CIE_INDEX * BYTES_PER_REG; Constant IP_IIR_OFFSET : integer := IP_IIR_INDEX * BYTES_PER_REG; Constant IP_GIE_OFFSET : integer := IP_GIE_INDEX * BYTES_PER_REG; -- Column Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR_COL : integer := data_port_map(DEVICE_ISR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IPR_COL : integer := data_port_map(DEVICE_IPR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IER_COL : integer := data_port_map(DEVICE_IER_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IAR_COL : integer := data_port_map(DEVICE_IAR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_SIE_COL : integer := data_port_map(DEVICE_SIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_CIE_COL : integer := data_port_map(DEVICE_CIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IIR_COL : integer := data_port_map(DEVICE_IIR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_GIE_COL : integer := data_port_map(DEVICE_GIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_ISR_COL : integer := data_port_map(IP_ISR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IPR_COL : integer := data_port_map(IP_IPR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IER_COL : integer := data_port_map(IP_IER_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IAR_COL : integer := data_port_map(IP_IAR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_SIE_COL : integer := data_port_map(IP_SIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_CIE_COL : integer := data_port_map(IP_CIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IIR_COL : integer := data_port_map(IP_IIR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_GIE_COL : integer := data_port_map(IP_GIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); -- Generic to constant mapping Constant DBUS_WIDTH_MINUS1 : Integer := C_IPIF_DWIDTH - 1; Constant NUM_USER_DESIRED_IRPTS : Integer := C_IP_INTR_MODE_ARRAY'length; -- Constant IP_IRPT_HIGH_INDEX : Integer := C_IP_INTR_MODE_ARRAY'length - 1; Constant IP_IRPT_HIGH_INDEX : Integer := get_max_allowed_irpt_width(C_IPIF_DWIDTH, NUM_USER_DESIRED_IRPTS) -1; Constant IPIF_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC + 2; -- (2 level + 1 IP + Number of latched inputs) - 1 Constant IPIF_LVL_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC - 1; -- Priority encoder support constants Constant PRIORITY_ENC_WIDTH : Integer := 8; -- bits Constant NO_INTR_VALUE : Integer := 128; -- no interrupt pending code = "10000000" ------------------------------------------------------------------------------- -- Signal declarations ------------------------------------------------------------------------------- Signal trans_reg_irpts : std_logic_vector(1 downto 0); Signal trans_lvl_irpts : std_logic_vector (IPIF_LVL_IRPT_HIGH_INDEX downto 0); Signal trans_ip_irpts : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); Signal edgedtct_ip_irpts : std_logic_vector (0 to IP_IRPT_HIGH_INDEX); signal irpt_read_data : std_logic_vector (DBUS_WIDTH_MINUS1 downto 0); Signal irpt_rdack : std_logic; Signal irpt_wrack : std_logic; signal ip_irpt_status_reg : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_enable_reg : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_pending_value : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); Signal ip_interrupt_or : std_logic; signal ipif_irpt_status_reg : std_logic_vector(1 downto 0); signal ipif_irpt_status_value : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_enable_reg : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_pending_value : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); Signal ipif_glbl_irpt_enable_reg : std_logic; Signal ipif_interrupt : std_logic; Signal ipif_interrupt_or : std_logic; Signal ipif_pri_encode_present : std_logic; Signal ipif_priority_encode_value : std_logic_vector (PRIORITY_ENC_WIDTH-1 downto 0); Signal column_sel : std_logic_vector (0 to LSB_BYTLE_LANE_COL_OFFSET); signal interrupt_wrce_strb : std_logic; signal irpt_wrack_d1 : std_logic; signal irpt_rdack_d1 : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- Misc I/O and Signal assignments Intr2Bus_DevIntr <= ipif_interrupt; Intr2Bus_Error <= LOGIC_LOW; Intr2Bus_Retry <= LOGIC_LOW; Intr2Bus_ToutSup <= LOGIC_LOW; REG_WRACK_PROCESS : process(Bus2IP_Clk) begin if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then if(Bus2IP_Reset = '1')then irpt_wrack_d1 <= '0'; Intr2Bus_WrAck <= '0'; else irpt_wrack_d1 <= irpt_wrack; Intr2Bus_WrAck <= interrupt_wrce_strb; end if; end if; end process REG_WRACK_PROCESS; interrupt_wrce_strb <= irpt_wrack and not irpt_wrack_d1; REG_RDACK_PROCESS : process(Bus2IP_Clk) begin if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then if(Bus2IP_Reset = '1')then irpt_rdack_d1 <= '0'; Intr2Bus_RdAck <= '0'; else irpt_rdack_d1 <= irpt_rdack; Intr2Bus_RdAck <= irpt_rdack and not irpt_rdack_d1; end if; end if; end process REG_RDACK_PROCESS; ------------------------------------------------------------- -- Combinational Process -- -- Label: ASSIGN_COL -- -- Process Description: -- -- ------------------------------------------------------------- ASSIGN_COL : process (Bus2IP_BE) begin -- Assign the 32-bit column selects from BE inputs for i in 0 to LSB_BYTLE_LANE_COL_OFFSET loop column_sel(i) <= Bus2IP_BE(i*4); end loop; end process ASSIGN_COL; ---------------------------------------------------------------------------------------------------------------- --- IP Interrupt processing start ------------------------------------------------------------------------------------------ -- Convert Little endian register to big endian data bus ------------------------------------------------------------------------------------------ LITTLE_TO_BIG : process (irpt_read_data) Begin for k in 0 to DBUS_WIDTH_MINUS1 loop Intr2Bus_DBus(DBUS_WIDTH_MINUS1-k) <= irpt_read_data(k); -- Convert to Big-Endian Data Bus End loop; End process; -- LITTLE_TO_BIG ------------------------------------------------------------------------------------------ -- Convert big endian interrupt inputs to Little endian registers ------------------------------------------------------------------------------------------ BIG_TO_LITTLE : process (IPIF_Reg_Interrupts, IPIF_Lvl_Interrupts, edgedtct_ip_irpts) Begin for i in 0 to 1 loop trans_reg_irpts(i) <= IPIF_Reg_Interrupts(i); -- Convert to Little-Endian format End loop; for j in 0 to IPIF_LVL_IRPT_HIGH_INDEX loop trans_lvl_irpts(j) <= IPIF_Lvl_Interrupts(j); -- Convert to Little-Endian format End loop; for k in 0 to IP_IRPT_HIGH_INDEX loop trans_ip_irpts(k) <= edgedtct_ip_irpts(k); -- Convert to Little-Endian format End loop; End process; -- BIG_TO_LITTLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Input Processing ------------------------------------------------------------------------------------------ DO_IRPT_INPUT: for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_NON_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 3) generate edgedtct_ip_irpts(irpt_index) <= IP2Bus_IntrEvent(irpt_index); end generate GEN_NON_INVERT_PASS_THROUGH; GEN_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 2 or C_IP_INTR_MODE_ARRAY(irpt_index) = 4) generate edgedtct_ip_irpts(irpt_index) <= not(IP2Bus_IntrEvent(irpt_index)); end generate GEN_INVERT_PASS_THROUGH; GEN_POS_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 5) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk) begin If (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then irpt_dly1 <= '1'; -- setting to '1' protects reset transition irpt_dly2 <= '1'; -- where interrupt inputs are preset high Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS -- now detect rising edge edgedtct_ip_irpts(irpt_index) <= irpt_dly1 and not(irpt_dly2); end generate GEN_POS_EDGE_DETECT; GEN_NEG_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 6) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk) begin If (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then irpt_dly1 <= '0'; irpt_dly2 <= '0'; Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS edgedtct_ip_irpts(irpt_index) <= not(irpt_dly1) and irpt_dly2; end generate GEN_NEG_EDGE_DETECT; GEN_INVALID_TYPE : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 6 ) generate edgedtct_ip_irpts(irpt_index) <= '0'; -- Don't use input end generate GEN_INVALID_TYPE; End generate DO_IRPT_INPUT; -- Generate the IP Interrupt Status register GEN_IP_IRPT_STATUS_REG : for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_REG_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 2) generate DO_STATUS_BIT : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ip_irpt_status_reg(irpt_index) <= '0'; elsif (Interrupt_WrCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1' and interrupt_wrce_strb = '1') Then -- toggle selected ISR bits from the DBus inputs -- (GAB) ip_irpt_status_reg(irpt_index) <= (Bus2IP_Data((BITS_PER_REG * IP_ISR_COL) +(BITS_PER_REG - 1) - irpt_index) xor -- toggle bits on write of '1' ip_irpt_status_reg(irpt_index)) or -- but don't miss interrupts coming trans_ip_irpts(irpt_index); -- in on non-cleared interrupt bits else ip_irpt_status_reg(irpt_index) <= ip_irpt_status_reg(irpt_index) or trans_ip_irpts(irpt_index); -- latch and hold input interrupt bits End if; Else null; End if; End process; -- DO_STATUS_BIT End generate GEN_REG_STATUS; GEN_PASS_THROUGH_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 2) generate ip_irpt_status_reg(irpt_index) <= trans_ip_irpts(irpt_index); End generate GEN_PASS_THROUGH_STATUS; End generate GEN_IP_IRPT_STATUS_REG; ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IP_IRPT_ENABLE_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ip_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') then -- interrupt_wrce_strb = '1') Then -- (GAB) ip_irpt_enable_reg <= Bus2IP_Data ( (BITS_PER_REG * IP_IER_COL) +(BITS_PER_REG - 1) - IP_IRPT_HIGH_INDEX to (BITS_PER_REG * IP_IER_COL) +(BITS_PER_REG - 1) ); else null; -- no change End if; Else null; End if; End process; -- DO_IP_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IP_INTR_ENABLE : process (ip_irpt_status_reg, ip_irpt_enable_reg) Begin for i in 0 to IP_IRPT_HIGH_INDEX loop ip_irpt_pending_value(i) <= ip_irpt_status_reg(i) and ip_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IP_INTR_ENABLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt 'OR' Functions ------------------------------------------------------------------------------------------ DO_IP_INTR_OR : process (ip_irpt_pending_value) Variable ip_loop_or : std_logic; Begin ip_loop_or := '0'; for i in 0 to IP_IRPT_HIGH_INDEX loop ip_loop_or := ip_loop_or or ip_irpt_pending_value(i); End loop; ip_interrupt_or <= ip_loop_or; End process; -- DO_IP_INTR_OR -------------------------------------------------------------------------------------------- --- IP Interrupt processing end -------------------------------------------------------------------------------------------- --========================================================================================== Include_Device_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin -------------------------------------------------------------------------------------------- --- IPIF Interrupt processing Start -------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Status Register Write and Clear Functions -- This is only 2 bits wide (the only inputs latched at this level...the others just flow -- through) ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_STATUS_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_irpt_status_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_ISR) = '1' and column_sel(DEVICE_ISR_COL) = '1' and interrupt_wrce_strb = '1') Then for i in 0 to 1 loop -- (GAB) ipif_irpt_status_reg(i) <= (Bus2IP_Data ( (BITS_PER_REG * DEVICE_ISR_COL) +(BITS_PER_REG - 1) - i) xor -- toggle bits on write of '1' ipif_irpt_status_reg(i)) or -- but don't miss interrupts coming trans_reg_irpts(i); -- in on non-cleared interrupt bits End loop; else for i in 0 to 1 loop ipif_irpt_status_reg(i) <= ipif_irpt_status_reg(i) or trans_reg_irpts(i); -- latch and hold asserted interrupts End loop; End if; Else null; End if; End process; -- DO_IPIF_IRPT_STATUS_REG DO_IPIF_IRPT_STATUS_VALUE : process (ipif_irpt_status_reg, trans_lvl_irpts, ip_interrupt_or) Begin ipif_irpt_status_value(1 downto 0) <= ipif_irpt_status_reg; ipif_irpt_status_value(2) <= ip_interrupt_or; for i in 3 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_status_value(i) <= trans_lvl_irpts(i-3); End loop; End process; -- DO_IPIF_IRPT_STATUS_VALUE ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_ENABLE_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_IER) = '1' and column_sel(DEVICE_IER_COL) = '1') then -- interrupt_wrce_strb = '1') Then -- (GAB) ipif_irpt_enable_reg <= Bus2IP_Data ( (BITS_PER_REG * DEVICE_IER_COL) +(BITS_PER_REG - 1) - IPIF_IRPT_HIGH_INDEX to (BITS_PER_REG * DEVICE_IER_COL) +(BITS_PER_REG - 1) ); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IPIF_INTR_ENABLE : process (ipif_irpt_status_value, ipif_irpt_enable_reg) Begin for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_pending_value(i) <= ipif_irpt_status_value(i) and ipif_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IPIF_INTR_ENABLE end generate Include_Device_ISC_generate; Initialize_when_not_include_Device_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_irpt_status_reg <= (others => '0'); ipif_irpt_status_value <= (others => '0'); ipif_irpt_enable_reg <= (others => '0'); ipif_irpt_pending_value <= (others => '0'); end generate Initialize_when_not_include_Device_ISC_generate; ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Master Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_MASTER_ENABLE : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_glbl_irpt_enable_reg <= '0'; elsif (Interrupt_WrCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1' )then --interrupt_wrce_strb = '1') Then -- load input data from the DBus inputs -- (GAB) ipif_glbl_irpt_enable_reg <= Bus2IP_Data(BITS_PER_REG * DEVICE_GIE_COL); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_MASTER_ENABLE INCLUDE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = True) generate ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Priority Encoder Function on the Interrupt Pending Value -- Loop from Interrupt LSB to MSB, retaining the position of the last interrupt detected. -- This method implies a positional priority of MSB to LSB. ------------------------------------------------------------------------------------------ ipif_pri_encode_present <= '1'; DO_PRIORITY_ENCODER : process (ipif_irpt_pending_value) Variable irpt_position : Integer; Variable irpt_detected : Boolean; Variable loop_count : integer; Begin loop_count := IPIF_IRPT_HIGH_INDEX + 1; irpt_position := 0; irpt_detected := FALSE; -- Search through the pending interrupt values starting with the MSB while (loop_count > 0) loop If (ipif_irpt_pending_value(loop_count-1) = '1') Then irpt_detected := TRUE; irpt_position := loop_count-1; else null; -- do nothing End if; loop_count := loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last interrupt encountered If (irpt_detected) Then ipif_priority_encode_value <= std_logic_vector(to_unsigned(irpt_position, PRIORITY_ENC_WIDTH)); ipif_interrupt_or <= '1'; -- piggy-back off of this function for the "OR" function else ipif_priority_encode_value <= std_logic_vector(to_unsigned(NO_INTR_VALUE, PRIORITY_ENC_WIDTH)); ipif_interrupt_or <= '0'; End if; End process; -- DO_PRIORITY_ENCODER end generate INCLUDE_DEV_PRIORITY_ENCODER; DELETE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = False) generate ipif_pri_encode_present <= '0'; ipif_priority_encode_value <= (others => '0'); ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt 'OR' Functions (used if priority encoder removed) ------------------------------------------------------------------------------------------ DO_IPIF_INTR_OR : process (ipif_irpt_pending_value) Variable ipif_loop_or : std_logic; Begin ipif_loop_or := '0'; for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_loop_or := ipif_loop_or or ipif_irpt_pending_value(i); End loop; ipif_interrupt_or <= ipif_loop_or; End process; -- DO_IPIF_INTR_OR end generate DELETE_DEV_PRIORITY_ENCODER; ------------------------------------------------------------------------------------------- -- Perform the final Master enable function on the 'ORed' interrupts OR_operation_with_Dev_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin ipif_interrupt_PROCESS: process(ipif_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ipif_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_with_Dev_ISC_generate; OR_operation_withOUT_Dev_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_interrupt_PROCESS: process(ip_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ip_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_withOUT_Dev_ISC_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Interrupt processing end ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_WrAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE, column_sel ) Begin irpt_wrack <= ( Interrupt_WrCE(DEVICE_ISR) and column_sel(DEVICE_ISR_COL) ) or ( Interrupt_WrCE(DEVICE_IER) and column_sel(DEVICE_IER_COL) ) or ( Interrupt_WrCE(DEVICE_GIE) and column_sel(DEVICE_GIE_COL) ) or ( Interrupt_WrCE(IP_ISR) and column_sel(IP_ISR_COL) ) or ( Interrupt_WrCE(IP_IER) and column_sel(IP_IER_COL) ); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Include_Dev_ISC_WrAck_OR_generate; Exclude_Dev_ISC_WrAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE, column_sel ) Begin irpt_wrack <= ( Interrupt_WrCE(DEVICE_GIE) and column_sel(DEVICE_GIE_COL) ) or ( Interrupt_WrCE(IP_ISR) and column_sel(IP_ISR_COL) ) or ( Interrupt_WrCE(IP_IER) and column_sel(IP_IER_COL) ); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Exclude_Dev_ISC_WrAck_OR_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Bus Data Read Mux and Read Acknowledge generation ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_RdAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GET_READ_DATA : process (Interrupt_RdCE, column_sel, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_irpt_pending_value, ipif_irpt_enable_reg, ipif_pri_encode_present, ipif_priority_encode_value, ipif_irpt_status_value, ipif_glbl_irpt_enable_reg) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_status_reg(i); -- output IP interrupt status register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_ISR_COL) - BITS_PER_REG)) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_IER_COL) - BITS_PER_REG)) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_ISR) = '1' and column_sel(DEVICE_ISR_COL) = '1')then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_ISR_COL) - BITS_PER_REG)) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IPR) = '1' and column_sel(DEVICE_IPR_COL) = '1')then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_pending_value(i+32); -- output IPIF pending interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IPR_COL) - BITS_PER_REG)) <= ipif_irpt_pending_value(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IER) = '1' and column_sel(DEVICE_IER_COL) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IER_COL) - BITS_PER_REG)) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IIR) = '1' and column_sel(DEVICE_IIR_COL) = '1') Then -- irpt_read_data(32+PRIORITY_ENC_WIDTH-1 downto 32) <= ipif_priority_encode_value; -- output IPIF pending interrupt values irpt_read_data( (C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IIR_COL) - BITS_PER_REG) + PRIORITY_ENC_WIDTH-1 downto (C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IIR_COL) - BITS_PER_REG)) <= ipif_priority_encode_value; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1') Then -- irpt_read_data(DBUS_WIDTH_MINUS1) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_read_data(C_IPIF_DWIDTH - (BITS_PER_REG * DEVICE_GIE_COL) - 1) <= ipif_glbl_irpt_enable_reg; irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Include_Dev_ISC_RdAck_OR_generate; Exclude_Dev_ISC_RdAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_glbl_irpt_enable_reg,column_sel) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_status_reg(i); -- output IP interrupt status register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_ISR_COL) - BITS_PER_REG)) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_IER_COL) - BITS_PER_REG)) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1') Then -- irpt_read_data(31) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_read_data(C_IPIF_DWIDTH - (BITS_PER_REG * DEVICE_GIE_COL) - 1) <= ipif_glbl_irpt_enable_reg; irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Exclude_Dev_ISC_RdAck_OR_generate; end implementation;
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/ld_arith_reg2.vhd
15
17134
------------------------------------------------------------------------------- -- $Id: ld_arith_reg2.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg2.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- -- ld_arith_reg2 is derived from ld_arith_reg. There are a few -- changes: -- - The control signal for load is active-low, LOAD_n. -- - Boolean generic C_LOAD_OVERRIDES reverses the default that -- OP overrides LOAD_n when both are asserted on the -- same cycle. -- - The default width is 32. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg2.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 09/01/03 -- First version, derived from ld_arith_reg -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg2 is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0; ------------------------------------------------------------------------ C_LOAD_OVERRIDES : boolean := false ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD_n) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD_n : in std_logic; -- Active-low enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD_n -- unless C_LOAD_OVERRIDES = true) ); end ld_arith_reg2; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg2 is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else LOAD_n when not C_ADD_SUB_NOT and C_LOAD_OVERRIDES else OP; -- when not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ ------------------------------------------------------------------------ -- Adder case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_ADD_OO: if C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Adder case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_ADD_LO: if C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xor arith_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_SUB_OO: if not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_SUB_LO: if not C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xnor arith_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_OO_GEN : if not C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); end generate; MULT_AND_LO_GEN : if C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => LOAD_n, I0 => Q_i(j) ); end generate; ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= not LOAD_n or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/ld_arith_reg2.vhd
15
17134
------------------------------------------------------------------------------- -- $Id: ld_arith_reg2.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg2.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- -- ld_arith_reg2 is derived from ld_arith_reg. There are a few -- changes: -- - The control signal for load is active-low, LOAD_n. -- - Boolean generic C_LOAD_OVERRIDES reverses the default that -- OP overrides LOAD_n when both are asserted on the -- same cycle. -- - The default width is 32. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg2.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 09/01/03 -- First version, derived from ld_arith_reg -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg2 is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 32; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0; ------------------------------------------------------------------------ C_LOAD_OVERRIDES : boolean := false ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD_n) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD_n : in std_logic; -- Active-low enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD_n -- unless C_LOAD_OVERRIDES = true) ); end ld_arith_reg2; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg2 is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg2, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else LOAD_n when not C_ADD_SUB_NOT and C_LOAD_OVERRIDES else OP; -- when not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ ------------------------------------------------------------------------ -- Adder case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_ADD_OO: if C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Adder case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_ADD_LO: if C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xor arith_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, OP overrides LOAD_n ------------------------------------------------------------------------ Q_I_GEN_SUB_OO: if not C_ADD_SUB_NOT and not C_LOAD_OVERRIDES generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case, LOAD_n overrides OP ------------------------------------------------------------------------ Q_I_GEN_SUB_LO: if not C_ADD_SUB_NOT and C_LOAD_OVERRIDES generate q_i_ns(j) <= load_bit when LOAD_n = '0' else q_i(j) xnor arith_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_OO_GEN : if not C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); end generate; MULT_AND_LO_GEN : if C_LOAD_OVERRIDES generate MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => LOAD_n, I0 => Q_i(j) ); end generate; ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= not LOAD_n or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/dmem.vhd
19
12333
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OJrNPv25gxVf6MOkMLDXm9qPvzcLiFn6cGPtPoJyX0DRSMUs1CiCHluul8VfoMGYUnRu9NzC2pDa fD3Q+Cro6g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OO53+YxV1fz+fdQXiBafTL0TfU0s578DnGOkBDgcp0ZiS8qBHyL1R2PISafYfK37QZ2xP9F0gTav +sG2DKzZYRShUhSDZBSgMOYpY7yZxYTXlswORtjPSorUAG9VDaJFPSJUqemfgu4AY+n/BsniNBx4 zqFaZSDmDQebEViRgn0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Qtwd1yFLlmEutFKAPe2eqNz2v7W0I1lWfaUYyRoJyXavTq0FDRoJFjh1vw8Id+dlXsCh4QCKBOe5 q6ztRPULauE2vnffEDrTLD6uStkKikAcWpHaB5kHv8W/IU3+JNz65HQM8j8hOwGUzUSaTQzI6Edd Kua78SuOo2L/RNS2CApKLh4UlLjlkL69KZuDAj8Ds+wPTUwjY2h3tf4V0N6PH8lPAy9xJk9S3EgQ ni8vjkjW6lK8he+zqjEtOf7IEGhelGexSOLg0dP3NDhMEcaxfcI7Zo8kOCl3C+GMy2w3TEyTZkQr 3WrfN9WllC++Z6rNtRNAqHVgNVA7hObPvyuA/w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y5YBoFz+YhLFw0DE8aie27jXEk9zfvZg7zgS29dcVa80RbYJrtSDIAboa1ixJiDhfiME1gY5XfYR MSxbx3I2ZAkTI/5DwNAjKseDEksXdqu1CBQcg+U5NxNg5wWuw+vr6DqkJMxvZoI9BhjAErRu+2EZ DgyTp7XS17TjzQ/Lk3I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block amEW+kSm8JLnUlmLoRCPt0pU7eCAirRawwzTZA3XEOaldjEiNg3FqPsvTGL5ScrzO4MhYsVv9max 1PQJ/lU1FLIUBgG3vy1UPm9QWkUIWp2rve3mDkSCfvDRku+GIP+/ziqovgiDyF46b73fS7Mrb40P ha2QhSaORrSFucLp3v+D7rdh8lKmMq3YY+qxM1KZEpdfbausR1NP2yVxQP/t1g0w2pAjiWQM7wT5 6xmmRvYxl+7EuZQkxaCLozCO1ELg5LiuQuDVfKRWPdTIjtVbbBvnn/eTARAw8sh6+JXXfmhauCWF cGkCTU9noi1D4Z3I/hvgJ8IXztgyejVNBMRBwQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7392) `protect data_block 7/CHN6N2UH1l6E6FCkyUkzICfhByXDc9azx99x+IRkP1jzvnuxkd4l7BZ7L3sZH8PRANNQ6xZilc Vltcg3B8PJA25Tv4iO0HrQDrvVdLy37EhkuoH7lW7VBWZpVqjFnsAlSSexqKKxjpnPH7434xhKDA /6ccROuxfa6rxh6i5XXa8TNQNIUNuxDq6lmj5U/Kk+CbspZYivGgt0Y8WFuWhYAPQgkcJU6ut66P bGbag7OnRmB8HopIkw2YSire6SSsALZ1dqSTyP5ovMsoCdHYiN2Rslv9+sfFSxNjjlwQPn7jcOq8 QWtOt3pPVlmgFF+eHWuOOam8/OlTT1rbt7w+XvLiSr6u1EDZEXHDo6VQwn6opPZoeumlO/Usd5kd OR1NuPkOeoBGWt1Ix3SSdNUfFn5/85DIL1qOFD9JO7aeOOS0odfcizK9jQdSHyZ4/2QkzlePT6GM waEhX8YCgc6dIFVCqU1n6rBNqoe3S+Gk2R3jTHKCt7LbUqFxJ5j7+H3cq74V9GIYD9B0pCDGGQMs thkHF4PgNUfXjhErhYct/2GG3sbSAyRE/lm8MJlPFBYy2WCUrq6o9Xt/vUz1gZUymYjdjX1AHKaB yC3KGUbTPg38j6w2xy3ifpgC5UWP2PKrGLEX+3vuVySq415fXrXJiONR/SU2lWWNroa2r6if1Qdm f2IC7UU2iAyUGfDVOOKww4ZuPAWSw4TuwUo/jHp70uH8Ut1vzY/rcpQ6DGer4QftQDwmfI5dyiY7 ZAj9rsOEYvPEFur23yFIKWL/p2cGpJfXi/dtru72fm7qoPs4IzmLwdxoc6Ux0+Xi7WQgSXI3thTW 6K5Sq0a7kEASLA+BUmlQW1rHV87hdqtpBc08NPgzckCMELtObFidPCfIajvbmI9D61czpwFUoj+8 TkZiBX2RsZeigI+HbEXtJLNg08Nim6lBrftJHrg1k9MutRu022mTMVog86clnIf/oRBnHnlbzJsm qYw4S40aRgu/CfbazZjCAek91QHjH4FY47Js/o7cbBAlnrIPRZLLmqBP8lNRog9584xI6qQw69Sq /PvoIoNGDzfxLgpPEO8upAFt50CjbpRMeYrLGKiB6QJ9UTRpzn+awHFaNAcIYiMYgnAgvRT+6BQ8 qbb2nqkKFq3q7dwRScXzAJ4o6ij44rzrbX3yol0bjq+nb3WJwRniz5Yx8B1GWdGNQdRQHQf1kuf/ qX9IzcLVLIkALqtovwgFrnF1UWaVM7C6zoyS+Y8OeXCQbyFM8kY1CESRwfM2PEMf1DzSr937YEbf r7y/7PW8DFPzJqUfDFBKjNh/A8QB88jiw8D5Q/zt+hJre5PRpJUME+Mdulk/ZQvj9mcW+w8nI900 X2t4gbpmfFJNXyLntPnOekknG92uzQ11NccWz/4ky9weQLHvjh+X9iiLdXSdo08VfT2KP+u1Mmio o/0EXUIGyH5H5T9DSsa+TBJVLEcwFQqi1cyiTkFzsGXEfd1J70m0edCHyq/FJpnXgQ9ro6Wpk0DP 6Urzcr2QjDRgu61M7UiQHf2th89RhZhQI3l2e5DkzZbklPDOOEUgQRUhDOUq75naGIh6dt4f7pxl 9lzn+Lh+G1Mx6AXI6DGqFXhpKOKq0f0xr7QFbz0iSoQzrJxSlKCJj9CJmCUUy1Vy4IBp9njwIddL RX4AruYwpeKeDDRwr2EWj11+NJ9TWY7MfKkIiha9+D6bob2qQnhNTSahNj0oxDB9WUsdHKC37Pgf HWv7QHIyS3LSAAgSGOHLELz9lpfQJT/dNExMWnCzCedKZS2kdPNQBqCSuQg11pe5oN001/dJxCOu GQVQYT2CjWlserXRcPH/bdyg7Dm8bvpTo46pFNRQPOFp0M6xuZpTVCBdml1K/iwSQGW/4LTNc5BN KLi9rBFDmFb1nTl7d4MGNiWmFGZ1PmJbHF07k675AAXyfDzUe5Sj40EW2hWDGzf37zu0PsjL7Jv1 AIXOnCc1dkOHP89Gdfy35Kp6LB+4k+rmt8sjGKUC0qgF8JFs/Rb4t2NdAGrKa7uFn7QK7RCXXtDC 1OoMzUXnAX7i3vLtCQZOb09lAKaDydeaJfvAOemiUDjgVVNluTcxxwKdqUD0CtcYWcmPdrgOHi24 Ufm+4x2vsWjBWnMHTIoBBCebRYg8SKUEYu2WVuxxCyqtg6u/xlvn7xcA1Jl45rDmr2ibOEpNyM8H H1zQLMQTXaUfKJ6sWCy9tfV+anJ2YPuIgYdYjsdFBclrclSOMes59rSOnwjLX8rx1j2SlUr8DuJm P+sImqduhMH8aTXRV8CRfyNC2HxWGOLM7Cxv9yiMal6fLTKDJ3m/e/zPL+OWbt8IOMQAoOA+bvYE oZwHBdzd3eeWwVpTZxIC3OAe3d1+sbio+Bdv+bVL6g53tusqY6H1GEEaE/MQbSGZYHUGfwFEPPeI BEhqAtBPoUUw9sC8832SRFxe9Y7jVKIQpnq/SQ4sXaCw0iMwf0QEBNhq2oKifN+efDmt3vdPTNU0 HVQ+tFPy3kruaS1XnVLUenUkUILSps/2xLXW6gP1mk9p0oodtNP4CCohGVJ2hIS8QKcqERlKDaNd a4lQ2k4bdjHa+Z8049EHNT/vZp/W/PtfsBGSdg9a6E6ZKnvTiNMB/RRtpvYo3p7QH8O6ggRzwfdP QwpvxoMME7GwylQ8MkirSy8E0qtmexuva9OMnbrpMbq+OnI3DiwBk4CFRgp4tobKWmGmvKKkNMMp 2p2ptBZPV60h12HCf9DhTvsNltWuXeG1T45d+XB3cwKyyN3nkGYSVmZc2G3obk/4q5N2kG9mJLwE HytI2C5gJP9Rt/oxhqPTEze2J0V07Sn9clw3QKynuGZ5EV2V3EIZ92vAQAvMiuvY0/42CN59YDpi EDN1FbCPTS//Tu46AhYIvM+5SZ6QGWAnqlHfq1FhYWifihYj3eBWIBVChwypBNeW55HCBN+MhDfu 5VK0rAm5NHCOiH6kRxAAbN0H3/ndng97UsqPlP8ef01xlMh4Q4o9Zb/W8/n/47UvRDt819cZaHVL GaRxm/9BxJrvMVLsTZ0BTiRiWvoXNT4LKbFcNdYHT246nNTwyRow/leBhN2udaBvFufIQwTPxFQC GWKox5YPcuBOqkQ/fXiEnW53z4dw6uGkd2SD5Zd07tNnuACibHEuOInX/4aGBH1vS0gIC3wMNEZO m7Zg4+2GD5AQbD5RmvaJMMpl+p8HrwleWoNIRNNe/ss//4wbB9noO0n7WbKgKcUWovcEuZfjU10r aJTqMy2nA8R3aqApwoc2jwqiWOB9DSvMeu8VQjrwx5/HduaE4nUuh4mq0x8l7l+SYX6jPC/WHmE+ xL/5OpPdNdN988DYGtbzY84+6QzT9R4U5PUT1lVL2lC65RHpFkI2wiFP5B4Z+XMIXNkd+VAxskEc AQ1DDmqLNGQCTq3PjTZ17yKYpe6ApgtzzYoTnj+wX5SXuRyZUtJCDkAtkIMgAts3PLdFjXHttaTC X4JrRHE+ljm57Yp1zJrg/0Orf9KmL5jTySYRodlJYyCm13kTM0F0bUVhE9QeXORh8/YA4NZRxMnG 1SLs5eJaf6FS8BvFgKfNbnWCjQD1PQVD3eDEo8sXLLe90boVDnqLaNlzkyT2klsc5Bl0bi4V+ag1 cwlvX/Yxb4edJe4fEvmhOeO6Dg/B11EPm9QENnowni0dWcWB2kq5GEnU8QnjUd8Ltn8Zocm1HhgO WYzJrURr6gt3PrJrqXNBpHerf8A04MMWV3eA6mp4AzJg7J/Xe88Yng2SrTCzuTB3dt+zyAT2T8tN G4tchM3aSTgEqR8DoLrUimql+XqHZoLbbQ9ZfRZ5wX/icrWHjfjsiUJv+bcM50QOPGEIuuzzu0Zc rLD4fKaTOWnyENfZosfS/k7NFyoaI+gW2ZJcM3BRSGPidk30xc8zSF3X0vLb6xBxUiM9GWklZzcz 28PQytdAqh3G8lFDEfTiPDpIfBm5YUNr+3wta58tBJvq1tHd/w4ssONAG2/udlPVAxCwCqZkhL1P 4YPqsGCwHsj1EF1yH0RM5gtqN1gwjDc7mxDOPFFPv2hPHneHkTn/NDWUu51bElCtjYct8ZokE8Zo B3DVMXhJwsmhyQX8Etk3CxgK2DXCE5dNc9rapR7JwCfT90a+u8e15kpkzdi9cV9a2h9j4MI43LFT TCKeZ0ebCUr3891Af/jFTRF/UOCSBqUiehukRsAXlCyzKpVD35rCs2qY5K++5lMW17u6/pnAazFq EYG9gvZa2m22T4+QsYP8zmVnSzLw1vFE+8JAxMnKePLMVyq4ii43pZ0R8xjNAhdCw90gg2LUrVbT tfbY+PnuNpNvdsAGOyhE9qUWvSDkYQbTyBn5QoPYD2HkFRWsu+iCDjFG5hSpzGR1jwM4dCtj/DOv nmRkHNaLQGH9qoP6xOi6uoZ9TG0XS8P2ACaMu3+Pgp904TdO5EaDphD7U01GlLbhU2X9N3h97fhj 5syrw9mjmsawzIxd9Lehlu8BS3jQcf9iP8nsbOqQYWdRC7YOejIcxjvVJMD/GPPiGFnO100Xk5Br tms7rGq3ptY/TmV/VZHmEbZJ/3ZNImfSqX2TH9LvrnZ7um2nAvyXD/ZWisgjg/yH0EvOIBD+GKgp 6z+0Yyfb2LAZxPEbo2uBGMY38x1hPIgLDZIIXYq+Nd877CbalxjhV/mDHkoOk1w1ajL6egZ6v9BO 9HH05xlhrCAe8rdiIKwE599hp1OQg+VxXeBIK+ZY8fLGnB+45Ms3TW0f9/+oFWzCXpaEUsJvMiQd sDN99S6puB75dnKQlvtStuk1sAIQYZRfDxWOqTc9OnkKPThQ/SnTh0OoEfsTeF++psa6UlKt+wwv 6og1R5PZRbvcwxgsHhDnB3zfwtzIyXqAX0HzbU3Kg6aM3lFVFE/65Q1E+15RFRfVTMfLjTiGorbK ObBM2T38SNPefnCGu/Oqm3yW6pXnGgGLTfUkQl4ARyNHFk86XSepEaJzl+/9ed0vgsWnpNyLgAna aC+QTV04nBkialQYYJ8k+cVMy3UtpTQqTF0ei0eOpjj28OFtzccwtcegUQsyzF/ZwIqk5gmNQgX9 ujG9X0b2YbTM8L5EIkk6xE92hpU37PAfm0LoMztPPOWhqlyzQURab+D3ZSigc5t2gIPqFdp7n6Dl NnNgkuadBlWw7c6fGnX16XnEFuvepCWY7IYmMHNrpRT9Sf8POrav5UJV5LCEcK0hUC4lTGR4xvs+ RRXVSKL9yfWVpKI1UmY218EB+wbzvIVQZDr8gcAc/lCTKRmoFexhRtdGv3Dzd7+jYK4FtqOaQxAM 6aGmEe7jp7WPy2ktWyiBiPaFfhApc9WV1JdLZNfreMQp7ULUx4Vkm3Zn0AyjrbC3/3kjJyQxYKD8 OV5Nd8eTPyRlUyr+ccom8BshhKuvWLw9brJzuSe8fNO7fCyLcCi9A7crDIr+U3s3UyG0bl7VULiO gz5VQ0rm2w58c/9KYRX0HXX8W6lh+efmwfWM34BxESMzoBUZDX/p+lsMCQrQS8Ur1JUMu4IeA2S6 xI4RjvPy5fToit8EjAVVsyUzQpQpoocOrL6AI/DSa7xlqzAyBOHUfnVouz7U7bLl1jhSXQvVb2te N9BKFYtPHDfb65dLEGzyO2hQ5Ei+BQMgLiRJ+UmuEWwcFL5ibZRS+5UikU4zInRFk97Rg1CgVQau qbMfWlfwro9z2Ua32F5GyiEaBLAVHUH7pINQlULTAo7ZN6R/SXRDe9vciTnX2KnG84nMzmBma2zH xZZ91UBs51GmucIeGKJp6GO2TavSwVhtVrg08aCKidResxEwe3UgCjOs0ljjn4W6gbGSbz7QyQIN g8z//RpnoBunhrS6FxqxdR+vET63hkOjf1oVxMCuBCdyCvt9UjvVMOK1ruQCkli8qKoZnNDrdcn2 CixhZR5v8emZH50wU9FLzlqeYDx5ODB8CqF2EPFuU5Lv7aZNOxBrGmyM0QiD6ePPc8ekIrCnV+kp Q5EWm2Fl8yW7w/zqi0hTFymXBDv8HZ1PN5veVw6MKW7baakc0v261M11cZpPX96JoYz2rJo1dmfG 57kHFQEVv76Lep4m9JLXdufYrZVCiIuNUuDWMuO22/mAF2r9ZMte3oxqVjYiLewOfly5BEfGWEHC jGQ7wXF2n0KNaZA6PRI9xlekJ4cT6tUpNW5vpn89Pmz4ZYxdrfJrwf+ngWjbBTQ2AiepFUbOPGlW lOeTc9UjXJUx/jnwxBf7FrpwCNhzJDHDGHTVXMDKiJeQ8RXkeBd6+NyECsJ9WzCO2hmRGX7XkMxS Zij7vCJN7SYp664cIc/vZaTjvYwMyBGHsACOSF9jEQddPHW96tsPEQHdJD+9WX/aYHl/UsrW4uyh ODDUHf/rqmPLJCoQ/KE7s2F6pWUxSAfCTwja8nSaNtpO8LEY/15TlUCvMsa3aBBahp8aGGzpiZgX SzZhCGw7wkepV3k+LCACdWY4wqMoMFEIw3Df1h5xs7QOQLcqkkLD9teOajrS9qoDuXtQ2+5uD48w LCU2IeOBrCLiub43l5nj6BYXwP9J1ufR4RGJB8t6ce2AEPaRBkAOz1xOgj7ZyLy/JjWn7i2pyB5N l3j39FUqMbrOA4V9ORrmw5AvGK2dyg0mxJHzwQ9a9AKjKd6uxk1Wlf3SmFisCzINe+m2qLMAlihG +1acKmd5VmHttqdUv1Cz/JcleQfJvyynJNXFlCWbrgTIbFASiUCCdhPLmLXnmlX9lBEZ7yTunyec w+H8RjfGSsSuJX8Eik94AGAy6crxvG5eUZKDwauw1jyRJpLfz6vzvxhkPQbkS99v4eCwpnn5gyUQ x8FlwoWNfkQpLX7fbUYW4nVUsy2f89K4xK+btkHaIuhNwNMnErve5Sl6ytlV8+jbNqExDWwuvOGi a62BlZ5nZ1ubWTihN4DSqJOAAyOYXLnd/1HSus/scFuRlq1rNGAt8GDU9rFKKrkJBSlmZ7EFp26g +kQrdyhpwDDsfgXM9iI3RUeANOpNVQ2COxchAnYZdwtlkshI7RsWi8sHOsruK5mbtJ0ZHOIiKKYM tqkEFmXF2eB/6FzSCSjsIeoS9j2yBzvYbFVk7mRq404y5oEvSqnLt4rxjJwNBO9gDtRLSf1uAQYS Q2kMNqhbDWFs4XOtvBFwfJuQIDH7dOAr+n9xCqLw6fkyxnIy4bD2G1VkHaWClbuq19RjzMeN9/G6 l/lmHKscmqkmlYxCd+mnv9X/NZdvTzRJxPvfy1HrHg1A+CVUIpM5YrM+wEKHZj7zdXONyxoesyds x6u15wIyRknObXT1adH8O4i/5PM2J2EpHOfa3bb4kk+ESPR7dsg0fLMDvpKrkz/sfajgSFZRogq7 StNGGioHjZcKgcvboMraVc4L0GZ38i65F/50/ETRMjSJatkHnnjBv/f6nsNPNMh1jWHd4wVy2MpZ DHHzmuujpyREW9QCfR8z2e7vAdjloaQ9qDLNiGVVe9s5fWIyd2UpFYQSsiJYfsXKtj1mI0MdfNSd YVGNvOKj5S2NecjaZtjQXIIWTopnmlLbwOuEx73+kyQxso4UGCxBHpbElxf/17tNThP3PDK/EOsr 2766VhJQJpUVj1pFeVOrvIwf2crEJBh0BCv108cESd/3zDMU4mqx+DIjXYDTXLf4gIWuMGchTNdB SH2lINkPsFHIqQaeoa6uFAOx48BqPrakd4KAlhI08al54Y2WMMFlNSpf1o9iitRy1SYDVmObBV1E vYRwbhXOnz1fKfyeONB1QeBOs13wUoMBKIzS3k8bxi/g5uDhXq4aHm6khlhsRdwpxH7nM04Yr4v0 UTvoZbfoPz/wkmZFDGD41NIbbtDh/2nHPPBCocxl3e4dORB7mznvwqezUHaHj1sOQr8ihIEnGI5R Z7ebHqAhdt/nkM2+da7QYC7zz0bezEODRY4/oD+hy/+LFjJ0mZT5hX/ylcjGKUVoTr6uyeA1y9j/ ODLe64plzJzWWDkyirzPi60Oz5hDozPXfxAgicUnS17ub5YTMN1Aj0f+QdrPieNvk42YA4ouM0PV b5BTzA+cYsgNvKvaMa1i2J6XPYROCci+Tv1kvfs9D5Up04vQrl4CyiDyuVc/q5O+DTLpLyeZqbWX 7Wp6Sqc/UudtTcekB/kWGmn6xhm5a/hT/0kL/9+2+kUlnXKwVLB9Fon0KR7D0C+cZg7Iz9kQtKpj QOiXBE4QepAWqBMdTmjI9dE9bJP5wAkPuakE2aYXvOsBpjwL4lMbUUAkgyvL8Nu3vLgJvRLQOvoY IL/mKv20+EBFuszHiXRT2b8mt/RnxkQvBQRJ3l6DDn4Z/ddlZNq4wTtRJp4Q+VCXqoj/atjVKFyv Qf/cpIChxCtsLCSC3H+H2VmLstwMuq7AOlOzAQBmFEYybdBqA0AP+Gc/BfjWA5+qGf+Vdrhvtewp zjK83+PI+usdin3xBiAXJiSCsleRbNxZvEH8PsfmW3+ORjijYWpVQDmoEX/OJT7izKMQ0nHMP2VN Udnj1U/AldJHKD49ckDSYWf6eQVAp1La5xFmcLo+1sqLaQJ9VwKkJSH9NmVUXP5CpBFlMGjjc1UX uBGKCqKhNDNL4gFL7HzVDmsToaJOZk3rs34CWsUGBZqw3m01BxgkhXSkBMLPVjiX3sUoP9GMquMX Rm/DLfi12NcDdMXhqCM8577vKGwvE3JZkNcVAzf7FIlYnzsA8QxqUqfveN7fztBZNPIOl3YNWyLd HXKaZTpdLusl5W2O2J0KmA4EFNZA0UYwHuf+Gt6h24kVhVcFTpULxWF72Os7JviqvZZpP2toO/9u bB5Vn7qMbuCoEvTHitc2Dk4/p3AOu7HfQHYUTWouF7UEhsCPRoJjjUMPJ+jxGh5MvOUdcOYRVp6q LF3zlN7S8K1qIlt6PSOBuDr4K/E1GXOyznRpCAtv5zIctYbtNT6r9OQcucjrZd9qIYt6q6Pu9Nf1 QTDdElKQGxwD4C6ifiqnBgveq6uKJWu4A6WwNe8o2RhzA9iIsoRqNlY96IXbc6ScAHRPo/1g/UTj QoO4p67mAOQ6lFJMp3WKeYD2r9uR1PrpcrQnHMeKhsohFWIlJV4G66ibM2eyZgatqw5aWc2IkmAB LJ3mgEWKpTaQDNCZTQ1ylXrWTZnMQkzzh0nv/rDTjqqQuMXLlWsRI2RIhQEzB6Y0C5EPUc8Qghqz fgThbwHmRk3ht6QqkoBE9jFDTgt8qcwTcoj57Je0uRGxiczafOqqUSF8071yK2XUHJd1i7JIPiso iEzTZOaTf2RhTYKwjHLu2D9LykDalStMFRAOEMAMQNXLBFwBPMz4Tue4KB8eKgaRbbQOKtic5ryy Ga58VKWPhg6sr/PafSb+QmRag7enYe7CwFaOYJ8+BgNun0leGrbXpdWko6MS9FXxwJ5+E727QQBo uHVsNoNV1kWwojmL0PhVX8IS8bJYmNdRS8+ZIP59SzUN2rrh828tR1Zws0FGt/dD07HEe8DKaFic XoFebLyUwMFr0dk3m3kfAaQ73+RswXecCI7EjifYPpl80oA3DL5BehKkIeSNQcj5l92qkeaJFzS8 gbZ23ikMpQZmv8MMU3hdW6Y6lqP95ryBzJsnujsXBtbDTerMJb1AJjRs3UZu8gFv6TxzG0c9yD0t tyOipdiyu9zUVdys+sUU8cmIW8MF6W3V/1XweARPHcxxU1wACGqkE6bhMti14Mte0GqXU+YrRr4a rKtvLpAElW6CSppFRTw2hKXUm+3OQJN1RR11cVaRGxwQJ4gHGnwp9wKdQH6N3RhWMi8/797S465i iCHl7cjRE+YowWsWAzR7JImS3s6MFo7xvPcGa2kLueiRvt5KRP1F `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/builtin/builtin_top_v6.vhd
19
52905
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hB1MkDF7gDUjtp9+r0pYANUYTDYvtQO1sWNXspOA3ppM8SYB929/qlOMzanhENZQcOQ3aiyEm3Wb ozapXP+k8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Nob9JCRq6vcsk9H9VmyBE86hdNvS8BGq2p8Ka7dLN2J7EaHNc5IAaDkHipJixlCbGOjVeeUZyKme HUzNgZTvjzVoRv6O00gQMvGJEhPJ3XxSJAOF+OM+ukp/m/tTtC3aiC1VdkFrdu6+fpapkZIb8cKo kmCmWqIF3vlM9zcrSOg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qx+ritZx2pDvnekLOZeaFDvpDAtmg/hs096HU3U8xSeFyrj9v1CUwvI97hgO9fhp5hx7CLb4dRhp iabDmveFs8T2afhIu9MmAO0ZqxUS0SV94sOYT5DwWoTjy8BTwRuP8Xrs/EEWKwKuWJp/Wjv7M9k+ wpkev7gSf92vj7uOWX6J6ECKwgIRjUGLc/NIrHrXqaq0yVd8j9fP6cvhVKR06OMq6U/6hMqO3Mwi SQI1xdCXs2NXbTiCZKqVDbSBBvTJTo2cH6JXLB+E/g9NyF0e+z7oxCuyReCUVFJ21DVUfLxU3OhZ gXG23tcqWGm/l3ZWHVqrETjEni8mwIO1yFoO4g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IXrSnaP8yioZkxR461AE2w19esRr4/fF4dA2RHFQL4fY5TpvMbkL+7RQBJ9eOLT5OFH1DsXcS+My 6KW+sTOsl2ndsfe3ttRCDI7Oeo8joeNZ8xJuwUGdOxtV0ae9PUAaVjkgDttLOomzNLph4uCXW202 bI3eFzZlGpn1iGIKiFQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iqW10+NxHcU1vbwMjaJKEOrgcrSi68eS0/IgZB3xPrIkkojO6+T2kz9ISwjr3CN6PcPo+hXCdZn3 Q3TnU/fMPFYF96Bkmhtr7AtYZE8GinVZHXJyKmm5x7dcsR8FyNv3nSOE/XYU/dyZhfnBj9H8LA1H EJZm8T3/SQk6AB6tpXwh7kVAfE+bMsPCp98Fijzd/ynv1FX6O6GWv4CZpIVUKm7Fr8lIGCex7lCq foNktfSIPTqF27RC3UxvVuy2VPf0Ck+rGl7pVu7l375TxqfmSlC5QxbXyTQ1NByeHr2LVJZwC+Xp 5uMCktl5vyr3uh4gEJyZSJlJ7E+uSrhstePVYg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37424) `protect data_block +O38wPQTjinp7aSSNPtlZhIN9SxS9B2M1vcmifHDcdn+/6TGx+b/MCmoWrnJ1qY4PcCpASOec0rW ipQ40MZq9zgRxjdTSiKnyt31TyPAiflBQ32D/zN1oAbiLWyCzpvhBN5LpsXO47AoxoZZU1KERI+c W2xNqfyXdJ7edQRc668KOl3zuX6YUO0XcPN5O2Ez3M8Ix12FEcYJkRLlCJ+OVoxGTHSXMuFa1MQm TpzBfLyqw6tPFQwjW254guI6HBije2/+ApCmVao4KG8tIYnLJ0syxqkgZhN4HJHu2TAubBgDnLsj zqG1Z/hcRmCe8mjw0XFMQwvvJzl+7HysDherJBaAYKMQAWwEO35+Ehd7pm6Z7MIp+egAvhxyhZkl wbHEvQZ494xeFw/09Ffl6XELO8pO/l8OdYqEKaN51ZM6KDsxLXzwlxmwZcwoP4GGPSMCNP+vHiEb dhuyS9T5MV61UBw67xW4ijEdZBteRynJB/boGyGiAbC5OUrdkI2ZLAhfu3pThPXspBEFHZp/mymg 7PvhGAXj34YQ1fCEFN3cyDhb3xLs36PHp9pcRO7kKpjfMxiIWNmPwCBfEb2O58rTfaxFyGvsayRb hQ9i8Zu4zh+svJKT4SY4Y1KOiwX/qOsTWXrjL7edAO+cKfN7akgLBeF9Ix1otBNX7rww140IFi4J ZdaFqAt8tj6pK5MgonH+JC0vwndwb48bih5xnYWPvB6ScXqzpEHT2HySn3AiL9N/fCbjAvY5D5rg PN+AIF+7IzBRtopCMjai/AKR8P1UIr/Bd/0zLGA3Bpgxne47GFiDIDTxmqkgFEckKxZTKXfW00PJ Mpm5R13ltdSUz1KHboqI1q1AzNzADdba3/FAexlEjo41F1VllRiMQkWvjUcw5i6F1+QjAdfxq1Uk JrY/R+Nm3oV8Iu1UtHhcx0FoNYLVrhbJcj4pm6FRjS4cxrLU/ljT5DYuuT6CWqhC1ZNzZ3pBofVA al5Wb3UBXlrhXPvzmRDatmThOilAzIfD7OliBSvEZOhqln/oniFyfaw/mF7lS+zBQFN7tUz96cEi 1BvCqgafSRk+HdP5lEUMHbrTAxe5yQqGTQVE9mkZpmzBxC7QiL0fDg/wnXRQATfhRXokmRxHeTXH lTfHHCELrsKrb9dm3W2WvfVHkiXphCsio4Tmg9HX5gjUFvz0jgEKIklFG8f0MSlArgz14xVcv6zC Y4IHitm5patGZ/RWurEwsEDhHoUeceNISCZNywwSlVLMoi5Q1K4lHVnT95XwN/8DXbkLzt9VoNdY bDsJhyaLpHnukaPMT2jWJyNvQ3FhgrhLyxgR/7VO3e2m32v8tuDchtuT4qQd6KQo+tGWvw02N8XY E93TvPMwhCc6mSSe0ZmRSL3pHIh6XJK39tTGlEesD6ijv+xfMlyBaMpbR/6JyAyqpXsh4YGe9vYT LD2E8nbiSCg1MLfW25B3/M8N5nfJrREqvcQawG4VUcudcW204W5Bkt/d0M2ThQFrXzdyLJ25Pgt7 /8jh1HC6R8T3sNyo6DVK0w3YXr1Qfs4uogWqaCDAn8Jv+txubLl5w+lyk3ODO7BZLT5GTUPqRBc3 aVIMdOakiy7fymUoTVOCRfR6PY7BVjfvgD3DAIskqvvzUXSmMOYoK5mUC165tdTBZOKOfsDD/ovx DMkTfxVUJARpfTs/G2F4CzQIxgBuoGKzrD4QDVIUUMGd9pC44CvnzJfM3XCobjWs0+CmrRHgv7Ts fnGQr56TtVYqX7QsDNOTsvuLxkKB2wmqTzSHc5++Y1815JTjKQKN/CfnZVcufsqFfjmwjfGJkjZT hp421glThlKL/FrhLyQ5CAIDdPZ73z+xPickTmQEJOb1g7gWq0fa4r0wOgB7yfIS6FQ4CbD+rZVU HKcxZ4Tql4rZxJai63n8BnuhPc6L6Pltz/2xECD2zMbd9GgijSgZXGdUDvhm9G0M6g8ylf9HcDd5 GhnHv7+o3cbL35M1w+6hzFnHg0BG++aVzdSOAP92yYbbVyZxdqew+yuy/tBZMkSaZU8KRjF6ZJsv bknsTVqGuM/FIyHAObFNr9rGT8qZAmQ70aWKDs4p08jQDMbo6/pzEwfPB29yXsg00hcBcW/E2cz2 KXaC94/0XnXwkEBgc5WwfVHx7WJ9IiE3VAfyV4/rBHkwSrBo9Z3gb6oomeR7b2iCLVL/JIOb2lcw CGwnSxbS5QGNxfNXmUyxhoKwru3prbPhCgO3e/9k3ZRWEs89i0eJ8vj+gK1oDCX2bCei+RhzamNa 04rbzUFma6K7f6v4LJx8nreG75tUuqlDlUNAvP9oXzPyZLpmzhNOJlsCDgkCn2l0X4nW4nMpDLYM RsUj5yVZiwX55hZgk0rxPxx5b/8Ssh1jZtnte37MaLnt8pqKHVmRiwkKlWONNvGmI4ZB2lBp8sO8 fzhGyaBGz6YuNbE6w91yu4+Nx8Iix46MO+N3Pc1Cd5GaS8VNvF164kpbqWDfjc/H5y2icrnzmXOq AuyuWL6+0d6kQg5vWti92SNkcKMBAT1GiKDbzgMk4eFpn2qm+CEnA/I9uXBPXBCYDHauMjojbDtK xVDPwQwifEX50tGp2O5QjcaTFqj3pyz2n73efAnwDKOSDjMVl4xDO5FTevINJKJOvLlyjOic7Eox OHlZq8Arxc2XIUpI/AITtQ8+zu4xsX6UAD258WQlhdf9blPRFNkPee0Jiajjg+zuS47zzRq/l5nK 7dgNgmKdM8RbvkKYR8cJTTphD7SxkBRYJqpS8Q/hUeVcZAxiISDYuZUTM1LH1brqHxbByb5ud38k tnXh/ugqFoj6P+6b/8JgRt9JSNUeMlHmu5E34gQ6noHQqD65vzPcoMxLo7bkP4rIKkJJYv0plRci TI7FIVndCjWm+i1c0BgIPj4Oxf9IhRJnankhWLbemT0ZQXe/pHzqXpQpFvdp0GNVqI6QvnKN9g3G n9nF7RtUxAWs8rP+BudGxqL0crMhWUaA9VnSNHxSBpnJLF0jnhMO2LVn1+qNbKisyncuB2bV2nU0 Pd4y8t4PS+/YH34SODNPyF+v5D7pH3s4GD1g3Q1NtiF0rjRM2O5Zits0ehcgvw6Qb8wsjMUQBRUM sWKf6zFzPa6/5yymWl/X3+p5jYqOjOSAxj5/r5H7zbovZLTlWSO70QVUo3ZlQK6dvCTOTFOFuiJ3 vK4lZcC+OrGzPHBh8NO+GBQPrnXBaUcONJKgVgIVMv7RB3OMV5ge3Kdw/dAC8Tps3bsVPoMfe6++ KwtKvdImmm/VhVRymPeveOK2t8Pm5iRSCMKdzVyx1NwPdI+ryD3xc8nOfZ3Eyml3sx/6gkcNst1r Yred71246/weZRtCkF/UPTXGVHX8c9lLyAF/ftGqiXNdxECrYyJdh8xa7LooikJmCH7Vkunqi9lm 12Wbzt+HWlU3Xt8P0maFweRBODKIrRtqBUWTlxHoTfL56+sLoREQXi6odWEkmrnW2MDh66o9AXgs xHQlNvgNWMbCqEd2+VOggOrGL8zP3kT76+hpltJjsC0sztfm0XgttpXHwyr4dtS1RpLRzGIYrtg5 T1Bu/5ek4XwmRZS/Fb+PpofVyRlkireI9z1I1kwj2VbnJB4H0tgblP7cCxz59PXUxhLZaNhnwZOZ 4/llv6YBYbujviBcm8JykB81x8sCkU1NZJ4s5bHMCYUM4mhNXSHmvQYdbAZTAeKfZgyr+sEdO649 vbq4OgQX5PIz5GQK7oOoewFj+gpkkk8UKhEFSXza9J+WSChJPdI4MjGKHEDIkxh8TkL66VtGtsaV daEh9CJiygB07alb+O1nVL2Vs11104jHB/TNJQK3fZrOyKmsUdifKx6AB4FnJNY/BtLrV9tvIVa4 jXrLjlHuzbA/Ynqk+d/ZqiDgHoBfUbhePH8pZpmKgmNOzUJtyru4r5syehGJv0Tz5/j4f9uQvznw 5vkotyr+GBEy4JxHvcjd6p5qjoNB1p93nHvhwHwtJrTxdnMP3vALKcp/ogIwUOZh2EfbvTIlVAfS hZve110CrF6QY+zY0hPIAeEVOveK8zOkbZuHo0s0/56wPymF6fS9R62rgBNVZ37TXQ6zSJmZvU8j 01ZHufvR9bENSliS5hV8bcZRbhabWhxnRXa1iFKpkYIQEVljbQP4bXyNZFRh2fbUA+DjOi9OxPez 4qfYI/+85W62TQCPeoyQbVhb3C575FLd4YkjkepWysv2/5inKwShccdOaCI+nmoHgce48lYbBD2x HD6FkOAX6awV+fCEn5GfzbK9z85mmKhNTSCzuGOzBUUHvdT9aAmsqmHPDgtzsJApdXGE7uEN1PJH +u+jP0DxbFcLB+zAG/tMSb1Uc1Dubu+AkWMUeFz30VhXKQ/bgTO4nNdMwLBWliyY0JMDwdbmNh8O AFS3oS8e+7I6vdFiJwmOh8VuW0S0NOyQR5kPg/Up7CnK/s0aPnT5KP6D/FCUiWks91wH+p8rJiQZ gZIxRrV0wjh4oKBI1YR73+msg73fDlo7ThKY1XAAF3rLFH46mtuh5HpTnUYrvwv177WiPUYUcNF9 EsHHsH/W6DRDXt+Luq0lV37SgjucONxulPHBYFqKLA393sJMUP+GFXbROvTwpbEaqKqSo/oZsMyu eTNEXgMSJYji+TPGvKVInpR6/3oqpb7VFnrnAwWac9zWnXOD1DzDqtbP+22ceTBaRyrWcQYzxCob m9bY1rtQ5hG2QJehg+3OC2qutWT0FRPs9ETJE/NhLySfWYWqmSgaAJtbXPYwoHBSEnd8I7e/OFMI tifjGb1wTCIwxIBwFWxOJyqz7M9aUlwvRqvPyf3xouHi81wl3F6RafmlS0358ZS12tkTdIQRyWcu Tpyd4HPEAADrI6CfuXAWIfJOWEkqCDit9sbq5JzHIH9fRUXl5c4KHiyQe586VBRC30QTIaMwpviK EiPLJvIb6zvHJ+mEOA/IzDxElsvAiv17SwuI5Wi7Lc/oOGp+5OI7YZKe5RpGeat1btLyhHzbL50v r8E94aeiegPh0ypQVqAx0qsVIhuZi4fmTco2IzIhWbmqoxa5X0eLI1KkLc4ULVytq0Kcn5N81Kbo e/UkR6+b38yIqKrolVwyn0WnELYCykv1Y30+j7RjprVKph/4JNR9PatH8FjqINDwrPJ+Pzh7xZZE M/pa3c9/cX+Fv7QFZmeZTMvtB5vflTstnwKLFMC/0AxHme28L9DPfhbvt9UhoaQixWcP1EQRgQpy FqM/j69nFNzR99fWyhcuuPX2wge4XxB1IYAsxtthCe9k9PYYoeE5rpZYEWw2oW0N8YEcI55mK2cS UhpykU/4jW5tzxK1pljdty9XUPY8h4HrNA8TPNKJM58W9Mdg8WEVAg4Jrpf0KNHNuaVKoJFNyqi1 NuhGF7NvBpm9AhLu9Q+7MuDnC3hS8htkQwV3ztC+SrN6fl1K02HH5JZbWYfBIhwzGnf7huKnbfQd dSTY2nW+bvV0zYhnCa+T6oQumgycieZx5/GS8QQHV334Xt+dA9OPvbb6UBVr6fN2NcnKVUyAcgZL pvKBBKd/qVP1BjJdnb8tV3zUj/b90TvhRDP1jHRZQqQSZM0kqFOmTLmAxTjLi8qk1SZ5/CGRklum crI0gC9GpyVjl8CVQlZLgpnICsaYrhJk/nfwS8Z2AlmS1QlP0cI2waaXS8BatzO4RALn8bol7Na5 elgfu415U1O6mO2QhdpTi+2J4nTF7QpVqITXzEMZ2mt3qtzggjiGcDGjTtuQolUlBwuYnQC9qavx Rt3mOUQJ+/9eIDoEA8mYOr96x12qhvxzUy6WTvS8t1jRkth+2/RHnyiTkh8V2ZhmplU6umDi4kWe xOHk6Gjz19zWcfK8a3Zuigjlbmc7m8rZs0chBLoTFf7Hj6eS3a6Ub49hF4W8U+YQwDoRDlVLHUno gBpTl8rk+IekMdnUKnd4E7Dbk59fDvn8j0940lMwcN3I6lKGsvPiJ4+dFARtUfdNPRx4PO2W57g0 cQKv4c4kJSk6nStWRmGUQrxgx7J1Ckq2r9dMXN4U5d8kzpot608Mtwon+cBCmRJVfL7darHAUwVy aPCCdz7u9dcKV2i2nGR2TznOxax7F0hOeVXBw0y9zrdqAZe156wvR0WXaB3kridhFqYRruutJuO3 W7NV7mfT9x5IMJF9eklQ04Jpi8omhaWxJh1Rc8clp2oKC1ioqyyAv7DGGJBzPUx8oxiGb1rsx+3k pLLuj8mAoGSwI7XuOA1RcMXyjfCbQLozYLkrGSA+wB2/PAW6SzncjHbV0OKV2Jr09Az6KArolyqP EkXunH6EU2YhwxWLyMdGFPFLpnM8qrD434qNZTTW+mMD3U1AhLKW1IW5L0uyKZjPfDCLyEB6Q1dz c3khUB9mJQMftM5gxAJtthvBURXfKvCC8o4skgVhnZy9IAIJaDADRaXvrdaTdlF3Lehw+eZSLay8 tCFa2y8WwKyyZTRE8BgMBTwn/vrvIajQvG5ZYYt1aSvFfemdvfwTpqtavEoOSkqLlzjDr5XtOhGZ Ck2GhOpyy6U7CXXGpCNyiI9e/ioEhN6K5ZfzXruSx0Zfv4mlVVdvhfePnTeraGN3bmlgBMkNqG94 3JxaFK22uBOKMgIDAS8Hp7/6q5AqcPEh2zAE8A6/JrGtycyWMPAQMGpIp7++Vn3l7/uf+znB9lOK wv1DU6PCOqQp1C+jix+JUsTARknfA3i8KL9aV6qypH/C23c7Zqe6aSV4sFzvn81CtdSMOQ4htzej lzQdVVC1aeC2Vmq6xGAOBmUtOmZfPoN4X0owmaDYlUtj+y5Msd5AJCIMQlB47TN/Tn4TWR7vCD4U 5Sb3TaFkRdix1rS4vEZN4we+rTmEXd7l0su9k/PwBvJvSqfNcEvdzBieA4edQ7r6ovHBdwhCjktZ 5rY3hH971GNSzT6kbHuKbNGWzmGlceMiqSsCseVENo44JjUU9VZaxe+BoP+VF6P5cZMWC9v6gH5L K3TvOG6NWpuWR2xrQG7Rh1pigTak3gFOL/i1/zrAvi4o8VrGjn7HPm/LtI562FE7hAFDmDEeXoq5 5TCURUxz9ONdz35bq03zCoMOMXgXvY6pK5I5PvV/16G3aFxaHiY8zpwFxNtWbwxSt03mrAYssMYG p68m14Hd+7iW4GQonEcb06WIZ5rWO2wvkSnhOUhZCkl8wzItFIIoqz2RjJiNYbDtYO4Aw/5GucKA hoTfXcJQ7AVbWzD1ZErqRafHN6O1AfPMP07czHZIzvT4tEp9/qtlDeYnLpScYMe0jzm3c/ufa8nP k6phFWW/UTgKm/H6WVogKTCULduBHs22Xg6/JaulPvTjdM29sXhSn5/Ff/C/hG+Y816EXkp9ahUl ueeoV6hH0zZHsRtxzDk8NRKNLjv8nGW2r4d/CIzZRTnH+INO5diNk19/OsqsTpjc2GnUYjQPmg+o 8VbuET9Tve5oBxdkJH81Q9wTrjiwKevU0D/AxeieXN+cXyQY1hHHkB6VTjiR5rh4KqyvbwgG4kJv 2yKN/KaLpOGkIODq52VenLpjoMHxSDXg8qRnt1nsBJHf2YeNop7KjY9ZH1SAoWrBJed7QcumI7Fi 6P1yKYYPVv3XPlT99OlzLnxWDjfCdADEo4ViMX7SoHSPR34Rmzj4qE2p+bkGavnVbkw83d8wl4fh twehChsGheZqnhFMMg/2oUYzNCGixM9NCOoHXBEDoOfSpPlDNHhLiYWl59+eTLUhFQcLSFRTfzU5 HmYow4dxHaBGVwJQSwm2ktqHV1U+FD24LoDZf/eUf0bBrlD3zru2GUHp3I7ciuxW73HANc7F4Snx zb8KOdm5UPUKDu3Xj8q6I7wTGCN2sjJ9msWFLqwP9BG3OjVLbr8zLIfLxCPO1Vb7KT0+ec5BJzTx xoiPPv9ioK1OYwta3cgPvzwRrdONl8rZjpIZj121FMiY84F1VKNXi+ojnvO6Qkx36dhJCvEsD0Bp GqWQqd0ZLub8FY/PThMdk3J98kh+Mvn+CIPXmqhRrcvlQJVLdXyU2JHwHT8faCvQZ16u8mmZsn4N jVrEwL3IpBb3OMUIwhOkgJ3fdSv6xpqtxDSBwuws28sEYzrkalNALfGgBbUn91mGQn+r8ApAPBEl t+OxSjHSZnVBSPtVjlw0K850931umLanNeG0OOId5mh8ZbeoQNZtt49sSCqTjvWMb5M4HoCT5545 P4uDngD/Zpj++2MP41iEtldBDOzIDXuB4JLWDwkkIoAaU4EhoYh+NRF+cNPHNieY73aPpx5aP0P7 xtRdUNAHyuQIc9X395KvT9gI9vCHcZWKVMmo4CWz5+X7EpX952daNx6Bg0KqgztgQsy1Dk3pHn/S zZJXv2xbxAVplfGDc8bRRInIwtVAVriXpA+kpC3I0BzzDNKfcvLtmmtcd8po5lWa7w3p1iLRKSqo OrHjWf/PIaQR4uM+Mvk9ZgzBCgz+ACzg5KKTJhhym3OipTSSvg/i81ZvJ7lu5M3YIYOkTKcHp0f/ i/zBiCVEymE+IDQd7ls22iTpn45VLCmx7A39+yDM8EeWZeVmt3rv1ALWbcBlRc6w3PjWJXEDhkeV WpJVndjtR2jDPwPho2wNVh15BFuxe9vUrtQHf4gf4ctTuzx+kql6xcm1bmxRmro6ah+GEi4V0HE7 sqLpIe2qSN4ltgzGtkiK9vtKLTsKzzO9t9hTt47xfUB3g4sVvmDPQ5AM/0Qu6D+9741LFOIGrrsm hQcX869TmcZbKcEpwxiIvURuYE0YzjT1lePzhS1EZYRmmyrNjyUemaPH7zZXuUO1dw2UmYf9Ti3C ayBdGsxAhMrRJd2vYIepjf1lsBZHSLRKs9GF7fBqN3jULOYoBV4JsNdcIsMSxj3M7hkGURzwiG2f DxG/oU01jx6ykpu2sW6dGGcDk0y0wRIrkTBHznblhCW3zVCCpV1c9biEwOf73kVgpuPktolH1Hev MdBCFW3NJ1dHVVG1kSU/l8FXw2KofPZSof1kOSEFeQBeW7yPLq54q0DA0S6ObDY7OcZcirQ1Jhuq 2shlGgBT/i+ITI+xpyf+oNvMa5enrPY4nxWy8CzJRisyqmV49sciTKfJi3SVdYkANdLTkXf1l94T k76t6kdZaPL9kxFBGwoeA1+gmhdOlzoFWlqEB/fWndyxrULv6dYVmjbWTENOU3khgfdyBYou5mvp 8AUjE5HRYGFtYr4pfoYvvu1F+x87BJfjqtIVrYjRLYWr+kV/iCpzyuqoiAiMClnE8mfQ5zO5Tgck E7vfc6ZydyjukhiNqNRm2qppuVpQ0crppM8TKjewGfoAwUn+eIXQKTuijciYv/Ohqbci6PzY28Ba DMXnsIk5CCiXSRq4Gir++4a92gjWymqlMd720HnC4TqTi++Yqf7/nnp0mVuY01JqDjCXufHRAr1r iYgJnPLqjLzTPWuSH3ZQR+KQhkdwmNCZq4gBGftlB/UYHgOjFfiOtWrlTgbtlDOkShITmDFMwkNO I94pLTBOUHFss513C9vx4UITu508KArnX5skMy4JVb2Pw9cDPHhBNMkjK8F97uy0LtB21Pi5AjCJ 8UoFKmVtSpg+McLUuOyYYiuoJHXHHH24mjpYDufjlCvqaol1PL5e/GQZ6tnYwy2U5CHoqT0ErgJy ZzAutI5bZoDBo4SBNiMzmwmbsRzUZzrU2eeCP04+i1WKxF+7Wp3k96u8Y4fOEKXlZednkUqXPs7I Zikp0RGZ0zbBtFC85VacOIWVHJnqcDZNULLKhqfdMyHgI/YC7Xs3HDCVVRoIhZj9acx3HkLGgh/Q I5B4j9XQg196ZHLMvvoiLcXHAmj4hb9xTqgPZt7JcMGNuCvrwibVZaiuliL0zLre/trvKJLj5FOr FRPn40aTqGqVA+BtxfyglhpU1HCwlNXXKGas4tOVLwftGemAjF8FYHtnP7B6kdzTvR0dekJWjOC4 XtFUl3rCK7HKT6rbZv82ARdDrjQmeIagKE8OxQBSuvlxQLhYJHMJeJYUXHyrqxnf9NQfKxVgZmhz xpM039Hf25J8PgGb/KUQHvfNQGVQj1VBTfX4QRm82uXMyeG0UiQMUDW9ma/qUzgLmZb0Ylw6h9Cs 4MgMt7muTa3Bj7RDPpxfjFagCNg0hTl1pHiA4NAWlBoN4T8cXzTA3u5buaK982l2fdrDTrhFzE0i blD/8CiFy7hGUuADC7scrtqhQX/fE6/sQ2xPFaHqB2kkBd66wb+zsDz24LLNyOUid0gVdZd+j5z1 y1PsiQOcl0oW1/fm64w7Wawqd4ictANBaAaMvhonZhTG6sn8dGzow2F6k+Hnort1z0FbhbBKuRfG l8GFcGICKCTsfbhWegGMNLzlul2rwq8UJZ7a6Jz1VYkjvHnB3VVDLA9WUVVgdESOju1V2muLI2He +8qnspky8J5y7rwmWKJgzLfiXV4ddH1DrQ9Nh6XtVNby5pb+UpRyomARzFrH/FNxaBiax7W+aAVc N2C0z4aiN+Eo39OzDYomksvPofSgq1ea+P+wsN+aM8gb1ZpDckRRmRuRA+7O5iFJ5I8+Qr71nZGa aE2hUNs9P1HTaVVleLgkE4r84FnJSdoyT5g+O67C8uZ/njkoWaSbfseFmyIklUhcr9SLM07zbKu6 XRCorPmLZ+RoBqSHZa/xUEekcmLCmT0FFuqziYatYl1gvgusH1UFLmehvOsD4+9RCco2BZ6n2MuI h8ZX168EDRm4EW7yGUucOKdCf8d2cu3+tGkS1xgzD0cVbEngYmuRS0Emz+L9PuwbEiTc374lIZc0 qqFKDs/rKQUtwdeEy8eXzWH2z6dVEVyDoJmX9x/I3/w+Mm/IP95GDn0xp4eMF3/ZECdJ/ibcyfUP +mxkueUVMe8lq55XTJOVYj50cw4eCdkdNWIqToEKenKKaVGS5J9BN2LV4eqUKiPGcW86TuIQ2JI9 AZZXvSpAymkWPXhfdP5STNfrGNBzEz0ADW93QUEDTrVZWs+nrOOaL/G7ly6q7/i1yl6Ty0lDhe8Q k4l7ZcVyGR614X28TkTsqvbU6oGqjVw7W80EqANdMNbqvLWrYT0JBZ3cw/wbc/zqz60VBI2Fqlf3 BA4wBlPfSePXi4LMqmDYbBt2GJKsFKcFzjzlmmHNh7rtZDdmQ6HIS40VTvBvbhMFnMZKOY6mpvrC ZeroPs2auh7DJFhjAr8z+XT3ZMtbUYTaXf3+/KlW0iTEEqLgrdhTxD+rb0I8voLY+jDmP2LbtWdX 4VZ8jAqX8kzw/IXezbF8R22DfiDxHjaY1a+7KnyUZSsrQ391NkX5OW3vX39PWeMm800wmmVnwelZ 8GNWeUqbct+vATMot7l+RsN6oubKLNFtDLzI6lm4dDq4PGF4yoj2FGNEnEM5iC8WykGT0Z0BLXT7 WkUjC4iulkWPE37ydOgqCnDXz+rVMs6D0DPqLeiEZ44VAORXTxgxTxeJshqzLjj9F6x8etIhFJC5 XdMhPOUDfc6rqGJ+Y3De1BNlw2xS9WMTy1SKvMj/2PxoSDZqLqVFG9IwEjGD4bMya8HZhickW4G9 5HV3a1BYVhqosz2OQIGJjjwHbMhoHlDXT6xNaCif5tU5wCIuY8PRNdeCVYfoSn8elWnxtFd5ggJ2 P95JhptkDgC9snsas45cEmQbA/NxwiGlpBQpPdmQwWdtg2gAHla48OZWfAUYMvnF3qmTQ9XXUrur b6Oz6J39tue6hzFmW/N7sSUebkrcUYND7RpUqF3Q7bAnNn3KjizvkVAHb/LaQyacJmU9XJTfIDik lbg/VQ0iFnY4S715AhxfbZPL5j8vF2YBibQH5MvzSdWKnYO6pGdUhhui1dM19l0cnR+D6XRab5sA FOdFyKnKn7Q7BvcrHTBlsG/72zCDa4Jn6qG9iJXGZxRcI4Qez80SFYiacvNPxA6dBmNRb3jGVv+a i45qoO/EstWY5AQT1JC7qelzIuoPs8wxGUhH6LPYayMaDKEILEDTCCvR32jHeHKEnCDCQvr+2WTn oCWBgH9vf543Zjar0h2LQcGz6iY4mSXCGw241DUUoqmKGtBZcyzqh8GAMT1FaN23DoFh/pPuQi5Z Xzr42TmTO4LXJV81/m5vefCBU/4ajYyZx95ThpFwVvXSw+C/qtMy6FAUU8GwrdwkB4WMIJ44PQh1 PiK17DGsDoklTdRdWkFYHaHj+v34yLh7NsELyqsHYe2jd3HhYkqVbv7zXWaR2bosAGziNIl/vf9A 48p+K4xrSis4+lEQ26S3K6GIX4++22RFwVCbvSZ4GOv8vmqarqo5zYFaWaAmOIj7O1aoNzSjvkiZ Z7GzS2O240B9b5qpx+r5uQprT5iKFeVpte+tuaXiirQl4IO5y0ycdYBmYeUj/lcoBzwuOBgPWnPv nuHcL0YjCnf/O7v2Lhpx6kHh/I7pUHtcd8jrNh3T69qRy5avu/1zn4qxZ/MYPZHhHDiGhQ5VUv9g rveEqj2+aHlD5PiIGJLX6sd0VUOANoHtpS5MXoVMrUTT+A6bPKKOlSdle3UNy/LL4QHPBocUMJVA 3Ne3omqt3nRPBba2NtPDyAmRddC9J+Rw9RziDf099H4/mkG8YgwVOxll0iNhNKSwEwjDa7ZEvJIh efZscnxmPVtuddXterrPoYsbHtRIbmeLWlJdiOyMZkhEI4+xrw4uIGZY7gW+ZoI2x/tuQhIWVPB/ QgxAS6wvx4A7PdCVXz+VTLGFKGTPIHEZICJCpponeNdynAhsE2hFIbiMuGTeyHGOZdzsIcb13pln FK6ssfy/ElT1/UAWtUJK91VtIETvJqcIwBUOiCZ6kqmcT16lPgdhPfFA8z95Nw/L2+Q2q7CWNCPf lXFyQvWrkXWsySJvbNvCj4wT+nFugofrNAp9tch/XfVPBkpIPtzRhHhWVLx3EiPCaN/6BdJ+MEyq ZuOAm/7htJaL+mB6S2I8W+7EYJRz7XZlC8npDNPmedyDZ/6qD68Jlzl1Bx7aQCRPsMZppc7VeMDV ZkGZ4fdDUg1IFSC8wsOwW3fRWow6inDO4yO5LWd6A1xWS06GO9+KRDsGUK7mXb5CXAuXpApTGNFa xszdD5dxoLLDTe/D/AYtAhBNzNFwQ+27f1Jl5YfeeJcsP0gjXgkBs4A5DtsIDHgVWYeTuDPmyU7M vP0JmcW+NvrVrxYWI3OC/KxWaxynCG1w4u0gjRfs8iE6oqnEfVARd/6UoYdlPy+Z7+aKCDAvGG4P QlapaZ9v+3Mt611/2F1dCK/NVgsu59p5uV1F+GCaGLdj4ZJZcjc48T4ZC0Z7JmB8EhGoQ+1Imr4M n5NLkyxwMeDTYwTpiKolXyBMDkrWMxWRcqKy2bPy381klSyIhQAZ1XnW5DK3u1LRRqUKy2lVQq/W iSeBn8+1YSy4KuN0WFTLOAD+VQVTypi2SPiUkDAoPQxFrpUner/2BQjXrx3BtWHl6QuNIaoBfMsG jC7OVA3uFEyuRf8M/JuOO10htHm+ZQHKQENUlpg0VlEUc6T+mCsOK0Vb/HIYSLAavOjN3DQQA4PZ RBxaUXbH9ihv9BQ1t6chutxbsxlwqM5CUkF2Bfev3Av8A5IiKxhPSUT2IsYVZtzvp3sKA75N7XCI B0iBv1RbkAKP9EtjzzotHWFetgH0zuTOi5HpFW0v1e7ZGujs3W35UMhminB143I3V6V2lwyLVpOF ryDAkpNKXMyjKXxHDCx7tKZx/yQ8Gym5H3pNDVjn7OCzKUUrmhRW8zuw5PEPghW4LTl5mjpfSOcM FMyv8bGXVoHb+ZhcoBlshxqd/WAGh5ho+rEmMzJYnXsOaZa04jcFnMwDbE04JUrcqmJUk1pV3AMZ vPe8oALKF4bscEsET7Lk/PNb4ogPhoQyMNCGi3tYSkqPoexJFQsVKnwYTbdtdMe4REF0bmxmQPQa aS0sWFQxG9QQUiwrNBGVGc4b4g1V7UAgVjI8rEt/BmMe+4YEdjjgvLvZU1fTPq42q+WQ6s6JUN6o dmSu3wqjCwolUOYM6geMVjx5VBqZyNq7olAG6ipCOsubHGS8N/yILOvCAeXKct7E8g3VW+kw51bz Mrtf8KNho+aXhvmxMnqxiBdNZGGqfJGFtvpUtyEtTra5I8eWEbPJF1WhKciuFW3gtN7sQ7CfKEOA QQW8oWbY56WgihagQz8jCunM1uYVtjdA6Fv9kjdT0UkuiFHVMMW7snbK6YVr89BFgj1nv2c2+sBa 9vKzZW2+oJty7nSkdNqtqZlsUm6WpPaq+suiZyFGcls3mCM3pTN8qvgwnFtIYmeF6Wg6WLmk7Kyx izeCtcZYvRtTT1E/K0ZYzWhBiEV8MHSE7nXyk5paItIJ3hleEctPE9ti8g8goh2mQQ9mGUbjOL8N JNGJpket1EItRY9Tk60o99IRLWwA7zrP4uIzsVvqReHPL5k1vmqPu904ZsIFws1EJnc9VXx5PVwW l0JR/bKaOWJ5aIs78Yy+bVmJ8WWdJwZIuxANMM4JEuxzGJB8oxuJdrXrzSWGMjjtYRp/PD9HWYGF d01itofKirNqgpezPl4Q+eHBqhcylCegS+6t85ToDxDEdowkqUhVaENk3J5sXczoO9aDvaSUmfOP pWkLtqr0wscI2RR9xSOiKwINblu2ILVqa9f4P35jg+gcPn0QPsGZaRshOoc+IkUnf59CUub5TBNk b38dEZ6Kdn7DS98YXhRrq03k+fWptSLB/gFaA1NV0uE1XaHrD23IDyiiLBrvrcs9OSwnLq1TOxV7 9gOQT2ILX2u7X0QzGxYNDzNh90aJDO91xYcjYZks3VRKljhTYqb7ANeaEi+9TPDO2olShb/IK0Ui Qaz9rWlfUv8UEgLi1lR0ksM+z550vw7Al3VR+ULHUiU5tGrH74UTcVo/BIFcdb0eU5sxBXsbbKqZ KlMLm91wMNawP1X/uQd03cqsa/Fv9GH8OChlK0jPUIgFVyhWljOk4wj2KNqjIYeH7XVUTDH67R8v ijfXNIfWFH6zX1gdnNEM6kPYxauPkGj28QgUZjMGDrQ+qvBUzW1YwQ2DhpKnHOEN12HxMkCxutrc jvm7tn47+ZQrDUS0glwiEdPoKO1jT9ls4ZGIAo84CkL7iWekwipgfl0OlNivsPyyTDXQdJ1EMkGi euySOfoMt8NsArOsBtTkaFrDMGDbd3FqylfECnduN2s1hOmxyRb4WpnD9GLBNYcKnLgNEsrtS95W rZdLa1wYkYzm34G3iEhCJQczVqj6z7wftyETpN2PpdjITVBn0y2u46++LgX6MmRmOv5plkRmhuJm 0Wbxqli9Gs0x78+/6lOlyTTHFJgqr9daZ+Neiey18RWjtWtbAX0Ay/JYY4Aj5pxbCcBI0e1W+8Gn qhY8mhkSR5c142ZFHXkFtJ0oJdBXwFwUjxHQ1GvL5aLhpLHh4sp6dIpWpKp0XBVKbfWURpVKDv7J 5nyNUleUdQ4IOhlbXKixQ/Vj7uI+b/68PceJ2LVJtLfinWIEPDgSYav8rQMBMjinKD6x/GegFau5 nt1fMH5JbrQloIA9XWptWf5qh1zLiXy36QGPX/c1/U8CH0TLtvIDAFrUF4huUDjcXQBqAx/VxXda zBMcQfIVXZi028dUA0VUF4gv361mBpYycyPxBPm75DjgoevyRrbJ+lsPUgprzS+cpSuMgPoIBHtC m0VgiH6/WPEWgHczcmy9XaIVEoIQ39wjJasr163plSjATCpl485+Do5DUURtxeIVGgVB8LpkamwH 1iXuVCStEdwKVhGP9/2LqssQ/Af9oWJfKy/ShmtgGBlGtyRuLXQ1RdDNrfkpHVJLodbqY9FixWvq x81AemLZixhnjULRvEvOWP6qe4M1f7wUbr7rbTE/GKxnervzWtC0Zo1pOweNlyc/hZySj1P1SmG1 avmbsffTg8+Vm5F85SVVvbHqSpacX+h6gmImTdTIGC0ZAQbMmBBPRQoruiQp+LFVcXZsNiEEETnV LR4/ldY+X6c6uYSxmgTBKJFGfvdqYupMR5iFja0JWFAZIA0Kh2lOvza9NKOdRrwqi2+UuHPmKhgT hNDr3JlP5YnzDqmk0xuGT0pv7ikbv5QFuqRShvagxzo//JqrI0/XqKt/j5b9qZNcyigVijbKonjX CYwoN1HLt2+ZacYcmHYXT8RrT9Hi8OI8MNMOPJynsoHpAErnoUkeJBu3oEhsOaYxTDzRgsR286Rx pqL4Bb3vF/OZI4k4qIws/1bcmq4oG/fBCkq3GuprSdEYiCc8HuH5UayS6xzhnmPensiLNRR79DMO 7q7jfAJ5XXwNao0gIzU3p+QCsKVygMxV4eBMmGCUUsWtEsA0KaVqClwVrpyI2asYSBT2USuPpJqq JIsiEuKgIKsMC+goRvNKhpasatvrfOPsFvEJTrWxocdCxDc3MQg5LEYHakgaVMqQph2GeLmiMONx WRTh351GCViO/os/ogv/Lv58Qocp84U0p0RNG8Cg1tMz9jy1iuyjgfFLVJyPwPCPQfmfoEPHbiEy m6Bo9qNMg9gC4phcwsirkm3e5+4jKkggcKJc2YBL//g/3uV7kJzas1lp7r/ijMsTargZc5VBlRgz OBQ5+7cpzSECYj7FU3gihPvFvS0aUWIiQv5wfi2NwbOQkaRN0rUc8RgawGLLFi/UZGeDKzmfmHc9 iBBsoAaMwQ0x1avNBKXONkCrWnjqwjA7e//GEbVOxiaAaShS9HFdbiNgBZbwDO49ZAQhXnSbzbPX wdwcbDA8OvljTVJyqbwhTWq3mbBA8Hm53X5O1nilra/ue9URFwjXF/SF5iqsSzH/oi6D1F0WqH97 5MdUfAaVncpClVn13C62SdPt4iqaIfJDvgFIyQU/sYQRXTvhaZOE0OeuqT5VDsrqyKo9v0EYhqiX A1gWNfPAPTeEwNbrpHipt8YenG4Q1jw8vXWqhgCZO5FeM8r5eKYviWBAlxTRTEkrHw7Ag8WfRFuI MSsTq7ktNPnUyqQ64TuWr6SnLXz/t70hjZ0ARZoS+xMlzr+5lUwGR8YYpoUnpRt4lfPjYZ2Hv9jU Pl/SiX7QD8Y2Pc/J41fqAC+ZyvH+aGG6eyyX/2UF7iufvwnetbMU0bKLfgtX0U13v/OTKUfHyy09 5nMb60T6NhhIDF9iJ5X56oOY+glrGRM80U35rbpXovbhpd/ttiMytrUSPhg5ixllJAffocy2RCR0 bVRGIfF9MYLrk1slCKn/wwZD9XGCJfqMjGRC4lCNnmIw/2qnFhN7lGTsaUMUSlAWKnCM9+sjMV7F +LEqoHa84l75a3raAWBFA50bNuvpytgHxNqB6Ssh+8DV69R6EA+uy32YYN7XTdCNxM768RUEtdZE PywXqnCFs1plvoWNo2EuGbdu4UHqNQPw2vDghYX3Umj5iXZ71N2vwaA2EmBkeay1ft1irOJwRkxb KlE+861MT4qflcpZSEcIJnmKtv4RSp/nFqfKTJzRCPx5qWSZbRxB+dJNRJzwAJDXtxmVFYoVMMfr qQx9cYWB1rnuzGuD75FetofQX5vZ7YKmX0JQMJGjKep1gkoUxuWWCD1fDkhghC5P1oF0nrSUj+Me 2nHZpIF2c5WLDLNNXDn8xesNKLYQKl/wXRkp2ULp88XdRVGwDCvd2PLiGWv8fjktl//lIPBJKq6K iGc1lX52xlEeAv1jrd7nRyo6hTKFeOOUabM6kJISoeeg1qRIgoTWnd/m5veKAWaqOowHrhWiPauH tBEvn9bhyKOlrFlHSBk8S0fHrbseGX/iyznUgqpyVAmwek+Fym/LEaPWTVJ73ekjTFBIwCsVoMJv oAAlzR2IibeNU0w8JzM2T/LZ0FJcAdLYxYXbt1kr2QbExnodEqOzdv/klJWu/WFcyzBTICg4AMcZ SJqRYFORz/uD/tVQFZwXsmTGjeOi17t2TBatmA6qzf7rlESFqmIO2RVAye1dyalIrjBLAdFokvWN MnUnnVfKV3qO6cjC+thwR9YX+mtGG0i9HCQBDWtlgFRJD2ck5s0X2mZDnN1sCbOx0p2UIuc76nYR /0tNc8VmDWssxR0wL1Cu1mp3KlZXkkAjpU70PwZg2y5F7yqqPvjOw94ohkd3G5ktAucHJkQcu2jM CCJvSzQxDmZM8rvN2/WsSSspTa+ewjT0zoi75oQCM66VaH4FB+Kh2hSlD861jHps1+S9Q9lPkPCo 4Lept+0iPfavsYULij6E9gICB5ZOdJV6nd0ZRwiaf2GB5Boq+PQ02p9WOzmnaggqp4khWzOzYz2U j80aWBt2wVZWFMi5L7szUw3C/GDdpfektTaYNiEshSJ+15u6TfGdinQ98T1vBhS/lXoz3HplZ1iN VpqSZ5ZPGBWc8u3qoNGfZswchIQhULD3SLIsKGvqiOWIaWypxZ+ueoBu5+zHE6bGO6xtT8brFxUC aSZK6OLzombRJjzwkoriS0BtlDQ4QFqMkiVxJgc9mYWrf6uFwc27bQuGzHxXXqLNMCZYbtMzpQ04 BdShwdMUWjbQqH2vM1lBkpyykpo49B/KdzsH5DG9dcA9gXjvWVXlEbH4qvrzW52LPuW372qYW0Uo tT5rmSouEFPL9DxZP2a2NybH/5j6RzjJUmbIa6s2yEDN+PCAaGWC6DdzUgtwugX38z0vLEckLeYe xSX7wCPYrc3FloE5r8DKRhKWXmBDQYJeAoDcKRTAH/OQM08PZJqsiEBCQN3tqFYhDxVq+oKcRb4K wiHQqqnbP4evpGEkG7Go/GOaoUQiSoQCjsp7ClqAYZ9WNp4X+c+pFSwrO8670jW63N3kJzGFDDot IzoTGxl3fIqN6+Kl1mWuVJljwDE6zK1yUyF6ADxSswePJgAEWx1K2kX11vcmqVnSqPlnRAUvZmY6 uEURugneV6TlivCG/Bc5D9HyGvNwyLzvzfQVdVbEZergjHligkO7CGETlKPNmJDM05aY027Gnrmp 7P6Aju0eC3rr6P/5lfaUyd5Ub6PtRM1Jhp+0bDBdo6APIsJBN88iDkWLiMc5mm8+t7CfSP+PSKgP ADzk7etNZ4vDBDzNvsb6JoSNp7uaQRzbDXMsGk/e6SeKJgc9tk4SXxgHzeHM5s0WyybSUm/zT1c5 FT488XzMUI0EcfYixTbq+PTp+bZ0B1xs4p+sj7tBcifNyDKTNOR6h2Oy27TH865EnM53w1p+6315 uxyBqee6mxJtHlFWjlNIVKkuPrKstdHoJzmjDRmkN0s3Hnu7EIqIlAShJ4Ova+Z4sNn39D84Bd9E aM/wR9avUxh/hjYPRUt/1J0szp5it7+QyKjwpL/r4J1occBvu4VPlxvmccnum5fZi9Ai/MP8Znwd xO7tRvJ0ecnhvWk7PPF9cRV+Zx1zpB3V1XYQOdckDImO9n9CkZ5IOznORTGKZs2rakWK8d6pkR6A 9azR4iehaySBA1czW4H99SdV4DW1pHjcO3FXRvCV9YXoRK6OUo2DfyKT9bMYQEfxvadonwkYzLPb 63nHHfKLPwgR3/j39nF4N0769c6jaR8mlcev7rdZFmih3pjsHBnpDI8FrNG5jFbjRgsY+5VFziM/ lyQywSk8WhjA3GgGGZuww7QFWdS1c1FdAJzc4JOFAymzPTD+z0BRThy5tE1ucvhXlwAE11P4SnlC rqvxvEi7gzgBSNjPm5/EaCcFYB2p7tHA79HI+mvOHmGqUN0i+JZr3onAHSW7w8N7G8Vvglra9WNA 6bb6YpdRl3Wc2YqgPy5eyanNdZvSJUrxJ7mj/I+ZNeOt39Uho3RztFmjIZjb2Tif1XxFIzdnLIfM lyAVqOgeUZQhVkDDcF3pWNz2dKie996bo4TBiUjhqcjediZ4YEkmEsTi6KlUGhRChoncQPko6JH0 xT5XWxdeOVuvHcAe8jBaazOISX3LXfOEWOPL0Vlbb5iMPtj57+303tTGqIpH7pehCW8YHx3NjIpy qd+kk1jSa9D6wuBwW9vafex+97PYeZWvdTDldOxMi8gGNNjpke0vx2V0aQ3z4bRcUVo22vRoThsX ALkCz5Bxhri4+r/Gimp3h7P6I4Uk5NWAxHB0S8KXWtSBfqZOGTS78LIdyfTKP6xVC3eF/5MIJz1O r216ATVXnnCFIiUzD96LiZR4iAfe1JLXl7MHYuyV1mqefvDRlrTrTu28EqGAddoml3EgQjKJQll5 XSaSwJevQFrokcE1va+rLqX3izDdRmIX+wHYU9sbkM7NeRpag+rf+dCjfEqF2YLUJTknv37UzTM+ hTqWDay8/CYVPkDWNEJ6dbUbpI34RxtDnl10GT1Do27fBPn8TxDpKo3S++5atXLxdJBYDpTpU5xd SX54elQCvvFfTaRYg7NV6J8YZERw5iT7319JVK8ukb5iKKaIs7Ub4YbWiN0Ra3jwgYGwX46h+H4O UUFbI9cPWWxWTICXoZxfd2I5zCRDOP49Lc+St3rYnZT2d7RLvO6aTjp+3QBRECbwKaeH8RQ693lz 5/Yb9LjSHnadUWNQn0JxBYWZ1wCkCJYiKmJ7QVwTN8qhOEmKugEHYCpfruBH7ETMY9yhkuXunjQV JDPZO6x9ci9BZ0cdl/6QAxmUrODam8oIk2c8zoDiet2CRmeE02b4AHuItWq3thAFmxXv4xC1O6gR nRXgZamaoR3hRhxRcQP1bSYtC5lOzuBK30NXiOk9Xp9C218l+G1t4PFbxjkm+gkUMQ6v/KQGlwdZ tfDe7r6+gDKIH/nXHLSXUU1qyRbsa49Tn3rXzRZChtwdj0dje2iFSZecRO6zM/g0fNTCydDIUIA4 tasKQ7dezg9T7c17i+MIEPRWMPoqhzc5RCQvvU59fDhLeRj8xgcf8rq8jPcSG3RDjXZKo/aTPpx3 6Gu2rAsFhngq59PiOuE7vaN+MzGhOqfqqAxMQLsn8fLNUQaOx4jlbLX/q2IY7IfrTgaj1GAAYVB1 hFDgTgw767vMua42TVj9W+2RTc6bKODPI2ZDqHofZKmPCJTBfekYjNsqLn06/2QUvl6WeDfK1FgX 5VlW2yPuPzEIfZJSwXM+0zgMwgDzhp9jvcn9ckpUCPPLgZaHtRAWeZIE/ig+MfzbEBxzgyrlCBvE BrAYAkKVsn5PeSnzQ5PcBeSeYruuagllaxSDFBgvrjdqWvIn/kx8iqjZ9roWJapTQY4/DYrnOaKS eWZE1UeGlT2kDvHqGwSIKJlwxPp5P0ZrUoMdiFVVgH6XeIjNYB4seuq6ot9MiQv8HAYJyrkpnkYM X3KHbBSKeo9QzjRSGfTfp1StS6NX9pD/ufShZdQI3vwmM++fxyoOlBFjd/CtPeErXutyiMu8LhdD TyMpGsWi1gB1mvzQomtxNkP4JHRtzD9jetkZ5e80CpC1f2cXdT0qqTgEcvYqybD1P64uQLD6qxhX XcEdgyybiPpRyGIQw1yjvuRpmw8v3HjaBV5n1+/9+xdQe3t8kSy0WILFBREGcJ3wswhCVwCnkkVS JXfTMZSa57LcTCHpqrO3PXwl+ydCSbse6DZhuqHpH9qezVGfjQXXaDuqFRXx2guEdYA/f5V8Y5iX e9Nrzxnlj4lImE50rbzkhg9jAjoiqhAhSpCKsxNOnPFqKkQt5f6ifBCZ4tRj8PhffCEuPivZuBTw 7bhFKIpQ8LDPb2A+9I/tsaUkpAYHTTyAEI4I/FpIPl9VuC8TyiC6FAgV6R2IXNe1s3jJxktpFOa1 byP6s0sLLNoPSQHcJArcgVDps+nWc1VjUa8rXOzFLggfWTT2a8RxUfJiLzdXZqxZq16tDvRLfXNS RyKpj4yt8nB8XEKIPHwFQjyR6nRXEKTKtayK7+sRXuN78321Tvw9GSTOTaiCUlk+ilNWClSly0UH XGnG1QJcyrSGyxifBs5mJvOxcA3xkfvE0MWITZVtlu/p3//T+Dp9e6ef8kelWS0FWxyLyekoP5ZK vYkZHN1Y4PZIxYuKX37532Nz1D5/Iu3sOS1pV8ZsR5eZn+4711CC5urHHTlPeMWDS0ArQnhsyTpk Hrwxgk8WB1UoKbqX8aIi+BfCPhte8XRBp3fY8Ho1mNT1VwAjPufU31uUTJVqe0lUzxdPbO7A6m9+ Nkv3FROIAt7hyqDmKjgFHVSxyOC69YS4Jt8YNazwo+CWcEXraa4Cpx0MWlsevHkc4gOPmgSlmxXJ gDClcrwCAN7B3Lsuov6rJzGxcZZJ4DdKPUKIYcNEqAGXLBhIQO5IQcdTTpHi0aEOC+oZH3smcMqe Gl7crz1OyNaGKrN9BcKgwZIB8n96ILwx+vUqtmQ4q8gbQO0b3tUsLYiJdMU0KXrRLIllrmvKmYKY yEy4ZKWC7cZZueCCY6pqbviUFk7eHAqf68dGG7MYxbNzTqqgj3OYgFJlUlDR6tJ5ntGh9Gfr/a/j AyP81WCg3Qn2+vk+FyjRBnzTbikVgnJQAc6lnLICHH9hL9NQvASb6KOJBzNF13fKcQRzTaSGEItg fkmtYmwoH18kPfHWSQVsyT328j8KWgsyZG7BjMCqU/kbkOjltsTX/8MOLfxn2YvKJWeuvjtWW1Lp LFtWyuAFr+vgxf7/bWnpgiGOo7sk25eq95aRmTMLRAsnJSBdI8lvf9vich9Nj1QbUykIxKWtwVve atBKS8DRVzThdDoO/5xr41LJ7/lzgu8BnNEvQbm4iJWt0zX66t5qo7FiiEoe6uINvdtMno5jDAtI 52WzaMgqMvuxBGiKVQ+HMa40qCLi5pOoD9oTAFd6Av8ME+p/8GWDiZ12NS75SvghHhnkfkcC7iJ+ 3z/XuN9JjWQ4Yx7AtXeDlscfAem9QNlJJjlhjyczbYR233l2+3w/eU8Krm2jyXLMyJusSjEYS28y BVg6M8eB6Xq+WJe9vr4F4/lIwp64XPJPeNih+kf4/57tg8DIYYkQl2snBM7ZSbUNg1UXmj2A1+ev UOBpuk3oPStEkeKxGI4LlLJMtza0RbylIUF3uFcfAUrC7j+xdF70UR+UNOsMLK+MucYzuBOvl1b+ EqbMQ3ztNx2jZbDPC+WPJsUrAECEpKEX9FLaLJXrUpUf7wiqb4sg4yO9EtsbQ1UgEp5FZmEJ4SNG uYFFYiBKm56Vrz1WcuomTSR5F1SAJgF+vEGaF70ci+3EfMal83cRDE9l17U00cyGsL998T2yZ7At cw4FIQGBcMwggwRvaZrHe1qmt1swSXlB7u7DZ+8OcPsm5WQPcnJndabBJANQTHGQUJ3SXEDBdWNq SCbWirQY9jPujEjqRqRFlbordcX66z0mIatggUSv0xQo8RLp+wwIhQ53fJ6CWmsBNEl5xIaiwsFj pa1QmHF2FuO7Fi7G9B/c3ccSwQaMtGtMcmvM/+k5aiOj9JraNrYk+2tddghwjuhqCH5ZeqGlS/ap HsGfJDml5kr9qTkOSeW4QCy7mViazUHSTmHYSvzttpNRp2XCEbF6JetEOXsKIBj22xS1R42t/vb5 wPD3ZsuhLElyygDH5JKnNeKotXWsW2VjTVYqM7xpGcHqt52EhSK+MTGMIWj6LiPpfCObHwCtUCXW pTX9dgt12oncl2+UCzWEaneAo4lWR3P30XVFdnzX5+7PnVMG0oBxi2vKX559YLohk4BLi6TcGi6G 8IXzAgBTlAy7cmUnd3XaTfBMpjK490Wzll026oLBnmyg2WbGpmgbuzSVPqFqGdC/Rl8QF10OCEUR yVqD9fV4QFOVolPI1H0B5kBkBKrPI9KITX51jfLWtiszQAnsBB4LyW7G6imIYhbCDPraG+zU25Pc wObzCPCSBFLB1l1KwF2LAfvTI++Td3WER0QydvLnkiipM3TZ2KxKISEx332153vpiwa7sBudXGyj D1szweq2LPtXyW7/MW/dQoDK4glaKg0Zu+ILL4tSu92szlyyuzTQrJehOxQDDrGtb58qQ//TyAOt WqUBeFmrInX3V3uCrYCyfUb/t2V6nPyZ3G6ses6Tm2daGpRn1Fogwds2eyExqw8KRfE0XkjKLZ9x C1jxHTDbQd9iMqB2hHVCejTN0EOuKBU3SmBlKf/iQg6QxEXKxvhFR0709IADPSnG3fY6czfS/VTu pwXXJPPiTWSgzRaSYf2FB/wvbhx5ojfw98XXF4DqpItnidxmzy4XJPQBmFVlnKTDzDVvZwFrU8LH VFMNWRi/fQ0pE/qYYYgk4DkZj/eWF8Uhro/rjGkNtc+hJ7gCiOVVv2FlO3dIjGeBnv1myCm6Ghmp mfCZZ4W70MwxmYevGFRMXr5yM1XeDwPEMdYsxM6+M9VgmkFB13Gt8j03jRbDINNNyUANAzpu6pcj v6l30OQzG6w4MIVEnExIJJZGx841N4PKyVUk1CHbZCHI80BDafjbRvLUd6CvRIQeB1LNME9Avo5Z nBDvMAuxvAiMzj586kuaa/7WUAxtCKNGjHwFGhUUl/FHvX8HpSiBmakkTjWrJ7GGrZq6NK3pWCYp pjfbIovmcxedIOT14iidh182hG5abjIp9N4a3MoJhgCpRNTydhVfrsP09BB4bis0e/ZKOhHRL1Rk mdKHFQeHmiFxrVniszv5En59XikIF7on9Xtv+VPAIK1MWcFxk3azkJZYoteh1rteuEw7zjL+IZRs 0QnRN9OpxDh8e6Wy94uTh0HU3dA04CsDEx77N4Ed1sF15qvd9fm/K3s6SIpC101QaPDALmxR5E7L tAcmam+SCtzl5rny319bbxLqnvyMOdC3kU+ANCecxHleJ1rgrx1Alc/k5Jl3cd7u7qNYTyQfzKCN feHxhX74KNWeG4nyXxa6vrAegt1ZKXivEb9NYPFrH6tbQP0sWAHGF9xNGNF89q7GC7Byzcxf+2WT KBjcH8IEJ8hmuvlgyBLP6jlkb8kxYX563+MG+rsPjdIqtEpg7xFeD5GB+G7HJsutebyEF2VxcV1r 7KzG1/EPNX/rpkNvixr9bmy5nVaGZojAhIcnXsN79/tfnoT+nSaBzWmGg5BjYHiDuXI6AGcr09mb NYVUzsl1BGkiWJ/icv/M3c5mRjX093WGh+HEVtrGonE7GSPwez9+43FvyCbhYRkSU6MmbuiEoCJ9 UzATMx++hDCnvXjvhBsM0YpoRMpXxGagEnJk4pgAwWSwwp+BGNBoL7/S666x1ECEyxTJ38oeikCQ 5nlQtTPjMrTyCvSm+aC0KceBV67LovXeBnmCaYBhKH6J1isOFcEP1IBMh6Df4fenkoCBpmTuwjHg a+jpMV49ccGKqafpcasGOYTGjrmEspszYx2MtL5sz5VmIRU0MOoyeCDMpXqCdD73A2pwUa49abNN 1pSMr1CxCggaUfKpNAxxj6PSj0umXXgMGypGyVCLpCgvUg8QrUV3x010Ab5vmS3irOev3ePP8jfo 1EdIcVcaXs+/N6VnPIfTAY+RcEY0mIJ4JUdivUlYY/uswE+niPB8Cq8Tt8hxClbc/DfMSkOmwm7E Bm8BNTxeW059jAhNnkBgblE5zb91NP+Sa8KiVHkSptSUJX97DL2mEx/4qaOxOZw5cAi3tjScTprK W5TIu47JOu9TAY+sQHDnohpnEm5DvDrgzbeuOZ7mnKgvwpp7zANBHaHvZkiDiYF95zGrmzdQsb1s NoKrJ+igHUYOeAfInzzaJqM5nuLlG46xvhl7qDcWMs4pDj6h6ZTldEC5fDB3cyZ7ET0NXD1srEru /IXacEEA4hiUS5r2j2/vUfS997jowcHfCfU4q/g1KUnZELrAQtITbF4sop40DUj5yfnCW9Tlf0gP fx8OazQzDcDzPeMYM1r9qfdy992uMVia6rwcv5rxLJrzLni/WyRBKEpROKFnobmllPQGcMDJEfmd jCKXtgeimdrMs+5TC9OMF9v5wryBhtqjeyaqXsL8pv3suBf96GFCmX89nKkgMathpbXrUuL2i/1Q 9fA4drL+iOiK/le8YGFsGCmIvQD4nUTOulkBoaVwtf1rTH8pl71fy5CInU557pHNMKl8NtYwRCO2 8RS4D9BcAIPllhW9wkeu2TO2eS4GyG4R2Dl5zkM7Fhjv6tcwUwHSIpmgblYzqVeh//vrus7cQoyI GULARli9+pef/jtatXweiGtGqkhNGRoKxCpLvbW90IjmZbzisk7guXKcsKPLILC/EeIqF2MA489i KoitAXE94QYJANScPiZiR1/jJyEY2WYLvwJsUcqocB2WvwzQHbXyqMwyiLcRs+jLrR41StcSQ+9k YsuAgsWR3QU4fl8LiGw3n9Nm8P12+ZYqdqbRc3E8+M/l9culJuSd0UGTSAX5sRYB8G468vxFMHq9 XfYR0PbBBTzKbsREZOwN5N8lJL1LccOaHRmLnSLlJYzz3Mo0HmuFQR8Pq3hmXPXb/AHWVB/6ANBG iQ4n4sa2/yEvpgNhLKjuPdCTWpTyjbQAAyhgg4d1n2+xomBl4iUv95PLJNe94sci3qRsVwMh8xsL cpBixeCi8b8xh6jCeSBZLeYtYIZ6dPr5s4mfnxb62idVWmCAc9RWeIK5XAJCxNW66UnEA5tI+Zsl y6Y87aFeZrbZnhw+V3jILL196hpBE0CUmgZFK7Uo8k+LJ1gNY/+pfkIhJ336gfQZ6jJvIG2HvUC5 lffwo7TvTMKKpzxoYiNu3QMERyRnoUj5Kg+Yqosn8xmUvrHCqIQ7CSO1Zk7BIiJ+RNtHTvxJaoOO SHkeIum1AQqyXT/SYFpulzem4nDRpahoxNOsFvdm34o/bwUnnmEwY5djsBBoTIMTj+hlz5hzamzw rRIGHjQP3HGgIEfRALpc5gxR8XxVnbgddH+/1dU3oBDcO5v63sJ+9htMDH66Z7QIQAKjvqDCdtu6 ESFOc2pIojLGtp5i1/R1IrqM1zRxGdKINuV7tDr8x2BemeNAXYOqdsVTlaoCoLPO/ROn1JPRJvw+ oCPDno3c8DbxG/qrEq20hT6l1/av/fh/2UP4N9ezaTq0lVaQtYGmq2eoNiVCG1axPTKXRk/WuG/p nvv+80uqHPwgNr8HDqqdRvQePXvRaKl5iD3PP+OmYh52FDcJNFiRctD6vgeYZI+iW5fafcbT4C54 2isTv3ShC/tvz4cHH/am5GxX9MDCvKWH45t13tlSu/7Vi3zMyGvZLA/1HrjIw/WwTnxsWrxhvVCd gGSrXeXBFUDlgQhR4UKYEsNQcYAujfpOZf8QKCn9fqBr0sYBI/zWHl6VEqmbOtqmS1jf9FwSCxLU qCAmbG+iA0HgHRY3H+CZvHeMEvGUiI5UWlfURf61WRDHgcB4n0525Ivc/QfpbwAlQeeZfwzJ2iCL Ad49P6/fByxyYSEF8SBhW1jvVdKxlrOmjDWIPTvbVG0sZWngj7mKpaNSNOGmCDx+kqnDxPo9fS/M kzMqCX6A536uZtExMYRJ50D1p1Xh3vXnxIwF9SAktKt36ZOJBQ19UMm9fvl4XSgUtw5Sm7uxFxuS PUiYeXFOyhHutkBEbz4SVnJySQCd6/pzKA5phjmz6JyyLr/KV5wn46ALwgGDFId37dTrLpIPvRs1 FQceZMvo9oXi6UWwN5R2jcKH5SO3RaKNe7/rMEGWGy/l3wnDBf5isMreUxx80m59DY/vttViSTss c0z/IERsi2pEgm147VxJlgQm+RonLqbS1aEa7AT2RRnhdyX6xEojj5jTy9HsOA+xN2SQpWiKVQw/ ivSy0N8eFmWpcwhyR4whvoMrZQ//YG961tNPrHzPI31xHsi4YaXHLbeBrkxCrBQtPy4jEQ3ttMHe Qd9R64/u1spOFKtQZoLMYic3kfZ9YW4BnTppInQZfkRZjNK6VNygvPFMo7QVxrdWWE9FurcZKDZe KnmTaZ0VQWXoMEpIBArkhV4Yj+uB9H+qtUuQ+eaPkWXpSEWk7ekpBQfaAyp95imnj6er2ROWVsMR gFLcUqnMCaf03Weu9EhlrxxibAlx7nhTiaWR+XEDpsB+5ET3XhtXc/Tct9KGm55Bn56biZmS36PR J0RzOw6TLBz7Rs3aXlWnHedKekEWK9AriZZOnGfyAJX0F+/kBLQjZ4om0ZLXHyhIyEunDHbaDjr3 QyEnfkSYhtfE2PvqBspFcqpR/4Z88pGeciXG0pvgrknu1ZlKCUrKMcF/iRUnros+uNANH+rEl2YB I/xE8ZP7IIggyvhJkTR2lZH7kjJEEhXhvi5L3wtkO6tk+FnrUM+bSKtAcWY3z2DcHNFRbDpzX90D WkvlOt1aWGg7o81zRtFxKfsmhgWeC2t//o/of2m5xuASmzFb8ial0RC9zzimZW/X3MWOx51Fmx73 uqBIB15lZiUITNsFrc1qqd0OevvcvuPZlDJVbIKbgRnUNQ4Hf+PooiPzGOjz52nnsn0gk2tPhsoc yG3ZbXt2RB6VsAOjzRLq9GwSiJuOO+WBphGVdPxD1T/dFJZTNlbCgt5QPCaX5LwXML/OfWZ/Gabr BKbR4YonAL1ZDYUL7ExQI9Y+fMN48pZzfJb99BCEUvrJwZLHgdKl/WzRf/sLs+n8YhDWHXtEwuOX Mi52JG3FuOoyqLh4T+tfbYhw/64UlT8jKPkq1XxbyzJsrfKPxhTyTwX1S40aPlFkfp/HDYPhZIJO ir4Eh1leSd2Coeic8zYkwZ4SaxXRxvU4LsTIUDs0EV7yvZ9EuZW47fMVxZ0Mf/GuT+oXHIzYTjqE bQiVv2SKEYm3mloFdspXxA0P8oRKKv7IcwplieWOxJLhXD9Ty5EStw+C0qK9RskYf+Gct5Zcc+Xz qz9NvLxg9mMAjq96t8527gIBotttyFcBbtz40bjdl3K+CpL2UitLyiC7zbq3YLwMdC6TjcQgGyWq qzLfYFwcumdWDrd5EvcTyPF5mgmRgg8DYqw1Svne6XWSy5D8+IyvwMyTHspl4yKCZIgrNDe2V6/f yyLxE9J2+mnTt31GjQEWUscrMC677NI6vNi21JtfkU+ovHVgxpRSDO/J5iyC4Js3wUXkroB35OXQ iuytm/dag/cTGeF/XhvAQWsoKeZlXV9hPsxkgAILEOC/vXHuovboZrmTtPnJHYFd8qR9LUMd6j2S ozqTqp61UC7F3muCZ3XYzR2gXQG7nXElTiwpz9eCaPJNHlIB1VTLDh8R3Ks33K5y8gUaJZzHiLwq nENvkgjVJWpB4mY0AJ+9gqiViRWeqQR80uZNdITrp58JKkIRCNQcdLPKt30q5iBraWIi8H13+DeB DZ0ZX+dj33YFfMOV0Gc1yqdYQMzyNuBS/u2fh5Wv4KFSr+9d4dgNw2DjM5z00Vywo9+uINQ5HwSY rTEpOcoC2sz8Ju/r+mGy7DplPL46dm0DH0POCxjaeluEIPDBzYWPQRF1/SpoKA9cKfXpoMCr9nYi SaBvgOVG+SHlnBRrKMfaGEAlu9Rx6LN+VucOmPd17QMgVALSxF5zn18//FpdG5GU+GdD6kYXnuvD dGsJEGq/icBYbjo6kX5OTA06FsCZkc+jv3uf1QsrX7lHpU5z4C1m5BqxrN1y8bSk5+40RDl5mDG3 6xhMAEwiNenL9y5C+ILeIr02wTd2R6FKNJbbfsl/nwkknA8cciw/iTCXq0vgcAa/G8PhnrV+9e3x 5kBAzQcqdJHCEd3SSq2Nex6POwAY+7SkGNNY9Y7iQrpMBcFFThqQf3UCxyWPb91g/K5TB0HLtcHq K4Zi2fZUZYeRBW0GdYyI8JCpeb3LilDmLUHyETKDV7qERUhlNgOSJd961T6OEwFo391So7devbb2 vpl3iOEtcOB5SGMoqCRB6r5i+/53Qa4Bo5/3c/C7AWHEMMNvnyb93ki/mzdvMqUeCzu1Hu9OmmeO 3qjAOkbYE8mTUbHCPY/ffUpiEltYV06E6GYxZJ3XzB7+J170r/USGQm7ROaxkMJftn8np4+QTsUl 4kknhGJGpqCkJuE+hUN/QrDSBcn0v7/JjPNWG+YC7J3I9C7l413Ze+kINZtVs6GPPqez7fwYtC1F 6YRsa2ZdWrUtR+1V9xOj0pbx/mzBOB9aguX6q0HMe5Tf+iGOBE7ey2WW6u2xx+QeBM1LrZ2Nkwd8 Ad87Z1W2WmvtKZ0F5wYzr7FhKkPqyrnBwM/05Ik9j4jnPTDNQl9Tt12PDo49ac9M4Gah14sAmTrB W3KfXbjHzepBU5MCtbZk0s7eWPWa+AL8ew13UtWpH1G7hL/bAsnQ1WSVG8DeksP8ibqWKtvgQnDF Kd3fKHZTxydypZxDop+xSRH3+HGMr30ZvMMHY/HBTkALehCENbcPOn3C5QXTH/rtxbhRynMfGnJK PeMaQdCA3pl3pPDPjVotE3HfFIRMYo5xubeqGbmBMHj3x9YO2HymUkKboOChq0TCRLIB3jcS7xuQ klspLs3gNu0/dONkUB53H6nPmtVmbNfWWjV9dlZDigSwVP+w2fTvl834Y5a9tV1ANH9pJVJz+K5M x3gO+Hy0Jrw8gHnFFQ8q48jZwFERiG8JQHLAD2wmHkfeQ+MNi2aOr0KYA8RnHjq0br+haYUVSAUv px+m70K01RVItx6t6hIv+PlQRFLwloTaDXg5VVDaDv7aclJlVs3kVFJLjOlBe43fTTxRmuLMQwOM HOA3Tg8hwm//MD/gqzP1AETFAuOEBmogSMJLdhfFahy+dP0RDjc2/2xXZLOxzCvrCTCwUVgy3Waq 6w0exQica4GnSilsMgM0IgiI5pQJTxSN43HoLSPzleW7Tutmtlzg/77ZGTdlYebTtVd0SHeaOKrC hKI1Rj77DoM7A40oHYB240YKIR4KoEFb/Neh7VYRrXkgZnFQFwQJce8+4RuaOGamR7YYS5g7dWRV IycK8XmdyrUxb6gzQxKNZyiml5ITVeDYCXv6r7LmEJS0zqsj+bpIjslqP6OeChWB9T5XJDrBM3RU fONFKDdgNj3CQSwtdEH7sMH6DA3pBaJQR4OHAKXzb5cWtt5RDBCDZTaJ18XpTE7Q5tsPeO8yIL5+ KtmrwszDu2TTwi6MfsCh5DWMKJGSyZhcoD5FrjBViv95aEp1OIxrjwaFWNOX1cE+KtsV6S8bRps+ mvQeJ0FlsM05PKDkupIeJFlzeFnj4PbnyZUcYHX1HqtXTDBdCq1KoRFvE3MvOaT7qZKLxMcjGcNY 0feo61i1b/+uRUGFtSj+lLk4ve7Jkzh9zLnS96Xf6o0wZxwVEuw4/KqZRMA/ZNJeGZqZVXm9QNrJ M62iwjYuxw3GqhHYLoQ43AIW7e5Lz744Bu0s6O+gG0guRpzq5ihe1UvS9fS2rMmE/r201DGSncqH EMqHIgUuKVdJFR1MI03cm7DpTWGj15LP9TE5tXHRRSS+nzhgomc6vD9wELooPAlQHHoRAmHkzrWq PHpOWw3/ragHcDrOr/LQtsmWnMctZsgfgfQ+5CCR64N+VQywjYgNYqMegZqr5QZkt0qh9HhG+kKz omXtrMSwVreN0sKXbZsUVo9SiLg/6xQt85fsRY5yt8L2egbi6oXh8ezIXUgIGmXQ+qn3EsDpeW7s gcoN3Oh9FtisE+TldB6WiGbaPgKYJ4dZ4LgAlSk17yQHpkZaRm40I9WK6Ual/IsmpeLFZMY34xbv HIVoObqcrEWb7MPeeeH+M4pE/ymTirSkVx/ODTmxKZKWZttJTR1RP7s7NQn5hbAwxEu7CSOWfu9f akshAT8cioWW9Ul7K+ZBys7z2kGq6LH7hWAc1mhyazQx/rhzdkJlKnJibCOOjWl56L6qm5e72XW3 T2uEujbcW1gtVDT1lKbhU8hJx6R13CWnwNnHsZd40UPSQaPhF7XVD0wnnfoUvhy2ntykCXgNN88x NzC6I0W5DzLA3NpriSJv/+8Ix2A3UvdirIq1WsojYM0cj0QW+gshdVrxSHnzel6sdxz4I4untkr4 BCHekqXn+pDACQT7jo1QYVJUqq4ObArgsD3yWA8+n8RzTmEfFgwhDUW4m7jW4CHgBY7TGt6V+32s RcV6D7n8+KrnBdRpA6qtoajNert4lQiEa6vUwcN3Nwwku1RoAMyuEPG1pWTcT1u7WC2de73gLjip 1PPOxxTqdyVKmXEfGdbqVcnFi2z5Z785lfERSqprnuTYgN9UOBxJ6MUeZMewHFvXR6imd2N06n40 cBaAKtQ1zRkNGpJTNq3OoeFnJhubpDUFYvRZkVMiQ1rq9UwkzjweB0gRKIJs7leqDG2PzA1O5ayf C+QUQS8ewe2lhr/8yQrbOTfimeQwKQP3hOagmfb55BTbyDCIAa54aIt2EDbjuywVLFVuwAdKZGe4 jvgX3KQ/Z5E5MAjbQtyFvWdkvgaWLDpojJTia3CYeMmxBnoj1zO1ti57YGTfROVbAtnBZf5Ao3XX RZD/iG5mnMYyhy9IfUnIczlcxUK1+DG3ujdcueg+4Gn64WF5SloLK2VpLV9el2OYtVL9rYRRNF6Y ooEBE2D4vUP3LfSzvSvvyZ1n2p8NkQ4IaSsaKKx/5OMq03euBuuaoKUo9yoqr4EHNZFhs3/CmZWZ 03lDgUlGKW2kYOuNIDhOTiv4MesI7qjYXPxqWUyY7Dab2pxyPpXad9imbE9WNHQfqnKuzJcekZBb bii/eLJxvvQdSwWIUiiyaps5nnQfouAWZjGmQNgFxgqw6LXNEe8yBtdBCMcCAnFhpsIQz4W3GwwW vvRyW/ee4Q7HggcSNuWMpbtRhASCjm86LvNILHwH/k3nC4zeQias8w82Swr9U3j1sQWDps+mibp3 l/BKHyMX/MLwiGuDAHMp9N/XrBdkMxKfBh9vmCVkjQB2ZHjNlyJFgm0X3cEGwSaa9Nw8MQKXmYwM bTOOGzDK67pTS2FOyqYC/ro/7X/Hu/bERQG7Z8YnGv+mS6QlduTMX7jeJ6ihkhDr2+mzrzzlfcWr pG+15TUKASKQYwsPrXIhuRuXfVOsaA2qtuH+SidNKk2EunozOSNUdpbch+YBq2pyiktl7kma8B5R tkQ/bf/748kQFlF8j6009/CX6iRpUkTvlnD9OZ3IxPBtxi88du+QCbaNrXBDKXLHeQQH2pB3Yang 3KBWCyJ26NGgsKxKRpYlMRSp7av8oSoEciD84CYMoYJQwQ2/GLfWCe+XhQ+K5p/5sjZLADC69EyO 4tAXwD+SOc5YPCkwbkYJsOvae5EOx5eV6kcMglItAim17SgTITGgHm3W7U8J5um6M9+4AMHYAoa9 8m7dbVUfadogm7/0iLCSo9nQ4RQpW1bmma362e3it2F6rGCISP8JvOjFswE5Yym/tkqV9G96DvAN FyIqAXgR1rqzSPaApNMjXXAC5HjZAPzUTSRa//aJRPBG4hxTvTuRDS/nw21SG7WNNM4ds35RzmS9 oM+NNSqNHVb90vjDV7noicrgOciG5y+EdYkQTODHWjH+N8zEIXbY8Cywvt7Y7fDJOlKHdTT5FynA GJd6YRQyr3zDafky4S4qXEO+eue683a6tTRHeM8GABBt868V9vxaUZWlXXapOkyS8PVykoTdaIQy 9Hxrvv9fFbAKUToAI8M5fF1izGIX/iEEQdyDkagcqK3w22oaEsHA48ll2jilx/ETmeGDD36P/pVO 6EtYLTwYYDr3+edeI4sYRPFF18qsDEFqul8XXxPNRYUxDUtPJ/x/coR3S2qPXL28ZQVUgtoc5N+u wkv4T/Ys38DkA54aaRrP2yFLIoIy5phBaaReigTrtVP1XnBSs8S9lQl/GlRsRYwQtdJyKvkzov9e I+8Wu3Vl7kVRrhHkG79Cbf4IXhjvESAuBifejMzKnDPtqkGW5fxy8qqu4nnii25obgS9oj2cWzIr tFZI0t/bP5XH+tGygFuAPttCDrhpQh13tPCn76Nqo95h23EBilVcG02rEtGnfrlaWUuQa+wVMVl6 65cWgAPOMq0b3oHbt+CEdJvPi1qiHQRA13Hsedrf9N5kEn0+HQ1hjdT/8SRgyNA/y7Q6RqEh6Xu+ e7PgsPDMHPNWM+VCrTrKuLrfAw0V610HQoce9Y/wBnKTCjFp/RyUvhaAuS7gbsH3G09eOT6EDR2J ZDnuYMfMYJuHhy3A1xuL7sz7kVKwJZtNdydCH+oVhSnpmlkBfLPDLKZ9SDy7ZrKxi6TOP2+cnw+n tIOulk5QKChmEFtRbLSYg6w3S7dD+R5rSUkCcKeKcR2UhdTklYYtPHEXInr8octFiIvcquhGrCjG 5Hj0niddAsXIVaQONqN7iGyPeI4DoPlg7g1VkV1ztNTlXCQDmgKIoWS1WkLk4iUI+PRaHlGUAfZf lBYpZMbi6vwmemU0VjCa7g8U7/ysLdPVwvG2uMDbQs0OC68mZOYVGLJEOj/HApH+vvZF6fv9niR5 ofKin3VT3j4yMm708I0gA3gRcKdct5xJiagL/WqhPPfQ6HBfjq3u+mKUh/GAnG011OXNA4kdOrP3 X7f+6qLTUIql518o1R99mmFLX9NY3fg8KFTJgzGXWIgvfEcmNY+20gx1fhnsuqucezmjXHYllsnz YaxJT1+MmQMC2bxaVgm4NqQDa6BoXikLhoclilbrGzLrtsXSXPNwn0Cp8wK0tWdk8YuSR7cz64pp nkIctpujb1ti2Xwn3E/EPjmzBFziiN/bAOF8EQbkFak4wV3aYfH6bSreIHffb2Rwn/CMrhyohQhW WLk8prNrqeRq8VKgt7kSDwwMs8k7ClGjLYg84jAARtn5/xpyF/XK1l6bRFHb8qVRTAiX4uAQSmMn YgcZ54U7W3uOEpbGHqPG9mbEFJbZmTT1VooZ92wrTr5eK23HFcW82mKms8KLab2XBOC/N1GESt01 oV+g6VEt8nsk7P0TOxxMbobLCYZ9Yr6UNvgdkpqaXHx7OboDVVu2asnU2IhmOlGdIBkrhOJxaS4U Kwmm3oaj+zfO/D23iEBtxrmjR3ixtaeKB7CnXJibAsyVa7q0Xtq2ISQl9+QCKxueuNTqgi4uYKB4 vQzv8e8Xgu6kFhfngDBXHLP1DV3q9yNbzg9XGerLuSqWyb1r8Ru30U7Dg5TCO4o6D4L348gHCLux IzPCid41vC9plG2Op9e6YmYkrRiXMIGbrQrdj/ngx+hKavU9RYSRoPTnolu7guBmSmMMoHpqxHHm GvluYY6CzZYkvU0riULTZm2mei0ZRP2j/KdLltzIlTntPH7gFB77MHyQ1m5NeIEBDHll7Z735GB0 L+Ih6eXfNRFKQOxqEJf4NxmsofPcVKBqZ3j/6wPiFtv7wHWUXS8PPt2FrvPl2zY0hQqb7ne4Wd2M coDZnmZyG4CNrbkRXV8gsyA+9Kw1feGVfswjSMdcF2RiDSeE0duCbW8QbbvWZiWrV1xTvLSEdRAq O/uc8eqzuOoqGJ4rUAjo7ojt4FbPo9XoQcnhtMNUsUtWzEdKk18tHS3vtxBVMSkff0AcJm7NWwgB OhRIvhSuPkWWXY5di0tsFtH61DlinodoqZ6wcfAZsIF9XxfASGf1Xm2S74LwHIX8HBv5BQ/YG0jI yrcmB4ZIfnyi9HkL9TiHFKDAls8xGp+WDVXdPBBTk4DYpp29w1ItkB+LEG76mvAsWrn6KRPK6V22 C/OGHR7xliga1yP3+0aEiAdsISXzK5B7hwdpVqieOr2VmV742i4MIgFuUKkHAyS2lUeR9pO4+Ghq 7A87PGgx0xAMgrPiL9GtfSWymWmQDC/Id45bi9xYP2mX9XxsoarroUz67Ys0vURdWrgv7zirMzLG KrOETZGhad1gwvFE+HpkapzWXrZvrRtpxbu29vBCMTOXusuo14mS1+10ZHG1bHPRH8teDBS6HcE1 OTWtpkjppTnl83sBG34AdvyoquHOHeeIOXpZLJhMfaM0l2+0HAEJjFfLG1rFKgFA8c3f4g9a39gV vBmeqSLFOEduWTtUTBborjFZdSLkWd9mp+XlkDK85bpnpDzA2q93kaI48Mlf1cz/qScteyIT0/bd yro37eM6aSfNu+TUwHj9pVFcikV2YYPTk3GvtSv1wfJk603ShlPGeib1eEWc/p5BXtS7KBzzJJ1t z/g55CPqNy83RlqYN3oiAUOL/Ax8z65wkq7t4/R8oMRnXZl+sNLOZWUP829LJAD3DEdPm1BFuMwo rXrF3jAM6FLNo5dGzYeJpLCAVY8XTPGQr5rgkx6MkOitybqJ9jiVtyww2qyLY88h0MvdngtPByr2 S8jLnQNQKFqXrTYHxoLn2f7STgNpnqlVWrKD/PLli8U2AIJ/rEQYtmgmY+t53CV7smYncuRQFQJu bJdoJk8rOh54nne5ulSH9eRYTUuclQOBEWc4XbatNaTPwXSilsXR8cKOR4bD4KT6UAiY+sovY9Q7 ar5uMZtUQZFvPSwBqfyptxpTfgS2ILyEru+YIQjYAyzUITYz6/5kt4qjM3+eg2atde/ihsouve/L vfRlIRreb9SaZlv5qWQKXSkLD03dCNKadjRDZmJ+UGhUCy9rDBtYwAwUVTQh41XlE3jq/pD8I8Bp gNwdfWHdIw5l9jo/wN2zzX9lMEEsdHv260nP4E0OnUJmpgdXGc9kRFNj922DgxdJB9RzKtwIMQz7 lNax6Mr5HKcCNiBE//5kVSLTyAYyipnAmjePqd/Gk1GlNUsnSMdJNe3lgulOJGuhcHNN3LvEpjzT PFFvo7o0edBJiK3hmXgeSLP5uwILudkYvL+9Frp7I/3MYv9zJIgYaK02JJTeKCYVQxOEDQGOUy1T L3MYgZF2cLLMRlpk1HNzvNbBzA4msCdopTPYpwbpFM7agQPny4FSFjTws8FB0TVSdIU880Z4biWc P6zJa142Sa9hQmxzFbTvxqjrmzpEKHdww0uJnL1usArSoNq6pVL/DLDwSiSYocq24egFxJ5CKa6D gRcER3XLzlGx0UdeAWPRbNVrusQE70mqqWNiRu6ZX9MtQQSnivZJdiqUcxHqCn+YIP17zPfAU6Aq pu8PMK6e98fU8OMwatSpoVG5ZXiYw7Vwtf9rr1jyeqtq3vaRg+aBCUkqr/Hdkq6arq/BK4EiYmCN 3EYL6OmvSEISC9ddM1nwS9kcBbutQDV1MqDYuIjkOm/N+Q9Fc9NF2UXSgS3H9Y3hara4t/v5GAHa sArqIpm8byAip/eEmdxMHB1sEh3KebRhdw89v2ETeM4SlOYCt5/PnazNtSAQg9AaNd+3QXkgVpIi fy75vw5CPTPIvneHNq3M/xbpTRnyt8Mq3IJFezUA7v4V3GhiLdO8ZTEHZMcEQrwZIBb3EjC6BcKz 2ZT+Lk8kB2F82saEDMORiHSLNXszWQd0vZpcK7qjmHPgFcASAoMBvXeBkRwyicV0wdABIgBIFEgT q8izdTG9WHAfg+1RLwDIoYfGNwN5mUJkTcOMGb4LSfKArgJo5z15JS7fvgHcmO4xusvqPGIWK6NX ycBtkYmMRY7vRiY/UqFQIPqVBwNtkbkWaF5pLR+meT68FEAO/IIjG93Vhz01zRbjWQvQ025mABRJ Ea5Wm8vLd2cdHAhcCoxh5Fs31mwIoUHqTN9tH3Ug3Vaq/pUJKBP+n/10z/qNxK/CbZqcnzZDybHb j1pwKdY67FNBGAcLLP8+Ky1NdrBH9WsS9HCYZYs8oMKf/DnETvtFhLGGvONJ6CuGr0UTb0TK77W5 uAFG0ltWaJR/jU5sEY+TbT0JlSsMSKNpbDXXHzGQe+st5pbavYgqkkKy+rwqU7tHd53HtA8aU89Q 3AYuLcj1tsKPCZeVNYB+xUoMZEYAQ5PXOTHfvgEVCRCqaaQR5BAGWazEngx04CSX7pDSOU5G3kwG YLWCbedF7r/e5WIaFykOrn5l19kmpXzgOAZZLy3LUGDuF2fvK6gS4YvspAdnyDlqME8ObtZEGeEO NVMsTwCgwo/Jc8pwI2YGOfkVW3tL5ea56gQTn0/soJyqhbAPYtq8brZAns5/2R6EKL6E4taxrdx2 7uujUOl4Ggl3YA2nKZk5Cp8hy9AdX45NF+oHBAAIy0cUx6gWQWAN7JtQv14gjdgDub//hLKlr5hl i/86JbjLowGlBczBdfAW0EEj+EdlmPYwZZYOFtdxcqVFyfKxPw4+UBniRAURIKcfms/FZD6j64J/ 8BHnFLPItvPRPpgcXjm/XXtUEeYhydmNIvghLyI8mZuZcAlbUSfsrARawk19LmMHVZsinZYdPO7L 9TpA6alqKZ9W0VM8I7d9OaNZ68J4JU1jp2IBnZf49uc5iUZCe4x3IUsSBdj6d1nj9MPZ4xTxoq97 j3gZa7aahHdGRqoWfBw31dp8ZcjjdSggtKCF+Icjr0flBN81DoaEvpnRfmBdxdLYKYc/vaqNbziI 42iWBJU+hvxi9inZcQ1XFCoFEQ24rWhArHQHljQ4x5VfETkc/Cgrw2K27Pd/3nTDO421vR8+6wGo M2jWAi6E1Tw/PIfSd7IcVquSL6NH8sHQVM0YIgH4Zse0uhM1EIFguQph5POVptYSlkf+RPCHbr1J 7uv4hnK3yZwkyOZyjRcq8gC5mwtUvOW3IRuBDwroZPGCoSgUlqdEANWVCp8ZBjgQIkOajXb8IZay jomgA9Rffppy+//yvj+0toFAG9pWcSSwCip6zhWnzLyEKrTpNrpgKCLsfD3TgFrX6IGfGaD1zINy gD3KDJeqE5fuTeswNtROr4thSAHiQvL1xf/RvZB7kS/u5epbOudMsFFtiGsgeDpk7vCI7qqT31jF 8XwsG0ZGMstMd1qOwpnEgSoQ45kCYD+Bjr2EVOBJkACkJB6QrkRnx/00GoxS7R3Iim3h60DfUC+j tEXiuOI1rq8IZjde6TnqGcWc3LYA5nOIBOiN7ROvzY0uD2oHnayljWhS5cKJL6+SUnglKcpYZUYN 1CtlH9UdL7syJ8qx86q/8ZQo4xoxK/KcKABDeM3D31b9eewhB03SfmtWAarV0w+GpOZaWJsWyJHp ON+6aUic/8rrpk/37Ll6GbqCaAHQkY0H8JMwbD+29GKmgXYcuNOV9HaWWUtIg5UQsc59bbjo1MWw W1s5QKQk7ImEcTRLI5/OZpsxEhqH/an5ULB3i6PwWmccJi5Du7zbCyKY2DgU/hJQzNyfuUh1Si/l 6F4VAIv2cuMGnnrRE08t/iPK+lK/9AGXAB4lJBjiYC9Bxds1V0K2xHKEl7dEt1pSVlnDBXsD/a+m q23TI/zF6XokNrDJSzqh/tBm2165Ug96JyiQzAjz5Za85BVx7oEmJijT4rrm7bcdmSSZK1qvkKlF iR2uHnrKYDkOtPUfSne1PpaEuGt8nnoKosmF0K8OTBwheFuC+5hd47UmGH0jFdiSpAJfPEExb7/k 9MjUzinzCYHYbmi6cbws80XRy4ZVgY+9y/2+VpOJSBPQM4kMEG7F8kvTci8Uu9p4yXCQypdE5PUf P6CYqV8hWZ4DV/HmL1+CcUPBd04/czgPLNq5Tx6Odsxm5YRjZ4r70lBoisg7r3XKKWgvNQpSufJX sbLrY0uaDKyzghGUIesIzmQ9859ZarT5CuOl+lr22GyLzBBPPi9ZllyttKDaWmGhlV1utHb0rlpE rG9FAFPxbcyDpRobotjxZBOQ3NRJ8+P5aZKtCa1ZBLNZcboclUvVIimBOYXRpOW64wfU1OvUsAcW eW4z62PUhgQXe2+Sgz5qBSg8NURGaR06cbtSjYg+Fa/rjkg1w7pEgBsv4kRHaRiaFiBEXGAQCnwC 3VOmxbkgY/Ahj2/y8VA0z77YFm/xfH/f1Bd7VuLX4WEqBu6ZY/feeHl/wSrcU6659sPGMFKW2k1O 2Nw9raa5JGsumvRuEmJA5+ts9dMQ6vE9ehOtTMD1jtKh/Pf8XlLAEYXOCONUbTdxY9sPCUJRmxTd BcC45ZXkEV+3mhvHLW2GSz7PDTwgD7xYGN4EMhTFQAb1zXHh/xubIUWMcnVLb1O8FndI0PNAt1NL F79wa+Cg7EQVXgR83e0vXk87zX783muB2WQqBz/QlNDca2RCTuakkrllfRtoXgccpUA4h8W1w6dm NHfxdVPsvxB8UtfLzaAADSAuiWWNyS0Tgx6auqbBVwBcbA/29v95hrcvDQN7GKikXRIQTw19XmKp +AOlG2Us9Ac/jdSbRXJxHvLa1j1r+Kxnjrz4nF5oJ7gADngfsqVkQ5vGdS1JwvDGkI8dfOcPlOAW 1KG7jwkfpZ0Tz7OE9pdttGBl5dyRaW/Ou+C/Zd4nwtzZQ5/qIRQKxL5EJAsl++WkUMCT5NbFteMr 8Zp0xBpAIiV9fs2bv/EACzkoTXP9aBtXG7k3ldI5bqNCmzdOg6wbag/lJfSedkO7XzP5rWQcd601 Rsi0cojvub//DmqhA9r3mkWLiAJLSLunzzhZO5ULewTFd+XSTF0mw+kUaQSIYulTLTpNsqRw7CoW h6d6K7P0Q0/YT+Ene2Y4U4heJDdKaMQ+KmbTi6C0FshQ03XDIxIdPnec7O8LH7nQF+qW5ALpUMlu k2bN40cpBq7UeP6oNz8DNvE5SebA+cG4O+RzM/aGWAShYoksPoV79E0ji1CmfTMxAGGWOhuRKOwh H0xEqFJR44XrbQYqxa9Fc/3ulW3Ft44F9KZKzeoRZsc3Eawn0Vibe6ztfYgGYrMJtJehBZkP/EEQ sL4fVG3IouhG9fwJkgz+Xb2P0mN/L/c5MyaExseD8yovh/L4fwo+6LpyoMRBR2jtnJwkK9Ek/E2X sruWMKCxBfCB+gv+1STTztucz1Vuj1Qy8P+gRhHxOAIN1MNJp2OhPhNgskcC7Mm1XncaAxFApwab PHebi4c0mK60Cve1cmCb9H0USRBrwaIxo8Or9zJMNS9mThXjRUAqOACsZgzIMlylu7YckLk7swBj CZuIIAWpj7QEEa2eaHEW/52CWpP5vm2KL+0d1OhqcUk5K6UHsAKOaI/u0q6ZZB7+XKW8a96WvYHh 6T/T8UHZwSzS1mEmQB+LR++C0iap9eHVVpfbrLWQpCg/r9gRcYoZCvswAH/wL614sjL0dfcbZ0Q6 QECSOi5MgKNXuZqgcHMw6oKrrnxQ0IHr9uHcfP1Xzzjrqhf2kG1TxegBW7y0sR/TlaCCZOIsLPFA wwYaLln3q7zrXno2IRJz89dLkrcLDnrlQmMFQuweDy+D3XR9nbg6RpiYNg67DzhgvR/iQK/0JcMa 9TGapiAnAXI52vJ+papeUg4O6AJDO7w0viIVYQ1E3aTggsQdEQeytlzrNwATQMIbI1VpyvPIdZJI ylpVvrzRnQyS1b2Yf+5K9/gcVVrO1J6o+ZmYARzgpZS+mvQ8sWlTbEnYy88+my9tLZWbqUdlUTGV 391zUPqwxjz7XiulV2KHtTbojqpyjiyPiyU8CIpQ531qFvrRrVv7E95so6CjWe1NaIev4CWuk+AT tuAS5QxTDfVj+s+NlwX4aOlqcmPAT3p+0xYKxmi11AaYg8eM6qVzFvilr6dbU0LLhgMdWhCFWJr4 a/n3wgnHXEyDd+UlyN2mNcuLYItO54EABcF8Tbn7ItYBZdECgxAviFLsIHJaeM5fPbZSG1ZIr/fN xFzn7l5fSrrEwLjPaN0h3H70zGlxAVWHotmYlbP5uVXLiaG07EAoS7brums5eSpwLOegwgcS6nNE IzDmbMAzwgz7aERUtJ4dz4ktuE20dhULzj44GzTX9wgiB+qezbd9zo9PloRNCWwEmeW8ttEWgb9Q olL35Df9X+Y/BflANXPM4JxHblkxPU4rXSIsTe0xO0jFXPgXyRWYKSfml2MtDnuJtaZP/0LPyvjC AvGo/iCUoS0asgOjPrhGgBOe1nEDZNxvR9BWJQjg0/IPjp79w3usL5JfFZ5aX3xCsWHwsDO0j4Tf 4ndigdTUKrq6NN/xsFslXrmdgzAmqtzi9iMyoQgdJg1RhSpcXzttrAv0ziiiV3MJAjBg9Mv48pou OD4cA1IgmSufxu+uZTh20QO38lLrI4cx8aS7BsF6JJaBdDAk9xREiHo12T/dCFL8d1bByfRAO8ep W/g1RegGJuziEQYqgpHCaeh33USB52d6xM6RaaSYTFKNKQfdrF6STIkmV3fs5PXXBN5sA+5sY08a 7niRHbjll5VWo+Pa9+pqoRqh5JxA2nTRs7gW2yZsIyHNm/GaQ2rLXtGugPs2JBl90GdgPCYUp+iV FDb/TEYedLAbETKAHoZwjXcJHlrd1hqriCdcY32IqRY+/O8RNPY847u+EmebOEihPCppvw2fRKqf nQWp+oL4XRnb41jdMSuT/yO1cj7sDQ7Pra7wwwfNqmHxw6hCzsrwA3UrR9yrOTts5CEPYs0t+5NF 8jqp/8BOW2NnB7gYvmhokrSyA7DD8j9uozNhJ3Ow2pGVNGdg7fENF/NX1AvgJPGQt5EqOSXLUj0f 8KmZGpiVcYNJqU/PVU4YshAHqV6MIzDBEuXMONYw7YQFbxxuGQRmzD1QNZzAZYFsgsRenmkE5D+h Sv2ceTIo7CTSkIHejOx/jTFadCyDOo7shSyi4P8S3kMIZkBtkHf3T2iSdnVP/RS1oPrVr1Jq1JcA ds/lPn6lVnPUvg0A7sgIcCVyHEJvH6P4OAXEmuTq5Sv2QQYkS8VisoLHvA5MRw3KJhOMa7BoQij+ 6oTCIHQC/NK3lnIzJt3YjukixTPBToAqgadUz2qf0zpwU89WhRuRVRX5SXW1f4vB9u8sMBvSDf7E eM5qcq42u5IKIBiBZKWMPZUNYR7E8TEJGaBH6wqkZ6Dn5Hd2MAYjQmhTgWtmH5ODWbnJ3xsYMLqq zg4Ye5PR1+UIZxpDkxAWYLeuMquYSRR9cxsIuj00/aj2eAavdB4n7vJ0cFHHwYwLAugmt7qinAVH ccRJhFdggedRSyEAJn2QY6R+U7Lsm45XPCJNarrkfnpcsvpRI3+M4bflAk7CeipGfPLt5GK0x1FS 8lbJJ3Go1cDDIp5PvojbkhEL8NIdxw5XNWAxkp5T8wR1r1ONCsAwObmoXMG78+a+YRa8+wRZw4aB J88EjuJM6I6z5qccAUevRMen/wM6POOtIz/QWFlRgGYSDhq+kfP6Ks0kiPB/IWWGLZCM5aQQFrFT L0VNs0wa4NoMfWRYjPw8tTCwZrf7MrYpbMbPySMQXxIbA87Dnw8Bs0dn+dYT/w0rP7DdOCRvsLKF bUE2arsp+yHggw5EM/AIaB3O9g0H6+C0AxHwnifvg7vEVwJZO3gkuXcTJPQp4zmQ2OrX6kLhBTp9 JWJpUPWo4Dxndngk2J4viijv4waP+15wF7PP+A4kshCICf6IJ7mHs3xxui5b3p5f+bb4Rm6nmIBp g+lSrtq/Iz4OOOVoB0Yuba29odPq8vtPz2bamNUnveg8u01rtJP1xR1Sy/XQx9eeGD/jjfZVOXJ9 XxEfMH2QDNwXSlsJjaTecyyR2FXtH5VExauv7IAsQfdvdBww2foV2rbwutZ0SXMrW6fmC1L/TVv/ OA2IkY8a3b/GHgDlHMicmIilFrKQrVb0upI5+ruOWYy7TNAQ7m72qcFP0OWo6NeiMQ9sRiI/o/nC lEG49QY0Ay1ocN2fY8U6+Hv/FZJUmK0WbdF5C9ErO00vOeSicVXQkjDVQyYiDX8aB2n/KufR0Sg6 3E4cmtxmsAtlOzTk52zsteFPKT0rALtOBZw5W6CiJecOvFo/RYrOgF9PNT0IftRlbds1MKUcLSMM 3Mq2Gp0sgLle1UU57krWCXg1CAZC7HDIymvlU1RqRlQqA8CT2plIix0ueUQ/J3uvRuzUvF1t0UUW pEyY9nA1vCM66gVJ0sncaXD/tkMBREJGUNacL4xeUYWZ04ZeoSbuGzsQ0RTjpc9PNaQlymUT/Xgm QtEcGl1F3O3U9hD6eR3CDa3rj7A+hJNYu46bPEm7PC0woQTcep7O1sY5IMabZ7ddm/DXYVj6in/Y L+AbCEl/piDSwUJXhRUAtTkW1tXmzHQ091kQIVfWQilIilZ9ClZoobCjKcPnDbEQopD3D3j9vUSL HgsDuv3YeF+n2it6pfcr9BUusRzGMJpRsMFE/78lpx6lqc9RqVSXACGXxvz+V89FcIv9Vu/OkObW cPYhHhltAPNzTrnG0GLHgnol02bAvJdwsgF1Vb1x6xUco81X5b3oi5eCumbqCDbp5++6P8vZLy3G GcV1vucGiOliv3ap7kfIkc3xSTl/Z17l4ElQXHPlx6y0LkmooBQep6hKDMOZyEanB6vrbJNxURVk sMQfAVGzpIOUA9c+z25an5AAM0aVOQWf9r3BKyeggSJviP8m0TEGoAn/0S7dKS/Yz8pexlciBX6v QK/O7DUAIgc4cYd2TjFZW5B5yohr3gWRoLuhVtRVrLEsNZ0JGKJ9EPDCwiIKADeAaCNKgmng7tK0 XqpdJtnTqsEjtzWQNJ36CbUFmoeV2CfsXhOfXWQ/ylZNvDyRlAFGs1Bm4f1JyKyOB1wqVtp6Uogm 8dkdW9crOLwG/yU53NUYVcdBKa9jzv2zKRYQ1me2398RSOIsGZLKtKE1UWwWW5+39H7ts2InTEH6 hbWbZx8QWp8CHbfNVDmT+dZrARU2Iw1YLPjNscncweo5z7tbakg4sVG81Tp7Rx9g9HLUZ0RorqpP I6DX5DiUgGFId3yHeB+ko0kBFu3U2l48D6jwepT1k3mWjp/XFr1z8JzJba3MsE0isM7IQ2JVRKPt ocgKEpoZh93vn0VJ9e77EimfIpd0sIGLIti7kBF9K8Xln4JyMnPI+YTLFazEeCF67hoIHI8+QTve 9eGnHLltArUdhrxBSDxOo0tQpWx7CoJYCXX5Fklp3Fs0rG+C1t6KIkpNDVDaAAevoEKHTx61cYQd wyISYth688BO00PQgJSraVYXhFdLhYupCJAX+PGGFM8tyg845JFN8TFSl0rCzIr0afOZGAaDT7Pk 7aw0g3fg3QJREubxZLwDDYkH5uJHfApPDKTOyJYTHJ04XfWc3HxODqscsBA/vnziBd7l8avMlmc5 /jF5oNWvy8kpOXSxpMp7NBNCgqPPKtX84I9vS1yJQFJblzhMwIQNm/ejWCyQm1SswSPa97fSQfgY 7BWs/YSSSs12fgVn2acqq7PVnTM+ZPJBbzJN/ephG1syylUA6FQiMelKs3DeF8lP45PH7ppTcTfX MWgu7+CTqhecuqCgVq3sNFqlmry/REBZaoNmhP8aba7OhKRAHHF96gZupNj0m1SBJ33f1DjNBSxj olo3SAsJ43+V5REP7AJVt4BethWByTfEe5Rr270YmiygbT9szQO028HYwiTCGuyB0gm4fR7IlkNM qQGarvA96oQgNacFwlm9r1CHMeoj9p5b1JKgO/N2DvvTTE6SA31NcEAoRG3rmM0XGBTe0OM/6W/Y QAG5yNl2Uy+TJpQx0/VTb6E2eb+h8NRSsi90/sL6XHj4Jd+ZD7ISwH4zKMBWHjhSU5x0l2xyv8PD Jljf8I9WnfN3iNh7UcaIvBMTMt1g3HuENFudA2TfWAdbFDrpu2fnszwRBqdq5D2oB7m9ngHDVUY9 3ei72dbWX1QZC4U9ItJfuvcbBkNMHd6brO3FY4jJyk7f+oggqy+naeFCevVR0iJNA9wqEAWCYdap g7v+2EIUWlvcnWlZlkaAZU3DGXx/ffyvyIWpFGkXi1D9VgX9/LuoR/v39wxL0blMHWBUR5H62qD3 jEPAW8Il+4rNhDytxZAI2fXyHce7hUK0Wna+Qdm00uLw1su2+zMEOKGxh+936KumBYAmIbQGPV8Y GXDL7sZaAk9iESkSOquycL8KJV4+X0XhJHw7CXCPaABfw0wGOelDGaG1PwTfdaq1aaLY+1mSJQof Zg7rYQuG53ujlvm90W8OtRcCf5zTqNQv369uMmbrxDC/WbMsKonJ7lXeqeK2Z6+kDxptLluDl5aH 3na22lCJoQseJvUc/i1J0+jIOKHkHnprvDsgIXmLgaEHQtwJgWi18iogn3pC51pDiu/lTHR9TAfP JaXHaM19nxYiBqYRW2DoBaqi8rp7fgGFq4kvrAtdLy6zWbYPrH9G5Ag9EPAA/RNcHijwpLlJmi4I V6suphv3lV6kgDLM37Zt6BdNY25cPhw/348uNNQxUA1xp6S3Z8Ph9KrrNpsuFwd84Vuq/EOLN8V9 2StMzmNHvumg+PMQd+HCDDhKXQDr7X1+g/JtDVfBuZaXuM7n59LMZ8c6W3BJzB1IdWvUPgKrqFxF sEgKKHHhdG5XJ5yRHU5hMSEzCHSK3YAUcUB/2oBRMRa/v/kiacnkjjK32yQzI8g2w59SRxFq4FUD CIPizkU3PaXx6I71bweO5uHhYwV28aU7v6yAQdqpp0Di8GUYlHcEHsS8lSrcrAUO9cpeDF5PaZHQ rQtEzczqz800aqiT6MKBxCU0w16N88rZWNAIheBYLNmG6WD6USKzNaCvwQxRFDN0l6hPuKpMloT9 1nHYUFsZzZyCeOR9P6cVbhHrFw06MSJpj/jMq7pb806tzDl31zuaH3wVoz+090JuUivZSuyQVUZe JUS9XAtL+cYzV19ohrsnyI7+o5DWK/G7ObTwDboKVptxVtoFAmmPH95VOAJXZApc/6cFqXZI1e33 sWr3b15+IqXC/2JOegF7DQ21v5pI+064/3ueCpc4jX1TM4FGHZH1SttPAA6LkACQhL7D9hTFNnrP 5hru2JYtxkjR7KR305Fl4Odal0ZCrjW24NwSa4FWPNk9C8u9wqsaPrqeFlrbqqlgXnA1pWhXXE93 jsSbNYHEcpZiCXVk27IfH671OPRZD/P8F+76tujlZy7h1aB1nq1z8xs+OUN/GZpJxe9jFjCj7Ub1 +AhK0PYXZmNI0OaX581afrlPIKs9w8NKsFdD9SuNRL/7ouhZgZzI5Kuu1M4z45KqsGIHhQlGxjl3 N2v/J13qHOlCJCmassEwW9v29YZgeqA+J+p4FZHp3wnMtAyyurOnKFwqNsgaPAHz4Uct8S7txZSa FNg7UtOWezZxF77vH/jB8yDz8vzt2dUxam0edPJJwkwTKUIoxhOqVivW9n8a5xc7vooWIQpYJdeV 91aIpTFbZ2O1/kN0DF4lYMld/bc7TZAlsi1CtLVMT0c2Xmj1SJubz5L2VRHzAK6InEBGhu/msjMI 5F3aQUxW+C3DsUhLhY4DcQIgTiPt90hTgsvqRVE5dzh46C5J+jQWhnb8Q/NCo2GXEIVic0QQkCAg mkcDq5rapHfTpZMXcVm/tXX7ycZvcfGWNJNs6V+w7jVk/7urqpznk1NeuJ1Wnf4pb98kaKQNsx81 kHZgsGigP1xKcwnjPTrNbU+4IDrRnWmYN85Q6lJVTZlk1hYyeQLdmBIubHWgmtityQm7BiLcvXGI GXXVutNeKA20LJLEjiKgA0gRbAwGoMr3aGdwFY7vGrTyYmvKmUehWYH8iS3B4t+3NCa8vJQjko1Y BrozbCrO8UTmY3JhC3nIuU+HqHINZ3Igdjb/EFx1sPOq3DA8gRRLef7atZnkcnRWWv2Tju4h9T61 yciVr5S32kcfhkAXR/Ot0YdkXIMOrczvd99rvVcOlPonyjUC7UGFpvlTF2Pa9lyQ7M+16yhJMHQE ZTStB2F9jqmmTkJUzE90sXfim9ea+NRUQ8oQVfV0KXi8jhf6c0BUQbrOSDYaSQj12ahNrpPHun6g ZydJbbG2SHMn0TF1uPZR9Ja3R4/lgunlmbKmscJbmB0vIqQQ7Ayn7nkubvr9aUsOLjgfX2XGeI5V X8Tac9TkHk2m9onqhc7GPEidkatLKqQiR5JZZGg9PWD2fIHZMkNe18kD4NDP9tOgZSckmA+sf5Cr fErWgDRbLIjMfKbvam3mYD1cmPBKtb4aSeU12dAdsEp8IPYlm6zcgC/jmvpB2eJ6gK/70lryTS3a 60vwrsKvKRpO0i+p90OWjE3UNML60z31nyOpgAZaOiQ1SoF0KdI2+p9x1mORpEWBoUyJQxpsO42o PmFvQdrpoHAF2D8ZrdOkTf8BY9s+/AiyNWYHbZ/QS+RO36XITdsiuMnsPS9FwyV2quoYWv94X0L8 SX/q2GYDqNxEJKQXNJwLLG+VpoGy50Nv1xHP8lVaYk2AXgggjaIGQWqkKnrhkhPbkrYtrRsvJBIZ /tVwoRCnAbJm7Jdk5k3D13d2kbH60Z3KPJOAuvoCVJyOeduGblElvkY3YQG9vNTx3QlExo9ICBhj FhsddXUezUeazqIzkMabOdf0zTPedlmnjqCA2JBzLBqpTy5OsvMz/z1bEeppDBjKOk7kAdX1EO84 9rKr0zCglQYyAIvuDPrTMZncH2xfn2ChiN3p82+/FdLKNX9WfH6nsuOS3asTg+kk03uBB5jJPmDB EEYQsQX/dmSN/Uht5AGhSRrjKgDZBoQaONYU1p28WJQsQKKgy+y25gDt/L2aTsQnq+kqQAijNaP+ vPX6giZLrc8SOCtRvmvpkrkqGtHl+xCFvDMMXUUBHjf67L5lPvGx8wGQR1L+dbVZWYHFM+XXtI0o I3iK+R+PSDf0jy/qC+vwID5O1piFrfa0GFukjqOVhELq1rSQRjZo50FX0f5i+o3trDADHanLagBS R4yE1D9r/wLGqBRkKUlvlAARQmBN6yltzYBXx5QtuJJuEbXNK0ufHFnix6I7DieSXkS7HS3F6rDI HX3dhKjh5ymEkKVrlz9u1upgFw0rhbX2De8GvjSe8YG5vY1ogIvm+ruo4IdyDpU9MyJTrGWv+JSM O4QFkYkD25qO2WzsYNwwh5TcJb3FVkijaXYM77zM9DBtUP8T1d9zVIJWVKfNBXICJzQTd8XB7lPg luqm2FLtI/BUras3lmh3ofgiE0B/W6maZ6MaiLBiKMHeWgtAguRRGneGEO3ON+P1YH9zuGZbgHVU ObGQ+pXMhq9bbY5LLUF6H5x6xWc2kY89TdTmI3OcFvCV5ZAtoJkV2zv+JNySz+VtKGOjt8qEc/2w leGkHvHFque7e2rWY4tBLtQmz2DHtISY7iRiB5tEc+8l16+w3IitZxlFsmP0MZ4ZCgTL9bOnWkNf ltEX0jnmDG92Hn8Ai55qoGf5TjbVjlVBzupHe7Ls8yToPApkqetv9yXD74qoCcMU3ue5fg2kiaie gp4v+uQwX+vUWPcL2RvWh/kWKB9KFLZokFv8c1s3qn5JxOmsPEjDbvCFa33ThK8W/AZDPKs0eK9x FdXtyjiAAqvKhQKrxwbFbtm9WkYrDFl0OblIqvlzdVp+KA8rFqBbs0GgSkcObVacPHq9KE9Jmkf7 N22+o0uD9bPPtsK24S8Oot9Cc1PvmkOnk3c26u/68tJhpVRrjuXcW+tftN3fw3FCJuvmSZ/rr5KD bKCYYWTcvS6zDqhDB3qNkHERWk0UhV7h3cnDp+hRZXO9Z8dFl6JLyuaUR109U7Wi5Z3tg/c+mc+k SPIEWjySXWSGRyDx6dOi9Z/q7HMMBYYZVu86tOhLr9Yx/HZLPpSR8yyT+2NS21s+FEGKBrGvxWPs n7jjIThbVrspN39od0ShIgzzdJhGTbR0E6b/6EcHxPMXtGchdcQENxV/gobRoOc6bRuz+3pdoCI0 Rr0KxtsyYBNATkBjVCc2Zr8LsGj8bh1IV7zL6RoJP4TypSDUZzj2/vWTG68QiqZjuYcI00uw8iyG vQPr1nDP89iGX1aPx3rTSeVjcf3V7pEzSF/khgN4yIVL2v9/W9Hm8VBwXfVOqpTvsMrxjdKa4nnX S9c/VyRuTt+D15opagmJPKtNqD3YhUevuu/aL5gGI9rHJhChOkppDXatAcAXQSX+Rzfl8VW/f8VD 3oHGo9QzpuKZgZSYpkadd4wUXf43u+PKvinjdbvkdrB8i5vtIohBaRRO1d4Lrz1gBQRSFwqSnyB1 GdlhlAALdWmShKPPLJrLmckfKJ5Y3hU/nys+/AdQCzmiJPLa/4l/JLSxqoS5VKwWPWLY7N9zyzRe MlfeKF7x6BJWEfZyIsmHdH3FYFBfQtoIUSuHn9kpoUD2rFCID+0WS9odMR09DptxZvMBtXjb+RWg hApZb/ZtfFVfHXwokGOeal2vzux0OZ8M4eczrrmRONYSPcqM7l6bhHkBXVlGNIJBhz+R0o0fRxF/ gusxRSCpS3gXTk4fGaPJ47ww1QX+S7AV2Rfaint5FjQ= `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/builtin/builtin_top_v6.vhd
19
52905
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hB1MkDF7gDUjtp9+r0pYANUYTDYvtQO1sWNXspOA3ppM8SYB929/qlOMzanhENZQcOQ3aiyEm3Wb ozapXP+k8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Nob9JCRq6vcsk9H9VmyBE86hdNvS8BGq2p8Ka7dLN2J7EaHNc5IAaDkHipJixlCbGOjVeeUZyKme HUzNgZTvjzVoRv6O00gQMvGJEhPJ3XxSJAOF+OM+ukp/m/tTtC3aiC1VdkFrdu6+fpapkZIb8cKo kmCmWqIF3vlM9zcrSOg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qx+ritZx2pDvnekLOZeaFDvpDAtmg/hs096HU3U8xSeFyrj9v1CUwvI97hgO9fhp5hx7CLb4dRhp iabDmveFs8T2afhIu9MmAO0ZqxUS0SV94sOYT5DwWoTjy8BTwRuP8Xrs/EEWKwKuWJp/Wjv7M9k+ wpkev7gSf92vj7uOWX6J6ECKwgIRjUGLc/NIrHrXqaq0yVd8j9fP6cvhVKR06OMq6U/6hMqO3Mwi SQI1xdCXs2NXbTiCZKqVDbSBBvTJTo2cH6JXLB+E/g9NyF0e+z7oxCuyReCUVFJ21DVUfLxU3OhZ gXG23tcqWGm/l3ZWHVqrETjEni8mwIO1yFoO4g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IXrSnaP8yioZkxR461AE2w19esRr4/fF4dA2RHFQL4fY5TpvMbkL+7RQBJ9eOLT5OFH1DsXcS+My 6KW+sTOsl2ndsfe3ttRCDI7Oeo8joeNZ8xJuwUGdOxtV0ae9PUAaVjkgDttLOomzNLph4uCXW202 bI3eFzZlGpn1iGIKiFQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iqW10+NxHcU1vbwMjaJKEOrgcrSi68eS0/IgZB3xPrIkkojO6+T2kz9ISwjr3CN6PcPo+hXCdZn3 Q3TnU/fMPFYF96Bkmhtr7AtYZE8GinVZHXJyKmm5x7dcsR8FyNv3nSOE/XYU/dyZhfnBj9H8LA1H EJZm8T3/SQk6AB6tpXwh7kVAfE+bMsPCp98Fijzd/ynv1FX6O6GWv4CZpIVUKm7Fr8lIGCex7lCq foNktfSIPTqF27RC3UxvVuy2VPf0Ck+rGl7pVu7l375TxqfmSlC5QxbXyTQ1NByeHr2LVJZwC+Xp 5uMCktl5vyr3uh4gEJyZSJlJ7E+uSrhstePVYg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37424) `protect data_block +O38wPQTjinp7aSSNPtlZhIN9SxS9B2M1vcmifHDcdn+/6TGx+b/MCmoWrnJ1qY4PcCpASOec0rW ipQ40MZq9zgRxjdTSiKnyt31TyPAiflBQ32D/zN1oAbiLWyCzpvhBN5LpsXO47AoxoZZU1KERI+c W2xNqfyXdJ7edQRc668KOl3zuX6YUO0XcPN5O2Ez3M8Ix12FEcYJkRLlCJ+OVoxGTHSXMuFa1MQm TpzBfLyqw6tPFQwjW254guI6HBije2/+ApCmVao4KG8tIYnLJ0syxqkgZhN4HJHu2TAubBgDnLsj zqG1Z/hcRmCe8mjw0XFMQwvvJzl+7HysDherJBaAYKMQAWwEO35+Ehd7pm6Z7MIp+egAvhxyhZkl wbHEvQZ494xeFw/09Ffl6XELO8pO/l8OdYqEKaN51ZM6KDsxLXzwlxmwZcwoP4GGPSMCNP+vHiEb dhuyS9T5MV61UBw67xW4ijEdZBteRynJB/boGyGiAbC5OUrdkI2ZLAhfu3pThPXspBEFHZp/mymg 7PvhGAXj34YQ1fCEFN3cyDhb3xLs36PHp9pcRO7kKpjfMxiIWNmPwCBfEb2O58rTfaxFyGvsayRb hQ9i8Zu4zh+svJKT4SY4Y1KOiwX/qOsTWXrjL7edAO+cKfN7akgLBeF9Ix1otBNX7rww140IFi4J ZdaFqAt8tj6pK5MgonH+JC0vwndwb48bih5xnYWPvB6ScXqzpEHT2HySn3AiL9N/fCbjAvY5D5rg PN+AIF+7IzBRtopCMjai/AKR8P1UIr/Bd/0zLGA3Bpgxne47GFiDIDTxmqkgFEckKxZTKXfW00PJ Mpm5R13ltdSUz1KHboqI1q1AzNzADdba3/FAexlEjo41F1VllRiMQkWvjUcw5i6F1+QjAdfxq1Uk JrY/R+Nm3oV8Iu1UtHhcx0FoNYLVrhbJcj4pm6FRjS4cxrLU/ljT5DYuuT6CWqhC1ZNzZ3pBofVA al5Wb3UBXlrhXPvzmRDatmThOilAzIfD7OliBSvEZOhqln/oniFyfaw/mF7lS+zBQFN7tUz96cEi 1BvCqgafSRk+HdP5lEUMHbrTAxe5yQqGTQVE9mkZpmzBxC7QiL0fDg/wnXRQATfhRXokmRxHeTXH lTfHHCELrsKrb9dm3W2WvfVHkiXphCsio4Tmg9HX5gjUFvz0jgEKIklFG8f0MSlArgz14xVcv6zC Y4IHitm5patGZ/RWurEwsEDhHoUeceNISCZNywwSlVLMoi5Q1K4lHVnT95XwN/8DXbkLzt9VoNdY bDsJhyaLpHnukaPMT2jWJyNvQ3FhgrhLyxgR/7VO3e2m32v8tuDchtuT4qQd6KQo+tGWvw02N8XY E93TvPMwhCc6mSSe0ZmRSL3pHIh6XJK39tTGlEesD6ijv+xfMlyBaMpbR/6JyAyqpXsh4YGe9vYT LD2E8nbiSCg1MLfW25B3/M8N5nfJrREqvcQawG4VUcudcW204W5Bkt/d0M2ThQFrXzdyLJ25Pgt7 /8jh1HC6R8T3sNyo6DVK0w3YXr1Qfs4uogWqaCDAn8Jv+txubLl5w+lyk3ODO7BZLT5GTUPqRBc3 aVIMdOakiy7fymUoTVOCRfR6PY7BVjfvgD3DAIskqvvzUXSmMOYoK5mUC165tdTBZOKOfsDD/ovx DMkTfxVUJARpfTs/G2F4CzQIxgBuoGKzrD4QDVIUUMGd9pC44CvnzJfM3XCobjWs0+CmrRHgv7Ts fnGQr56TtVYqX7QsDNOTsvuLxkKB2wmqTzSHc5++Y1815JTjKQKN/CfnZVcufsqFfjmwjfGJkjZT hp421glThlKL/FrhLyQ5CAIDdPZ73z+xPickTmQEJOb1g7gWq0fa4r0wOgB7yfIS6FQ4CbD+rZVU HKcxZ4Tql4rZxJai63n8BnuhPc6L6Pltz/2xECD2zMbd9GgijSgZXGdUDvhm9G0M6g8ylf9HcDd5 GhnHv7+o3cbL35M1w+6hzFnHg0BG++aVzdSOAP92yYbbVyZxdqew+yuy/tBZMkSaZU8KRjF6ZJsv bknsTVqGuM/FIyHAObFNr9rGT8qZAmQ70aWKDs4p08jQDMbo6/pzEwfPB29yXsg00hcBcW/E2cz2 KXaC94/0XnXwkEBgc5WwfVHx7WJ9IiE3VAfyV4/rBHkwSrBo9Z3gb6oomeR7b2iCLVL/JIOb2lcw CGwnSxbS5QGNxfNXmUyxhoKwru3prbPhCgO3e/9k3ZRWEs89i0eJ8vj+gK1oDCX2bCei+RhzamNa 04rbzUFma6K7f6v4LJx8nreG75tUuqlDlUNAvP9oXzPyZLpmzhNOJlsCDgkCn2l0X4nW4nMpDLYM RsUj5yVZiwX55hZgk0rxPxx5b/8Ssh1jZtnte37MaLnt8pqKHVmRiwkKlWONNvGmI4ZB2lBp8sO8 fzhGyaBGz6YuNbE6w91yu4+Nx8Iix46MO+N3Pc1Cd5GaS8VNvF164kpbqWDfjc/H5y2icrnzmXOq AuyuWL6+0d6kQg5vWti92SNkcKMBAT1GiKDbzgMk4eFpn2qm+CEnA/I9uXBPXBCYDHauMjojbDtK xVDPwQwifEX50tGp2O5QjcaTFqj3pyz2n73efAnwDKOSDjMVl4xDO5FTevINJKJOvLlyjOic7Eox OHlZq8Arxc2XIUpI/AITtQ8+zu4xsX6UAD258WQlhdf9blPRFNkPee0Jiajjg+zuS47zzRq/l5nK 7dgNgmKdM8RbvkKYR8cJTTphD7SxkBRYJqpS8Q/hUeVcZAxiISDYuZUTM1LH1brqHxbByb5ud38k tnXh/ugqFoj6P+6b/8JgRt9JSNUeMlHmu5E34gQ6noHQqD65vzPcoMxLo7bkP4rIKkJJYv0plRci TI7FIVndCjWm+i1c0BgIPj4Oxf9IhRJnankhWLbemT0ZQXe/pHzqXpQpFvdp0GNVqI6QvnKN9g3G n9nF7RtUxAWs8rP+BudGxqL0crMhWUaA9VnSNHxSBpnJLF0jnhMO2LVn1+qNbKisyncuB2bV2nU0 Pd4y8t4PS+/YH34SODNPyF+v5D7pH3s4GD1g3Q1NtiF0rjRM2O5Zits0ehcgvw6Qb8wsjMUQBRUM sWKf6zFzPa6/5yymWl/X3+p5jYqOjOSAxj5/r5H7zbovZLTlWSO70QVUo3ZlQK6dvCTOTFOFuiJ3 vK4lZcC+OrGzPHBh8NO+GBQPrnXBaUcONJKgVgIVMv7RB3OMV5ge3Kdw/dAC8Tps3bsVPoMfe6++ KwtKvdImmm/VhVRymPeveOK2t8Pm5iRSCMKdzVyx1NwPdI+ryD3xc8nOfZ3Eyml3sx/6gkcNst1r Yred71246/weZRtCkF/UPTXGVHX8c9lLyAF/ftGqiXNdxECrYyJdh8xa7LooikJmCH7Vkunqi9lm 12Wbzt+HWlU3Xt8P0maFweRBODKIrRtqBUWTlxHoTfL56+sLoREQXi6odWEkmrnW2MDh66o9AXgs xHQlNvgNWMbCqEd2+VOggOrGL8zP3kT76+hpltJjsC0sztfm0XgttpXHwyr4dtS1RpLRzGIYrtg5 T1Bu/5ek4XwmRZS/Fb+PpofVyRlkireI9z1I1kwj2VbnJB4H0tgblP7cCxz59PXUxhLZaNhnwZOZ 4/llv6YBYbujviBcm8JykB81x8sCkU1NZJ4s5bHMCYUM4mhNXSHmvQYdbAZTAeKfZgyr+sEdO649 vbq4OgQX5PIz5GQK7oOoewFj+gpkkk8UKhEFSXza9J+WSChJPdI4MjGKHEDIkxh8TkL66VtGtsaV daEh9CJiygB07alb+O1nVL2Vs11104jHB/TNJQK3fZrOyKmsUdifKx6AB4FnJNY/BtLrV9tvIVa4 jXrLjlHuzbA/Ynqk+d/ZqiDgHoBfUbhePH8pZpmKgmNOzUJtyru4r5syehGJv0Tz5/j4f9uQvznw 5vkotyr+GBEy4JxHvcjd6p5qjoNB1p93nHvhwHwtJrTxdnMP3vALKcp/ogIwUOZh2EfbvTIlVAfS hZve110CrF6QY+zY0hPIAeEVOveK8zOkbZuHo0s0/56wPymF6fS9R62rgBNVZ37TXQ6zSJmZvU8j 01ZHufvR9bENSliS5hV8bcZRbhabWhxnRXa1iFKpkYIQEVljbQP4bXyNZFRh2fbUA+DjOi9OxPez 4qfYI/+85W62TQCPeoyQbVhb3C575FLd4YkjkepWysv2/5inKwShccdOaCI+nmoHgce48lYbBD2x HD6FkOAX6awV+fCEn5GfzbK9z85mmKhNTSCzuGOzBUUHvdT9aAmsqmHPDgtzsJApdXGE7uEN1PJH +u+jP0DxbFcLB+zAG/tMSb1Uc1Dubu+AkWMUeFz30VhXKQ/bgTO4nNdMwLBWliyY0JMDwdbmNh8O AFS3oS8e+7I6vdFiJwmOh8VuW0S0NOyQR5kPg/Up7CnK/s0aPnT5KP6D/FCUiWks91wH+p8rJiQZ gZIxRrV0wjh4oKBI1YR73+msg73fDlo7ThKY1XAAF3rLFH46mtuh5HpTnUYrvwv177WiPUYUcNF9 EsHHsH/W6DRDXt+Luq0lV37SgjucONxulPHBYFqKLA393sJMUP+GFXbROvTwpbEaqKqSo/oZsMyu eTNEXgMSJYji+TPGvKVInpR6/3oqpb7VFnrnAwWac9zWnXOD1DzDqtbP+22ceTBaRyrWcQYzxCob m9bY1rtQ5hG2QJehg+3OC2qutWT0FRPs9ETJE/NhLySfWYWqmSgaAJtbXPYwoHBSEnd8I7e/OFMI tifjGb1wTCIwxIBwFWxOJyqz7M9aUlwvRqvPyf3xouHi81wl3F6RafmlS0358ZS12tkTdIQRyWcu Tpyd4HPEAADrI6CfuXAWIfJOWEkqCDit9sbq5JzHIH9fRUXl5c4KHiyQe586VBRC30QTIaMwpviK EiPLJvIb6zvHJ+mEOA/IzDxElsvAiv17SwuI5Wi7Lc/oOGp+5OI7YZKe5RpGeat1btLyhHzbL50v r8E94aeiegPh0ypQVqAx0qsVIhuZi4fmTco2IzIhWbmqoxa5X0eLI1KkLc4ULVytq0Kcn5N81Kbo e/UkR6+b38yIqKrolVwyn0WnELYCykv1Y30+j7RjprVKph/4JNR9PatH8FjqINDwrPJ+Pzh7xZZE M/pa3c9/cX+Fv7QFZmeZTMvtB5vflTstnwKLFMC/0AxHme28L9DPfhbvt9UhoaQixWcP1EQRgQpy FqM/j69nFNzR99fWyhcuuPX2wge4XxB1IYAsxtthCe9k9PYYoeE5rpZYEWw2oW0N8YEcI55mK2cS UhpykU/4jW5tzxK1pljdty9XUPY8h4HrNA8TPNKJM58W9Mdg8WEVAg4Jrpf0KNHNuaVKoJFNyqi1 NuhGF7NvBpm9AhLu9Q+7MuDnC3hS8htkQwV3ztC+SrN6fl1K02HH5JZbWYfBIhwzGnf7huKnbfQd dSTY2nW+bvV0zYhnCa+T6oQumgycieZx5/GS8QQHV334Xt+dA9OPvbb6UBVr6fN2NcnKVUyAcgZL pvKBBKd/qVP1BjJdnb8tV3zUj/b90TvhRDP1jHRZQqQSZM0kqFOmTLmAxTjLi8qk1SZ5/CGRklum crI0gC9GpyVjl8CVQlZLgpnICsaYrhJk/nfwS8Z2AlmS1QlP0cI2waaXS8BatzO4RALn8bol7Na5 elgfu415U1O6mO2QhdpTi+2J4nTF7QpVqITXzEMZ2mt3qtzggjiGcDGjTtuQolUlBwuYnQC9qavx Rt3mOUQJ+/9eIDoEA8mYOr96x12qhvxzUy6WTvS8t1jRkth+2/RHnyiTkh8V2ZhmplU6umDi4kWe xOHk6Gjz19zWcfK8a3Zuigjlbmc7m8rZs0chBLoTFf7Hj6eS3a6Ub49hF4W8U+YQwDoRDlVLHUno gBpTl8rk+IekMdnUKnd4E7Dbk59fDvn8j0940lMwcN3I6lKGsvPiJ4+dFARtUfdNPRx4PO2W57g0 cQKv4c4kJSk6nStWRmGUQrxgx7J1Ckq2r9dMXN4U5d8kzpot608Mtwon+cBCmRJVfL7darHAUwVy aPCCdz7u9dcKV2i2nGR2TznOxax7F0hOeVXBw0y9zrdqAZe156wvR0WXaB3kridhFqYRruutJuO3 W7NV7mfT9x5IMJF9eklQ04Jpi8omhaWxJh1Rc8clp2oKC1ioqyyAv7DGGJBzPUx8oxiGb1rsx+3k pLLuj8mAoGSwI7XuOA1RcMXyjfCbQLozYLkrGSA+wB2/PAW6SzncjHbV0OKV2Jr09Az6KArolyqP EkXunH6EU2YhwxWLyMdGFPFLpnM8qrD434qNZTTW+mMD3U1AhLKW1IW5L0uyKZjPfDCLyEB6Q1dz c3khUB9mJQMftM5gxAJtthvBURXfKvCC8o4skgVhnZy9IAIJaDADRaXvrdaTdlF3Lehw+eZSLay8 tCFa2y8WwKyyZTRE8BgMBTwn/vrvIajQvG5ZYYt1aSvFfemdvfwTpqtavEoOSkqLlzjDr5XtOhGZ Ck2GhOpyy6U7CXXGpCNyiI9e/ioEhN6K5ZfzXruSx0Zfv4mlVVdvhfePnTeraGN3bmlgBMkNqG94 3JxaFK22uBOKMgIDAS8Hp7/6q5AqcPEh2zAE8A6/JrGtycyWMPAQMGpIp7++Vn3l7/uf+znB9lOK wv1DU6PCOqQp1C+jix+JUsTARknfA3i8KL9aV6qypH/C23c7Zqe6aSV4sFzvn81CtdSMOQ4htzej lzQdVVC1aeC2Vmq6xGAOBmUtOmZfPoN4X0owmaDYlUtj+y5Msd5AJCIMQlB47TN/Tn4TWR7vCD4U 5Sb3TaFkRdix1rS4vEZN4we+rTmEXd7l0su9k/PwBvJvSqfNcEvdzBieA4edQ7r6ovHBdwhCjktZ 5rY3hH971GNSzT6kbHuKbNGWzmGlceMiqSsCseVENo44JjUU9VZaxe+BoP+VF6P5cZMWC9v6gH5L K3TvOG6NWpuWR2xrQG7Rh1pigTak3gFOL/i1/zrAvi4o8VrGjn7HPm/LtI562FE7hAFDmDEeXoq5 5TCURUxz9ONdz35bq03zCoMOMXgXvY6pK5I5PvV/16G3aFxaHiY8zpwFxNtWbwxSt03mrAYssMYG p68m14Hd+7iW4GQonEcb06WIZ5rWO2wvkSnhOUhZCkl8wzItFIIoqz2RjJiNYbDtYO4Aw/5GucKA hoTfXcJQ7AVbWzD1ZErqRafHN6O1AfPMP07czHZIzvT4tEp9/qtlDeYnLpScYMe0jzm3c/ufa8nP k6phFWW/UTgKm/H6WVogKTCULduBHs22Xg6/JaulPvTjdM29sXhSn5/Ff/C/hG+Y816EXkp9ahUl ueeoV6hH0zZHsRtxzDk8NRKNLjv8nGW2r4d/CIzZRTnH+INO5diNk19/OsqsTpjc2GnUYjQPmg+o 8VbuET9Tve5oBxdkJH81Q9wTrjiwKevU0D/AxeieXN+cXyQY1hHHkB6VTjiR5rh4KqyvbwgG4kJv 2yKN/KaLpOGkIODq52VenLpjoMHxSDXg8qRnt1nsBJHf2YeNop7KjY9ZH1SAoWrBJed7QcumI7Fi 6P1yKYYPVv3XPlT99OlzLnxWDjfCdADEo4ViMX7SoHSPR34Rmzj4qE2p+bkGavnVbkw83d8wl4fh twehChsGheZqnhFMMg/2oUYzNCGixM9NCOoHXBEDoOfSpPlDNHhLiYWl59+eTLUhFQcLSFRTfzU5 HmYow4dxHaBGVwJQSwm2ktqHV1U+FD24LoDZf/eUf0bBrlD3zru2GUHp3I7ciuxW73HANc7F4Snx zb8KOdm5UPUKDu3Xj8q6I7wTGCN2sjJ9msWFLqwP9BG3OjVLbr8zLIfLxCPO1Vb7KT0+ec5BJzTx xoiPPv9ioK1OYwta3cgPvzwRrdONl8rZjpIZj121FMiY84F1VKNXi+ojnvO6Qkx36dhJCvEsD0Bp GqWQqd0ZLub8FY/PThMdk3J98kh+Mvn+CIPXmqhRrcvlQJVLdXyU2JHwHT8faCvQZ16u8mmZsn4N jVrEwL3IpBb3OMUIwhOkgJ3fdSv6xpqtxDSBwuws28sEYzrkalNALfGgBbUn91mGQn+r8ApAPBEl t+OxSjHSZnVBSPtVjlw0K850931umLanNeG0OOId5mh8ZbeoQNZtt49sSCqTjvWMb5M4HoCT5545 P4uDngD/Zpj++2MP41iEtldBDOzIDXuB4JLWDwkkIoAaU4EhoYh+NRF+cNPHNieY73aPpx5aP0P7 xtRdUNAHyuQIc9X395KvT9gI9vCHcZWKVMmo4CWz5+X7EpX952daNx6Bg0KqgztgQsy1Dk3pHn/S zZJXv2xbxAVplfGDc8bRRInIwtVAVriXpA+kpC3I0BzzDNKfcvLtmmtcd8po5lWa7w3p1iLRKSqo OrHjWf/PIaQR4uM+Mvk9ZgzBCgz+ACzg5KKTJhhym3OipTSSvg/i81ZvJ7lu5M3YIYOkTKcHp0f/ i/zBiCVEymE+IDQd7ls22iTpn45VLCmx7A39+yDM8EeWZeVmt3rv1ALWbcBlRc6w3PjWJXEDhkeV WpJVndjtR2jDPwPho2wNVh15BFuxe9vUrtQHf4gf4ctTuzx+kql6xcm1bmxRmro6ah+GEi4V0HE7 sqLpIe2qSN4ltgzGtkiK9vtKLTsKzzO9t9hTt47xfUB3g4sVvmDPQ5AM/0Qu6D+9741LFOIGrrsm hQcX869TmcZbKcEpwxiIvURuYE0YzjT1lePzhS1EZYRmmyrNjyUemaPH7zZXuUO1dw2UmYf9Ti3C ayBdGsxAhMrRJd2vYIepjf1lsBZHSLRKs9GF7fBqN3jULOYoBV4JsNdcIsMSxj3M7hkGURzwiG2f DxG/oU01jx6ykpu2sW6dGGcDk0y0wRIrkTBHznblhCW3zVCCpV1c9biEwOf73kVgpuPktolH1Hev MdBCFW3NJ1dHVVG1kSU/l8FXw2KofPZSof1kOSEFeQBeW7yPLq54q0DA0S6ObDY7OcZcirQ1Jhuq 2shlGgBT/i+ITI+xpyf+oNvMa5enrPY4nxWy8CzJRisyqmV49sciTKfJi3SVdYkANdLTkXf1l94T k76t6kdZaPL9kxFBGwoeA1+gmhdOlzoFWlqEB/fWndyxrULv6dYVmjbWTENOU3khgfdyBYou5mvp 8AUjE5HRYGFtYr4pfoYvvu1F+x87BJfjqtIVrYjRLYWr+kV/iCpzyuqoiAiMClnE8mfQ5zO5Tgck E7vfc6ZydyjukhiNqNRm2qppuVpQ0crppM8TKjewGfoAwUn+eIXQKTuijciYv/Ohqbci6PzY28Ba DMXnsIk5CCiXSRq4Gir++4a92gjWymqlMd720HnC4TqTi++Yqf7/nnp0mVuY01JqDjCXufHRAr1r iYgJnPLqjLzTPWuSH3ZQR+KQhkdwmNCZq4gBGftlB/UYHgOjFfiOtWrlTgbtlDOkShITmDFMwkNO I94pLTBOUHFss513C9vx4UITu508KArnX5skMy4JVb2Pw9cDPHhBNMkjK8F97uy0LtB21Pi5AjCJ 8UoFKmVtSpg+McLUuOyYYiuoJHXHHH24mjpYDufjlCvqaol1PL5e/GQZ6tnYwy2U5CHoqT0ErgJy ZzAutI5bZoDBo4SBNiMzmwmbsRzUZzrU2eeCP04+i1WKxF+7Wp3k96u8Y4fOEKXlZednkUqXPs7I Zikp0RGZ0zbBtFC85VacOIWVHJnqcDZNULLKhqfdMyHgI/YC7Xs3HDCVVRoIhZj9acx3HkLGgh/Q I5B4j9XQg196ZHLMvvoiLcXHAmj4hb9xTqgPZt7JcMGNuCvrwibVZaiuliL0zLre/trvKJLj5FOr FRPn40aTqGqVA+BtxfyglhpU1HCwlNXXKGas4tOVLwftGemAjF8FYHtnP7B6kdzTvR0dekJWjOC4 XtFUl3rCK7HKT6rbZv82ARdDrjQmeIagKE8OxQBSuvlxQLhYJHMJeJYUXHyrqxnf9NQfKxVgZmhz xpM039Hf25J8PgGb/KUQHvfNQGVQj1VBTfX4QRm82uXMyeG0UiQMUDW9ma/qUzgLmZb0Ylw6h9Cs 4MgMt7muTa3Bj7RDPpxfjFagCNg0hTl1pHiA4NAWlBoN4T8cXzTA3u5buaK982l2fdrDTrhFzE0i blD/8CiFy7hGUuADC7scrtqhQX/fE6/sQ2xPFaHqB2kkBd66wb+zsDz24LLNyOUid0gVdZd+j5z1 y1PsiQOcl0oW1/fm64w7Wawqd4ictANBaAaMvhonZhTG6sn8dGzow2F6k+Hnort1z0FbhbBKuRfG l8GFcGICKCTsfbhWegGMNLzlul2rwq8UJZ7a6Jz1VYkjvHnB3VVDLA9WUVVgdESOju1V2muLI2He +8qnspky8J5y7rwmWKJgzLfiXV4ddH1DrQ9Nh6XtVNby5pb+UpRyomARzFrH/FNxaBiax7W+aAVc N2C0z4aiN+Eo39OzDYomksvPofSgq1ea+P+wsN+aM8gb1ZpDckRRmRuRA+7O5iFJ5I8+Qr71nZGa aE2hUNs9P1HTaVVleLgkE4r84FnJSdoyT5g+O67C8uZ/njkoWaSbfseFmyIklUhcr9SLM07zbKu6 XRCorPmLZ+RoBqSHZa/xUEekcmLCmT0FFuqziYatYl1gvgusH1UFLmehvOsD4+9RCco2BZ6n2MuI h8ZX168EDRm4EW7yGUucOKdCf8d2cu3+tGkS1xgzD0cVbEngYmuRS0Emz+L9PuwbEiTc374lIZc0 qqFKDs/rKQUtwdeEy8eXzWH2z6dVEVyDoJmX9x/I3/w+Mm/IP95GDn0xp4eMF3/ZECdJ/ibcyfUP +mxkueUVMe8lq55XTJOVYj50cw4eCdkdNWIqToEKenKKaVGS5J9BN2LV4eqUKiPGcW86TuIQ2JI9 AZZXvSpAymkWPXhfdP5STNfrGNBzEz0ADW93QUEDTrVZWs+nrOOaL/G7ly6q7/i1yl6Ty0lDhe8Q k4l7ZcVyGR614X28TkTsqvbU6oGqjVw7W80EqANdMNbqvLWrYT0JBZ3cw/wbc/zqz60VBI2Fqlf3 BA4wBlPfSePXi4LMqmDYbBt2GJKsFKcFzjzlmmHNh7rtZDdmQ6HIS40VTvBvbhMFnMZKOY6mpvrC ZeroPs2auh7DJFhjAr8z+XT3ZMtbUYTaXf3+/KlW0iTEEqLgrdhTxD+rb0I8voLY+jDmP2LbtWdX 4VZ8jAqX8kzw/IXezbF8R22DfiDxHjaY1a+7KnyUZSsrQ391NkX5OW3vX39PWeMm800wmmVnwelZ 8GNWeUqbct+vATMot7l+RsN6oubKLNFtDLzI6lm4dDq4PGF4yoj2FGNEnEM5iC8WykGT0Z0BLXT7 WkUjC4iulkWPE37ydOgqCnDXz+rVMs6D0DPqLeiEZ44VAORXTxgxTxeJshqzLjj9F6x8etIhFJC5 XdMhPOUDfc6rqGJ+Y3De1BNlw2xS9WMTy1SKvMj/2PxoSDZqLqVFG9IwEjGD4bMya8HZhickW4G9 5HV3a1BYVhqosz2OQIGJjjwHbMhoHlDXT6xNaCif5tU5wCIuY8PRNdeCVYfoSn8elWnxtFd5ggJ2 P95JhptkDgC9snsas45cEmQbA/NxwiGlpBQpPdmQwWdtg2gAHla48OZWfAUYMvnF3qmTQ9XXUrur b6Oz6J39tue6hzFmW/N7sSUebkrcUYND7RpUqF3Q7bAnNn3KjizvkVAHb/LaQyacJmU9XJTfIDik lbg/VQ0iFnY4S715AhxfbZPL5j8vF2YBibQH5MvzSdWKnYO6pGdUhhui1dM19l0cnR+D6XRab5sA FOdFyKnKn7Q7BvcrHTBlsG/72zCDa4Jn6qG9iJXGZxRcI4Qez80SFYiacvNPxA6dBmNRb3jGVv+a i45qoO/EstWY5AQT1JC7qelzIuoPs8wxGUhH6LPYayMaDKEILEDTCCvR32jHeHKEnCDCQvr+2WTn oCWBgH9vf543Zjar0h2LQcGz6iY4mSXCGw241DUUoqmKGtBZcyzqh8GAMT1FaN23DoFh/pPuQi5Z Xzr42TmTO4LXJV81/m5vefCBU/4ajYyZx95ThpFwVvXSw+C/qtMy6FAUU8GwrdwkB4WMIJ44PQh1 PiK17DGsDoklTdRdWkFYHaHj+v34yLh7NsELyqsHYe2jd3HhYkqVbv7zXWaR2bosAGziNIl/vf9A 48p+K4xrSis4+lEQ26S3K6GIX4++22RFwVCbvSZ4GOv8vmqarqo5zYFaWaAmOIj7O1aoNzSjvkiZ Z7GzS2O240B9b5qpx+r5uQprT5iKFeVpte+tuaXiirQl4IO5y0ycdYBmYeUj/lcoBzwuOBgPWnPv nuHcL0YjCnf/O7v2Lhpx6kHh/I7pUHtcd8jrNh3T69qRy5avu/1zn4qxZ/MYPZHhHDiGhQ5VUv9g rveEqj2+aHlD5PiIGJLX6sd0VUOANoHtpS5MXoVMrUTT+A6bPKKOlSdle3UNy/LL4QHPBocUMJVA 3Ne3omqt3nRPBba2NtPDyAmRddC9J+Rw9RziDf099H4/mkG8YgwVOxll0iNhNKSwEwjDa7ZEvJIh efZscnxmPVtuddXterrPoYsbHtRIbmeLWlJdiOyMZkhEI4+xrw4uIGZY7gW+ZoI2x/tuQhIWVPB/ QgxAS6wvx4A7PdCVXz+VTLGFKGTPIHEZICJCpponeNdynAhsE2hFIbiMuGTeyHGOZdzsIcb13pln FK6ssfy/ElT1/UAWtUJK91VtIETvJqcIwBUOiCZ6kqmcT16lPgdhPfFA8z95Nw/L2+Q2q7CWNCPf lXFyQvWrkXWsySJvbNvCj4wT+nFugofrNAp9tch/XfVPBkpIPtzRhHhWVLx3EiPCaN/6BdJ+MEyq ZuOAm/7htJaL+mB6S2I8W+7EYJRz7XZlC8npDNPmedyDZ/6qD68Jlzl1Bx7aQCRPsMZppc7VeMDV ZkGZ4fdDUg1IFSC8wsOwW3fRWow6inDO4yO5LWd6A1xWS06GO9+KRDsGUK7mXb5CXAuXpApTGNFa xszdD5dxoLLDTe/D/AYtAhBNzNFwQ+27f1Jl5YfeeJcsP0gjXgkBs4A5DtsIDHgVWYeTuDPmyU7M vP0JmcW+NvrVrxYWI3OC/KxWaxynCG1w4u0gjRfs8iE6oqnEfVARd/6UoYdlPy+Z7+aKCDAvGG4P QlapaZ9v+3Mt611/2F1dCK/NVgsu59p5uV1F+GCaGLdj4ZJZcjc48T4ZC0Z7JmB8EhGoQ+1Imr4M n5NLkyxwMeDTYwTpiKolXyBMDkrWMxWRcqKy2bPy381klSyIhQAZ1XnW5DK3u1LRRqUKy2lVQq/W iSeBn8+1YSy4KuN0WFTLOAD+VQVTypi2SPiUkDAoPQxFrpUner/2BQjXrx3BtWHl6QuNIaoBfMsG jC7OVA3uFEyuRf8M/JuOO10htHm+ZQHKQENUlpg0VlEUc6T+mCsOK0Vb/HIYSLAavOjN3DQQA4PZ RBxaUXbH9ihv9BQ1t6chutxbsxlwqM5CUkF2Bfev3Av8A5IiKxhPSUT2IsYVZtzvp3sKA75N7XCI B0iBv1RbkAKP9EtjzzotHWFetgH0zuTOi5HpFW0v1e7ZGujs3W35UMhminB143I3V6V2lwyLVpOF ryDAkpNKXMyjKXxHDCx7tKZx/yQ8Gym5H3pNDVjn7OCzKUUrmhRW8zuw5PEPghW4LTl5mjpfSOcM FMyv8bGXVoHb+ZhcoBlshxqd/WAGh5ho+rEmMzJYnXsOaZa04jcFnMwDbE04JUrcqmJUk1pV3AMZ vPe8oALKF4bscEsET7Lk/PNb4ogPhoQyMNCGi3tYSkqPoexJFQsVKnwYTbdtdMe4REF0bmxmQPQa aS0sWFQxG9QQUiwrNBGVGc4b4g1V7UAgVjI8rEt/BmMe+4YEdjjgvLvZU1fTPq42q+WQ6s6JUN6o dmSu3wqjCwolUOYM6geMVjx5VBqZyNq7olAG6ipCOsubHGS8N/yILOvCAeXKct7E8g3VW+kw51bz Mrtf8KNho+aXhvmxMnqxiBdNZGGqfJGFtvpUtyEtTra5I8eWEbPJF1WhKciuFW3gtN7sQ7CfKEOA QQW8oWbY56WgihagQz8jCunM1uYVtjdA6Fv9kjdT0UkuiFHVMMW7snbK6YVr89BFgj1nv2c2+sBa 9vKzZW2+oJty7nSkdNqtqZlsUm6WpPaq+suiZyFGcls3mCM3pTN8qvgwnFtIYmeF6Wg6WLmk7Kyx izeCtcZYvRtTT1E/K0ZYzWhBiEV8MHSE7nXyk5paItIJ3hleEctPE9ti8g8goh2mQQ9mGUbjOL8N JNGJpket1EItRY9Tk60o99IRLWwA7zrP4uIzsVvqReHPL5k1vmqPu904ZsIFws1EJnc9VXx5PVwW l0JR/bKaOWJ5aIs78Yy+bVmJ8WWdJwZIuxANMM4JEuxzGJB8oxuJdrXrzSWGMjjtYRp/PD9HWYGF d01itofKirNqgpezPl4Q+eHBqhcylCegS+6t85ToDxDEdowkqUhVaENk3J5sXczoO9aDvaSUmfOP pWkLtqr0wscI2RR9xSOiKwINblu2ILVqa9f4P35jg+gcPn0QPsGZaRshOoc+IkUnf59CUub5TBNk b38dEZ6Kdn7DS98YXhRrq03k+fWptSLB/gFaA1NV0uE1XaHrD23IDyiiLBrvrcs9OSwnLq1TOxV7 9gOQT2ILX2u7X0QzGxYNDzNh90aJDO91xYcjYZks3VRKljhTYqb7ANeaEi+9TPDO2olShb/IK0Ui Qaz9rWlfUv8UEgLi1lR0ksM+z550vw7Al3VR+ULHUiU5tGrH74UTcVo/BIFcdb0eU5sxBXsbbKqZ KlMLm91wMNawP1X/uQd03cqsa/Fv9GH8OChlK0jPUIgFVyhWljOk4wj2KNqjIYeH7XVUTDH67R8v ijfXNIfWFH6zX1gdnNEM6kPYxauPkGj28QgUZjMGDrQ+qvBUzW1YwQ2DhpKnHOEN12HxMkCxutrc jvm7tn47+ZQrDUS0glwiEdPoKO1jT9ls4ZGIAo84CkL7iWekwipgfl0OlNivsPyyTDXQdJ1EMkGi euySOfoMt8NsArOsBtTkaFrDMGDbd3FqylfECnduN2s1hOmxyRb4WpnD9GLBNYcKnLgNEsrtS95W rZdLa1wYkYzm34G3iEhCJQczVqj6z7wftyETpN2PpdjITVBn0y2u46++LgX6MmRmOv5plkRmhuJm 0Wbxqli9Gs0x78+/6lOlyTTHFJgqr9daZ+Neiey18RWjtWtbAX0Ay/JYY4Aj5pxbCcBI0e1W+8Gn qhY8mhkSR5c142ZFHXkFtJ0oJdBXwFwUjxHQ1GvL5aLhpLHh4sp6dIpWpKp0XBVKbfWURpVKDv7J 5nyNUleUdQ4IOhlbXKixQ/Vj7uI+b/68PceJ2LVJtLfinWIEPDgSYav8rQMBMjinKD6x/GegFau5 nt1fMH5JbrQloIA9XWptWf5qh1zLiXy36QGPX/c1/U8CH0TLtvIDAFrUF4huUDjcXQBqAx/VxXda zBMcQfIVXZi028dUA0VUF4gv361mBpYycyPxBPm75DjgoevyRrbJ+lsPUgprzS+cpSuMgPoIBHtC m0VgiH6/WPEWgHczcmy9XaIVEoIQ39wjJasr163plSjATCpl485+Do5DUURtxeIVGgVB8LpkamwH 1iXuVCStEdwKVhGP9/2LqssQ/Af9oWJfKy/ShmtgGBlGtyRuLXQ1RdDNrfkpHVJLodbqY9FixWvq x81AemLZixhnjULRvEvOWP6qe4M1f7wUbr7rbTE/GKxnervzWtC0Zo1pOweNlyc/hZySj1P1SmG1 avmbsffTg8+Vm5F85SVVvbHqSpacX+h6gmImTdTIGC0ZAQbMmBBPRQoruiQp+LFVcXZsNiEEETnV LR4/ldY+X6c6uYSxmgTBKJFGfvdqYupMR5iFja0JWFAZIA0Kh2lOvza9NKOdRrwqi2+UuHPmKhgT hNDr3JlP5YnzDqmk0xuGT0pv7ikbv5QFuqRShvagxzo//JqrI0/XqKt/j5b9qZNcyigVijbKonjX CYwoN1HLt2+ZacYcmHYXT8RrT9Hi8OI8MNMOPJynsoHpAErnoUkeJBu3oEhsOaYxTDzRgsR286Rx pqL4Bb3vF/OZI4k4qIws/1bcmq4oG/fBCkq3GuprSdEYiCc8HuH5UayS6xzhnmPensiLNRR79DMO 7q7jfAJ5XXwNao0gIzU3p+QCsKVygMxV4eBMmGCUUsWtEsA0KaVqClwVrpyI2asYSBT2USuPpJqq JIsiEuKgIKsMC+goRvNKhpasatvrfOPsFvEJTrWxocdCxDc3MQg5LEYHakgaVMqQph2GeLmiMONx WRTh351GCViO/os/ogv/Lv58Qocp84U0p0RNG8Cg1tMz9jy1iuyjgfFLVJyPwPCPQfmfoEPHbiEy m6Bo9qNMg9gC4phcwsirkm3e5+4jKkggcKJc2YBL//g/3uV7kJzas1lp7r/ijMsTargZc5VBlRgz OBQ5+7cpzSECYj7FU3gihPvFvS0aUWIiQv5wfi2NwbOQkaRN0rUc8RgawGLLFi/UZGeDKzmfmHc9 iBBsoAaMwQ0x1avNBKXONkCrWnjqwjA7e//GEbVOxiaAaShS9HFdbiNgBZbwDO49ZAQhXnSbzbPX wdwcbDA8OvljTVJyqbwhTWq3mbBA8Hm53X5O1nilra/ue9URFwjXF/SF5iqsSzH/oi6D1F0WqH97 5MdUfAaVncpClVn13C62SdPt4iqaIfJDvgFIyQU/sYQRXTvhaZOE0OeuqT5VDsrqyKo9v0EYhqiX A1gWNfPAPTeEwNbrpHipt8YenG4Q1jw8vXWqhgCZO5FeM8r5eKYviWBAlxTRTEkrHw7Ag8WfRFuI MSsTq7ktNPnUyqQ64TuWr6SnLXz/t70hjZ0ARZoS+xMlzr+5lUwGR8YYpoUnpRt4lfPjYZ2Hv9jU Pl/SiX7QD8Y2Pc/J41fqAC+ZyvH+aGG6eyyX/2UF7iufvwnetbMU0bKLfgtX0U13v/OTKUfHyy09 5nMb60T6NhhIDF9iJ5X56oOY+glrGRM80U35rbpXovbhpd/ttiMytrUSPhg5ixllJAffocy2RCR0 bVRGIfF9MYLrk1slCKn/wwZD9XGCJfqMjGRC4lCNnmIw/2qnFhN7lGTsaUMUSlAWKnCM9+sjMV7F +LEqoHa84l75a3raAWBFA50bNuvpytgHxNqB6Ssh+8DV69R6EA+uy32YYN7XTdCNxM768RUEtdZE PywXqnCFs1plvoWNo2EuGbdu4UHqNQPw2vDghYX3Umj5iXZ71N2vwaA2EmBkeay1ft1irOJwRkxb KlE+861MT4qflcpZSEcIJnmKtv4RSp/nFqfKTJzRCPx5qWSZbRxB+dJNRJzwAJDXtxmVFYoVMMfr qQx9cYWB1rnuzGuD75FetofQX5vZ7YKmX0JQMJGjKep1gkoUxuWWCD1fDkhghC5P1oF0nrSUj+Me 2nHZpIF2c5WLDLNNXDn8xesNKLYQKl/wXRkp2ULp88XdRVGwDCvd2PLiGWv8fjktl//lIPBJKq6K iGc1lX52xlEeAv1jrd7nRyo6hTKFeOOUabM6kJISoeeg1qRIgoTWnd/m5veKAWaqOowHrhWiPauH tBEvn9bhyKOlrFlHSBk8S0fHrbseGX/iyznUgqpyVAmwek+Fym/LEaPWTVJ73ekjTFBIwCsVoMJv oAAlzR2IibeNU0w8JzM2T/LZ0FJcAdLYxYXbt1kr2QbExnodEqOzdv/klJWu/WFcyzBTICg4AMcZ SJqRYFORz/uD/tVQFZwXsmTGjeOi17t2TBatmA6qzf7rlESFqmIO2RVAye1dyalIrjBLAdFokvWN MnUnnVfKV3qO6cjC+thwR9YX+mtGG0i9HCQBDWtlgFRJD2ck5s0X2mZDnN1sCbOx0p2UIuc76nYR /0tNc8VmDWssxR0wL1Cu1mp3KlZXkkAjpU70PwZg2y5F7yqqPvjOw94ohkd3G5ktAucHJkQcu2jM CCJvSzQxDmZM8rvN2/WsSSspTa+ewjT0zoi75oQCM66VaH4FB+Kh2hSlD861jHps1+S9Q9lPkPCo 4Lept+0iPfavsYULij6E9gICB5ZOdJV6nd0ZRwiaf2GB5Boq+PQ02p9WOzmnaggqp4khWzOzYz2U j80aWBt2wVZWFMi5L7szUw3C/GDdpfektTaYNiEshSJ+15u6TfGdinQ98T1vBhS/lXoz3HplZ1iN VpqSZ5ZPGBWc8u3qoNGfZswchIQhULD3SLIsKGvqiOWIaWypxZ+ueoBu5+zHE6bGO6xtT8brFxUC aSZK6OLzombRJjzwkoriS0BtlDQ4QFqMkiVxJgc9mYWrf6uFwc27bQuGzHxXXqLNMCZYbtMzpQ04 BdShwdMUWjbQqH2vM1lBkpyykpo49B/KdzsH5DG9dcA9gXjvWVXlEbH4qvrzW52LPuW372qYW0Uo tT5rmSouEFPL9DxZP2a2NybH/5j6RzjJUmbIa6s2yEDN+PCAaGWC6DdzUgtwugX38z0vLEckLeYe xSX7wCPYrc3FloE5r8DKRhKWXmBDQYJeAoDcKRTAH/OQM08PZJqsiEBCQN3tqFYhDxVq+oKcRb4K wiHQqqnbP4evpGEkG7Go/GOaoUQiSoQCjsp7ClqAYZ9WNp4X+c+pFSwrO8670jW63N3kJzGFDDot IzoTGxl3fIqN6+Kl1mWuVJljwDE6zK1yUyF6ADxSswePJgAEWx1K2kX11vcmqVnSqPlnRAUvZmY6 uEURugneV6TlivCG/Bc5D9HyGvNwyLzvzfQVdVbEZergjHligkO7CGETlKPNmJDM05aY027Gnrmp 7P6Aju0eC3rr6P/5lfaUyd5Ub6PtRM1Jhp+0bDBdo6APIsJBN88iDkWLiMc5mm8+t7CfSP+PSKgP ADzk7etNZ4vDBDzNvsb6JoSNp7uaQRzbDXMsGk/e6SeKJgc9tk4SXxgHzeHM5s0WyybSUm/zT1c5 FT488XzMUI0EcfYixTbq+PTp+bZ0B1xs4p+sj7tBcifNyDKTNOR6h2Oy27TH865EnM53w1p+6315 uxyBqee6mxJtHlFWjlNIVKkuPrKstdHoJzmjDRmkN0s3Hnu7EIqIlAShJ4Ova+Z4sNn39D84Bd9E aM/wR9avUxh/hjYPRUt/1J0szp5it7+QyKjwpL/r4J1occBvu4VPlxvmccnum5fZi9Ai/MP8Znwd xO7tRvJ0ecnhvWk7PPF9cRV+Zx1zpB3V1XYQOdckDImO9n9CkZ5IOznORTGKZs2rakWK8d6pkR6A 9azR4iehaySBA1czW4H99SdV4DW1pHjcO3FXRvCV9YXoRK6OUo2DfyKT9bMYQEfxvadonwkYzLPb 63nHHfKLPwgR3/j39nF4N0769c6jaR8mlcev7rdZFmih3pjsHBnpDI8FrNG5jFbjRgsY+5VFziM/ lyQywSk8WhjA3GgGGZuww7QFWdS1c1FdAJzc4JOFAymzPTD+z0BRThy5tE1ucvhXlwAE11P4SnlC rqvxvEi7gzgBSNjPm5/EaCcFYB2p7tHA79HI+mvOHmGqUN0i+JZr3onAHSW7w8N7G8Vvglra9WNA 6bb6YpdRl3Wc2YqgPy5eyanNdZvSJUrxJ7mj/I+ZNeOt39Uho3RztFmjIZjb2Tif1XxFIzdnLIfM lyAVqOgeUZQhVkDDcF3pWNz2dKie996bo4TBiUjhqcjediZ4YEkmEsTi6KlUGhRChoncQPko6JH0 xT5XWxdeOVuvHcAe8jBaazOISX3LXfOEWOPL0Vlbb5iMPtj57+303tTGqIpH7pehCW8YHx3NjIpy qd+kk1jSa9D6wuBwW9vafex+97PYeZWvdTDldOxMi8gGNNjpke0vx2V0aQ3z4bRcUVo22vRoThsX ALkCz5Bxhri4+r/Gimp3h7P6I4Uk5NWAxHB0S8KXWtSBfqZOGTS78LIdyfTKP6xVC3eF/5MIJz1O r216ATVXnnCFIiUzD96LiZR4iAfe1JLXl7MHYuyV1mqefvDRlrTrTu28EqGAddoml3EgQjKJQll5 XSaSwJevQFrokcE1va+rLqX3izDdRmIX+wHYU9sbkM7NeRpag+rf+dCjfEqF2YLUJTknv37UzTM+ hTqWDay8/CYVPkDWNEJ6dbUbpI34RxtDnl10GT1Do27fBPn8TxDpKo3S++5atXLxdJBYDpTpU5xd SX54elQCvvFfTaRYg7NV6J8YZERw5iT7319JVK8ukb5iKKaIs7Ub4YbWiN0Ra3jwgYGwX46h+H4O UUFbI9cPWWxWTICXoZxfd2I5zCRDOP49Lc+St3rYnZT2d7RLvO6aTjp+3QBRECbwKaeH8RQ693lz 5/Yb9LjSHnadUWNQn0JxBYWZ1wCkCJYiKmJ7QVwTN8qhOEmKugEHYCpfruBH7ETMY9yhkuXunjQV JDPZO6x9ci9BZ0cdl/6QAxmUrODam8oIk2c8zoDiet2CRmeE02b4AHuItWq3thAFmxXv4xC1O6gR nRXgZamaoR3hRhxRcQP1bSYtC5lOzuBK30NXiOk9Xp9C218l+G1t4PFbxjkm+gkUMQ6v/KQGlwdZ tfDe7r6+gDKIH/nXHLSXUU1qyRbsa49Tn3rXzRZChtwdj0dje2iFSZecRO6zM/g0fNTCydDIUIA4 tasKQ7dezg9T7c17i+MIEPRWMPoqhzc5RCQvvU59fDhLeRj8xgcf8rq8jPcSG3RDjXZKo/aTPpx3 6Gu2rAsFhngq59PiOuE7vaN+MzGhOqfqqAxMQLsn8fLNUQaOx4jlbLX/q2IY7IfrTgaj1GAAYVB1 hFDgTgw767vMua42TVj9W+2RTc6bKODPI2ZDqHofZKmPCJTBfekYjNsqLn06/2QUvl6WeDfK1FgX 5VlW2yPuPzEIfZJSwXM+0zgMwgDzhp9jvcn9ckpUCPPLgZaHtRAWeZIE/ig+MfzbEBxzgyrlCBvE BrAYAkKVsn5PeSnzQ5PcBeSeYruuagllaxSDFBgvrjdqWvIn/kx8iqjZ9roWJapTQY4/DYrnOaKS eWZE1UeGlT2kDvHqGwSIKJlwxPp5P0ZrUoMdiFVVgH6XeIjNYB4seuq6ot9MiQv8HAYJyrkpnkYM X3KHbBSKeo9QzjRSGfTfp1StS6NX9pD/ufShZdQI3vwmM++fxyoOlBFjd/CtPeErXutyiMu8LhdD TyMpGsWi1gB1mvzQomtxNkP4JHRtzD9jetkZ5e80CpC1f2cXdT0qqTgEcvYqybD1P64uQLD6qxhX XcEdgyybiPpRyGIQw1yjvuRpmw8v3HjaBV5n1+/9+xdQe3t8kSy0WILFBREGcJ3wswhCVwCnkkVS JXfTMZSa57LcTCHpqrO3PXwl+ydCSbse6DZhuqHpH9qezVGfjQXXaDuqFRXx2guEdYA/f5V8Y5iX e9Nrzxnlj4lImE50rbzkhg9jAjoiqhAhSpCKsxNOnPFqKkQt5f6ifBCZ4tRj8PhffCEuPivZuBTw 7bhFKIpQ8LDPb2A+9I/tsaUkpAYHTTyAEI4I/FpIPl9VuC8TyiC6FAgV6R2IXNe1s3jJxktpFOa1 byP6s0sLLNoPSQHcJArcgVDps+nWc1VjUa8rXOzFLggfWTT2a8RxUfJiLzdXZqxZq16tDvRLfXNS RyKpj4yt8nB8XEKIPHwFQjyR6nRXEKTKtayK7+sRXuN78321Tvw9GSTOTaiCUlk+ilNWClSly0UH XGnG1QJcyrSGyxifBs5mJvOxcA3xkfvE0MWITZVtlu/p3//T+Dp9e6ef8kelWS0FWxyLyekoP5ZK vYkZHN1Y4PZIxYuKX37532Nz1D5/Iu3sOS1pV8ZsR5eZn+4711CC5urHHTlPeMWDS0ArQnhsyTpk Hrwxgk8WB1UoKbqX8aIi+BfCPhte8XRBp3fY8Ho1mNT1VwAjPufU31uUTJVqe0lUzxdPbO7A6m9+ Nkv3FROIAt7hyqDmKjgFHVSxyOC69YS4Jt8YNazwo+CWcEXraa4Cpx0MWlsevHkc4gOPmgSlmxXJ gDClcrwCAN7B3Lsuov6rJzGxcZZJ4DdKPUKIYcNEqAGXLBhIQO5IQcdTTpHi0aEOC+oZH3smcMqe Gl7crz1OyNaGKrN9BcKgwZIB8n96ILwx+vUqtmQ4q8gbQO0b3tUsLYiJdMU0KXrRLIllrmvKmYKY yEy4ZKWC7cZZueCCY6pqbviUFk7eHAqf68dGG7MYxbNzTqqgj3OYgFJlUlDR6tJ5ntGh9Gfr/a/j AyP81WCg3Qn2+vk+FyjRBnzTbikVgnJQAc6lnLICHH9hL9NQvASb6KOJBzNF13fKcQRzTaSGEItg fkmtYmwoH18kPfHWSQVsyT328j8KWgsyZG7BjMCqU/kbkOjltsTX/8MOLfxn2YvKJWeuvjtWW1Lp LFtWyuAFr+vgxf7/bWnpgiGOo7sk25eq95aRmTMLRAsnJSBdI8lvf9vich9Nj1QbUykIxKWtwVve atBKS8DRVzThdDoO/5xr41LJ7/lzgu8BnNEvQbm4iJWt0zX66t5qo7FiiEoe6uINvdtMno5jDAtI 52WzaMgqMvuxBGiKVQ+HMa40qCLi5pOoD9oTAFd6Av8ME+p/8GWDiZ12NS75SvghHhnkfkcC7iJ+ 3z/XuN9JjWQ4Yx7AtXeDlscfAem9QNlJJjlhjyczbYR233l2+3w/eU8Krm2jyXLMyJusSjEYS28y BVg6M8eB6Xq+WJe9vr4F4/lIwp64XPJPeNih+kf4/57tg8DIYYkQl2snBM7ZSbUNg1UXmj2A1+ev UOBpuk3oPStEkeKxGI4LlLJMtza0RbylIUF3uFcfAUrC7j+xdF70UR+UNOsMLK+MucYzuBOvl1b+ EqbMQ3ztNx2jZbDPC+WPJsUrAECEpKEX9FLaLJXrUpUf7wiqb4sg4yO9EtsbQ1UgEp5FZmEJ4SNG uYFFYiBKm56Vrz1WcuomTSR5F1SAJgF+vEGaF70ci+3EfMal83cRDE9l17U00cyGsL998T2yZ7At cw4FIQGBcMwggwRvaZrHe1qmt1swSXlB7u7DZ+8OcPsm5WQPcnJndabBJANQTHGQUJ3SXEDBdWNq SCbWirQY9jPujEjqRqRFlbordcX66z0mIatggUSv0xQo8RLp+wwIhQ53fJ6CWmsBNEl5xIaiwsFj pa1QmHF2FuO7Fi7G9B/c3ccSwQaMtGtMcmvM/+k5aiOj9JraNrYk+2tddghwjuhqCH5ZeqGlS/ap HsGfJDml5kr9qTkOSeW4QCy7mViazUHSTmHYSvzttpNRp2XCEbF6JetEOXsKIBj22xS1R42t/vb5 wPD3ZsuhLElyygDH5JKnNeKotXWsW2VjTVYqM7xpGcHqt52EhSK+MTGMIWj6LiPpfCObHwCtUCXW pTX9dgt12oncl2+UCzWEaneAo4lWR3P30XVFdnzX5+7PnVMG0oBxi2vKX559YLohk4BLi6TcGi6G 8IXzAgBTlAy7cmUnd3XaTfBMpjK490Wzll026oLBnmyg2WbGpmgbuzSVPqFqGdC/Rl8QF10OCEUR yVqD9fV4QFOVolPI1H0B5kBkBKrPI9KITX51jfLWtiszQAnsBB4LyW7G6imIYhbCDPraG+zU25Pc wObzCPCSBFLB1l1KwF2LAfvTI++Td3WER0QydvLnkiipM3TZ2KxKISEx332153vpiwa7sBudXGyj D1szweq2LPtXyW7/MW/dQoDK4glaKg0Zu+ILL4tSu92szlyyuzTQrJehOxQDDrGtb58qQ//TyAOt WqUBeFmrInX3V3uCrYCyfUb/t2V6nPyZ3G6ses6Tm2daGpRn1Fogwds2eyExqw8KRfE0XkjKLZ9x C1jxHTDbQd9iMqB2hHVCejTN0EOuKBU3SmBlKf/iQg6QxEXKxvhFR0709IADPSnG3fY6czfS/VTu pwXXJPPiTWSgzRaSYf2FB/wvbhx5ojfw98XXF4DqpItnidxmzy4XJPQBmFVlnKTDzDVvZwFrU8LH VFMNWRi/fQ0pE/qYYYgk4DkZj/eWF8Uhro/rjGkNtc+hJ7gCiOVVv2FlO3dIjGeBnv1myCm6Ghmp mfCZZ4W70MwxmYevGFRMXr5yM1XeDwPEMdYsxM6+M9VgmkFB13Gt8j03jRbDINNNyUANAzpu6pcj v6l30OQzG6w4MIVEnExIJJZGx841N4PKyVUk1CHbZCHI80BDafjbRvLUd6CvRIQeB1LNME9Avo5Z nBDvMAuxvAiMzj586kuaa/7WUAxtCKNGjHwFGhUUl/FHvX8HpSiBmakkTjWrJ7GGrZq6NK3pWCYp pjfbIovmcxedIOT14iidh182hG5abjIp9N4a3MoJhgCpRNTydhVfrsP09BB4bis0e/ZKOhHRL1Rk mdKHFQeHmiFxrVniszv5En59XikIF7on9Xtv+VPAIK1MWcFxk3azkJZYoteh1rteuEw7zjL+IZRs 0QnRN9OpxDh8e6Wy94uTh0HU3dA04CsDEx77N4Ed1sF15qvd9fm/K3s6SIpC101QaPDALmxR5E7L tAcmam+SCtzl5rny319bbxLqnvyMOdC3kU+ANCecxHleJ1rgrx1Alc/k5Jl3cd7u7qNYTyQfzKCN feHxhX74KNWeG4nyXxa6vrAegt1ZKXivEb9NYPFrH6tbQP0sWAHGF9xNGNF89q7GC7Byzcxf+2WT KBjcH8IEJ8hmuvlgyBLP6jlkb8kxYX563+MG+rsPjdIqtEpg7xFeD5GB+G7HJsutebyEF2VxcV1r 7KzG1/EPNX/rpkNvixr9bmy5nVaGZojAhIcnXsN79/tfnoT+nSaBzWmGg5BjYHiDuXI6AGcr09mb NYVUzsl1BGkiWJ/icv/M3c5mRjX093WGh+HEVtrGonE7GSPwez9+43FvyCbhYRkSU6MmbuiEoCJ9 UzATMx++hDCnvXjvhBsM0YpoRMpXxGagEnJk4pgAwWSwwp+BGNBoL7/S666x1ECEyxTJ38oeikCQ 5nlQtTPjMrTyCvSm+aC0KceBV67LovXeBnmCaYBhKH6J1isOFcEP1IBMh6Df4fenkoCBpmTuwjHg a+jpMV49ccGKqafpcasGOYTGjrmEspszYx2MtL5sz5VmIRU0MOoyeCDMpXqCdD73A2pwUa49abNN 1pSMr1CxCggaUfKpNAxxj6PSj0umXXgMGypGyVCLpCgvUg8QrUV3x010Ab5vmS3irOev3ePP8jfo 1EdIcVcaXs+/N6VnPIfTAY+RcEY0mIJ4JUdivUlYY/uswE+niPB8Cq8Tt8hxClbc/DfMSkOmwm7E Bm8BNTxeW059jAhNnkBgblE5zb91NP+Sa8KiVHkSptSUJX97DL2mEx/4qaOxOZw5cAi3tjScTprK W5TIu47JOu9TAY+sQHDnohpnEm5DvDrgzbeuOZ7mnKgvwpp7zANBHaHvZkiDiYF95zGrmzdQsb1s NoKrJ+igHUYOeAfInzzaJqM5nuLlG46xvhl7qDcWMs4pDj6h6ZTldEC5fDB3cyZ7ET0NXD1srEru /IXacEEA4hiUS5r2j2/vUfS997jowcHfCfU4q/g1KUnZELrAQtITbF4sop40DUj5yfnCW9Tlf0gP fx8OazQzDcDzPeMYM1r9qfdy992uMVia6rwcv5rxLJrzLni/WyRBKEpROKFnobmllPQGcMDJEfmd jCKXtgeimdrMs+5TC9OMF9v5wryBhtqjeyaqXsL8pv3suBf96GFCmX89nKkgMathpbXrUuL2i/1Q 9fA4drL+iOiK/le8YGFsGCmIvQD4nUTOulkBoaVwtf1rTH8pl71fy5CInU557pHNMKl8NtYwRCO2 8RS4D9BcAIPllhW9wkeu2TO2eS4GyG4R2Dl5zkM7Fhjv6tcwUwHSIpmgblYzqVeh//vrus7cQoyI GULARli9+pef/jtatXweiGtGqkhNGRoKxCpLvbW90IjmZbzisk7guXKcsKPLILC/EeIqF2MA489i KoitAXE94QYJANScPiZiR1/jJyEY2WYLvwJsUcqocB2WvwzQHbXyqMwyiLcRs+jLrR41StcSQ+9k YsuAgsWR3QU4fl8LiGw3n9Nm8P12+ZYqdqbRc3E8+M/l9culJuSd0UGTSAX5sRYB8G468vxFMHq9 XfYR0PbBBTzKbsREZOwN5N8lJL1LccOaHRmLnSLlJYzz3Mo0HmuFQR8Pq3hmXPXb/AHWVB/6ANBG iQ4n4sa2/yEvpgNhLKjuPdCTWpTyjbQAAyhgg4d1n2+xomBl4iUv95PLJNe94sci3qRsVwMh8xsL cpBixeCi8b8xh6jCeSBZLeYtYIZ6dPr5s4mfnxb62idVWmCAc9RWeIK5XAJCxNW66UnEA5tI+Zsl y6Y87aFeZrbZnhw+V3jILL196hpBE0CUmgZFK7Uo8k+LJ1gNY/+pfkIhJ336gfQZ6jJvIG2HvUC5 lffwo7TvTMKKpzxoYiNu3QMERyRnoUj5Kg+Yqosn8xmUvrHCqIQ7CSO1Zk7BIiJ+RNtHTvxJaoOO SHkeIum1AQqyXT/SYFpulzem4nDRpahoxNOsFvdm34o/bwUnnmEwY5djsBBoTIMTj+hlz5hzamzw rRIGHjQP3HGgIEfRALpc5gxR8XxVnbgddH+/1dU3oBDcO5v63sJ+9htMDH66Z7QIQAKjvqDCdtu6 ESFOc2pIojLGtp5i1/R1IrqM1zRxGdKINuV7tDr8x2BemeNAXYOqdsVTlaoCoLPO/ROn1JPRJvw+ oCPDno3c8DbxG/qrEq20hT6l1/av/fh/2UP4N9ezaTq0lVaQtYGmq2eoNiVCG1axPTKXRk/WuG/p nvv+80uqHPwgNr8HDqqdRvQePXvRaKl5iD3PP+OmYh52FDcJNFiRctD6vgeYZI+iW5fafcbT4C54 2isTv3ShC/tvz4cHH/am5GxX9MDCvKWH45t13tlSu/7Vi3zMyGvZLA/1HrjIw/WwTnxsWrxhvVCd gGSrXeXBFUDlgQhR4UKYEsNQcYAujfpOZf8QKCn9fqBr0sYBI/zWHl6VEqmbOtqmS1jf9FwSCxLU qCAmbG+iA0HgHRY3H+CZvHeMEvGUiI5UWlfURf61WRDHgcB4n0525Ivc/QfpbwAlQeeZfwzJ2iCL Ad49P6/fByxyYSEF8SBhW1jvVdKxlrOmjDWIPTvbVG0sZWngj7mKpaNSNOGmCDx+kqnDxPo9fS/M kzMqCX6A536uZtExMYRJ50D1p1Xh3vXnxIwF9SAktKt36ZOJBQ19UMm9fvl4XSgUtw5Sm7uxFxuS PUiYeXFOyhHutkBEbz4SVnJySQCd6/pzKA5phjmz6JyyLr/KV5wn46ALwgGDFId37dTrLpIPvRs1 FQceZMvo9oXi6UWwN5R2jcKH5SO3RaKNe7/rMEGWGy/l3wnDBf5isMreUxx80m59DY/vttViSTss c0z/IERsi2pEgm147VxJlgQm+RonLqbS1aEa7AT2RRnhdyX6xEojj5jTy9HsOA+xN2SQpWiKVQw/ ivSy0N8eFmWpcwhyR4whvoMrZQ//YG961tNPrHzPI31xHsi4YaXHLbeBrkxCrBQtPy4jEQ3ttMHe Qd9R64/u1spOFKtQZoLMYic3kfZ9YW4BnTppInQZfkRZjNK6VNygvPFMo7QVxrdWWE9FurcZKDZe KnmTaZ0VQWXoMEpIBArkhV4Yj+uB9H+qtUuQ+eaPkWXpSEWk7ekpBQfaAyp95imnj6er2ROWVsMR gFLcUqnMCaf03Weu9EhlrxxibAlx7nhTiaWR+XEDpsB+5ET3XhtXc/Tct9KGm55Bn56biZmS36PR J0RzOw6TLBz7Rs3aXlWnHedKekEWK9AriZZOnGfyAJX0F+/kBLQjZ4om0ZLXHyhIyEunDHbaDjr3 QyEnfkSYhtfE2PvqBspFcqpR/4Z88pGeciXG0pvgrknu1ZlKCUrKMcF/iRUnros+uNANH+rEl2YB I/xE8ZP7IIggyvhJkTR2lZH7kjJEEhXhvi5L3wtkO6tk+FnrUM+bSKtAcWY3z2DcHNFRbDpzX90D WkvlOt1aWGg7o81zRtFxKfsmhgWeC2t//o/of2m5xuASmzFb8ial0RC9zzimZW/X3MWOx51Fmx73 uqBIB15lZiUITNsFrc1qqd0OevvcvuPZlDJVbIKbgRnUNQ4Hf+PooiPzGOjz52nnsn0gk2tPhsoc yG3ZbXt2RB6VsAOjzRLq9GwSiJuOO+WBphGVdPxD1T/dFJZTNlbCgt5QPCaX5LwXML/OfWZ/Gabr BKbR4YonAL1ZDYUL7ExQI9Y+fMN48pZzfJb99BCEUvrJwZLHgdKl/WzRf/sLs+n8YhDWHXtEwuOX Mi52JG3FuOoyqLh4T+tfbYhw/64UlT8jKPkq1XxbyzJsrfKPxhTyTwX1S40aPlFkfp/HDYPhZIJO ir4Eh1leSd2Coeic8zYkwZ4SaxXRxvU4LsTIUDs0EV7yvZ9EuZW47fMVxZ0Mf/GuT+oXHIzYTjqE bQiVv2SKEYm3mloFdspXxA0P8oRKKv7IcwplieWOxJLhXD9Ty5EStw+C0qK9RskYf+Gct5Zcc+Xz qz9NvLxg9mMAjq96t8527gIBotttyFcBbtz40bjdl3K+CpL2UitLyiC7zbq3YLwMdC6TjcQgGyWq qzLfYFwcumdWDrd5EvcTyPF5mgmRgg8DYqw1Svne6XWSy5D8+IyvwMyTHspl4yKCZIgrNDe2V6/f yyLxE9J2+mnTt31GjQEWUscrMC677NI6vNi21JtfkU+ovHVgxpRSDO/J5iyC4Js3wUXkroB35OXQ iuytm/dag/cTGeF/XhvAQWsoKeZlXV9hPsxkgAILEOC/vXHuovboZrmTtPnJHYFd8qR9LUMd6j2S ozqTqp61UC7F3muCZ3XYzR2gXQG7nXElTiwpz9eCaPJNHlIB1VTLDh8R3Ks33K5y8gUaJZzHiLwq nENvkgjVJWpB4mY0AJ+9gqiViRWeqQR80uZNdITrp58JKkIRCNQcdLPKt30q5iBraWIi8H13+DeB DZ0ZX+dj33YFfMOV0Gc1yqdYQMzyNuBS/u2fh5Wv4KFSr+9d4dgNw2DjM5z00Vywo9+uINQ5HwSY rTEpOcoC2sz8Ju/r+mGy7DplPL46dm0DH0POCxjaeluEIPDBzYWPQRF1/SpoKA9cKfXpoMCr9nYi SaBvgOVG+SHlnBRrKMfaGEAlu9Rx6LN+VucOmPd17QMgVALSxF5zn18//FpdG5GU+GdD6kYXnuvD dGsJEGq/icBYbjo6kX5OTA06FsCZkc+jv3uf1QsrX7lHpU5z4C1m5BqxrN1y8bSk5+40RDl5mDG3 6xhMAEwiNenL9y5C+ILeIr02wTd2R6FKNJbbfsl/nwkknA8cciw/iTCXq0vgcAa/G8PhnrV+9e3x 5kBAzQcqdJHCEd3SSq2Nex6POwAY+7SkGNNY9Y7iQrpMBcFFThqQf3UCxyWPb91g/K5TB0HLtcHq K4Zi2fZUZYeRBW0GdYyI8JCpeb3LilDmLUHyETKDV7qERUhlNgOSJd961T6OEwFo391So7devbb2 vpl3iOEtcOB5SGMoqCRB6r5i+/53Qa4Bo5/3c/C7AWHEMMNvnyb93ki/mzdvMqUeCzu1Hu9OmmeO 3qjAOkbYE8mTUbHCPY/ffUpiEltYV06E6GYxZJ3XzB7+J170r/USGQm7ROaxkMJftn8np4+QTsUl 4kknhGJGpqCkJuE+hUN/QrDSBcn0v7/JjPNWG+YC7J3I9C7l413Ze+kINZtVs6GPPqez7fwYtC1F 6YRsa2ZdWrUtR+1V9xOj0pbx/mzBOB9aguX6q0HMe5Tf+iGOBE7ey2WW6u2xx+QeBM1LrZ2Nkwd8 Ad87Z1W2WmvtKZ0F5wYzr7FhKkPqyrnBwM/05Ik9j4jnPTDNQl9Tt12PDo49ac9M4Gah14sAmTrB W3KfXbjHzepBU5MCtbZk0s7eWPWa+AL8ew13UtWpH1G7hL/bAsnQ1WSVG8DeksP8ibqWKtvgQnDF Kd3fKHZTxydypZxDop+xSRH3+HGMr30ZvMMHY/HBTkALehCENbcPOn3C5QXTH/rtxbhRynMfGnJK PeMaQdCA3pl3pPDPjVotE3HfFIRMYo5xubeqGbmBMHj3x9YO2HymUkKboOChq0TCRLIB3jcS7xuQ klspLs3gNu0/dONkUB53H6nPmtVmbNfWWjV9dlZDigSwVP+w2fTvl834Y5a9tV1ANH9pJVJz+K5M x3gO+Hy0Jrw8gHnFFQ8q48jZwFERiG8JQHLAD2wmHkfeQ+MNi2aOr0KYA8RnHjq0br+haYUVSAUv px+m70K01RVItx6t6hIv+PlQRFLwloTaDXg5VVDaDv7aclJlVs3kVFJLjOlBe43fTTxRmuLMQwOM HOA3Tg8hwm//MD/gqzP1AETFAuOEBmogSMJLdhfFahy+dP0RDjc2/2xXZLOxzCvrCTCwUVgy3Waq 6w0exQica4GnSilsMgM0IgiI5pQJTxSN43HoLSPzleW7Tutmtlzg/77ZGTdlYebTtVd0SHeaOKrC hKI1Rj77DoM7A40oHYB240YKIR4KoEFb/Neh7VYRrXkgZnFQFwQJce8+4RuaOGamR7YYS5g7dWRV IycK8XmdyrUxb6gzQxKNZyiml5ITVeDYCXv6r7LmEJS0zqsj+bpIjslqP6OeChWB9T5XJDrBM3RU fONFKDdgNj3CQSwtdEH7sMH6DA3pBaJQR4OHAKXzb5cWtt5RDBCDZTaJ18XpTE7Q5tsPeO8yIL5+ KtmrwszDu2TTwi6MfsCh5DWMKJGSyZhcoD5FrjBViv95aEp1OIxrjwaFWNOX1cE+KtsV6S8bRps+ mvQeJ0FlsM05PKDkupIeJFlzeFnj4PbnyZUcYHX1HqtXTDBdCq1KoRFvE3MvOaT7qZKLxMcjGcNY 0feo61i1b/+uRUGFtSj+lLk4ve7Jkzh9zLnS96Xf6o0wZxwVEuw4/KqZRMA/ZNJeGZqZVXm9QNrJ M62iwjYuxw3GqhHYLoQ43AIW7e5Lz744Bu0s6O+gG0guRpzq5ihe1UvS9fS2rMmE/r201DGSncqH EMqHIgUuKVdJFR1MI03cm7DpTWGj15LP9TE5tXHRRSS+nzhgomc6vD9wELooPAlQHHoRAmHkzrWq PHpOWw3/ragHcDrOr/LQtsmWnMctZsgfgfQ+5CCR64N+VQywjYgNYqMegZqr5QZkt0qh9HhG+kKz omXtrMSwVreN0sKXbZsUVo9SiLg/6xQt85fsRY5yt8L2egbi6oXh8ezIXUgIGmXQ+qn3EsDpeW7s gcoN3Oh9FtisE+TldB6WiGbaPgKYJ4dZ4LgAlSk17yQHpkZaRm40I9WK6Ual/IsmpeLFZMY34xbv HIVoObqcrEWb7MPeeeH+M4pE/ymTirSkVx/ODTmxKZKWZttJTR1RP7s7NQn5hbAwxEu7CSOWfu9f akshAT8cioWW9Ul7K+ZBys7z2kGq6LH7hWAc1mhyazQx/rhzdkJlKnJibCOOjWl56L6qm5e72XW3 T2uEujbcW1gtVDT1lKbhU8hJx6R13CWnwNnHsZd40UPSQaPhF7XVD0wnnfoUvhy2ntykCXgNN88x NzC6I0W5DzLA3NpriSJv/+8Ix2A3UvdirIq1WsojYM0cj0QW+gshdVrxSHnzel6sdxz4I4untkr4 BCHekqXn+pDACQT7jo1QYVJUqq4ObArgsD3yWA8+n8RzTmEfFgwhDUW4m7jW4CHgBY7TGt6V+32s RcV6D7n8+KrnBdRpA6qtoajNert4lQiEa6vUwcN3Nwwku1RoAMyuEPG1pWTcT1u7WC2de73gLjip 1PPOxxTqdyVKmXEfGdbqVcnFi2z5Z785lfERSqprnuTYgN9UOBxJ6MUeZMewHFvXR6imd2N06n40 cBaAKtQ1zRkNGpJTNq3OoeFnJhubpDUFYvRZkVMiQ1rq9UwkzjweB0gRKIJs7leqDG2PzA1O5ayf C+QUQS8ewe2lhr/8yQrbOTfimeQwKQP3hOagmfb55BTbyDCIAa54aIt2EDbjuywVLFVuwAdKZGe4 jvgX3KQ/Z5E5MAjbQtyFvWdkvgaWLDpojJTia3CYeMmxBnoj1zO1ti57YGTfROVbAtnBZf5Ao3XX RZD/iG5mnMYyhy9IfUnIczlcxUK1+DG3ujdcueg+4Gn64WF5SloLK2VpLV9el2OYtVL9rYRRNF6Y ooEBE2D4vUP3LfSzvSvvyZ1n2p8NkQ4IaSsaKKx/5OMq03euBuuaoKUo9yoqr4EHNZFhs3/CmZWZ 03lDgUlGKW2kYOuNIDhOTiv4MesI7qjYXPxqWUyY7Dab2pxyPpXad9imbE9WNHQfqnKuzJcekZBb bii/eLJxvvQdSwWIUiiyaps5nnQfouAWZjGmQNgFxgqw6LXNEe8yBtdBCMcCAnFhpsIQz4W3GwwW vvRyW/ee4Q7HggcSNuWMpbtRhASCjm86LvNILHwH/k3nC4zeQias8w82Swr9U3j1sQWDps+mibp3 l/BKHyMX/MLwiGuDAHMp9N/XrBdkMxKfBh9vmCVkjQB2ZHjNlyJFgm0X3cEGwSaa9Nw8MQKXmYwM bTOOGzDK67pTS2FOyqYC/ro/7X/Hu/bERQG7Z8YnGv+mS6QlduTMX7jeJ6ihkhDr2+mzrzzlfcWr pG+15TUKASKQYwsPrXIhuRuXfVOsaA2qtuH+SidNKk2EunozOSNUdpbch+YBq2pyiktl7kma8B5R tkQ/bf/748kQFlF8j6009/CX6iRpUkTvlnD9OZ3IxPBtxi88du+QCbaNrXBDKXLHeQQH2pB3Yang 3KBWCyJ26NGgsKxKRpYlMRSp7av8oSoEciD84CYMoYJQwQ2/GLfWCe+XhQ+K5p/5sjZLADC69EyO 4tAXwD+SOc5YPCkwbkYJsOvae5EOx5eV6kcMglItAim17SgTITGgHm3W7U8J5um6M9+4AMHYAoa9 8m7dbVUfadogm7/0iLCSo9nQ4RQpW1bmma362e3it2F6rGCISP8JvOjFswE5Yym/tkqV9G96DvAN FyIqAXgR1rqzSPaApNMjXXAC5HjZAPzUTSRa//aJRPBG4hxTvTuRDS/nw21SG7WNNM4ds35RzmS9 oM+NNSqNHVb90vjDV7noicrgOciG5y+EdYkQTODHWjH+N8zEIXbY8Cywvt7Y7fDJOlKHdTT5FynA GJd6YRQyr3zDafky4S4qXEO+eue683a6tTRHeM8GABBt868V9vxaUZWlXXapOkyS8PVykoTdaIQy 9Hxrvv9fFbAKUToAI8M5fF1izGIX/iEEQdyDkagcqK3w22oaEsHA48ll2jilx/ETmeGDD36P/pVO 6EtYLTwYYDr3+edeI4sYRPFF18qsDEFqul8XXxPNRYUxDUtPJ/x/coR3S2qPXL28ZQVUgtoc5N+u wkv4T/Ys38DkA54aaRrP2yFLIoIy5phBaaReigTrtVP1XnBSs8S9lQl/GlRsRYwQtdJyKvkzov9e I+8Wu3Vl7kVRrhHkG79Cbf4IXhjvESAuBifejMzKnDPtqkGW5fxy8qqu4nnii25obgS9oj2cWzIr tFZI0t/bP5XH+tGygFuAPttCDrhpQh13tPCn76Nqo95h23EBilVcG02rEtGnfrlaWUuQa+wVMVl6 65cWgAPOMq0b3oHbt+CEdJvPi1qiHQRA13Hsedrf9N5kEn0+HQ1hjdT/8SRgyNA/y7Q6RqEh6Xu+ e7PgsPDMHPNWM+VCrTrKuLrfAw0V610HQoce9Y/wBnKTCjFp/RyUvhaAuS7gbsH3G09eOT6EDR2J ZDnuYMfMYJuHhy3A1xuL7sz7kVKwJZtNdydCH+oVhSnpmlkBfLPDLKZ9SDy7ZrKxi6TOP2+cnw+n tIOulk5QKChmEFtRbLSYg6w3S7dD+R5rSUkCcKeKcR2UhdTklYYtPHEXInr8octFiIvcquhGrCjG 5Hj0niddAsXIVaQONqN7iGyPeI4DoPlg7g1VkV1ztNTlXCQDmgKIoWS1WkLk4iUI+PRaHlGUAfZf lBYpZMbi6vwmemU0VjCa7g8U7/ysLdPVwvG2uMDbQs0OC68mZOYVGLJEOj/HApH+vvZF6fv9niR5 ofKin3VT3j4yMm708I0gA3gRcKdct5xJiagL/WqhPPfQ6HBfjq3u+mKUh/GAnG011OXNA4kdOrP3 X7f+6qLTUIql518o1R99mmFLX9NY3fg8KFTJgzGXWIgvfEcmNY+20gx1fhnsuqucezmjXHYllsnz YaxJT1+MmQMC2bxaVgm4NqQDa6BoXikLhoclilbrGzLrtsXSXPNwn0Cp8wK0tWdk8YuSR7cz64pp nkIctpujb1ti2Xwn3E/EPjmzBFziiN/bAOF8EQbkFak4wV3aYfH6bSreIHffb2Rwn/CMrhyohQhW WLk8prNrqeRq8VKgt7kSDwwMs8k7ClGjLYg84jAARtn5/xpyF/XK1l6bRFHb8qVRTAiX4uAQSmMn YgcZ54U7W3uOEpbGHqPG9mbEFJbZmTT1VooZ92wrTr5eK23HFcW82mKms8KLab2XBOC/N1GESt01 oV+g6VEt8nsk7P0TOxxMbobLCYZ9Yr6UNvgdkpqaXHx7OboDVVu2asnU2IhmOlGdIBkrhOJxaS4U Kwmm3oaj+zfO/D23iEBtxrmjR3ixtaeKB7CnXJibAsyVa7q0Xtq2ISQl9+QCKxueuNTqgi4uYKB4 vQzv8e8Xgu6kFhfngDBXHLP1DV3q9yNbzg9XGerLuSqWyb1r8Ru30U7Dg5TCO4o6D4L348gHCLux IzPCid41vC9plG2Op9e6YmYkrRiXMIGbrQrdj/ngx+hKavU9RYSRoPTnolu7guBmSmMMoHpqxHHm GvluYY6CzZYkvU0riULTZm2mei0ZRP2j/KdLltzIlTntPH7gFB77MHyQ1m5NeIEBDHll7Z735GB0 L+Ih6eXfNRFKQOxqEJf4NxmsofPcVKBqZ3j/6wPiFtv7wHWUXS8PPt2FrvPl2zY0hQqb7ne4Wd2M coDZnmZyG4CNrbkRXV8gsyA+9Kw1feGVfswjSMdcF2RiDSeE0duCbW8QbbvWZiWrV1xTvLSEdRAq O/uc8eqzuOoqGJ4rUAjo7ojt4FbPo9XoQcnhtMNUsUtWzEdKk18tHS3vtxBVMSkff0AcJm7NWwgB OhRIvhSuPkWWXY5di0tsFtH61DlinodoqZ6wcfAZsIF9XxfASGf1Xm2S74LwHIX8HBv5BQ/YG0jI yrcmB4ZIfnyi9HkL9TiHFKDAls8xGp+WDVXdPBBTk4DYpp29w1ItkB+LEG76mvAsWrn6KRPK6V22 C/OGHR7xliga1yP3+0aEiAdsISXzK5B7hwdpVqieOr2VmV742i4MIgFuUKkHAyS2lUeR9pO4+Ghq 7A87PGgx0xAMgrPiL9GtfSWymWmQDC/Id45bi9xYP2mX9XxsoarroUz67Ys0vURdWrgv7zirMzLG KrOETZGhad1gwvFE+HpkapzWXrZvrRtpxbu29vBCMTOXusuo14mS1+10ZHG1bHPRH8teDBS6HcE1 OTWtpkjppTnl83sBG34AdvyoquHOHeeIOXpZLJhMfaM0l2+0HAEJjFfLG1rFKgFA8c3f4g9a39gV vBmeqSLFOEduWTtUTBborjFZdSLkWd9mp+XlkDK85bpnpDzA2q93kaI48Mlf1cz/qScteyIT0/bd yro37eM6aSfNu+TUwHj9pVFcikV2YYPTk3GvtSv1wfJk603ShlPGeib1eEWc/p5BXtS7KBzzJJ1t z/g55CPqNy83RlqYN3oiAUOL/Ax8z65wkq7t4/R8oMRnXZl+sNLOZWUP829LJAD3DEdPm1BFuMwo rXrF3jAM6FLNo5dGzYeJpLCAVY8XTPGQr5rgkx6MkOitybqJ9jiVtyww2qyLY88h0MvdngtPByr2 S8jLnQNQKFqXrTYHxoLn2f7STgNpnqlVWrKD/PLli8U2AIJ/rEQYtmgmY+t53CV7smYncuRQFQJu bJdoJk8rOh54nne5ulSH9eRYTUuclQOBEWc4XbatNaTPwXSilsXR8cKOR4bD4KT6UAiY+sovY9Q7 ar5uMZtUQZFvPSwBqfyptxpTfgS2ILyEru+YIQjYAyzUITYz6/5kt4qjM3+eg2atde/ihsouve/L vfRlIRreb9SaZlv5qWQKXSkLD03dCNKadjRDZmJ+UGhUCy9rDBtYwAwUVTQh41XlE3jq/pD8I8Bp gNwdfWHdIw5l9jo/wN2zzX9lMEEsdHv260nP4E0OnUJmpgdXGc9kRFNj922DgxdJB9RzKtwIMQz7 lNax6Mr5HKcCNiBE//5kVSLTyAYyipnAmjePqd/Gk1GlNUsnSMdJNe3lgulOJGuhcHNN3LvEpjzT PFFvo7o0edBJiK3hmXgeSLP5uwILudkYvL+9Frp7I/3MYv9zJIgYaK02JJTeKCYVQxOEDQGOUy1T L3MYgZF2cLLMRlpk1HNzvNbBzA4msCdopTPYpwbpFM7agQPny4FSFjTws8FB0TVSdIU880Z4biWc P6zJa142Sa9hQmxzFbTvxqjrmzpEKHdww0uJnL1usArSoNq6pVL/DLDwSiSYocq24egFxJ5CKa6D gRcER3XLzlGx0UdeAWPRbNVrusQE70mqqWNiRu6ZX9MtQQSnivZJdiqUcxHqCn+YIP17zPfAU6Aq pu8PMK6e98fU8OMwatSpoVG5ZXiYw7Vwtf9rr1jyeqtq3vaRg+aBCUkqr/Hdkq6arq/BK4EiYmCN 3EYL6OmvSEISC9ddM1nwS9kcBbutQDV1MqDYuIjkOm/N+Q9Fc9NF2UXSgS3H9Y3hara4t/v5GAHa sArqIpm8byAip/eEmdxMHB1sEh3KebRhdw89v2ETeM4SlOYCt5/PnazNtSAQg9AaNd+3QXkgVpIi fy75vw5CPTPIvneHNq3M/xbpTRnyt8Mq3IJFezUA7v4V3GhiLdO8ZTEHZMcEQrwZIBb3EjC6BcKz 2ZT+Lk8kB2F82saEDMORiHSLNXszWQd0vZpcK7qjmHPgFcASAoMBvXeBkRwyicV0wdABIgBIFEgT q8izdTG9WHAfg+1RLwDIoYfGNwN5mUJkTcOMGb4LSfKArgJo5z15JS7fvgHcmO4xusvqPGIWK6NX ycBtkYmMRY7vRiY/UqFQIPqVBwNtkbkWaF5pLR+meT68FEAO/IIjG93Vhz01zRbjWQvQ025mABRJ Ea5Wm8vLd2cdHAhcCoxh5Fs31mwIoUHqTN9tH3Ug3Vaq/pUJKBP+n/10z/qNxK/CbZqcnzZDybHb j1pwKdY67FNBGAcLLP8+Ky1NdrBH9WsS9HCYZYs8oMKf/DnETvtFhLGGvONJ6CuGr0UTb0TK77W5 uAFG0ltWaJR/jU5sEY+TbT0JlSsMSKNpbDXXHzGQe+st5pbavYgqkkKy+rwqU7tHd53HtA8aU89Q 3AYuLcj1tsKPCZeVNYB+xUoMZEYAQ5PXOTHfvgEVCRCqaaQR5BAGWazEngx04CSX7pDSOU5G3kwG YLWCbedF7r/e5WIaFykOrn5l19kmpXzgOAZZLy3LUGDuF2fvK6gS4YvspAdnyDlqME8ObtZEGeEO NVMsTwCgwo/Jc8pwI2YGOfkVW3tL5ea56gQTn0/soJyqhbAPYtq8brZAns5/2R6EKL6E4taxrdx2 7uujUOl4Ggl3YA2nKZk5Cp8hy9AdX45NF+oHBAAIy0cUx6gWQWAN7JtQv14gjdgDub//hLKlr5hl i/86JbjLowGlBczBdfAW0EEj+EdlmPYwZZYOFtdxcqVFyfKxPw4+UBniRAURIKcfms/FZD6j64J/ 8BHnFLPItvPRPpgcXjm/XXtUEeYhydmNIvghLyI8mZuZcAlbUSfsrARawk19LmMHVZsinZYdPO7L 9TpA6alqKZ9W0VM8I7d9OaNZ68J4JU1jp2IBnZf49uc5iUZCe4x3IUsSBdj6d1nj9MPZ4xTxoq97 j3gZa7aahHdGRqoWfBw31dp8ZcjjdSggtKCF+Icjr0flBN81DoaEvpnRfmBdxdLYKYc/vaqNbziI 42iWBJU+hvxi9inZcQ1XFCoFEQ24rWhArHQHljQ4x5VfETkc/Cgrw2K27Pd/3nTDO421vR8+6wGo M2jWAi6E1Tw/PIfSd7IcVquSL6NH8sHQVM0YIgH4Zse0uhM1EIFguQph5POVptYSlkf+RPCHbr1J 7uv4hnK3yZwkyOZyjRcq8gC5mwtUvOW3IRuBDwroZPGCoSgUlqdEANWVCp8ZBjgQIkOajXb8IZay jomgA9Rffppy+//yvj+0toFAG9pWcSSwCip6zhWnzLyEKrTpNrpgKCLsfD3TgFrX6IGfGaD1zINy gD3KDJeqE5fuTeswNtROr4thSAHiQvL1xf/RvZB7kS/u5epbOudMsFFtiGsgeDpk7vCI7qqT31jF 8XwsG0ZGMstMd1qOwpnEgSoQ45kCYD+Bjr2EVOBJkACkJB6QrkRnx/00GoxS7R3Iim3h60DfUC+j tEXiuOI1rq8IZjde6TnqGcWc3LYA5nOIBOiN7ROvzY0uD2oHnayljWhS5cKJL6+SUnglKcpYZUYN 1CtlH9UdL7syJ8qx86q/8ZQo4xoxK/KcKABDeM3D31b9eewhB03SfmtWAarV0w+GpOZaWJsWyJHp ON+6aUic/8rrpk/37Ll6GbqCaAHQkY0H8JMwbD+29GKmgXYcuNOV9HaWWUtIg5UQsc59bbjo1MWw W1s5QKQk7ImEcTRLI5/OZpsxEhqH/an5ULB3i6PwWmccJi5Du7zbCyKY2DgU/hJQzNyfuUh1Si/l 6F4VAIv2cuMGnnrRE08t/iPK+lK/9AGXAB4lJBjiYC9Bxds1V0K2xHKEl7dEt1pSVlnDBXsD/a+m q23TI/zF6XokNrDJSzqh/tBm2165Ug96JyiQzAjz5Za85BVx7oEmJijT4rrm7bcdmSSZK1qvkKlF iR2uHnrKYDkOtPUfSne1PpaEuGt8nnoKosmF0K8OTBwheFuC+5hd47UmGH0jFdiSpAJfPEExb7/k 9MjUzinzCYHYbmi6cbws80XRy4ZVgY+9y/2+VpOJSBPQM4kMEG7F8kvTci8Uu9p4yXCQypdE5PUf P6CYqV8hWZ4DV/HmL1+CcUPBd04/czgPLNq5Tx6Odsxm5YRjZ4r70lBoisg7r3XKKWgvNQpSufJX sbLrY0uaDKyzghGUIesIzmQ9859ZarT5CuOl+lr22GyLzBBPPi9ZllyttKDaWmGhlV1utHb0rlpE rG9FAFPxbcyDpRobotjxZBOQ3NRJ8+P5aZKtCa1ZBLNZcboclUvVIimBOYXRpOW64wfU1OvUsAcW eW4z62PUhgQXe2+Sgz5qBSg8NURGaR06cbtSjYg+Fa/rjkg1w7pEgBsv4kRHaRiaFiBEXGAQCnwC 3VOmxbkgY/Ahj2/y8VA0z77YFm/xfH/f1Bd7VuLX4WEqBu6ZY/feeHl/wSrcU6659sPGMFKW2k1O 2Nw9raa5JGsumvRuEmJA5+ts9dMQ6vE9ehOtTMD1jtKh/Pf8XlLAEYXOCONUbTdxY9sPCUJRmxTd BcC45ZXkEV+3mhvHLW2GSz7PDTwgD7xYGN4EMhTFQAb1zXHh/xubIUWMcnVLb1O8FndI0PNAt1NL F79wa+Cg7EQVXgR83e0vXk87zX783muB2WQqBz/QlNDca2RCTuakkrllfRtoXgccpUA4h8W1w6dm NHfxdVPsvxB8UtfLzaAADSAuiWWNyS0Tgx6auqbBVwBcbA/29v95hrcvDQN7GKikXRIQTw19XmKp +AOlG2Us9Ac/jdSbRXJxHvLa1j1r+Kxnjrz4nF5oJ7gADngfsqVkQ5vGdS1JwvDGkI8dfOcPlOAW 1KG7jwkfpZ0Tz7OE9pdttGBl5dyRaW/Ou+C/Zd4nwtzZQ5/qIRQKxL5EJAsl++WkUMCT5NbFteMr 8Zp0xBpAIiV9fs2bv/EACzkoTXP9aBtXG7k3ldI5bqNCmzdOg6wbag/lJfSedkO7XzP5rWQcd601 Rsi0cojvub//DmqhA9r3mkWLiAJLSLunzzhZO5ULewTFd+XSTF0mw+kUaQSIYulTLTpNsqRw7CoW h6d6K7P0Q0/YT+Ene2Y4U4heJDdKaMQ+KmbTi6C0FshQ03XDIxIdPnec7O8LH7nQF+qW5ALpUMlu k2bN40cpBq7UeP6oNz8DNvE5SebA+cG4O+RzM/aGWAShYoksPoV79E0ji1CmfTMxAGGWOhuRKOwh H0xEqFJR44XrbQYqxa9Fc/3ulW3Ft44F9KZKzeoRZsc3Eawn0Vibe6ztfYgGYrMJtJehBZkP/EEQ sL4fVG3IouhG9fwJkgz+Xb2P0mN/L/c5MyaExseD8yovh/L4fwo+6LpyoMRBR2jtnJwkK9Ek/E2X sruWMKCxBfCB+gv+1STTztucz1Vuj1Qy8P+gRhHxOAIN1MNJp2OhPhNgskcC7Mm1XncaAxFApwab PHebi4c0mK60Cve1cmCb9H0USRBrwaIxo8Or9zJMNS9mThXjRUAqOACsZgzIMlylu7YckLk7swBj CZuIIAWpj7QEEa2eaHEW/52CWpP5vm2KL+0d1OhqcUk5K6UHsAKOaI/u0q6ZZB7+XKW8a96WvYHh 6T/T8UHZwSzS1mEmQB+LR++C0iap9eHVVpfbrLWQpCg/r9gRcYoZCvswAH/wL614sjL0dfcbZ0Q6 QECSOi5MgKNXuZqgcHMw6oKrrnxQ0IHr9uHcfP1Xzzjrqhf2kG1TxegBW7y0sR/TlaCCZOIsLPFA wwYaLln3q7zrXno2IRJz89dLkrcLDnrlQmMFQuweDy+D3XR9nbg6RpiYNg67DzhgvR/iQK/0JcMa 9TGapiAnAXI52vJ+papeUg4O6AJDO7w0viIVYQ1E3aTggsQdEQeytlzrNwATQMIbI1VpyvPIdZJI ylpVvrzRnQyS1b2Yf+5K9/gcVVrO1J6o+ZmYARzgpZS+mvQ8sWlTbEnYy88+my9tLZWbqUdlUTGV 391zUPqwxjz7XiulV2KHtTbojqpyjiyPiyU8CIpQ531qFvrRrVv7E95so6CjWe1NaIev4CWuk+AT tuAS5QxTDfVj+s+NlwX4aOlqcmPAT3p+0xYKxmi11AaYg8eM6qVzFvilr6dbU0LLhgMdWhCFWJr4 a/n3wgnHXEyDd+UlyN2mNcuLYItO54EABcF8Tbn7ItYBZdECgxAviFLsIHJaeM5fPbZSG1ZIr/fN xFzn7l5fSrrEwLjPaN0h3H70zGlxAVWHotmYlbP5uVXLiaG07EAoS7brums5eSpwLOegwgcS6nNE IzDmbMAzwgz7aERUtJ4dz4ktuE20dhULzj44GzTX9wgiB+qezbd9zo9PloRNCWwEmeW8ttEWgb9Q olL35Df9X+Y/BflANXPM4JxHblkxPU4rXSIsTe0xO0jFXPgXyRWYKSfml2MtDnuJtaZP/0LPyvjC AvGo/iCUoS0asgOjPrhGgBOe1nEDZNxvR9BWJQjg0/IPjp79w3usL5JfFZ5aX3xCsWHwsDO0j4Tf 4ndigdTUKrq6NN/xsFslXrmdgzAmqtzi9iMyoQgdJg1RhSpcXzttrAv0ziiiV3MJAjBg9Mv48pou OD4cA1IgmSufxu+uZTh20QO38lLrI4cx8aS7BsF6JJaBdDAk9xREiHo12T/dCFL8d1bByfRAO8ep W/g1RegGJuziEQYqgpHCaeh33USB52d6xM6RaaSYTFKNKQfdrF6STIkmV3fs5PXXBN5sA+5sY08a 7niRHbjll5VWo+Pa9+pqoRqh5JxA2nTRs7gW2yZsIyHNm/GaQ2rLXtGugPs2JBl90GdgPCYUp+iV FDb/TEYedLAbETKAHoZwjXcJHlrd1hqriCdcY32IqRY+/O8RNPY847u+EmebOEihPCppvw2fRKqf nQWp+oL4XRnb41jdMSuT/yO1cj7sDQ7Pra7wwwfNqmHxw6hCzsrwA3UrR9yrOTts5CEPYs0t+5NF 8jqp/8BOW2NnB7gYvmhokrSyA7DD8j9uozNhJ3Ow2pGVNGdg7fENF/NX1AvgJPGQt5EqOSXLUj0f 8KmZGpiVcYNJqU/PVU4YshAHqV6MIzDBEuXMONYw7YQFbxxuGQRmzD1QNZzAZYFsgsRenmkE5D+h Sv2ceTIo7CTSkIHejOx/jTFadCyDOo7shSyi4P8S3kMIZkBtkHf3T2iSdnVP/RS1oPrVr1Jq1JcA ds/lPn6lVnPUvg0A7sgIcCVyHEJvH6P4OAXEmuTq5Sv2QQYkS8VisoLHvA5MRw3KJhOMa7BoQij+ 6oTCIHQC/NK3lnIzJt3YjukixTPBToAqgadUz2qf0zpwU89WhRuRVRX5SXW1f4vB9u8sMBvSDf7E eM5qcq42u5IKIBiBZKWMPZUNYR7E8TEJGaBH6wqkZ6Dn5Hd2MAYjQmhTgWtmH5ODWbnJ3xsYMLqq zg4Ye5PR1+UIZxpDkxAWYLeuMquYSRR9cxsIuj00/aj2eAavdB4n7vJ0cFHHwYwLAugmt7qinAVH ccRJhFdggedRSyEAJn2QY6R+U7Lsm45XPCJNarrkfnpcsvpRI3+M4bflAk7CeipGfPLt5GK0x1FS 8lbJJ3Go1cDDIp5PvojbkhEL8NIdxw5XNWAxkp5T8wR1r1ONCsAwObmoXMG78+a+YRa8+wRZw4aB J88EjuJM6I6z5qccAUevRMen/wM6POOtIz/QWFlRgGYSDhq+kfP6Ks0kiPB/IWWGLZCM5aQQFrFT L0VNs0wa4NoMfWRYjPw8tTCwZrf7MrYpbMbPySMQXxIbA87Dnw8Bs0dn+dYT/w0rP7DdOCRvsLKF bUE2arsp+yHggw5EM/AIaB3O9g0H6+C0AxHwnifvg7vEVwJZO3gkuXcTJPQp4zmQ2OrX6kLhBTp9 JWJpUPWo4Dxndngk2J4viijv4waP+15wF7PP+A4kshCICf6IJ7mHs3xxui5b3p5f+bb4Rm6nmIBp g+lSrtq/Iz4OOOVoB0Yuba29odPq8vtPz2bamNUnveg8u01rtJP1xR1Sy/XQx9eeGD/jjfZVOXJ9 XxEfMH2QDNwXSlsJjaTecyyR2FXtH5VExauv7IAsQfdvdBww2foV2rbwutZ0SXMrW6fmC1L/TVv/ OA2IkY8a3b/GHgDlHMicmIilFrKQrVb0upI5+ruOWYy7TNAQ7m72qcFP0OWo6NeiMQ9sRiI/o/nC lEG49QY0Ay1ocN2fY8U6+Hv/FZJUmK0WbdF5C9ErO00vOeSicVXQkjDVQyYiDX8aB2n/KufR0Sg6 3E4cmtxmsAtlOzTk52zsteFPKT0rALtOBZw5W6CiJecOvFo/RYrOgF9PNT0IftRlbds1MKUcLSMM 3Mq2Gp0sgLle1UU57krWCXg1CAZC7HDIymvlU1RqRlQqA8CT2plIix0ueUQ/J3uvRuzUvF1t0UUW pEyY9nA1vCM66gVJ0sncaXD/tkMBREJGUNacL4xeUYWZ04ZeoSbuGzsQ0RTjpc9PNaQlymUT/Xgm QtEcGl1F3O3U9hD6eR3CDa3rj7A+hJNYu46bPEm7PC0woQTcep7O1sY5IMabZ7ddm/DXYVj6in/Y L+AbCEl/piDSwUJXhRUAtTkW1tXmzHQ091kQIVfWQilIilZ9ClZoobCjKcPnDbEQopD3D3j9vUSL HgsDuv3YeF+n2it6pfcr9BUusRzGMJpRsMFE/78lpx6lqc9RqVSXACGXxvz+V89FcIv9Vu/OkObW cPYhHhltAPNzTrnG0GLHgnol02bAvJdwsgF1Vb1x6xUco81X5b3oi5eCumbqCDbp5++6P8vZLy3G GcV1vucGiOliv3ap7kfIkc3xSTl/Z17l4ElQXHPlx6y0LkmooBQep6hKDMOZyEanB6vrbJNxURVk sMQfAVGzpIOUA9c+z25an5AAM0aVOQWf9r3BKyeggSJviP8m0TEGoAn/0S7dKS/Yz8pexlciBX6v QK/O7DUAIgc4cYd2TjFZW5B5yohr3gWRoLuhVtRVrLEsNZ0JGKJ9EPDCwiIKADeAaCNKgmng7tK0 XqpdJtnTqsEjtzWQNJ36CbUFmoeV2CfsXhOfXWQ/ylZNvDyRlAFGs1Bm4f1JyKyOB1wqVtp6Uogm 8dkdW9crOLwG/yU53NUYVcdBKa9jzv2zKRYQ1me2398RSOIsGZLKtKE1UWwWW5+39H7ts2InTEH6 hbWbZx8QWp8CHbfNVDmT+dZrARU2Iw1YLPjNscncweo5z7tbakg4sVG81Tp7Rx9g9HLUZ0RorqpP I6DX5DiUgGFId3yHeB+ko0kBFu3U2l48D6jwepT1k3mWjp/XFr1z8JzJba3MsE0isM7IQ2JVRKPt ocgKEpoZh93vn0VJ9e77EimfIpd0sIGLIti7kBF9K8Xln4JyMnPI+YTLFazEeCF67hoIHI8+QTve 9eGnHLltArUdhrxBSDxOo0tQpWx7CoJYCXX5Fklp3Fs0rG+C1t6KIkpNDVDaAAevoEKHTx61cYQd wyISYth688BO00PQgJSraVYXhFdLhYupCJAX+PGGFM8tyg845JFN8TFSl0rCzIr0afOZGAaDT7Pk 7aw0g3fg3QJREubxZLwDDYkH5uJHfApPDKTOyJYTHJ04XfWc3HxODqscsBA/vnziBd7l8avMlmc5 /jF5oNWvy8kpOXSxpMp7NBNCgqPPKtX84I9vS1yJQFJblzhMwIQNm/ejWCyQm1SswSPa97fSQfgY 7BWs/YSSSs12fgVn2acqq7PVnTM+ZPJBbzJN/ephG1syylUA6FQiMelKs3DeF8lP45PH7ppTcTfX MWgu7+CTqhecuqCgVq3sNFqlmry/REBZaoNmhP8aba7OhKRAHHF96gZupNj0m1SBJ33f1DjNBSxj olo3SAsJ43+V5REP7AJVt4BethWByTfEe5Rr270YmiygbT9szQO028HYwiTCGuyB0gm4fR7IlkNM qQGarvA96oQgNacFwlm9r1CHMeoj9p5b1JKgO/N2DvvTTE6SA31NcEAoRG3rmM0XGBTe0OM/6W/Y QAG5yNl2Uy+TJpQx0/VTb6E2eb+h8NRSsi90/sL6XHj4Jd+ZD7ISwH4zKMBWHjhSU5x0l2xyv8PD Jljf8I9WnfN3iNh7UcaIvBMTMt1g3HuENFudA2TfWAdbFDrpu2fnszwRBqdq5D2oB7m9ngHDVUY9 3ei72dbWX1QZC4U9ItJfuvcbBkNMHd6brO3FY4jJyk7f+oggqy+naeFCevVR0iJNA9wqEAWCYdap g7v+2EIUWlvcnWlZlkaAZU3DGXx/ffyvyIWpFGkXi1D9VgX9/LuoR/v39wxL0blMHWBUR5H62qD3 jEPAW8Il+4rNhDytxZAI2fXyHce7hUK0Wna+Qdm00uLw1su2+zMEOKGxh+936KumBYAmIbQGPV8Y GXDL7sZaAk9iESkSOquycL8KJV4+X0XhJHw7CXCPaABfw0wGOelDGaG1PwTfdaq1aaLY+1mSJQof Zg7rYQuG53ujlvm90W8OtRcCf5zTqNQv369uMmbrxDC/WbMsKonJ7lXeqeK2Z6+kDxptLluDl5aH 3na22lCJoQseJvUc/i1J0+jIOKHkHnprvDsgIXmLgaEHQtwJgWi18iogn3pC51pDiu/lTHR9TAfP JaXHaM19nxYiBqYRW2DoBaqi8rp7fgGFq4kvrAtdLy6zWbYPrH9G5Ag9EPAA/RNcHijwpLlJmi4I V6suphv3lV6kgDLM37Zt6BdNY25cPhw/348uNNQxUA1xp6S3Z8Ph9KrrNpsuFwd84Vuq/EOLN8V9 2StMzmNHvumg+PMQd+HCDDhKXQDr7X1+g/JtDVfBuZaXuM7n59LMZ8c6W3BJzB1IdWvUPgKrqFxF sEgKKHHhdG5XJ5yRHU5hMSEzCHSK3YAUcUB/2oBRMRa/v/kiacnkjjK32yQzI8g2w59SRxFq4FUD CIPizkU3PaXx6I71bweO5uHhYwV28aU7v6yAQdqpp0Di8GUYlHcEHsS8lSrcrAUO9cpeDF5PaZHQ rQtEzczqz800aqiT6MKBxCU0w16N88rZWNAIheBYLNmG6WD6USKzNaCvwQxRFDN0l6hPuKpMloT9 1nHYUFsZzZyCeOR9P6cVbhHrFw06MSJpj/jMq7pb806tzDl31zuaH3wVoz+090JuUivZSuyQVUZe JUS9XAtL+cYzV19ohrsnyI7+o5DWK/G7ObTwDboKVptxVtoFAmmPH95VOAJXZApc/6cFqXZI1e33 sWr3b15+IqXC/2JOegF7DQ21v5pI+064/3ueCpc4jX1TM4FGHZH1SttPAA6LkACQhL7D9hTFNnrP 5hru2JYtxkjR7KR305Fl4Odal0ZCrjW24NwSa4FWPNk9C8u9wqsaPrqeFlrbqqlgXnA1pWhXXE93 jsSbNYHEcpZiCXVk27IfH671OPRZD/P8F+76tujlZy7h1aB1nq1z8xs+OUN/GZpJxe9jFjCj7Ub1 +AhK0PYXZmNI0OaX581afrlPIKs9w8NKsFdD9SuNRL/7ouhZgZzI5Kuu1M4z45KqsGIHhQlGxjl3 N2v/J13qHOlCJCmassEwW9v29YZgeqA+J+p4FZHp3wnMtAyyurOnKFwqNsgaPAHz4Uct8S7txZSa FNg7UtOWezZxF77vH/jB8yDz8vzt2dUxam0edPJJwkwTKUIoxhOqVivW9n8a5xc7vooWIQpYJdeV 91aIpTFbZ2O1/kN0DF4lYMld/bc7TZAlsi1CtLVMT0c2Xmj1SJubz5L2VRHzAK6InEBGhu/msjMI 5F3aQUxW+C3DsUhLhY4DcQIgTiPt90hTgsvqRVE5dzh46C5J+jQWhnb8Q/NCo2GXEIVic0QQkCAg mkcDq5rapHfTpZMXcVm/tXX7ycZvcfGWNJNs6V+w7jVk/7urqpznk1NeuJ1Wnf4pb98kaKQNsx81 kHZgsGigP1xKcwnjPTrNbU+4IDrRnWmYN85Q6lJVTZlk1hYyeQLdmBIubHWgmtityQm7BiLcvXGI GXXVutNeKA20LJLEjiKgA0gRbAwGoMr3aGdwFY7vGrTyYmvKmUehWYH8iS3B4t+3NCa8vJQjko1Y BrozbCrO8UTmY3JhC3nIuU+HqHINZ3Igdjb/EFx1sPOq3DA8gRRLef7atZnkcnRWWv2Tju4h9T61 yciVr5S32kcfhkAXR/Ot0YdkXIMOrczvd99rvVcOlPonyjUC7UGFpvlTF2Pa9lyQ7M+16yhJMHQE ZTStB2F9jqmmTkJUzE90sXfim9ea+NRUQ8oQVfV0KXi8jhf6c0BUQbrOSDYaSQj12ahNrpPHun6g ZydJbbG2SHMn0TF1uPZR9Ja3R4/lgunlmbKmscJbmB0vIqQQ7Ayn7nkubvr9aUsOLjgfX2XGeI5V X8Tac9TkHk2m9onqhc7GPEidkatLKqQiR5JZZGg9PWD2fIHZMkNe18kD4NDP9tOgZSckmA+sf5Cr fErWgDRbLIjMfKbvam3mYD1cmPBKtb4aSeU12dAdsEp8IPYlm6zcgC/jmvpB2eJ6gK/70lryTS3a 60vwrsKvKRpO0i+p90OWjE3UNML60z31nyOpgAZaOiQ1SoF0KdI2+p9x1mORpEWBoUyJQxpsO42o PmFvQdrpoHAF2D8ZrdOkTf8BY9s+/AiyNWYHbZ/QS+RO36XITdsiuMnsPS9FwyV2quoYWv94X0L8 SX/q2GYDqNxEJKQXNJwLLG+VpoGy50Nv1xHP8lVaYk2AXgggjaIGQWqkKnrhkhPbkrYtrRsvJBIZ /tVwoRCnAbJm7Jdk5k3D13d2kbH60Z3KPJOAuvoCVJyOeduGblElvkY3YQG9vNTx3QlExo9ICBhj FhsddXUezUeazqIzkMabOdf0zTPedlmnjqCA2JBzLBqpTy5OsvMz/z1bEeppDBjKOk7kAdX1EO84 9rKr0zCglQYyAIvuDPrTMZncH2xfn2ChiN3p82+/FdLKNX9WfH6nsuOS3asTg+kk03uBB5jJPmDB EEYQsQX/dmSN/Uht5AGhSRrjKgDZBoQaONYU1p28WJQsQKKgy+y25gDt/L2aTsQnq+kqQAijNaP+ vPX6giZLrc8SOCtRvmvpkrkqGtHl+xCFvDMMXUUBHjf67L5lPvGx8wGQR1L+dbVZWYHFM+XXtI0o I3iK+R+PSDf0jy/qC+vwID5O1piFrfa0GFukjqOVhELq1rSQRjZo50FX0f5i+o3trDADHanLagBS R4yE1D9r/wLGqBRkKUlvlAARQmBN6yltzYBXx5QtuJJuEbXNK0ufHFnix6I7DieSXkS7HS3F6rDI HX3dhKjh5ymEkKVrlz9u1upgFw0rhbX2De8GvjSe8YG5vY1ogIvm+ruo4IdyDpU9MyJTrGWv+JSM O4QFkYkD25qO2WzsYNwwh5TcJb3FVkijaXYM77zM9DBtUP8T1d9zVIJWVKfNBXICJzQTd8XB7lPg luqm2FLtI/BUras3lmh3ofgiE0B/W6maZ6MaiLBiKMHeWgtAguRRGneGEO3ON+P1YH9zuGZbgHVU ObGQ+pXMhq9bbY5LLUF6H5x6xWc2kY89TdTmI3OcFvCV5ZAtoJkV2zv+JNySz+VtKGOjt8qEc/2w leGkHvHFque7e2rWY4tBLtQmz2DHtISY7iRiB5tEc+8l16+w3IitZxlFsmP0MZ4ZCgTL9bOnWkNf ltEX0jnmDG92Hn8Ai55qoGf5TjbVjlVBzupHe7Ls8yToPApkqetv9yXD74qoCcMU3ue5fg2kiaie gp4v+uQwX+vUWPcL2RvWh/kWKB9KFLZokFv8c1s3qn5JxOmsPEjDbvCFa33ThK8W/AZDPKs0eK9x FdXtyjiAAqvKhQKrxwbFbtm9WkYrDFl0OblIqvlzdVp+KA8rFqBbs0GgSkcObVacPHq9KE9Jmkf7 N22+o0uD9bPPtsK24S8Oot9Cc1PvmkOnk3c26u/68tJhpVRrjuXcW+tftN3fw3FCJuvmSZ/rr5KD bKCYYWTcvS6zDqhDB3qNkHERWk0UhV7h3cnDp+hRZXO9Z8dFl6JLyuaUR109U7Wi5Z3tg/c+mc+k SPIEWjySXWSGRyDx6dOi9Z/q7HMMBYYZVu86tOhLr9Yx/HZLPpSR8yyT+2NS21s+FEGKBrGvxWPs n7jjIThbVrspN39od0ShIgzzdJhGTbR0E6b/6EcHxPMXtGchdcQENxV/gobRoOc6bRuz+3pdoCI0 Rr0KxtsyYBNATkBjVCc2Zr8LsGj8bh1IV7zL6RoJP4TypSDUZzj2/vWTG68QiqZjuYcI00uw8iyG vQPr1nDP89iGX1aPx3rTSeVjcf3V7pEzSF/khgN4yIVL2v9/W9Hm8VBwXfVOqpTvsMrxjdKa4nnX S9c/VyRuTt+D15opagmJPKtNqD3YhUevuu/aL5gGI9rHJhChOkppDXatAcAXQSX+Rzfl8VW/f8VD 3oHGo9QzpuKZgZSYpkadd4wUXf43u+PKvinjdbvkdrB8i5vtIohBaRRO1d4Lrz1gBQRSFwqSnyB1 GdlhlAALdWmShKPPLJrLmckfKJ5Y3hU/nys+/AdQCzmiJPLa/4l/JLSxqoS5VKwWPWLY7N9zyzRe MlfeKF7x6BJWEfZyIsmHdH3FYFBfQtoIUSuHn9kpoUD2rFCID+0WS9odMR09DptxZvMBtXjb+RWg hApZb/ZtfFVfHXwokGOeal2vzux0OZ8M4eczrrmRONYSPcqM7l6bhHkBXVlGNIJBhz+R0o0fRxF/ gusxRSCpS3gXTk4fGaPJ47ww1QX+S7AV2Rfaint5FjQ= `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/builtin/builtin_top_v6.vhd
19
52905
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hB1MkDF7gDUjtp9+r0pYANUYTDYvtQO1sWNXspOA3ppM8SYB929/qlOMzanhENZQcOQ3aiyEm3Wb ozapXP+k8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Nob9JCRq6vcsk9H9VmyBE86hdNvS8BGq2p8Ka7dLN2J7EaHNc5IAaDkHipJixlCbGOjVeeUZyKme HUzNgZTvjzVoRv6O00gQMvGJEhPJ3XxSJAOF+OM+ukp/m/tTtC3aiC1VdkFrdu6+fpapkZIb8cKo kmCmWqIF3vlM9zcrSOg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qx+ritZx2pDvnekLOZeaFDvpDAtmg/hs096HU3U8xSeFyrj9v1CUwvI97hgO9fhp5hx7CLb4dRhp iabDmveFs8T2afhIu9MmAO0ZqxUS0SV94sOYT5DwWoTjy8BTwRuP8Xrs/EEWKwKuWJp/Wjv7M9k+ wpkev7gSf92vj7uOWX6J6ECKwgIRjUGLc/NIrHrXqaq0yVd8j9fP6cvhVKR06OMq6U/6hMqO3Mwi SQI1xdCXs2NXbTiCZKqVDbSBBvTJTo2cH6JXLB+E/g9NyF0e+z7oxCuyReCUVFJ21DVUfLxU3OhZ gXG23tcqWGm/l3ZWHVqrETjEni8mwIO1yFoO4g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IXrSnaP8yioZkxR461AE2w19esRr4/fF4dA2RHFQL4fY5TpvMbkL+7RQBJ9eOLT5OFH1DsXcS+My 6KW+sTOsl2ndsfe3ttRCDI7Oeo8joeNZ8xJuwUGdOxtV0ae9PUAaVjkgDttLOomzNLph4uCXW202 bI3eFzZlGpn1iGIKiFQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iqW10+NxHcU1vbwMjaJKEOrgcrSi68eS0/IgZB3xPrIkkojO6+T2kz9ISwjr3CN6PcPo+hXCdZn3 Q3TnU/fMPFYF96Bkmhtr7AtYZE8GinVZHXJyKmm5x7dcsR8FyNv3nSOE/XYU/dyZhfnBj9H8LA1H EJZm8T3/SQk6AB6tpXwh7kVAfE+bMsPCp98Fijzd/ynv1FX6O6GWv4CZpIVUKm7Fr8lIGCex7lCq foNktfSIPTqF27RC3UxvVuy2VPf0Ck+rGl7pVu7l375TxqfmSlC5QxbXyTQ1NByeHr2LVJZwC+Xp 5uMCktl5vyr3uh4gEJyZSJlJ7E+uSrhstePVYg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37424) `protect data_block +O38wPQTjinp7aSSNPtlZhIN9SxS9B2M1vcmifHDcdn+/6TGx+b/MCmoWrnJ1qY4PcCpASOec0rW ipQ40MZq9zgRxjdTSiKnyt31TyPAiflBQ32D/zN1oAbiLWyCzpvhBN5LpsXO47AoxoZZU1KERI+c W2xNqfyXdJ7edQRc668KOl3zuX6YUO0XcPN5O2Ez3M8Ix12FEcYJkRLlCJ+OVoxGTHSXMuFa1MQm TpzBfLyqw6tPFQwjW254guI6HBije2/+ApCmVao4KG8tIYnLJ0syxqkgZhN4HJHu2TAubBgDnLsj zqG1Z/hcRmCe8mjw0XFMQwvvJzl+7HysDherJBaAYKMQAWwEO35+Ehd7pm6Z7MIp+egAvhxyhZkl wbHEvQZ494xeFw/09Ffl6XELO8pO/l8OdYqEKaN51ZM6KDsxLXzwlxmwZcwoP4GGPSMCNP+vHiEb dhuyS9T5MV61UBw67xW4ijEdZBteRynJB/boGyGiAbC5OUrdkI2ZLAhfu3pThPXspBEFHZp/mymg 7PvhGAXj34YQ1fCEFN3cyDhb3xLs36PHp9pcRO7kKpjfMxiIWNmPwCBfEb2O58rTfaxFyGvsayRb hQ9i8Zu4zh+svJKT4SY4Y1KOiwX/qOsTWXrjL7edAO+cKfN7akgLBeF9Ix1otBNX7rww140IFi4J ZdaFqAt8tj6pK5MgonH+JC0vwndwb48bih5xnYWPvB6ScXqzpEHT2HySn3AiL9N/fCbjAvY5D5rg PN+AIF+7IzBRtopCMjai/AKR8P1UIr/Bd/0zLGA3Bpgxne47GFiDIDTxmqkgFEckKxZTKXfW00PJ Mpm5R13ltdSUz1KHboqI1q1AzNzADdba3/FAexlEjo41F1VllRiMQkWvjUcw5i6F1+QjAdfxq1Uk JrY/R+Nm3oV8Iu1UtHhcx0FoNYLVrhbJcj4pm6FRjS4cxrLU/ljT5DYuuT6CWqhC1ZNzZ3pBofVA al5Wb3UBXlrhXPvzmRDatmThOilAzIfD7OliBSvEZOhqln/oniFyfaw/mF7lS+zBQFN7tUz96cEi 1BvCqgafSRk+HdP5lEUMHbrTAxe5yQqGTQVE9mkZpmzBxC7QiL0fDg/wnXRQATfhRXokmRxHeTXH lTfHHCELrsKrb9dm3W2WvfVHkiXphCsio4Tmg9HX5gjUFvz0jgEKIklFG8f0MSlArgz14xVcv6zC Y4IHitm5patGZ/RWurEwsEDhHoUeceNISCZNywwSlVLMoi5Q1K4lHVnT95XwN/8DXbkLzt9VoNdY bDsJhyaLpHnukaPMT2jWJyNvQ3FhgrhLyxgR/7VO3e2m32v8tuDchtuT4qQd6KQo+tGWvw02N8XY E93TvPMwhCc6mSSe0ZmRSL3pHIh6XJK39tTGlEesD6ijv+xfMlyBaMpbR/6JyAyqpXsh4YGe9vYT LD2E8nbiSCg1MLfW25B3/M8N5nfJrREqvcQawG4VUcudcW204W5Bkt/d0M2ThQFrXzdyLJ25Pgt7 /8jh1HC6R8T3sNyo6DVK0w3YXr1Qfs4uogWqaCDAn8Jv+txubLl5w+lyk3ODO7BZLT5GTUPqRBc3 aVIMdOakiy7fymUoTVOCRfR6PY7BVjfvgD3DAIskqvvzUXSmMOYoK5mUC165tdTBZOKOfsDD/ovx DMkTfxVUJARpfTs/G2F4CzQIxgBuoGKzrD4QDVIUUMGd9pC44CvnzJfM3XCobjWs0+CmrRHgv7Ts fnGQr56TtVYqX7QsDNOTsvuLxkKB2wmqTzSHc5++Y1815JTjKQKN/CfnZVcufsqFfjmwjfGJkjZT hp421glThlKL/FrhLyQ5CAIDdPZ73z+xPickTmQEJOb1g7gWq0fa4r0wOgB7yfIS6FQ4CbD+rZVU HKcxZ4Tql4rZxJai63n8BnuhPc6L6Pltz/2xECD2zMbd9GgijSgZXGdUDvhm9G0M6g8ylf9HcDd5 GhnHv7+o3cbL35M1w+6hzFnHg0BG++aVzdSOAP92yYbbVyZxdqew+yuy/tBZMkSaZU8KRjF6ZJsv bknsTVqGuM/FIyHAObFNr9rGT8qZAmQ70aWKDs4p08jQDMbo6/pzEwfPB29yXsg00hcBcW/E2cz2 KXaC94/0XnXwkEBgc5WwfVHx7WJ9IiE3VAfyV4/rBHkwSrBo9Z3gb6oomeR7b2iCLVL/JIOb2lcw CGwnSxbS5QGNxfNXmUyxhoKwru3prbPhCgO3e/9k3ZRWEs89i0eJ8vj+gK1oDCX2bCei+RhzamNa 04rbzUFma6K7f6v4LJx8nreG75tUuqlDlUNAvP9oXzPyZLpmzhNOJlsCDgkCn2l0X4nW4nMpDLYM RsUj5yVZiwX55hZgk0rxPxx5b/8Ssh1jZtnte37MaLnt8pqKHVmRiwkKlWONNvGmI4ZB2lBp8sO8 fzhGyaBGz6YuNbE6w91yu4+Nx8Iix46MO+N3Pc1Cd5GaS8VNvF164kpbqWDfjc/H5y2icrnzmXOq AuyuWL6+0d6kQg5vWti92SNkcKMBAT1GiKDbzgMk4eFpn2qm+CEnA/I9uXBPXBCYDHauMjojbDtK xVDPwQwifEX50tGp2O5QjcaTFqj3pyz2n73efAnwDKOSDjMVl4xDO5FTevINJKJOvLlyjOic7Eox OHlZq8Arxc2XIUpI/AITtQ8+zu4xsX6UAD258WQlhdf9blPRFNkPee0Jiajjg+zuS47zzRq/l5nK 7dgNgmKdM8RbvkKYR8cJTTphD7SxkBRYJqpS8Q/hUeVcZAxiISDYuZUTM1LH1brqHxbByb5ud38k tnXh/ugqFoj6P+6b/8JgRt9JSNUeMlHmu5E34gQ6noHQqD65vzPcoMxLo7bkP4rIKkJJYv0plRci TI7FIVndCjWm+i1c0BgIPj4Oxf9IhRJnankhWLbemT0ZQXe/pHzqXpQpFvdp0GNVqI6QvnKN9g3G n9nF7RtUxAWs8rP+BudGxqL0crMhWUaA9VnSNHxSBpnJLF0jnhMO2LVn1+qNbKisyncuB2bV2nU0 Pd4y8t4PS+/YH34SODNPyF+v5D7pH3s4GD1g3Q1NtiF0rjRM2O5Zits0ehcgvw6Qb8wsjMUQBRUM sWKf6zFzPa6/5yymWl/X3+p5jYqOjOSAxj5/r5H7zbovZLTlWSO70QVUo3ZlQK6dvCTOTFOFuiJ3 vK4lZcC+OrGzPHBh8NO+GBQPrnXBaUcONJKgVgIVMv7RB3OMV5ge3Kdw/dAC8Tps3bsVPoMfe6++ KwtKvdImmm/VhVRymPeveOK2t8Pm5iRSCMKdzVyx1NwPdI+ryD3xc8nOfZ3Eyml3sx/6gkcNst1r Yred71246/weZRtCkF/UPTXGVHX8c9lLyAF/ftGqiXNdxECrYyJdh8xa7LooikJmCH7Vkunqi9lm 12Wbzt+HWlU3Xt8P0maFweRBODKIrRtqBUWTlxHoTfL56+sLoREQXi6odWEkmrnW2MDh66o9AXgs xHQlNvgNWMbCqEd2+VOggOrGL8zP3kT76+hpltJjsC0sztfm0XgttpXHwyr4dtS1RpLRzGIYrtg5 T1Bu/5ek4XwmRZS/Fb+PpofVyRlkireI9z1I1kwj2VbnJB4H0tgblP7cCxz59PXUxhLZaNhnwZOZ 4/llv6YBYbujviBcm8JykB81x8sCkU1NZJ4s5bHMCYUM4mhNXSHmvQYdbAZTAeKfZgyr+sEdO649 vbq4OgQX5PIz5GQK7oOoewFj+gpkkk8UKhEFSXza9J+WSChJPdI4MjGKHEDIkxh8TkL66VtGtsaV daEh9CJiygB07alb+O1nVL2Vs11104jHB/TNJQK3fZrOyKmsUdifKx6AB4FnJNY/BtLrV9tvIVa4 jXrLjlHuzbA/Ynqk+d/ZqiDgHoBfUbhePH8pZpmKgmNOzUJtyru4r5syehGJv0Tz5/j4f9uQvznw 5vkotyr+GBEy4JxHvcjd6p5qjoNB1p93nHvhwHwtJrTxdnMP3vALKcp/ogIwUOZh2EfbvTIlVAfS hZve110CrF6QY+zY0hPIAeEVOveK8zOkbZuHo0s0/56wPymF6fS9R62rgBNVZ37TXQ6zSJmZvU8j 01ZHufvR9bENSliS5hV8bcZRbhabWhxnRXa1iFKpkYIQEVljbQP4bXyNZFRh2fbUA+DjOi9OxPez 4qfYI/+85W62TQCPeoyQbVhb3C575FLd4YkjkepWysv2/5inKwShccdOaCI+nmoHgce48lYbBD2x HD6FkOAX6awV+fCEn5GfzbK9z85mmKhNTSCzuGOzBUUHvdT9aAmsqmHPDgtzsJApdXGE7uEN1PJH +u+jP0DxbFcLB+zAG/tMSb1Uc1Dubu+AkWMUeFz30VhXKQ/bgTO4nNdMwLBWliyY0JMDwdbmNh8O AFS3oS8e+7I6vdFiJwmOh8VuW0S0NOyQR5kPg/Up7CnK/s0aPnT5KP6D/FCUiWks91wH+p8rJiQZ gZIxRrV0wjh4oKBI1YR73+msg73fDlo7ThKY1XAAF3rLFH46mtuh5HpTnUYrvwv177WiPUYUcNF9 EsHHsH/W6DRDXt+Luq0lV37SgjucONxulPHBYFqKLA393sJMUP+GFXbROvTwpbEaqKqSo/oZsMyu eTNEXgMSJYji+TPGvKVInpR6/3oqpb7VFnrnAwWac9zWnXOD1DzDqtbP+22ceTBaRyrWcQYzxCob m9bY1rtQ5hG2QJehg+3OC2qutWT0FRPs9ETJE/NhLySfWYWqmSgaAJtbXPYwoHBSEnd8I7e/OFMI tifjGb1wTCIwxIBwFWxOJyqz7M9aUlwvRqvPyf3xouHi81wl3F6RafmlS0358ZS12tkTdIQRyWcu Tpyd4HPEAADrI6CfuXAWIfJOWEkqCDit9sbq5JzHIH9fRUXl5c4KHiyQe586VBRC30QTIaMwpviK EiPLJvIb6zvHJ+mEOA/IzDxElsvAiv17SwuI5Wi7Lc/oOGp+5OI7YZKe5RpGeat1btLyhHzbL50v r8E94aeiegPh0ypQVqAx0qsVIhuZi4fmTco2IzIhWbmqoxa5X0eLI1KkLc4ULVytq0Kcn5N81Kbo e/UkR6+b38yIqKrolVwyn0WnELYCykv1Y30+j7RjprVKph/4JNR9PatH8FjqINDwrPJ+Pzh7xZZE M/pa3c9/cX+Fv7QFZmeZTMvtB5vflTstnwKLFMC/0AxHme28L9DPfhbvt9UhoaQixWcP1EQRgQpy FqM/j69nFNzR99fWyhcuuPX2wge4XxB1IYAsxtthCe9k9PYYoeE5rpZYEWw2oW0N8YEcI55mK2cS UhpykU/4jW5tzxK1pljdty9XUPY8h4HrNA8TPNKJM58W9Mdg8WEVAg4Jrpf0KNHNuaVKoJFNyqi1 NuhGF7NvBpm9AhLu9Q+7MuDnC3hS8htkQwV3ztC+SrN6fl1K02HH5JZbWYfBIhwzGnf7huKnbfQd dSTY2nW+bvV0zYhnCa+T6oQumgycieZx5/GS8QQHV334Xt+dA9OPvbb6UBVr6fN2NcnKVUyAcgZL pvKBBKd/qVP1BjJdnb8tV3zUj/b90TvhRDP1jHRZQqQSZM0kqFOmTLmAxTjLi8qk1SZ5/CGRklum crI0gC9GpyVjl8CVQlZLgpnICsaYrhJk/nfwS8Z2AlmS1QlP0cI2waaXS8BatzO4RALn8bol7Na5 elgfu415U1O6mO2QhdpTi+2J4nTF7QpVqITXzEMZ2mt3qtzggjiGcDGjTtuQolUlBwuYnQC9qavx Rt3mOUQJ+/9eIDoEA8mYOr96x12qhvxzUy6WTvS8t1jRkth+2/RHnyiTkh8V2ZhmplU6umDi4kWe xOHk6Gjz19zWcfK8a3Zuigjlbmc7m8rZs0chBLoTFf7Hj6eS3a6Ub49hF4W8U+YQwDoRDlVLHUno gBpTl8rk+IekMdnUKnd4E7Dbk59fDvn8j0940lMwcN3I6lKGsvPiJ4+dFARtUfdNPRx4PO2W57g0 cQKv4c4kJSk6nStWRmGUQrxgx7J1Ckq2r9dMXN4U5d8kzpot608Mtwon+cBCmRJVfL7darHAUwVy aPCCdz7u9dcKV2i2nGR2TznOxax7F0hOeVXBw0y9zrdqAZe156wvR0WXaB3kridhFqYRruutJuO3 W7NV7mfT9x5IMJF9eklQ04Jpi8omhaWxJh1Rc8clp2oKC1ioqyyAv7DGGJBzPUx8oxiGb1rsx+3k pLLuj8mAoGSwI7XuOA1RcMXyjfCbQLozYLkrGSA+wB2/PAW6SzncjHbV0OKV2Jr09Az6KArolyqP EkXunH6EU2YhwxWLyMdGFPFLpnM8qrD434qNZTTW+mMD3U1AhLKW1IW5L0uyKZjPfDCLyEB6Q1dz c3khUB9mJQMftM5gxAJtthvBURXfKvCC8o4skgVhnZy9IAIJaDADRaXvrdaTdlF3Lehw+eZSLay8 tCFa2y8WwKyyZTRE8BgMBTwn/vrvIajQvG5ZYYt1aSvFfemdvfwTpqtavEoOSkqLlzjDr5XtOhGZ Ck2GhOpyy6U7CXXGpCNyiI9e/ioEhN6K5ZfzXruSx0Zfv4mlVVdvhfePnTeraGN3bmlgBMkNqG94 3JxaFK22uBOKMgIDAS8Hp7/6q5AqcPEh2zAE8A6/JrGtycyWMPAQMGpIp7++Vn3l7/uf+znB9lOK wv1DU6PCOqQp1C+jix+JUsTARknfA3i8KL9aV6qypH/C23c7Zqe6aSV4sFzvn81CtdSMOQ4htzej lzQdVVC1aeC2Vmq6xGAOBmUtOmZfPoN4X0owmaDYlUtj+y5Msd5AJCIMQlB47TN/Tn4TWR7vCD4U 5Sb3TaFkRdix1rS4vEZN4we+rTmEXd7l0su9k/PwBvJvSqfNcEvdzBieA4edQ7r6ovHBdwhCjktZ 5rY3hH971GNSzT6kbHuKbNGWzmGlceMiqSsCseVENo44JjUU9VZaxe+BoP+VF6P5cZMWC9v6gH5L K3TvOG6NWpuWR2xrQG7Rh1pigTak3gFOL/i1/zrAvi4o8VrGjn7HPm/LtI562FE7hAFDmDEeXoq5 5TCURUxz9ONdz35bq03zCoMOMXgXvY6pK5I5PvV/16G3aFxaHiY8zpwFxNtWbwxSt03mrAYssMYG p68m14Hd+7iW4GQonEcb06WIZ5rWO2wvkSnhOUhZCkl8wzItFIIoqz2RjJiNYbDtYO4Aw/5GucKA hoTfXcJQ7AVbWzD1ZErqRafHN6O1AfPMP07czHZIzvT4tEp9/qtlDeYnLpScYMe0jzm3c/ufa8nP k6phFWW/UTgKm/H6WVogKTCULduBHs22Xg6/JaulPvTjdM29sXhSn5/Ff/C/hG+Y816EXkp9ahUl ueeoV6hH0zZHsRtxzDk8NRKNLjv8nGW2r4d/CIzZRTnH+INO5diNk19/OsqsTpjc2GnUYjQPmg+o 8VbuET9Tve5oBxdkJH81Q9wTrjiwKevU0D/AxeieXN+cXyQY1hHHkB6VTjiR5rh4KqyvbwgG4kJv 2yKN/KaLpOGkIODq52VenLpjoMHxSDXg8qRnt1nsBJHf2YeNop7KjY9ZH1SAoWrBJed7QcumI7Fi 6P1yKYYPVv3XPlT99OlzLnxWDjfCdADEo4ViMX7SoHSPR34Rmzj4qE2p+bkGavnVbkw83d8wl4fh twehChsGheZqnhFMMg/2oUYzNCGixM9NCOoHXBEDoOfSpPlDNHhLiYWl59+eTLUhFQcLSFRTfzU5 HmYow4dxHaBGVwJQSwm2ktqHV1U+FD24LoDZf/eUf0bBrlD3zru2GUHp3I7ciuxW73HANc7F4Snx zb8KOdm5UPUKDu3Xj8q6I7wTGCN2sjJ9msWFLqwP9BG3OjVLbr8zLIfLxCPO1Vb7KT0+ec5BJzTx xoiPPv9ioK1OYwta3cgPvzwRrdONl8rZjpIZj121FMiY84F1VKNXi+ojnvO6Qkx36dhJCvEsD0Bp GqWQqd0ZLub8FY/PThMdk3J98kh+Mvn+CIPXmqhRrcvlQJVLdXyU2JHwHT8faCvQZ16u8mmZsn4N jVrEwL3IpBb3OMUIwhOkgJ3fdSv6xpqtxDSBwuws28sEYzrkalNALfGgBbUn91mGQn+r8ApAPBEl t+OxSjHSZnVBSPtVjlw0K850931umLanNeG0OOId5mh8ZbeoQNZtt49sSCqTjvWMb5M4HoCT5545 P4uDngD/Zpj++2MP41iEtldBDOzIDXuB4JLWDwkkIoAaU4EhoYh+NRF+cNPHNieY73aPpx5aP0P7 xtRdUNAHyuQIc9X395KvT9gI9vCHcZWKVMmo4CWz5+X7EpX952daNx6Bg0KqgztgQsy1Dk3pHn/S zZJXv2xbxAVplfGDc8bRRInIwtVAVriXpA+kpC3I0BzzDNKfcvLtmmtcd8po5lWa7w3p1iLRKSqo OrHjWf/PIaQR4uM+Mvk9ZgzBCgz+ACzg5KKTJhhym3OipTSSvg/i81ZvJ7lu5M3YIYOkTKcHp0f/ i/zBiCVEymE+IDQd7ls22iTpn45VLCmx7A39+yDM8EeWZeVmt3rv1ALWbcBlRc6w3PjWJXEDhkeV WpJVndjtR2jDPwPho2wNVh15BFuxe9vUrtQHf4gf4ctTuzx+kql6xcm1bmxRmro6ah+GEi4V0HE7 sqLpIe2qSN4ltgzGtkiK9vtKLTsKzzO9t9hTt47xfUB3g4sVvmDPQ5AM/0Qu6D+9741LFOIGrrsm hQcX869TmcZbKcEpwxiIvURuYE0YzjT1lePzhS1EZYRmmyrNjyUemaPH7zZXuUO1dw2UmYf9Ti3C ayBdGsxAhMrRJd2vYIepjf1lsBZHSLRKs9GF7fBqN3jULOYoBV4JsNdcIsMSxj3M7hkGURzwiG2f DxG/oU01jx6ykpu2sW6dGGcDk0y0wRIrkTBHznblhCW3zVCCpV1c9biEwOf73kVgpuPktolH1Hev MdBCFW3NJ1dHVVG1kSU/l8FXw2KofPZSof1kOSEFeQBeW7yPLq54q0DA0S6ObDY7OcZcirQ1Jhuq 2shlGgBT/i+ITI+xpyf+oNvMa5enrPY4nxWy8CzJRisyqmV49sciTKfJi3SVdYkANdLTkXf1l94T k76t6kdZaPL9kxFBGwoeA1+gmhdOlzoFWlqEB/fWndyxrULv6dYVmjbWTENOU3khgfdyBYou5mvp 8AUjE5HRYGFtYr4pfoYvvu1F+x87BJfjqtIVrYjRLYWr+kV/iCpzyuqoiAiMClnE8mfQ5zO5Tgck E7vfc6ZydyjukhiNqNRm2qppuVpQ0crppM8TKjewGfoAwUn+eIXQKTuijciYv/Ohqbci6PzY28Ba DMXnsIk5CCiXSRq4Gir++4a92gjWymqlMd720HnC4TqTi++Yqf7/nnp0mVuY01JqDjCXufHRAr1r iYgJnPLqjLzTPWuSH3ZQR+KQhkdwmNCZq4gBGftlB/UYHgOjFfiOtWrlTgbtlDOkShITmDFMwkNO I94pLTBOUHFss513C9vx4UITu508KArnX5skMy4JVb2Pw9cDPHhBNMkjK8F97uy0LtB21Pi5AjCJ 8UoFKmVtSpg+McLUuOyYYiuoJHXHHH24mjpYDufjlCvqaol1PL5e/GQZ6tnYwy2U5CHoqT0ErgJy ZzAutI5bZoDBo4SBNiMzmwmbsRzUZzrU2eeCP04+i1WKxF+7Wp3k96u8Y4fOEKXlZednkUqXPs7I Zikp0RGZ0zbBtFC85VacOIWVHJnqcDZNULLKhqfdMyHgI/YC7Xs3HDCVVRoIhZj9acx3HkLGgh/Q I5B4j9XQg196ZHLMvvoiLcXHAmj4hb9xTqgPZt7JcMGNuCvrwibVZaiuliL0zLre/trvKJLj5FOr FRPn40aTqGqVA+BtxfyglhpU1HCwlNXXKGas4tOVLwftGemAjF8FYHtnP7B6kdzTvR0dekJWjOC4 XtFUl3rCK7HKT6rbZv82ARdDrjQmeIagKE8OxQBSuvlxQLhYJHMJeJYUXHyrqxnf9NQfKxVgZmhz xpM039Hf25J8PgGb/KUQHvfNQGVQj1VBTfX4QRm82uXMyeG0UiQMUDW9ma/qUzgLmZb0Ylw6h9Cs 4MgMt7muTa3Bj7RDPpxfjFagCNg0hTl1pHiA4NAWlBoN4T8cXzTA3u5buaK982l2fdrDTrhFzE0i blD/8CiFy7hGUuADC7scrtqhQX/fE6/sQ2xPFaHqB2kkBd66wb+zsDz24LLNyOUid0gVdZd+j5z1 y1PsiQOcl0oW1/fm64w7Wawqd4ictANBaAaMvhonZhTG6sn8dGzow2F6k+Hnort1z0FbhbBKuRfG l8GFcGICKCTsfbhWegGMNLzlul2rwq8UJZ7a6Jz1VYkjvHnB3VVDLA9WUVVgdESOju1V2muLI2He +8qnspky8J5y7rwmWKJgzLfiXV4ddH1DrQ9Nh6XtVNby5pb+UpRyomARzFrH/FNxaBiax7W+aAVc N2C0z4aiN+Eo39OzDYomksvPofSgq1ea+P+wsN+aM8gb1ZpDckRRmRuRA+7O5iFJ5I8+Qr71nZGa aE2hUNs9P1HTaVVleLgkE4r84FnJSdoyT5g+O67C8uZ/njkoWaSbfseFmyIklUhcr9SLM07zbKu6 XRCorPmLZ+RoBqSHZa/xUEekcmLCmT0FFuqziYatYl1gvgusH1UFLmehvOsD4+9RCco2BZ6n2MuI h8ZX168EDRm4EW7yGUucOKdCf8d2cu3+tGkS1xgzD0cVbEngYmuRS0Emz+L9PuwbEiTc374lIZc0 qqFKDs/rKQUtwdeEy8eXzWH2z6dVEVyDoJmX9x/I3/w+Mm/IP95GDn0xp4eMF3/ZECdJ/ibcyfUP +mxkueUVMe8lq55XTJOVYj50cw4eCdkdNWIqToEKenKKaVGS5J9BN2LV4eqUKiPGcW86TuIQ2JI9 AZZXvSpAymkWPXhfdP5STNfrGNBzEz0ADW93QUEDTrVZWs+nrOOaL/G7ly6q7/i1yl6Ty0lDhe8Q k4l7ZcVyGR614X28TkTsqvbU6oGqjVw7W80EqANdMNbqvLWrYT0JBZ3cw/wbc/zqz60VBI2Fqlf3 BA4wBlPfSePXi4LMqmDYbBt2GJKsFKcFzjzlmmHNh7rtZDdmQ6HIS40VTvBvbhMFnMZKOY6mpvrC ZeroPs2auh7DJFhjAr8z+XT3ZMtbUYTaXf3+/KlW0iTEEqLgrdhTxD+rb0I8voLY+jDmP2LbtWdX 4VZ8jAqX8kzw/IXezbF8R22DfiDxHjaY1a+7KnyUZSsrQ391NkX5OW3vX39PWeMm800wmmVnwelZ 8GNWeUqbct+vATMot7l+RsN6oubKLNFtDLzI6lm4dDq4PGF4yoj2FGNEnEM5iC8WykGT0Z0BLXT7 WkUjC4iulkWPE37ydOgqCnDXz+rVMs6D0DPqLeiEZ44VAORXTxgxTxeJshqzLjj9F6x8etIhFJC5 XdMhPOUDfc6rqGJ+Y3De1BNlw2xS9WMTy1SKvMj/2PxoSDZqLqVFG9IwEjGD4bMya8HZhickW4G9 5HV3a1BYVhqosz2OQIGJjjwHbMhoHlDXT6xNaCif5tU5wCIuY8PRNdeCVYfoSn8elWnxtFd5ggJ2 P95JhptkDgC9snsas45cEmQbA/NxwiGlpBQpPdmQwWdtg2gAHla48OZWfAUYMvnF3qmTQ9XXUrur b6Oz6J39tue6hzFmW/N7sSUebkrcUYND7RpUqF3Q7bAnNn3KjizvkVAHb/LaQyacJmU9XJTfIDik lbg/VQ0iFnY4S715AhxfbZPL5j8vF2YBibQH5MvzSdWKnYO6pGdUhhui1dM19l0cnR+D6XRab5sA FOdFyKnKn7Q7BvcrHTBlsG/72zCDa4Jn6qG9iJXGZxRcI4Qez80SFYiacvNPxA6dBmNRb3jGVv+a i45qoO/EstWY5AQT1JC7qelzIuoPs8wxGUhH6LPYayMaDKEILEDTCCvR32jHeHKEnCDCQvr+2WTn oCWBgH9vf543Zjar0h2LQcGz6iY4mSXCGw241DUUoqmKGtBZcyzqh8GAMT1FaN23DoFh/pPuQi5Z Xzr42TmTO4LXJV81/m5vefCBU/4ajYyZx95ThpFwVvXSw+C/qtMy6FAUU8GwrdwkB4WMIJ44PQh1 PiK17DGsDoklTdRdWkFYHaHj+v34yLh7NsELyqsHYe2jd3HhYkqVbv7zXWaR2bosAGziNIl/vf9A 48p+K4xrSis4+lEQ26S3K6GIX4++22RFwVCbvSZ4GOv8vmqarqo5zYFaWaAmOIj7O1aoNzSjvkiZ Z7GzS2O240B9b5qpx+r5uQprT5iKFeVpte+tuaXiirQl4IO5y0ycdYBmYeUj/lcoBzwuOBgPWnPv nuHcL0YjCnf/O7v2Lhpx6kHh/I7pUHtcd8jrNh3T69qRy5avu/1zn4qxZ/MYPZHhHDiGhQ5VUv9g rveEqj2+aHlD5PiIGJLX6sd0VUOANoHtpS5MXoVMrUTT+A6bPKKOlSdle3UNy/LL4QHPBocUMJVA 3Ne3omqt3nRPBba2NtPDyAmRddC9J+Rw9RziDf099H4/mkG8YgwVOxll0iNhNKSwEwjDa7ZEvJIh efZscnxmPVtuddXterrPoYsbHtRIbmeLWlJdiOyMZkhEI4+xrw4uIGZY7gW+ZoI2x/tuQhIWVPB/ QgxAS6wvx4A7PdCVXz+VTLGFKGTPIHEZICJCpponeNdynAhsE2hFIbiMuGTeyHGOZdzsIcb13pln FK6ssfy/ElT1/UAWtUJK91VtIETvJqcIwBUOiCZ6kqmcT16lPgdhPfFA8z95Nw/L2+Q2q7CWNCPf lXFyQvWrkXWsySJvbNvCj4wT+nFugofrNAp9tch/XfVPBkpIPtzRhHhWVLx3EiPCaN/6BdJ+MEyq ZuOAm/7htJaL+mB6S2I8W+7EYJRz7XZlC8npDNPmedyDZ/6qD68Jlzl1Bx7aQCRPsMZppc7VeMDV ZkGZ4fdDUg1IFSC8wsOwW3fRWow6inDO4yO5LWd6A1xWS06GO9+KRDsGUK7mXb5CXAuXpApTGNFa xszdD5dxoLLDTe/D/AYtAhBNzNFwQ+27f1Jl5YfeeJcsP0gjXgkBs4A5DtsIDHgVWYeTuDPmyU7M vP0JmcW+NvrVrxYWI3OC/KxWaxynCG1w4u0gjRfs8iE6oqnEfVARd/6UoYdlPy+Z7+aKCDAvGG4P QlapaZ9v+3Mt611/2F1dCK/NVgsu59p5uV1F+GCaGLdj4ZJZcjc48T4ZC0Z7JmB8EhGoQ+1Imr4M n5NLkyxwMeDTYwTpiKolXyBMDkrWMxWRcqKy2bPy381klSyIhQAZ1XnW5DK3u1LRRqUKy2lVQq/W iSeBn8+1YSy4KuN0WFTLOAD+VQVTypi2SPiUkDAoPQxFrpUner/2BQjXrx3BtWHl6QuNIaoBfMsG jC7OVA3uFEyuRf8M/JuOO10htHm+ZQHKQENUlpg0VlEUc6T+mCsOK0Vb/HIYSLAavOjN3DQQA4PZ RBxaUXbH9ihv9BQ1t6chutxbsxlwqM5CUkF2Bfev3Av8A5IiKxhPSUT2IsYVZtzvp3sKA75N7XCI B0iBv1RbkAKP9EtjzzotHWFetgH0zuTOi5HpFW0v1e7ZGujs3W35UMhminB143I3V6V2lwyLVpOF ryDAkpNKXMyjKXxHDCx7tKZx/yQ8Gym5H3pNDVjn7OCzKUUrmhRW8zuw5PEPghW4LTl5mjpfSOcM FMyv8bGXVoHb+ZhcoBlshxqd/WAGh5ho+rEmMzJYnXsOaZa04jcFnMwDbE04JUrcqmJUk1pV3AMZ vPe8oALKF4bscEsET7Lk/PNb4ogPhoQyMNCGi3tYSkqPoexJFQsVKnwYTbdtdMe4REF0bmxmQPQa aS0sWFQxG9QQUiwrNBGVGc4b4g1V7UAgVjI8rEt/BmMe+4YEdjjgvLvZU1fTPq42q+WQ6s6JUN6o dmSu3wqjCwolUOYM6geMVjx5VBqZyNq7olAG6ipCOsubHGS8N/yILOvCAeXKct7E8g3VW+kw51bz Mrtf8KNho+aXhvmxMnqxiBdNZGGqfJGFtvpUtyEtTra5I8eWEbPJF1WhKciuFW3gtN7sQ7CfKEOA QQW8oWbY56WgihagQz8jCunM1uYVtjdA6Fv9kjdT0UkuiFHVMMW7snbK6YVr89BFgj1nv2c2+sBa 9vKzZW2+oJty7nSkdNqtqZlsUm6WpPaq+suiZyFGcls3mCM3pTN8qvgwnFtIYmeF6Wg6WLmk7Kyx izeCtcZYvRtTT1E/K0ZYzWhBiEV8MHSE7nXyk5paItIJ3hleEctPE9ti8g8goh2mQQ9mGUbjOL8N JNGJpket1EItRY9Tk60o99IRLWwA7zrP4uIzsVvqReHPL5k1vmqPu904ZsIFws1EJnc9VXx5PVwW l0JR/bKaOWJ5aIs78Yy+bVmJ8WWdJwZIuxANMM4JEuxzGJB8oxuJdrXrzSWGMjjtYRp/PD9HWYGF d01itofKirNqgpezPl4Q+eHBqhcylCegS+6t85ToDxDEdowkqUhVaENk3J5sXczoO9aDvaSUmfOP pWkLtqr0wscI2RR9xSOiKwINblu2ILVqa9f4P35jg+gcPn0QPsGZaRshOoc+IkUnf59CUub5TBNk b38dEZ6Kdn7DS98YXhRrq03k+fWptSLB/gFaA1NV0uE1XaHrD23IDyiiLBrvrcs9OSwnLq1TOxV7 9gOQT2ILX2u7X0QzGxYNDzNh90aJDO91xYcjYZks3VRKljhTYqb7ANeaEi+9TPDO2olShb/IK0Ui Qaz9rWlfUv8UEgLi1lR0ksM+z550vw7Al3VR+ULHUiU5tGrH74UTcVo/BIFcdb0eU5sxBXsbbKqZ KlMLm91wMNawP1X/uQd03cqsa/Fv9GH8OChlK0jPUIgFVyhWljOk4wj2KNqjIYeH7XVUTDH67R8v ijfXNIfWFH6zX1gdnNEM6kPYxauPkGj28QgUZjMGDrQ+qvBUzW1YwQ2DhpKnHOEN12HxMkCxutrc jvm7tn47+ZQrDUS0glwiEdPoKO1jT9ls4ZGIAo84CkL7iWekwipgfl0OlNivsPyyTDXQdJ1EMkGi euySOfoMt8NsArOsBtTkaFrDMGDbd3FqylfECnduN2s1hOmxyRb4WpnD9GLBNYcKnLgNEsrtS95W rZdLa1wYkYzm34G3iEhCJQczVqj6z7wftyETpN2PpdjITVBn0y2u46++LgX6MmRmOv5plkRmhuJm 0Wbxqli9Gs0x78+/6lOlyTTHFJgqr9daZ+Neiey18RWjtWtbAX0Ay/JYY4Aj5pxbCcBI0e1W+8Gn qhY8mhkSR5c142ZFHXkFtJ0oJdBXwFwUjxHQ1GvL5aLhpLHh4sp6dIpWpKp0XBVKbfWURpVKDv7J 5nyNUleUdQ4IOhlbXKixQ/Vj7uI+b/68PceJ2LVJtLfinWIEPDgSYav8rQMBMjinKD6x/GegFau5 nt1fMH5JbrQloIA9XWptWf5qh1zLiXy36QGPX/c1/U8CH0TLtvIDAFrUF4huUDjcXQBqAx/VxXda zBMcQfIVXZi028dUA0VUF4gv361mBpYycyPxBPm75DjgoevyRrbJ+lsPUgprzS+cpSuMgPoIBHtC m0VgiH6/WPEWgHczcmy9XaIVEoIQ39wjJasr163plSjATCpl485+Do5DUURtxeIVGgVB8LpkamwH 1iXuVCStEdwKVhGP9/2LqssQ/Af9oWJfKy/ShmtgGBlGtyRuLXQ1RdDNrfkpHVJLodbqY9FixWvq x81AemLZixhnjULRvEvOWP6qe4M1f7wUbr7rbTE/GKxnervzWtC0Zo1pOweNlyc/hZySj1P1SmG1 avmbsffTg8+Vm5F85SVVvbHqSpacX+h6gmImTdTIGC0ZAQbMmBBPRQoruiQp+LFVcXZsNiEEETnV LR4/ldY+X6c6uYSxmgTBKJFGfvdqYupMR5iFja0JWFAZIA0Kh2lOvza9NKOdRrwqi2+UuHPmKhgT hNDr3JlP5YnzDqmk0xuGT0pv7ikbv5QFuqRShvagxzo//JqrI0/XqKt/j5b9qZNcyigVijbKonjX CYwoN1HLt2+ZacYcmHYXT8RrT9Hi8OI8MNMOPJynsoHpAErnoUkeJBu3oEhsOaYxTDzRgsR286Rx pqL4Bb3vF/OZI4k4qIws/1bcmq4oG/fBCkq3GuprSdEYiCc8HuH5UayS6xzhnmPensiLNRR79DMO 7q7jfAJ5XXwNao0gIzU3p+QCsKVygMxV4eBMmGCUUsWtEsA0KaVqClwVrpyI2asYSBT2USuPpJqq JIsiEuKgIKsMC+goRvNKhpasatvrfOPsFvEJTrWxocdCxDc3MQg5LEYHakgaVMqQph2GeLmiMONx WRTh351GCViO/os/ogv/Lv58Qocp84U0p0RNG8Cg1tMz9jy1iuyjgfFLVJyPwPCPQfmfoEPHbiEy m6Bo9qNMg9gC4phcwsirkm3e5+4jKkggcKJc2YBL//g/3uV7kJzas1lp7r/ijMsTargZc5VBlRgz OBQ5+7cpzSECYj7FU3gihPvFvS0aUWIiQv5wfi2NwbOQkaRN0rUc8RgawGLLFi/UZGeDKzmfmHc9 iBBsoAaMwQ0x1avNBKXONkCrWnjqwjA7e//GEbVOxiaAaShS9HFdbiNgBZbwDO49ZAQhXnSbzbPX wdwcbDA8OvljTVJyqbwhTWq3mbBA8Hm53X5O1nilra/ue9URFwjXF/SF5iqsSzH/oi6D1F0WqH97 5MdUfAaVncpClVn13C62SdPt4iqaIfJDvgFIyQU/sYQRXTvhaZOE0OeuqT5VDsrqyKo9v0EYhqiX A1gWNfPAPTeEwNbrpHipt8YenG4Q1jw8vXWqhgCZO5FeM8r5eKYviWBAlxTRTEkrHw7Ag8WfRFuI MSsTq7ktNPnUyqQ64TuWr6SnLXz/t70hjZ0ARZoS+xMlzr+5lUwGR8YYpoUnpRt4lfPjYZ2Hv9jU Pl/SiX7QD8Y2Pc/J41fqAC+ZyvH+aGG6eyyX/2UF7iufvwnetbMU0bKLfgtX0U13v/OTKUfHyy09 5nMb60T6NhhIDF9iJ5X56oOY+glrGRM80U35rbpXovbhpd/ttiMytrUSPhg5ixllJAffocy2RCR0 bVRGIfF9MYLrk1slCKn/wwZD9XGCJfqMjGRC4lCNnmIw/2qnFhN7lGTsaUMUSlAWKnCM9+sjMV7F +LEqoHa84l75a3raAWBFA50bNuvpytgHxNqB6Ssh+8DV69R6EA+uy32YYN7XTdCNxM768RUEtdZE PywXqnCFs1plvoWNo2EuGbdu4UHqNQPw2vDghYX3Umj5iXZ71N2vwaA2EmBkeay1ft1irOJwRkxb KlE+861MT4qflcpZSEcIJnmKtv4RSp/nFqfKTJzRCPx5qWSZbRxB+dJNRJzwAJDXtxmVFYoVMMfr qQx9cYWB1rnuzGuD75FetofQX5vZ7YKmX0JQMJGjKep1gkoUxuWWCD1fDkhghC5P1oF0nrSUj+Me 2nHZpIF2c5WLDLNNXDn8xesNKLYQKl/wXRkp2ULp88XdRVGwDCvd2PLiGWv8fjktl//lIPBJKq6K iGc1lX52xlEeAv1jrd7nRyo6hTKFeOOUabM6kJISoeeg1qRIgoTWnd/m5veKAWaqOowHrhWiPauH tBEvn9bhyKOlrFlHSBk8S0fHrbseGX/iyznUgqpyVAmwek+Fym/LEaPWTVJ73ekjTFBIwCsVoMJv oAAlzR2IibeNU0w8JzM2T/LZ0FJcAdLYxYXbt1kr2QbExnodEqOzdv/klJWu/WFcyzBTICg4AMcZ SJqRYFORz/uD/tVQFZwXsmTGjeOi17t2TBatmA6qzf7rlESFqmIO2RVAye1dyalIrjBLAdFokvWN MnUnnVfKV3qO6cjC+thwR9YX+mtGG0i9HCQBDWtlgFRJD2ck5s0X2mZDnN1sCbOx0p2UIuc76nYR /0tNc8VmDWssxR0wL1Cu1mp3KlZXkkAjpU70PwZg2y5F7yqqPvjOw94ohkd3G5ktAucHJkQcu2jM CCJvSzQxDmZM8rvN2/WsSSspTa+ewjT0zoi75oQCM66VaH4FB+Kh2hSlD861jHps1+S9Q9lPkPCo 4Lept+0iPfavsYULij6E9gICB5ZOdJV6nd0ZRwiaf2GB5Boq+PQ02p9WOzmnaggqp4khWzOzYz2U j80aWBt2wVZWFMi5L7szUw3C/GDdpfektTaYNiEshSJ+15u6TfGdinQ98T1vBhS/lXoz3HplZ1iN VpqSZ5ZPGBWc8u3qoNGfZswchIQhULD3SLIsKGvqiOWIaWypxZ+ueoBu5+zHE6bGO6xtT8brFxUC aSZK6OLzombRJjzwkoriS0BtlDQ4QFqMkiVxJgc9mYWrf6uFwc27bQuGzHxXXqLNMCZYbtMzpQ04 BdShwdMUWjbQqH2vM1lBkpyykpo49B/KdzsH5DG9dcA9gXjvWVXlEbH4qvrzW52LPuW372qYW0Uo tT5rmSouEFPL9DxZP2a2NybH/5j6RzjJUmbIa6s2yEDN+PCAaGWC6DdzUgtwugX38z0vLEckLeYe xSX7wCPYrc3FloE5r8DKRhKWXmBDQYJeAoDcKRTAH/OQM08PZJqsiEBCQN3tqFYhDxVq+oKcRb4K wiHQqqnbP4evpGEkG7Go/GOaoUQiSoQCjsp7ClqAYZ9WNp4X+c+pFSwrO8670jW63N3kJzGFDDot IzoTGxl3fIqN6+Kl1mWuVJljwDE6zK1yUyF6ADxSswePJgAEWx1K2kX11vcmqVnSqPlnRAUvZmY6 uEURugneV6TlivCG/Bc5D9HyGvNwyLzvzfQVdVbEZergjHligkO7CGETlKPNmJDM05aY027Gnrmp 7P6Aju0eC3rr6P/5lfaUyd5Ub6PtRM1Jhp+0bDBdo6APIsJBN88iDkWLiMc5mm8+t7CfSP+PSKgP ADzk7etNZ4vDBDzNvsb6JoSNp7uaQRzbDXMsGk/e6SeKJgc9tk4SXxgHzeHM5s0WyybSUm/zT1c5 FT488XzMUI0EcfYixTbq+PTp+bZ0B1xs4p+sj7tBcifNyDKTNOR6h2Oy27TH865EnM53w1p+6315 uxyBqee6mxJtHlFWjlNIVKkuPrKstdHoJzmjDRmkN0s3Hnu7EIqIlAShJ4Ova+Z4sNn39D84Bd9E aM/wR9avUxh/hjYPRUt/1J0szp5it7+QyKjwpL/r4J1occBvu4VPlxvmccnum5fZi9Ai/MP8Znwd xO7tRvJ0ecnhvWk7PPF9cRV+Zx1zpB3V1XYQOdckDImO9n9CkZ5IOznORTGKZs2rakWK8d6pkR6A 9azR4iehaySBA1czW4H99SdV4DW1pHjcO3FXRvCV9YXoRK6OUo2DfyKT9bMYQEfxvadonwkYzLPb 63nHHfKLPwgR3/j39nF4N0769c6jaR8mlcev7rdZFmih3pjsHBnpDI8FrNG5jFbjRgsY+5VFziM/ lyQywSk8WhjA3GgGGZuww7QFWdS1c1FdAJzc4JOFAymzPTD+z0BRThy5tE1ucvhXlwAE11P4SnlC rqvxvEi7gzgBSNjPm5/EaCcFYB2p7tHA79HI+mvOHmGqUN0i+JZr3onAHSW7w8N7G8Vvglra9WNA 6bb6YpdRl3Wc2YqgPy5eyanNdZvSJUrxJ7mj/I+ZNeOt39Uho3RztFmjIZjb2Tif1XxFIzdnLIfM lyAVqOgeUZQhVkDDcF3pWNz2dKie996bo4TBiUjhqcjediZ4YEkmEsTi6KlUGhRChoncQPko6JH0 xT5XWxdeOVuvHcAe8jBaazOISX3LXfOEWOPL0Vlbb5iMPtj57+303tTGqIpH7pehCW8YHx3NjIpy qd+kk1jSa9D6wuBwW9vafex+97PYeZWvdTDldOxMi8gGNNjpke0vx2V0aQ3z4bRcUVo22vRoThsX ALkCz5Bxhri4+r/Gimp3h7P6I4Uk5NWAxHB0S8KXWtSBfqZOGTS78LIdyfTKP6xVC3eF/5MIJz1O r216ATVXnnCFIiUzD96LiZR4iAfe1JLXl7MHYuyV1mqefvDRlrTrTu28EqGAddoml3EgQjKJQll5 XSaSwJevQFrokcE1va+rLqX3izDdRmIX+wHYU9sbkM7NeRpag+rf+dCjfEqF2YLUJTknv37UzTM+ hTqWDay8/CYVPkDWNEJ6dbUbpI34RxtDnl10GT1Do27fBPn8TxDpKo3S++5atXLxdJBYDpTpU5xd SX54elQCvvFfTaRYg7NV6J8YZERw5iT7319JVK8ukb5iKKaIs7Ub4YbWiN0Ra3jwgYGwX46h+H4O UUFbI9cPWWxWTICXoZxfd2I5zCRDOP49Lc+St3rYnZT2d7RLvO6aTjp+3QBRECbwKaeH8RQ693lz 5/Yb9LjSHnadUWNQn0JxBYWZ1wCkCJYiKmJ7QVwTN8qhOEmKugEHYCpfruBH7ETMY9yhkuXunjQV JDPZO6x9ci9BZ0cdl/6QAxmUrODam8oIk2c8zoDiet2CRmeE02b4AHuItWq3thAFmxXv4xC1O6gR nRXgZamaoR3hRhxRcQP1bSYtC5lOzuBK30NXiOk9Xp9C218l+G1t4PFbxjkm+gkUMQ6v/KQGlwdZ tfDe7r6+gDKIH/nXHLSXUU1qyRbsa49Tn3rXzRZChtwdj0dje2iFSZecRO6zM/g0fNTCydDIUIA4 tasKQ7dezg9T7c17i+MIEPRWMPoqhzc5RCQvvU59fDhLeRj8xgcf8rq8jPcSG3RDjXZKo/aTPpx3 6Gu2rAsFhngq59PiOuE7vaN+MzGhOqfqqAxMQLsn8fLNUQaOx4jlbLX/q2IY7IfrTgaj1GAAYVB1 hFDgTgw767vMua42TVj9W+2RTc6bKODPI2ZDqHofZKmPCJTBfekYjNsqLn06/2QUvl6WeDfK1FgX 5VlW2yPuPzEIfZJSwXM+0zgMwgDzhp9jvcn9ckpUCPPLgZaHtRAWeZIE/ig+MfzbEBxzgyrlCBvE BrAYAkKVsn5PeSnzQ5PcBeSeYruuagllaxSDFBgvrjdqWvIn/kx8iqjZ9roWJapTQY4/DYrnOaKS eWZE1UeGlT2kDvHqGwSIKJlwxPp5P0ZrUoMdiFVVgH6XeIjNYB4seuq6ot9MiQv8HAYJyrkpnkYM X3KHbBSKeo9QzjRSGfTfp1StS6NX9pD/ufShZdQI3vwmM++fxyoOlBFjd/CtPeErXutyiMu8LhdD TyMpGsWi1gB1mvzQomtxNkP4JHRtzD9jetkZ5e80CpC1f2cXdT0qqTgEcvYqybD1P64uQLD6qxhX XcEdgyybiPpRyGIQw1yjvuRpmw8v3HjaBV5n1+/9+xdQe3t8kSy0WILFBREGcJ3wswhCVwCnkkVS JXfTMZSa57LcTCHpqrO3PXwl+ydCSbse6DZhuqHpH9qezVGfjQXXaDuqFRXx2guEdYA/f5V8Y5iX e9Nrzxnlj4lImE50rbzkhg9jAjoiqhAhSpCKsxNOnPFqKkQt5f6ifBCZ4tRj8PhffCEuPivZuBTw 7bhFKIpQ8LDPb2A+9I/tsaUkpAYHTTyAEI4I/FpIPl9VuC8TyiC6FAgV6R2IXNe1s3jJxktpFOa1 byP6s0sLLNoPSQHcJArcgVDps+nWc1VjUa8rXOzFLggfWTT2a8RxUfJiLzdXZqxZq16tDvRLfXNS RyKpj4yt8nB8XEKIPHwFQjyR6nRXEKTKtayK7+sRXuN78321Tvw9GSTOTaiCUlk+ilNWClSly0UH XGnG1QJcyrSGyxifBs5mJvOxcA3xkfvE0MWITZVtlu/p3//T+Dp9e6ef8kelWS0FWxyLyekoP5ZK vYkZHN1Y4PZIxYuKX37532Nz1D5/Iu3sOS1pV8ZsR5eZn+4711CC5urHHTlPeMWDS0ArQnhsyTpk Hrwxgk8WB1UoKbqX8aIi+BfCPhte8XRBp3fY8Ho1mNT1VwAjPufU31uUTJVqe0lUzxdPbO7A6m9+ Nkv3FROIAt7hyqDmKjgFHVSxyOC69YS4Jt8YNazwo+CWcEXraa4Cpx0MWlsevHkc4gOPmgSlmxXJ gDClcrwCAN7B3Lsuov6rJzGxcZZJ4DdKPUKIYcNEqAGXLBhIQO5IQcdTTpHi0aEOC+oZH3smcMqe Gl7crz1OyNaGKrN9BcKgwZIB8n96ILwx+vUqtmQ4q8gbQO0b3tUsLYiJdMU0KXrRLIllrmvKmYKY yEy4ZKWC7cZZueCCY6pqbviUFk7eHAqf68dGG7MYxbNzTqqgj3OYgFJlUlDR6tJ5ntGh9Gfr/a/j AyP81WCg3Qn2+vk+FyjRBnzTbikVgnJQAc6lnLICHH9hL9NQvASb6KOJBzNF13fKcQRzTaSGEItg fkmtYmwoH18kPfHWSQVsyT328j8KWgsyZG7BjMCqU/kbkOjltsTX/8MOLfxn2YvKJWeuvjtWW1Lp LFtWyuAFr+vgxf7/bWnpgiGOo7sk25eq95aRmTMLRAsnJSBdI8lvf9vich9Nj1QbUykIxKWtwVve atBKS8DRVzThdDoO/5xr41LJ7/lzgu8BnNEvQbm4iJWt0zX66t5qo7FiiEoe6uINvdtMno5jDAtI 52WzaMgqMvuxBGiKVQ+HMa40qCLi5pOoD9oTAFd6Av8ME+p/8GWDiZ12NS75SvghHhnkfkcC7iJ+ 3z/XuN9JjWQ4Yx7AtXeDlscfAem9QNlJJjlhjyczbYR233l2+3w/eU8Krm2jyXLMyJusSjEYS28y BVg6M8eB6Xq+WJe9vr4F4/lIwp64XPJPeNih+kf4/57tg8DIYYkQl2snBM7ZSbUNg1UXmj2A1+ev UOBpuk3oPStEkeKxGI4LlLJMtza0RbylIUF3uFcfAUrC7j+xdF70UR+UNOsMLK+MucYzuBOvl1b+ EqbMQ3ztNx2jZbDPC+WPJsUrAECEpKEX9FLaLJXrUpUf7wiqb4sg4yO9EtsbQ1UgEp5FZmEJ4SNG uYFFYiBKm56Vrz1WcuomTSR5F1SAJgF+vEGaF70ci+3EfMal83cRDE9l17U00cyGsL998T2yZ7At cw4FIQGBcMwggwRvaZrHe1qmt1swSXlB7u7DZ+8OcPsm5WQPcnJndabBJANQTHGQUJ3SXEDBdWNq SCbWirQY9jPujEjqRqRFlbordcX66z0mIatggUSv0xQo8RLp+wwIhQ53fJ6CWmsBNEl5xIaiwsFj pa1QmHF2FuO7Fi7G9B/c3ccSwQaMtGtMcmvM/+k5aiOj9JraNrYk+2tddghwjuhqCH5ZeqGlS/ap HsGfJDml5kr9qTkOSeW4QCy7mViazUHSTmHYSvzttpNRp2XCEbF6JetEOXsKIBj22xS1R42t/vb5 wPD3ZsuhLElyygDH5JKnNeKotXWsW2VjTVYqM7xpGcHqt52EhSK+MTGMIWj6LiPpfCObHwCtUCXW pTX9dgt12oncl2+UCzWEaneAo4lWR3P30XVFdnzX5+7PnVMG0oBxi2vKX559YLohk4BLi6TcGi6G 8IXzAgBTlAy7cmUnd3XaTfBMpjK490Wzll026oLBnmyg2WbGpmgbuzSVPqFqGdC/Rl8QF10OCEUR yVqD9fV4QFOVolPI1H0B5kBkBKrPI9KITX51jfLWtiszQAnsBB4LyW7G6imIYhbCDPraG+zU25Pc wObzCPCSBFLB1l1KwF2LAfvTI++Td3WER0QydvLnkiipM3TZ2KxKISEx332153vpiwa7sBudXGyj D1szweq2LPtXyW7/MW/dQoDK4glaKg0Zu+ILL4tSu92szlyyuzTQrJehOxQDDrGtb58qQ//TyAOt WqUBeFmrInX3V3uCrYCyfUb/t2V6nPyZ3G6ses6Tm2daGpRn1Fogwds2eyExqw8KRfE0XkjKLZ9x C1jxHTDbQd9iMqB2hHVCejTN0EOuKBU3SmBlKf/iQg6QxEXKxvhFR0709IADPSnG3fY6czfS/VTu pwXXJPPiTWSgzRaSYf2FB/wvbhx5ojfw98XXF4DqpItnidxmzy4XJPQBmFVlnKTDzDVvZwFrU8LH VFMNWRi/fQ0pE/qYYYgk4DkZj/eWF8Uhro/rjGkNtc+hJ7gCiOVVv2FlO3dIjGeBnv1myCm6Ghmp mfCZZ4W70MwxmYevGFRMXr5yM1XeDwPEMdYsxM6+M9VgmkFB13Gt8j03jRbDINNNyUANAzpu6pcj v6l30OQzG6w4MIVEnExIJJZGx841N4PKyVUk1CHbZCHI80BDafjbRvLUd6CvRIQeB1LNME9Avo5Z nBDvMAuxvAiMzj586kuaa/7WUAxtCKNGjHwFGhUUl/FHvX8HpSiBmakkTjWrJ7GGrZq6NK3pWCYp pjfbIovmcxedIOT14iidh182hG5abjIp9N4a3MoJhgCpRNTydhVfrsP09BB4bis0e/ZKOhHRL1Rk mdKHFQeHmiFxrVniszv5En59XikIF7on9Xtv+VPAIK1MWcFxk3azkJZYoteh1rteuEw7zjL+IZRs 0QnRN9OpxDh8e6Wy94uTh0HU3dA04CsDEx77N4Ed1sF15qvd9fm/K3s6SIpC101QaPDALmxR5E7L tAcmam+SCtzl5rny319bbxLqnvyMOdC3kU+ANCecxHleJ1rgrx1Alc/k5Jl3cd7u7qNYTyQfzKCN feHxhX74KNWeG4nyXxa6vrAegt1ZKXivEb9NYPFrH6tbQP0sWAHGF9xNGNF89q7GC7Byzcxf+2WT KBjcH8IEJ8hmuvlgyBLP6jlkb8kxYX563+MG+rsPjdIqtEpg7xFeD5GB+G7HJsutebyEF2VxcV1r 7KzG1/EPNX/rpkNvixr9bmy5nVaGZojAhIcnXsN79/tfnoT+nSaBzWmGg5BjYHiDuXI6AGcr09mb NYVUzsl1BGkiWJ/icv/M3c5mRjX093WGh+HEVtrGonE7GSPwez9+43FvyCbhYRkSU6MmbuiEoCJ9 UzATMx++hDCnvXjvhBsM0YpoRMpXxGagEnJk4pgAwWSwwp+BGNBoL7/S666x1ECEyxTJ38oeikCQ 5nlQtTPjMrTyCvSm+aC0KceBV67LovXeBnmCaYBhKH6J1isOFcEP1IBMh6Df4fenkoCBpmTuwjHg a+jpMV49ccGKqafpcasGOYTGjrmEspszYx2MtL5sz5VmIRU0MOoyeCDMpXqCdD73A2pwUa49abNN 1pSMr1CxCggaUfKpNAxxj6PSj0umXXgMGypGyVCLpCgvUg8QrUV3x010Ab5vmS3irOev3ePP8jfo 1EdIcVcaXs+/N6VnPIfTAY+RcEY0mIJ4JUdivUlYY/uswE+niPB8Cq8Tt8hxClbc/DfMSkOmwm7E Bm8BNTxeW059jAhNnkBgblE5zb91NP+Sa8KiVHkSptSUJX97DL2mEx/4qaOxOZw5cAi3tjScTprK W5TIu47JOu9TAY+sQHDnohpnEm5DvDrgzbeuOZ7mnKgvwpp7zANBHaHvZkiDiYF95zGrmzdQsb1s NoKrJ+igHUYOeAfInzzaJqM5nuLlG46xvhl7qDcWMs4pDj6h6ZTldEC5fDB3cyZ7ET0NXD1srEru /IXacEEA4hiUS5r2j2/vUfS997jowcHfCfU4q/g1KUnZELrAQtITbF4sop40DUj5yfnCW9Tlf0gP fx8OazQzDcDzPeMYM1r9qfdy992uMVia6rwcv5rxLJrzLni/WyRBKEpROKFnobmllPQGcMDJEfmd jCKXtgeimdrMs+5TC9OMF9v5wryBhtqjeyaqXsL8pv3suBf96GFCmX89nKkgMathpbXrUuL2i/1Q 9fA4drL+iOiK/le8YGFsGCmIvQD4nUTOulkBoaVwtf1rTH8pl71fy5CInU557pHNMKl8NtYwRCO2 8RS4D9BcAIPllhW9wkeu2TO2eS4GyG4R2Dl5zkM7Fhjv6tcwUwHSIpmgblYzqVeh//vrus7cQoyI GULARli9+pef/jtatXweiGtGqkhNGRoKxCpLvbW90IjmZbzisk7guXKcsKPLILC/EeIqF2MA489i KoitAXE94QYJANScPiZiR1/jJyEY2WYLvwJsUcqocB2WvwzQHbXyqMwyiLcRs+jLrR41StcSQ+9k YsuAgsWR3QU4fl8LiGw3n9Nm8P12+ZYqdqbRc3E8+M/l9culJuSd0UGTSAX5sRYB8G468vxFMHq9 XfYR0PbBBTzKbsREZOwN5N8lJL1LccOaHRmLnSLlJYzz3Mo0HmuFQR8Pq3hmXPXb/AHWVB/6ANBG iQ4n4sa2/yEvpgNhLKjuPdCTWpTyjbQAAyhgg4d1n2+xomBl4iUv95PLJNe94sci3qRsVwMh8xsL cpBixeCi8b8xh6jCeSBZLeYtYIZ6dPr5s4mfnxb62idVWmCAc9RWeIK5XAJCxNW66UnEA5tI+Zsl y6Y87aFeZrbZnhw+V3jILL196hpBE0CUmgZFK7Uo8k+LJ1gNY/+pfkIhJ336gfQZ6jJvIG2HvUC5 lffwo7TvTMKKpzxoYiNu3QMERyRnoUj5Kg+Yqosn8xmUvrHCqIQ7CSO1Zk7BIiJ+RNtHTvxJaoOO SHkeIum1AQqyXT/SYFpulzem4nDRpahoxNOsFvdm34o/bwUnnmEwY5djsBBoTIMTj+hlz5hzamzw rRIGHjQP3HGgIEfRALpc5gxR8XxVnbgddH+/1dU3oBDcO5v63sJ+9htMDH66Z7QIQAKjvqDCdtu6 ESFOc2pIojLGtp5i1/R1IrqM1zRxGdKINuV7tDr8x2BemeNAXYOqdsVTlaoCoLPO/ROn1JPRJvw+ oCPDno3c8DbxG/qrEq20hT6l1/av/fh/2UP4N9ezaTq0lVaQtYGmq2eoNiVCG1axPTKXRk/WuG/p nvv+80uqHPwgNr8HDqqdRvQePXvRaKl5iD3PP+OmYh52FDcJNFiRctD6vgeYZI+iW5fafcbT4C54 2isTv3ShC/tvz4cHH/am5GxX9MDCvKWH45t13tlSu/7Vi3zMyGvZLA/1HrjIw/WwTnxsWrxhvVCd gGSrXeXBFUDlgQhR4UKYEsNQcYAujfpOZf8QKCn9fqBr0sYBI/zWHl6VEqmbOtqmS1jf9FwSCxLU qCAmbG+iA0HgHRY3H+CZvHeMEvGUiI5UWlfURf61WRDHgcB4n0525Ivc/QfpbwAlQeeZfwzJ2iCL Ad49P6/fByxyYSEF8SBhW1jvVdKxlrOmjDWIPTvbVG0sZWngj7mKpaNSNOGmCDx+kqnDxPo9fS/M kzMqCX6A536uZtExMYRJ50D1p1Xh3vXnxIwF9SAktKt36ZOJBQ19UMm9fvl4XSgUtw5Sm7uxFxuS PUiYeXFOyhHutkBEbz4SVnJySQCd6/pzKA5phjmz6JyyLr/KV5wn46ALwgGDFId37dTrLpIPvRs1 FQceZMvo9oXi6UWwN5R2jcKH5SO3RaKNe7/rMEGWGy/l3wnDBf5isMreUxx80m59DY/vttViSTss c0z/IERsi2pEgm147VxJlgQm+RonLqbS1aEa7AT2RRnhdyX6xEojj5jTy9HsOA+xN2SQpWiKVQw/ ivSy0N8eFmWpcwhyR4whvoMrZQ//YG961tNPrHzPI31xHsi4YaXHLbeBrkxCrBQtPy4jEQ3ttMHe Qd9R64/u1spOFKtQZoLMYic3kfZ9YW4BnTppInQZfkRZjNK6VNygvPFMo7QVxrdWWE9FurcZKDZe KnmTaZ0VQWXoMEpIBArkhV4Yj+uB9H+qtUuQ+eaPkWXpSEWk7ekpBQfaAyp95imnj6er2ROWVsMR gFLcUqnMCaf03Weu9EhlrxxibAlx7nhTiaWR+XEDpsB+5ET3XhtXc/Tct9KGm55Bn56biZmS36PR J0RzOw6TLBz7Rs3aXlWnHedKekEWK9AriZZOnGfyAJX0F+/kBLQjZ4om0ZLXHyhIyEunDHbaDjr3 QyEnfkSYhtfE2PvqBspFcqpR/4Z88pGeciXG0pvgrknu1ZlKCUrKMcF/iRUnros+uNANH+rEl2YB I/xE8ZP7IIggyvhJkTR2lZH7kjJEEhXhvi5L3wtkO6tk+FnrUM+bSKtAcWY3z2DcHNFRbDpzX90D WkvlOt1aWGg7o81zRtFxKfsmhgWeC2t//o/of2m5xuASmzFb8ial0RC9zzimZW/X3MWOx51Fmx73 uqBIB15lZiUITNsFrc1qqd0OevvcvuPZlDJVbIKbgRnUNQ4Hf+PooiPzGOjz52nnsn0gk2tPhsoc yG3ZbXt2RB6VsAOjzRLq9GwSiJuOO+WBphGVdPxD1T/dFJZTNlbCgt5QPCaX5LwXML/OfWZ/Gabr BKbR4YonAL1ZDYUL7ExQI9Y+fMN48pZzfJb99BCEUvrJwZLHgdKl/WzRf/sLs+n8YhDWHXtEwuOX Mi52JG3FuOoyqLh4T+tfbYhw/64UlT8jKPkq1XxbyzJsrfKPxhTyTwX1S40aPlFkfp/HDYPhZIJO ir4Eh1leSd2Coeic8zYkwZ4SaxXRxvU4LsTIUDs0EV7yvZ9EuZW47fMVxZ0Mf/GuT+oXHIzYTjqE bQiVv2SKEYm3mloFdspXxA0P8oRKKv7IcwplieWOxJLhXD9Ty5EStw+C0qK9RskYf+Gct5Zcc+Xz qz9NvLxg9mMAjq96t8527gIBotttyFcBbtz40bjdl3K+CpL2UitLyiC7zbq3YLwMdC6TjcQgGyWq qzLfYFwcumdWDrd5EvcTyPF5mgmRgg8DYqw1Svne6XWSy5D8+IyvwMyTHspl4yKCZIgrNDe2V6/f yyLxE9J2+mnTt31GjQEWUscrMC677NI6vNi21JtfkU+ovHVgxpRSDO/J5iyC4Js3wUXkroB35OXQ iuytm/dag/cTGeF/XhvAQWsoKeZlXV9hPsxkgAILEOC/vXHuovboZrmTtPnJHYFd8qR9LUMd6j2S ozqTqp61UC7F3muCZ3XYzR2gXQG7nXElTiwpz9eCaPJNHlIB1VTLDh8R3Ks33K5y8gUaJZzHiLwq nENvkgjVJWpB4mY0AJ+9gqiViRWeqQR80uZNdITrp58JKkIRCNQcdLPKt30q5iBraWIi8H13+DeB DZ0ZX+dj33YFfMOV0Gc1yqdYQMzyNuBS/u2fh5Wv4KFSr+9d4dgNw2DjM5z00Vywo9+uINQ5HwSY rTEpOcoC2sz8Ju/r+mGy7DplPL46dm0DH0POCxjaeluEIPDBzYWPQRF1/SpoKA9cKfXpoMCr9nYi SaBvgOVG+SHlnBRrKMfaGEAlu9Rx6LN+VucOmPd17QMgVALSxF5zn18//FpdG5GU+GdD6kYXnuvD dGsJEGq/icBYbjo6kX5OTA06FsCZkc+jv3uf1QsrX7lHpU5z4C1m5BqxrN1y8bSk5+40RDl5mDG3 6xhMAEwiNenL9y5C+ILeIr02wTd2R6FKNJbbfsl/nwkknA8cciw/iTCXq0vgcAa/G8PhnrV+9e3x 5kBAzQcqdJHCEd3SSq2Nex6POwAY+7SkGNNY9Y7iQrpMBcFFThqQf3UCxyWPb91g/K5TB0HLtcHq K4Zi2fZUZYeRBW0GdYyI8JCpeb3LilDmLUHyETKDV7qERUhlNgOSJd961T6OEwFo391So7devbb2 vpl3iOEtcOB5SGMoqCRB6r5i+/53Qa4Bo5/3c/C7AWHEMMNvnyb93ki/mzdvMqUeCzu1Hu9OmmeO 3qjAOkbYE8mTUbHCPY/ffUpiEltYV06E6GYxZJ3XzB7+J170r/USGQm7ROaxkMJftn8np4+QTsUl 4kknhGJGpqCkJuE+hUN/QrDSBcn0v7/JjPNWG+YC7J3I9C7l413Ze+kINZtVs6GPPqez7fwYtC1F 6YRsa2ZdWrUtR+1V9xOj0pbx/mzBOB9aguX6q0HMe5Tf+iGOBE7ey2WW6u2xx+QeBM1LrZ2Nkwd8 Ad87Z1W2WmvtKZ0F5wYzr7FhKkPqyrnBwM/05Ik9j4jnPTDNQl9Tt12PDo49ac9M4Gah14sAmTrB W3KfXbjHzepBU5MCtbZk0s7eWPWa+AL8ew13UtWpH1G7hL/bAsnQ1WSVG8DeksP8ibqWKtvgQnDF Kd3fKHZTxydypZxDop+xSRH3+HGMr30ZvMMHY/HBTkALehCENbcPOn3C5QXTH/rtxbhRynMfGnJK PeMaQdCA3pl3pPDPjVotE3HfFIRMYo5xubeqGbmBMHj3x9YO2HymUkKboOChq0TCRLIB3jcS7xuQ klspLs3gNu0/dONkUB53H6nPmtVmbNfWWjV9dlZDigSwVP+w2fTvl834Y5a9tV1ANH9pJVJz+K5M x3gO+Hy0Jrw8gHnFFQ8q48jZwFERiG8JQHLAD2wmHkfeQ+MNi2aOr0KYA8RnHjq0br+haYUVSAUv px+m70K01RVItx6t6hIv+PlQRFLwloTaDXg5VVDaDv7aclJlVs3kVFJLjOlBe43fTTxRmuLMQwOM HOA3Tg8hwm//MD/gqzP1AETFAuOEBmogSMJLdhfFahy+dP0RDjc2/2xXZLOxzCvrCTCwUVgy3Waq 6w0exQica4GnSilsMgM0IgiI5pQJTxSN43HoLSPzleW7Tutmtlzg/77ZGTdlYebTtVd0SHeaOKrC hKI1Rj77DoM7A40oHYB240YKIR4KoEFb/Neh7VYRrXkgZnFQFwQJce8+4RuaOGamR7YYS5g7dWRV IycK8XmdyrUxb6gzQxKNZyiml5ITVeDYCXv6r7LmEJS0zqsj+bpIjslqP6OeChWB9T5XJDrBM3RU fONFKDdgNj3CQSwtdEH7sMH6DA3pBaJQR4OHAKXzb5cWtt5RDBCDZTaJ18XpTE7Q5tsPeO8yIL5+ KtmrwszDu2TTwi6MfsCh5DWMKJGSyZhcoD5FrjBViv95aEp1OIxrjwaFWNOX1cE+KtsV6S8bRps+ mvQeJ0FlsM05PKDkupIeJFlzeFnj4PbnyZUcYHX1HqtXTDBdCq1KoRFvE3MvOaT7qZKLxMcjGcNY 0feo61i1b/+uRUGFtSj+lLk4ve7Jkzh9zLnS96Xf6o0wZxwVEuw4/KqZRMA/ZNJeGZqZVXm9QNrJ M62iwjYuxw3GqhHYLoQ43AIW7e5Lz744Bu0s6O+gG0guRpzq5ihe1UvS9fS2rMmE/r201DGSncqH EMqHIgUuKVdJFR1MI03cm7DpTWGj15LP9TE5tXHRRSS+nzhgomc6vD9wELooPAlQHHoRAmHkzrWq PHpOWw3/ragHcDrOr/LQtsmWnMctZsgfgfQ+5CCR64N+VQywjYgNYqMegZqr5QZkt0qh9HhG+kKz omXtrMSwVreN0sKXbZsUVo9SiLg/6xQt85fsRY5yt8L2egbi6oXh8ezIXUgIGmXQ+qn3EsDpeW7s gcoN3Oh9FtisE+TldB6WiGbaPgKYJ4dZ4LgAlSk17yQHpkZaRm40I9WK6Ual/IsmpeLFZMY34xbv HIVoObqcrEWb7MPeeeH+M4pE/ymTirSkVx/ODTmxKZKWZttJTR1RP7s7NQn5hbAwxEu7CSOWfu9f akshAT8cioWW9Ul7K+ZBys7z2kGq6LH7hWAc1mhyazQx/rhzdkJlKnJibCOOjWl56L6qm5e72XW3 T2uEujbcW1gtVDT1lKbhU8hJx6R13CWnwNnHsZd40UPSQaPhF7XVD0wnnfoUvhy2ntykCXgNN88x NzC6I0W5DzLA3NpriSJv/+8Ix2A3UvdirIq1WsojYM0cj0QW+gshdVrxSHnzel6sdxz4I4untkr4 BCHekqXn+pDACQT7jo1QYVJUqq4ObArgsD3yWA8+n8RzTmEfFgwhDUW4m7jW4CHgBY7TGt6V+32s RcV6D7n8+KrnBdRpA6qtoajNert4lQiEa6vUwcN3Nwwku1RoAMyuEPG1pWTcT1u7WC2de73gLjip 1PPOxxTqdyVKmXEfGdbqVcnFi2z5Z785lfERSqprnuTYgN9UOBxJ6MUeZMewHFvXR6imd2N06n40 cBaAKtQ1zRkNGpJTNq3OoeFnJhubpDUFYvRZkVMiQ1rq9UwkzjweB0gRKIJs7leqDG2PzA1O5ayf C+QUQS8ewe2lhr/8yQrbOTfimeQwKQP3hOagmfb55BTbyDCIAa54aIt2EDbjuywVLFVuwAdKZGe4 jvgX3KQ/Z5E5MAjbQtyFvWdkvgaWLDpojJTia3CYeMmxBnoj1zO1ti57YGTfROVbAtnBZf5Ao3XX RZD/iG5mnMYyhy9IfUnIczlcxUK1+DG3ujdcueg+4Gn64WF5SloLK2VpLV9el2OYtVL9rYRRNF6Y ooEBE2D4vUP3LfSzvSvvyZ1n2p8NkQ4IaSsaKKx/5OMq03euBuuaoKUo9yoqr4EHNZFhs3/CmZWZ 03lDgUlGKW2kYOuNIDhOTiv4MesI7qjYXPxqWUyY7Dab2pxyPpXad9imbE9WNHQfqnKuzJcekZBb bii/eLJxvvQdSwWIUiiyaps5nnQfouAWZjGmQNgFxgqw6LXNEe8yBtdBCMcCAnFhpsIQz4W3GwwW vvRyW/ee4Q7HggcSNuWMpbtRhASCjm86LvNILHwH/k3nC4zeQias8w82Swr9U3j1sQWDps+mibp3 l/BKHyMX/MLwiGuDAHMp9N/XrBdkMxKfBh9vmCVkjQB2ZHjNlyJFgm0X3cEGwSaa9Nw8MQKXmYwM bTOOGzDK67pTS2FOyqYC/ro/7X/Hu/bERQG7Z8YnGv+mS6QlduTMX7jeJ6ihkhDr2+mzrzzlfcWr pG+15TUKASKQYwsPrXIhuRuXfVOsaA2qtuH+SidNKk2EunozOSNUdpbch+YBq2pyiktl7kma8B5R tkQ/bf/748kQFlF8j6009/CX6iRpUkTvlnD9OZ3IxPBtxi88du+QCbaNrXBDKXLHeQQH2pB3Yang 3KBWCyJ26NGgsKxKRpYlMRSp7av8oSoEciD84CYMoYJQwQ2/GLfWCe+XhQ+K5p/5sjZLADC69EyO 4tAXwD+SOc5YPCkwbkYJsOvae5EOx5eV6kcMglItAim17SgTITGgHm3W7U8J5um6M9+4AMHYAoa9 8m7dbVUfadogm7/0iLCSo9nQ4RQpW1bmma362e3it2F6rGCISP8JvOjFswE5Yym/tkqV9G96DvAN FyIqAXgR1rqzSPaApNMjXXAC5HjZAPzUTSRa//aJRPBG4hxTvTuRDS/nw21SG7WNNM4ds35RzmS9 oM+NNSqNHVb90vjDV7noicrgOciG5y+EdYkQTODHWjH+N8zEIXbY8Cywvt7Y7fDJOlKHdTT5FynA GJd6YRQyr3zDafky4S4qXEO+eue683a6tTRHeM8GABBt868V9vxaUZWlXXapOkyS8PVykoTdaIQy 9Hxrvv9fFbAKUToAI8M5fF1izGIX/iEEQdyDkagcqK3w22oaEsHA48ll2jilx/ETmeGDD36P/pVO 6EtYLTwYYDr3+edeI4sYRPFF18qsDEFqul8XXxPNRYUxDUtPJ/x/coR3S2qPXL28ZQVUgtoc5N+u wkv4T/Ys38DkA54aaRrP2yFLIoIy5phBaaReigTrtVP1XnBSs8S9lQl/GlRsRYwQtdJyKvkzov9e I+8Wu3Vl7kVRrhHkG79Cbf4IXhjvESAuBifejMzKnDPtqkGW5fxy8qqu4nnii25obgS9oj2cWzIr tFZI0t/bP5XH+tGygFuAPttCDrhpQh13tPCn76Nqo95h23EBilVcG02rEtGnfrlaWUuQa+wVMVl6 65cWgAPOMq0b3oHbt+CEdJvPi1qiHQRA13Hsedrf9N5kEn0+HQ1hjdT/8SRgyNA/y7Q6RqEh6Xu+ e7PgsPDMHPNWM+VCrTrKuLrfAw0V610HQoce9Y/wBnKTCjFp/RyUvhaAuS7gbsH3G09eOT6EDR2J ZDnuYMfMYJuHhy3A1xuL7sz7kVKwJZtNdydCH+oVhSnpmlkBfLPDLKZ9SDy7ZrKxi6TOP2+cnw+n tIOulk5QKChmEFtRbLSYg6w3S7dD+R5rSUkCcKeKcR2UhdTklYYtPHEXInr8octFiIvcquhGrCjG 5Hj0niddAsXIVaQONqN7iGyPeI4DoPlg7g1VkV1ztNTlXCQDmgKIoWS1WkLk4iUI+PRaHlGUAfZf lBYpZMbi6vwmemU0VjCa7g8U7/ysLdPVwvG2uMDbQs0OC68mZOYVGLJEOj/HApH+vvZF6fv9niR5 ofKin3VT3j4yMm708I0gA3gRcKdct5xJiagL/WqhPPfQ6HBfjq3u+mKUh/GAnG011OXNA4kdOrP3 X7f+6qLTUIql518o1R99mmFLX9NY3fg8KFTJgzGXWIgvfEcmNY+20gx1fhnsuqucezmjXHYllsnz YaxJT1+MmQMC2bxaVgm4NqQDa6BoXikLhoclilbrGzLrtsXSXPNwn0Cp8wK0tWdk8YuSR7cz64pp nkIctpujb1ti2Xwn3E/EPjmzBFziiN/bAOF8EQbkFak4wV3aYfH6bSreIHffb2Rwn/CMrhyohQhW WLk8prNrqeRq8VKgt7kSDwwMs8k7ClGjLYg84jAARtn5/xpyF/XK1l6bRFHb8qVRTAiX4uAQSmMn YgcZ54U7W3uOEpbGHqPG9mbEFJbZmTT1VooZ92wrTr5eK23HFcW82mKms8KLab2XBOC/N1GESt01 oV+g6VEt8nsk7P0TOxxMbobLCYZ9Yr6UNvgdkpqaXHx7OboDVVu2asnU2IhmOlGdIBkrhOJxaS4U Kwmm3oaj+zfO/D23iEBtxrmjR3ixtaeKB7CnXJibAsyVa7q0Xtq2ISQl9+QCKxueuNTqgi4uYKB4 vQzv8e8Xgu6kFhfngDBXHLP1DV3q9yNbzg9XGerLuSqWyb1r8Ru30U7Dg5TCO4o6D4L348gHCLux IzPCid41vC9plG2Op9e6YmYkrRiXMIGbrQrdj/ngx+hKavU9RYSRoPTnolu7guBmSmMMoHpqxHHm GvluYY6CzZYkvU0riULTZm2mei0ZRP2j/KdLltzIlTntPH7gFB77MHyQ1m5NeIEBDHll7Z735GB0 L+Ih6eXfNRFKQOxqEJf4NxmsofPcVKBqZ3j/6wPiFtv7wHWUXS8PPt2FrvPl2zY0hQqb7ne4Wd2M coDZnmZyG4CNrbkRXV8gsyA+9Kw1feGVfswjSMdcF2RiDSeE0duCbW8QbbvWZiWrV1xTvLSEdRAq O/uc8eqzuOoqGJ4rUAjo7ojt4FbPo9XoQcnhtMNUsUtWzEdKk18tHS3vtxBVMSkff0AcJm7NWwgB OhRIvhSuPkWWXY5di0tsFtH61DlinodoqZ6wcfAZsIF9XxfASGf1Xm2S74LwHIX8HBv5BQ/YG0jI yrcmB4ZIfnyi9HkL9TiHFKDAls8xGp+WDVXdPBBTk4DYpp29w1ItkB+LEG76mvAsWrn6KRPK6V22 C/OGHR7xliga1yP3+0aEiAdsISXzK5B7hwdpVqieOr2VmV742i4MIgFuUKkHAyS2lUeR9pO4+Ghq 7A87PGgx0xAMgrPiL9GtfSWymWmQDC/Id45bi9xYP2mX9XxsoarroUz67Ys0vURdWrgv7zirMzLG KrOETZGhad1gwvFE+HpkapzWXrZvrRtpxbu29vBCMTOXusuo14mS1+10ZHG1bHPRH8teDBS6HcE1 OTWtpkjppTnl83sBG34AdvyoquHOHeeIOXpZLJhMfaM0l2+0HAEJjFfLG1rFKgFA8c3f4g9a39gV vBmeqSLFOEduWTtUTBborjFZdSLkWd9mp+XlkDK85bpnpDzA2q93kaI48Mlf1cz/qScteyIT0/bd yro37eM6aSfNu+TUwHj9pVFcikV2YYPTk3GvtSv1wfJk603ShlPGeib1eEWc/p5BXtS7KBzzJJ1t z/g55CPqNy83RlqYN3oiAUOL/Ax8z65wkq7t4/R8oMRnXZl+sNLOZWUP829LJAD3DEdPm1BFuMwo rXrF3jAM6FLNo5dGzYeJpLCAVY8XTPGQr5rgkx6MkOitybqJ9jiVtyww2qyLY88h0MvdngtPByr2 S8jLnQNQKFqXrTYHxoLn2f7STgNpnqlVWrKD/PLli8U2AIJ/rEQYtmgmY+t53CV7smYncuRQFQJu bJdoJk8rOh54nne5ulSH9eRYTUuclQOBEWc4XbatNaTPwXSilsXR8cKOR4bD4KT6UAiY+sovY9Q7 ar5uMZtUQZFvPSwBqfyptxpTfgS2ILyEru+YIQjYAyzUITYz6/5kt4qjM3+eg2atde/ihsouve/L vfRlIRreb9SaZlv5qWQKXSkLD03dCNKadjRDZmJ+UGhUCy9rDBtYwAwUVTQh41XlE3jq/pD8I8Bp gNwdfWHdIw5l9jo/wN2zzX9lMEEsdHv260nP4E0OnUJmpgdXGc9kRFNj922DgxdJB9RzKtwIMQz7 lNax6Mr5HKcCNiBE//5kVSLTyAYyipnAmjePqd/Gk1GlNUsnSMdJNe3lgulOJGuhcHNN3LvEpjzT PFFvo7o0edBJiK3hmXgeSLP5uwILudkYvL+9Frp7I/3MYv9zJIgYaK02JJTeKCYVQxOEDQGOUy1T L3MYgZF2cLLMRlpk1HNzvNbBzA4msCdopTPYpwbpFM7agQPny4FSFjTws8FB0TVSdIU880Z4biWc P6zJa142Sa9hQmxzFbTvxqjrmzpEKHdww0uJnL1usArSoNq6pVL/DLDwSiSYocq24egFxJ5CKa6D gRcER3XLzlGx0UdeAWPRbNVrusQE70mqqWNiRu6ZX9MtQQSnivZJdiqUcxHqCn+YIP17zPfAU6Aq pu8PMK6e98fU8OMwatSpoVG5ZXiYw7Vwtf9rr1jyeqtq3vaRg+aBCUkqr/Hdkq6arq/BK4EiYmCN 3EYL6OmvSEISC9ddM1nwS9kcBbutQDV1MqDYuIjkOm/N+Q9Fc9NF2UXSgS3H9Y3hara4t/v5GAHa sArqIpm8byAip/eEmdxMHB1sEh3KebRhdw89v2ETeM4SlOYCt5/PnazNtSAQg9AaNd+3QXkgVpIi fy75vw5CPTPIvneHNq3M/xbpTRnyt8Mq3IJFezUA7v4V3GhiLdO8ZTEHZMcEQrwZIBb3EjC6BcKz 2ZT+Lk8kB2F82saEDMORiHSLNXszWQd0vZpcK7qjmHPgFcASAoMBvXeBkRwyicV0wdABIgBIFEgT q8izdTG9WHAfg+1RLwDIoYfGNwN5mUJkTcOMGb4LSfKArgJo5z15JS7fvgHcmO4xusvqPGIWK6NX ycBtkYmMRY7vRiY/UqFQIPqVBwNtkbkWaF5pLR+meT68FEAO/IIjG93Vhz01zRbjWQvQ025mABRJ Ea5Wm8vLd2cdHAhcCoxh5Fs31mwIoUHqTN9tH3Ug3Vaq/pUJKBP+n/10z/qNxK/CbZqcnzZDybHb j1pwKdY67FNBGAcLLP8+Ky1NdrBH9WsS9HCYZYs8oMKf/DnETvtFhLGGvONJ6CuGr0UTb0TK77W5 uAFG0ltWaJR/jU5sEY+TbT0JlSsMSKNpbDXXHzGQe+st5pbavYgqkkKy+rwqU7tHd53HtA8aU89Q 3AYuLcj1tsKPCZeVNYB+xUoMZEYAQ5PXOTHfvgEVCRCqaaQR5BAGWazEngx04CSX7pDSOU5G3kwG YLWCbedF7r/e5WIaFykOrn5l19kmpXzgOAZZLy3LUGDuF2fvK6gS4YvspAdnyDlqME8ObtZEGeEO NVMsTwCgwo/Jc8pwI2YGOfkVW3tL5ea56gQTn0/soJyqhbAPYtq8brZAns5/2R6EKL6E4taxrdx2 7uujUOl4Ggl3YA2nKZk5Cp8hy9AdX45NF+oHBAAIy0cUx6gWQWAN7JtQv14gjdgDub//hLKlr5hl i/86JbjLowGlBczBdfAW0EEj+EdlmPYwZZYOFtdxcqVFyfKxPw4+UBniRAURIKcfms/FZD6j64J/ 8BHnFLPItvPRPpgcXjm/XXtUEeYhydmNIvghLyI8mZuZcAlbUSfsrARawk19LmMHVZsinZYdPO7L 9TpA6alqKZ9W0VM8I7d9OaNZ68J4JU1jp2IBnZf49uc5iUZCe4x3IUsSBdj6d1nj9MPZ4xTxoq97 j3gZa7aahHdGRqoWfBw31dp8ZcjjdSggtKCF+Icjr0flBN81DoaEvpnRfmBdxdLYKYc/vaqNbziI 42iWBJU+hvxi9inZcQ1XFCoFEQ24rWhArHQHljQ4x5VfETkc/Cgrw2K27Pd/3nTDO421vR8+6wGo M2jWAi6E1Tw/PIfSd7IcVquSL6NH8sHQVM0YIgH4Zse0uhM1EIFguQph5POVptYSlkf+RPCHbr1J 7uv4hnK3yZwkyOZyjRcq8gC5mwtUvOW3IRuBDwroZPGCoSgUlqdEANWVCp8ZBjgQIkOajXb8IZay jomgA9Rffppy+//yvj+0toFAG9pWcSSwCip6zhWnzLyEKrTpNrpgKCLsfD3TgFrX6IGfGaD1zINy gD3KDJeqE5fuTeswNtROr4thSAHiQvL1xf/RvZB7kS/u5epbOudMsFFtiGsgeDpk7vCI7qqT31jF 8XwsG0ZGMstMd1qOwpnEgSoQ45kCYD+Bjr2EVOBJkACkJB6QrkRnx/00GoxS7R3Iim3h60DfUC+j tEXiuOI1rq8IZjde6TnqGcWc3LYA5nOIBOiN7ROvzY0uD2oHnayljWhS5cKJL6+SUnglKcpYZUYN 1CtlH9UdL7syJ8qx86q/8ZQo4xoxK/KcKABDeM3D31b9eewhB03SfmtWAarV0w+GpOZaWJsWyJHp ON+6aUic/8rrpk/37Ll6GbqCaAHQkY0H8JMwbD+29GKmgXYcuNOV9HaWWUtIg5UQsc59bbjo1MWw W1s5QKQk7ImEcTRLI5/OZpsxEhqH/an5ULB3i6PwWmccJi5Du7zbCyKY2DgU/hJQzNyfuUh1Si/l 6F4VAIv2cuMGnnrRE08t/iPK+lK/9AGXAB4lJBjiYC9Bxds1V0K2xHKEl7dEt1pSVlnDBXsD/a+m q23TI/zF6XokNrDJSzqh/tBm2165Ug96JyiQzAjz5Za85BVx7oEmJijT4rrm7bcdmSSZK1qvkKlF iR2uHnrKYDkOtPUfSne1PpaEuGt8nnoKosmF0K8OTBwheFuC+5hd47UmGH0jFdiSpAJfPEExb7/k 9MjUzinzCYHYbmi6cbws80XRy4ZVgY+9y/2+VpOJSBPQM4kMEG7F8kvTci8Uu9p4yXCQypdE5PUf P6CYqV8hWZ4DV/HmL1+CcUPBd04/czgPLNq5Tx6Odsxm5YRjZ4r70lBoisg7r3XKKWgvNQpSufJX sbLrY0uaDKyzghGUIesIzmQ9859ZarT5CuOl+lr22GyLzBBPPi9ZllyttKDaWmGhlV1utHb0rlpE rG9FAFPxbcyDpRobotjxZBOQ3NRJ8+P5aZKtCa1ZBLNZcboclUvVIimBOYXRpOW64wfU1OvUsAcW eW4z62PUhgQXe2+Sgz5qBSg8NURGaR06cbtSjYg+Fa/rjkg1w7pEgBsv4kRHaRiaFiBEXGAQCnwC 3VOmxbkgY/Ahj2/y8VA0z77YFm/xfH/f1Bd7VuLX4WEqBu6ZY/feeHl/wSrcU6659sPGMFKW2k1O 2Nw9raa5JGsumvRuEmJA5+ts9dMQ6vE9ehOtTMD1jtKh/Pf8XlLAEYXOCONUbTdxY9sPCUJRmxTd BcC45ZXkEV+3mhvHLW2GSz7PDTwgD7xYGN4EMhTFQAb1zXHh/xubIUWMcnVLb1O8FndI0PNAt1NL F79wa+Cg7EQVXgR83e0vXk87zX783muB2WQqBz/QlNDca2RCTuakkrllfRtoXgccpUA4h8W1w6dm NHfxdVPsvxB8UtfLzaAADSAuiWWNyS0Tgx6auqbBVwBcbA/29v95hrcvDQN7GKikXRIQTw19XmKp +AOlG2Us9Ac/jdSbRXJxHvLa1j1r+Kxnjrz4nF5oJ7gADngfsqVkQ5vGdS1JwvDGkI8dfOcPlOAW 1KG7jwkfpZ0Tz7OE9pdttGBl5dyRaW/Ou+C/Zd4nwtzZQ5/qIRQKxL5EJAsl++WkUMCT5NbFteMr 8Zp0xBpAIiV9fs2bv/EACzkoTXP9aBtXG7k3ldI5bqNCmzdOg6wbag/lJfSedkO7XzP5rWQcd601 Rsi0cojvub//DmqhA9r3mkWLiAJLSLunzzhZO5ULewTFd+XSTF0mw+kUaQSIYulTLTpNsqRw7CoW h6d6K7P0Q0/YT+Ene2Y4U4heJDdKaMQ+KmbTi6C0FshQ03XDIxIdPnec7O8LH7nQF+qW5ALpUMlu k2bN40cpBq7UeP6oNz8DNvE5SebA+cG4O+RzM/aGWAShYoksPoV79E0ji1CmfTMxAGGWOhuRKOwh H0xEqFJR44XrbQYqxa9Fc/3ulW3Ft44F9KZKzeoRZsc3Eawn0Vibe6ztfYgGYrMJtJehBZkP/EEQ sL4fVG3IouhG9fwJkgz+Xb2P0mN/L/c5MyaExseD8yovh/L4fwo+6LpyoMRBR2jtnJwkK9Ek/E2X sruWMKCxBfCB+gv+1STTztucz1Vuj1Qy8P+gRhHxOAIN1MNJp2OhPhNgskcC7Mm1XncaAxFApwab PHebi4c0mK60Cve1cmCb9H0USRBrwaIxo8Or9zJMNS9mThXjRUAqOACsZgzIMlylu7YckLk7swBj CZuIIAWpj7QEEa2eaHEW/52CWpP5vm2KL+0d1OhqcUk5K6UHsAKOaI/u0q6ZZB7+XKW8a96WvYHh 6T/T8UHZwSzS1mEmQB+LR++C0iap9eHVVpfbrLWQpCg/r9gRcYoZCvswAH/wL614sjL0dfcbZ0Q6 QECSOi5MgKNXuZqgcHMw6oKrrnxQ0IHr9uHcfP1Xzzjrqhf2kG1TxegBW7y0sR/TlaCCZOIsLPFA wwYaLln3q7zrXno2IRJz89dLkrcLDnrlQmMFQuweDy+D3XR9nbg6RpiYNg67DzhgvR/iQK/0JcMa 9TGapiAnAXI52vJ+papeUg4O6AJDO7w0viIVYQ1E3aTggsQdEQeytlzrNwATQMIbI1VpyvPIdZJI ylpVvrzRnQyS1b2Yf+5K9/gcVVrO1J6o+ZmYARzgpZS+mvQ8sWlTbEnYy88+my9tLZWbqUdlUTGV 391zUPqwxjz7XiulV2KHtTbojqpyjiyPiyU8CIpQ531qFvrRrVv7E95so6CjWe1NaIev4CWuk+AT tuAS5QxTDfVj+s+NlwX4aOlqcmPAT3p+0xYKxmi11AaYg8eM6qVzFvilr6dbU0LLhgMdWhCFWJr4 a/n3wgnHXEyDd+UlyN2mNcuLYItO54EABcF8Tbn7ItYBZdECgxAviFLsIHJaeM5fPbZSG1ZIr/fN xFzn7l5fSrrEwLjPaN0h3H70zGlxAVWHotmYlbP5uVXLiaG07EAoS7brums5eSpwLOegwgcS6nNE IzDmbMAzwgz7aERUtJ4dz4ktuE20dhULzj44GzTX9wgiB+qezbd9zo9PloRNCWwEmeW8ttEWgb9Q olL35Df9X+Y/BflANXPM4JxHblkxPU4rXSIsTe0xO0jFXPgXyRWYKSfml2MtDnuJtaZP/0LPyvjC AvGo/iCUoS0asgOjPrhGgBOe1nEDZNxvR9BWJQjg0/IPjp79w3usL5JfFZ5aX3xCsWHwsDO0j4Tf 4ndigdTUKrq6NN/xsFslXrmdgzAmqtzi9iMyoQgdJg1RhSpcXzttrAv0ziiiV3MJAjBg9Mv48pou OD4cA1IgmSufxu+uZTh20QO38lLrI4cx8aS7BsF6JJaBdDAk9xREiHo12T/dCFL8d1bByfRAO8ep W/g1RegGJuziEQYqgpHCaeh33USB52d6xM6RaaSYTFKNKQfdrF6STIkmV3fs5PXXBN5sA+5sY08a 7niRHbjll5VWo+Pa9+pqoRqh5JxA2nTRs7gW2yZsIyHNm/GaQ2rLXtGugPs2JBl90GdgPCYUp+iV FDb/TEYedLAbETKAHoZwjXcJHlrd1hqriCdcY32IqRY+/O8RNPY847u+EmebOEihPCppvw2fRKqf nQWp+oL4XRnb41jdMSuT/yO1cj7sDQ7Pra7wwwfNqmHxw6hCzsrwA3UrR9yrOTts5CEPYs0t+5NF 8jqp/8BOW2NnB7gYvmhokrSyA7DD8j9uozNhJ3Ow2pGVNGdg7fENF/NX1AvgJPGQt5EqOSXLUj0f 8KmZGpiVcYNJqU/PVU4YshAHqV6MIzDBEuXMONYw7YQFbxxuGQRmzD1QNZzAZYFsgsRenmkE5D+h Sv2ceTIo7CTSkIHejOx/jTFadCyDOo7shSyi4P8S3kMIZkBtkHf3T2iSdnVP/RS1oPrVr1Jq1JcA ds/lPn6lVnPUvg0A7sgIcCVyHEJvH6P4OAXEmuTq5Sv2QQYkS8VisoLHvA5MRw3KJhOMa7BoQij+ 6oTCIHQC/NK3lnIzJt3YjukixTPBToAqgadUz2qf0zpwU89WhRuRVRX5SXW1f4vB9u8sMBvSDf7E eM5qcq42u5IKIBiBZKWMPZUNYR7E8TEJGaBH6wqkZ6Dn5Hd2MAYjQmhTgWtmH5ODWbnJ3xsYMLqq zg4Ye5PR1+UIZxpDkxAWYLeuMquYSRR9cxsIuj00/aj2eAavdB4n7vJ0cFHHwYwLAugmt7qinAVH ccRJhFdggedRSyEAJn2QY6R+U7Lsm45XPCJNarrkfnpcsvpRI3+M4bflAk7CeipGfPLt5GK0x1FS 8lbJJ3Go1cDDIp5PvojbkhEL8NIdxw5XNWAxkp5T8wR1r1ONCsAwObmoXMG78+a+YRa8+wRZw4aB J88EjuJM6I6z5qccAUevRMen/wM6POOtIz/QWFlRgGYSDhq+kfP6Ks0kiPB/IWWGLZCM5aQQFrFT L0VNs0wa4NoMfWRYjPw8tTCwZrf7MrYpbMbPySMQXxIbA87Dnw8Bs0dn+dYT/w0rP7DdOCRvsLKF bUE2arsp+yHggw5EM/AIaB3O9g0H6+C0AxHwnifvg7vEVwJZO3gkuXcTJPQp4zmQ2OrX6kLhBTp9 JWJpUPWo4Dxndngk2J4viijv4waP+15wF7PP+A4kshCICf6IJ7mHs3xxui5b3p5f+bb4Rm6nmIBp g+lSrtq/Iz4OOOVoB0Yuba29odPq8vtPz2bamNUnveg8u01rtJP1xR1Sy/XQx9eeGD/jjfZVOXJ9 XxEfMH2QDNwXSlsJjaTecyyR2FXtH5VExauv7IAsQfdvdBww2foV2rbwutZ0SXMrW6fmC1L/TVv/ OA2IkY8a3b/GHgDlHMicmIilFrKQrVb0upI5+ruOWYy7TNAQ7m72qcFP0OWo6NeiMQ9sRiI/o/nC lEG49QY0Ay1ocN2fY8U6+Hv/FZJUmK0WbdF5C9ErO00vOeSicVXQkjDVQyYiDX8aB2n/KufR0Sg6 3E4cmtxmsAtlOzTk52zsteFPKT0rALtOBZw5W6CiJecOvFo/RYrOgF9PNT0IftRlbds1MKUcLSMM 3Mq2Gp0sgLle1UU57krWCXg1CAZC7HDIymvlU1RqRlQqA8CT2plIix0ueUQ/J3uvRuzUvF1t0UUW pEyY9nA1vCM66gVJ0sncaXD/tkMBREJGUNacL4xeUYWZ04ZeoSbuGzsQ0RTjpc9PNaQlymUT/Xgm QtEcGl1F3O3U9hD6eR3CDa3rj7A+hJNYu46bPEm7PC0woQTcep7O1sY5IMabZ7ddm/DXYVj6in/Y L+AbCEl/piDSwUJXhRUAtTkW1tXmzHQ091kQIVfWQilIilZ9ClZoobCjKcPnDbEQopD3D3j9vUSL HgsDuv3YeF+n2it6pfcr9BUusRzGMJpRsMFE/78lpx6lqc9RqVSXACGXxvz+V89FcIv9Vu/OkObW cPYhHhltAPNzTrnG0GLHgnol02bAvJdwsgF1Vb1x6xUco81X5b3oi5eCumbqCDbp5++6P8vZLy3G GcV1vucGiOliv3ap7kfIkc3xSTl/Z17l4ElQXHPlx6y0LkmooBQep6hKDMOZyEanB6vrbJNxURVk sMQfAVGzpIOUA9c+z25an5AAM0aVOQWf9r3BKyeggSJviP8m0TEGoAn/0S7dKS/Yz8pexlciBX6v QK/O7DUAIgc4cYd2TjFZW5B5yohr3gWRoLuhVtRVrLEsNZ0JGKJ9EPDCwiIKADeAaCNKgmng7tK0 XqpdJtnTqsEjtzWQNJ36CbUFmoeV2CfsXhOfXWQ/ylZNvDyRlAFGs1Bm4f1JyKyOB1wqVtp6Uogm 8dkdW9crOLwG/yU53NUYVcdBKa9jzv2zKRYQ1me2398RSOIsGZLKtKE1UWwWW5+39H7ts2InTEH6 hbWbZx8QWp8CHbfNVDmT+dZrARU2Iw1YLPjNscncweo5z7tbakg4sVG81Tp7Rx9g9HLUZ0RorqpP I6DX5DiUgGFId3yHeB+ko0kBFu3U2l48D6jwepT1k3mWjp/XFr1z8JzJba3MsE0isM7IQ2JVRKPt ocgKEpoZh93vn0VJ9e77EimfIpd0sIGLIti7kBF9K8Xln4JyMnPI+YTLFazEeCF67hoIHI8+QTve 9eGnHLltArUdhrxBSDxOo0tQpWx7CoJYCXX5Fklp3Fs0rG+C1t6KIkpNDVDaAAevoEKHTx61cYQd wyISYth688BO00PQgJSraVYXhFdLhYupCJAX+PGGFM8tyg845JFN8TFSl0rCzIr0afOZGAaDT7Pk 7aw0g3fg3QJREubxZLwDDYkH5uJHfApPDKTOyJYTHJ04XfWc3HxODqscsBA/vnziBd7l8avMlmc5 /jF5oNWvy8kpOXSxpMp7NBNCgqPPKtX84I9vS1yJQFJblzhMwIQNm/ejWCyQm1SswSPa97fSQfgY 7BWs/YSSSs12fgVn2acqq7PVnTM+ZPJBbzJN/ephG1syylUA6FQiMelKs3DeF8lP45PH7ppTcTfX MWgu7+CTqhecuqCgVq3sNFqlmry/REBZaoNmhP8aba7OhKRAHHF96gZupNj0m1SBJ33f1DjNBSxj olo3SAsJ43+V5REP7AJVt4BethWByTfEe5Rr270YmiygbT9szQO028HYwiTCGuyB0gm4fR7IlkNM qQGarvA96oQgNacFwlm9r1CHMeoj9p5b1JKgO/N2DvvTTE6SA31NcEAoRG3rmM0XGBTe0OM/6W/Y QAG5yNl2Uy+TJpQx0/VTb6E2eb+h8NRSsi90/sL6XHj4Jd+ZD7ISwH4zKMBWHjhSU5x0l2xyv8PD Jljf8I9WnfN3iNh7UcaIvBMTMt1g3HuENFudA2TfWAdbFDrpu2fnszwRBqdq5D2oB7m9ngHDVUY9 3ei72dbWX1QZC4U9ItJfuvcbBkNMHd6brO3FY4jJyk7f+oggqy+naeFCevVR0iJNA9wqEAWCYdap g7v+2EIUWlvcnWlZlkaAZU3DGXx/ffyvyIWpFGkXi1D9VgX9/LuoR/v39wxL0blMHWBUR5H62qD3 jEPAW8Il+4rNhDytxZAI2fXyHce7hUK0Wna+Qdm00uLw1su2+zMEOKGxh+936KumBYAmIbQGPV8Y GXDL7sZaAk9iESkSOquycL8KJV4+X0XhJHw7CXCPaABfw0wGOelDGaG1PwTfdaq1aaLY+1mSJQof Zg7rYQuG53ujlvm90W8OtRcCf5zTqNQv369uMmbrxDC/WbMsKonJ7lXeqeK2Z6+kDxptLluDl5aH 3na22lCJoQseJvUc/i1J0+jIOKHkHnprvDsgIXmLgaEHQtwJgWi18iogn3pC51pDiu/lTHR9TAfP JaXHaM19nxYiBqYRW2DoBaqi8rp7fgGFq4kvrAtdLy6zWbYPrH9G5Ag9EPAA/RNcHijwpLlJmi4I V6suphv3lV6kgDLM37Zt6BdNY25cPhw/348uNNQxUA1xp6S3Z8Ph9KrrNpsuFwd84Vuq/EOLN8V9 2StMzmNHvumg+PMQd+HCDDhKXQDr7X1+g/JtDVfBuZaXuM7n59LMZ8c6W3BJzB1IdWvUPgKrqFxF sEgKKHHhdG5XJ5yRHU5hMSEzCHSK3YAUcUB/2oBRMRa/v/kiacnkjjK32yQzI8g2w59SRxFq4FUD CIPizkU3PaXx6I71bweO5uHhYwV28aU7v6yAQdqpp0Di8GUYlHcEHsS8lSrcrAUO9cpeDF5PaZHQ rQtEzczqz800aqiT6MKBxCU0w16N88rZWNAIheBYLNmG6WD6USKzNaCvwQxRFDN0l6hPuKpMloT9 1nHYUFsZzZyCeOR9P6cVbhHrFw06MSJpj/jMq7pb806tzDl31zuaH3wVoz+090JuUivZSuyQVUZe JUS9XAtL+cYzV19ohrsnyI7+o5DWK/G7ObTwDboKVptxVtoFAmmPH95VOAJXZApc/6cFqXZI1e33 sWr3b15+IqXC/2JOegF7DQ21v5pI+064/3ueCpc4jX1TM4FGHZH1SttPAA6LkACQhL7D9hTFNnrP 5hru2JYtxkjR7KR305Fl4Odal0ZCrjW24NwSa4FWPNk9C8u9wqsaPrqeFlrbqqlgXnA1pWhXXE93 jsSbNYHEcpZiCXVk27IfH671OPRZD/P8F+76tujlZy7h1aB1nq1z8xs+OUN/GZpJxe9jFjCj7Ub1 +AhK0PYXZmNI0OaX581afrlPIKs9w8NKsFdD9SuNRL/7ouhZgZzI5Kuu1M4z45KqsGIHhQlGxjl3 N2v/J13qHOlCJCmassEwW9v29YZgeqA+J+p4FZHp3wnMtAyyurOnKFwqNsgaPAHz4Uct8S7txZSa FNg7UtOWezZxF77vH/jB8yDz8vzt2dUxam0edPJJwkwTKUIoxhOqVivW9n8a5xc7vooWIQpYJdeV 91aIpTFbZ2O1/kN0DF4lYMld/bc7TZAlsi1CtLVMT0c2Xmj1SJubz5L2VRHzAK6InEBGhu/msjMI 5F3aQUxW+C3DsUhLhY4DcQIgTiPt90hTgsvqRVE5dzh46C5J+jQWhnb8Q/NCo2GXEIVic0QQkCAg mkcDq5rapHfTpZMXcVm/tXX7ycZvcfGWNJNs6V+w7jVk/7urqpznk1NeuJ1Wnf4pb98kaKQNsx81 kHZgsGigP1xKcwnjPTrNbU+4IDrRnWmYN85Q6lJVTZlk1hYyeQLdmBIubHWgmtityQm7BiLcvXGI GXXVutNeKA20LJLEjiKgA0gRbAwGoMr3aGdwFY7vGrTyYmvKmUehWYH8iS3B4t+3NCa8vJQjko1Y BrozbCrO8UTmY3JhC3nIuU+HqHINZ3Igdjb/EFx1sPOq3DA8gRRLef7atZnkcnRWWv2Tju4h9T61 yciVr5S32kcfhkAXR/Ot0YdkXIMOrczvd99rvVcOlPonyjUC7UGFpvlTF2Pa9lyQ7M+16yhJMHQE ZTStB2F9jqmmTkJUzE90sXfim9ea+NRUQ8oQVfV0KXi8jhf6c0BUQbrOSDYaSQj12ahNrpPHun6g ZydJbbG2SHMn0TF1uPZR9Ja3R4/lgunlmbKmscJbmB0vIqQQ7Ayn7nkubvr9aUsOLjgfX2XGeI5V X8Tac9TkHk2m9onqhc7GPEidkatLKqQiR5JZZGg9PWD2fIHZMkNe18kD4NDP9tOgZSckmA+sf5Cr fErWgDRbLIjMfKbvam3mYD1cmPBKtb4aSeU12dAdsEp8IPYlm6zcgC/jmvpB2eJ6gK/70lryTS3a 60vwrsKvKRpO0i+p90OWjE3UNML60z31nyOpgAZaOiQ1SoF0KdI2+p9x1mORpEWBoUyJQxpsO42o PmFvQdrpoHAF2D8ZrdOkTf8BY9s+/AiyNWYHbZ/QS+RO36XITdsiuMnsPS9FwyV2quoYWv94X0L8 SX/q2GYDqNxEJKQXNJwLLG+VpoGy50Nv1xHP8lVaYk2AXgggjaIGQWqkKnrhkhPbkrYtrRsvJBIZ /tVwoRCnAbJm7Jdk5k3D13d2kbH60Z3KPJOAuvoCVJyOeduGblElvkY3YQG9vNTx3QlExo9ICBhj FhsddXUezUeazqIzkMabOdf0zTPedlmnjqCA2JBzLBqpTy5OsvMz/z1bEeppDBjKOk7kAdX1EO84 9rKr0zCglQYyAIvuDPrTMZncH2xfn2ChiN3p82+/FdLKNX9WfH6nsuOS3asTg+kk03uBB5jJPmDB EEYQsQX/dmSN/Uht5AGhSRrjKgDZBoQaONYU1p28WJQsQKKgy+y25gDt/L2aTsQnq+kqQAijNaP+ vPX6giZLrc8SOCtRvmvpkrkqGtHl+xCFvDMMXUUBHjf67L5lPvGx8wGQR1L+dbVZWYHFM+XXtI0o I3iK+R+PSDf0jy/qC+vwID5O1piFrfa0GFukjqOVhELq1rSQRjZo50FX0f5i+o3trDADHanLagBS R4yE1D9r/wLGqBRkKUlvlAARQmBN6yltzYBXx5QtuJJuEbXNK0ufHFnix6I7DieSXkS7HS3F6rDI HX3dhKjh5ymEkKVrlz9u1upgFw0rhbX2De8GvjSe8YG5vY1ogIvm+ruo4IdyDpU9MyJTrGWv+JSM O4QFkYkD25qO2WzsYNwwh5TcJb3FVkijaXYM77zM9DBtUP8T1d9zVIJWVKfNBXICJzQTd8XB7lPg luqm2FLtI/BUras3lmh3ofgiE0B/W6maZ6MaiLBiKMHeWgtAguRRGneGEO3ON+P1YH9zuGZbgHVU ObGQ+pXMhq9bbY5LLUF6H5x6xWc2kY89TdTmI3OcFvCV5ZAtoJkV2zv+JNySz+VtKGOjt8qEc/2w leGkHvHFque7e2rWY4tBLtQmz2DHtISY7iRiB5tEc+8l16+w3IitZxlFsmP0MZ4ZCgTL9bOnWkNf ltEX0jnmDG92Hn8Ai55qoGf5TjbVjlVBzupHe7Ls8yToPApkqetv9yXD74qoCcMU3ue5fg2kiaie gp4v+uQwX+vUWPcL2RvWh/kWKB9KFLZokFv8c1s3qn5JxOmsPEjDbvCFa33ThK8W/AZDPKs0eK9x FdXtyjiAAqvKhQKrxwbFbtm9WkYrDFl0OblIqvlzdVp+KA8rFqBbs0GgSkcObVacPHq9KE9Jmkf7 N22+o0uD9bPPtsK24S8Oot9Cc1PvmkOnk3c26u/68tJhpVRrjuXcW+tftN3fw3FCJuvmSZ/rr5KD bKCYYWTcvS6zDqhDB3qNkHERWk0UhV7h3cnDp+hRZXO9Z8dFl6JLyuaUR109U7Wi5Z3tg/c+mc+k SPIEWjySXWSGRyDx6dOi9Z/q7HMMBYYZVu86tOhLr9Yx/HZLPpSR8yyT+2NS21s+FEGKBrGvxWPs n7jjIThbVrspN39od0ShIgzzdJhGTbR0E6b/6EcHxPMXtGchdcQENxV/gobRoOc6bRuz+3pdoCI0 Rr0KxtsyYBNATkBjVCc2Zr8LsGj8bh1IV7zL6RoJP4TypSDUZzj2/vWTG68QiqZjuYcI00uw8iyG vQPr1nDP89iGX1aPx3rTSeVjcf3V7pEzSF/khgN4yIVL2v9/W9Hm8VBwXfVOqpTvsMrxjdKa4nnX S9c/VyRuTt+D15opagmJPKtNqD3YhUevuu/aL5gGI9rHJhChOkppDXatAcAXQSX+Rzfl8VW/f8VD 3oHGo9QzpuKZgZSYpkadd4wUXf43u+PKvinjdbvkdrB8i5vtIohBaRRO1d4Lrz1gBQRSFwqSnyB1 GdlhlAALdWmShKPPLJrLmckfKJ5Y3hU/nys+/AdQCzmiJPLa/4l/JLSxqoS5VKwWPWLY7N9zyzRe MlfeKF7x6BJWEfZyIsmHdH3FYFBfQtoIUSuHn9kpoUD2rFCID+0WS9odMR09DptxZvMBtXjb+RWg hApZb/ZtfFVfHXwokGOeal2vzux0OZ8M4eczrrmRONYSPcqM7l6bhHkBXVlGNIJBhz+R0o0fRxF/ gusxRSCpS3gXTk4fGaPJ47ww1QX+S7AV2Rfaint5FjQ= `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/pf_dpram_select.vhd
15
118961
------------------------------------------------------------------------------- -- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_dpram_select.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_dpram_select.vhd -- -- Description: This vhdl design file uses three input parameters describing -- the desired storage depth, data width, and FPGA family type. -- From these, the design selects the optimum Block RAM -- primitive for the basic storage element and connects them -- in parallel to accomodate the desired data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_dpram_select.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- DET Oct. 7, 2001 First Version -- - Adopted design concepts from Goran Bilski's -- opb_bram.vhd design in the formulation of this -- design for the Mauna Loa packet FIFO dual port -- core function. -- -- DET Oct-31-2001 -- - Changed the generic input parameter C_FAMILY of type string -- back to the boolean type parameter C_VIRTEX_II. XST support -- change. -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library unisim; use unisim.all; -- uses BRAM primitives ------------------------------------------------------------------------------- entity pf_dpram_select is generic ( C_DP_DATA_WIDTH : Integer := 32; C_DP_ADDRESS_WIDTH : Integer := 9; C_VIRTEX_II : Boolean := true ); port ( -- Write Port signals Wr_rst : In std_logic; Wr_Clk : in std_logic; Wr_Enable : In std_logic; Wr_Req : In std_logic; Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1); Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1); -- Read Port Signals Rd_rst : In std_logic; Rd_Clk : in std_logic; Rd_Enable : In std_logic; Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1); Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1) ); end entity pf_dpram_select; architecture implementation of pf_dpram_select is Type family_type is ( any , x4k , x4ke , x4kl , x4kex , x4kxl , x4kxv , x4kxla , spartan , spartanxl, spartan2 , spartan2e, virtex , virtexe , virtex2 , virtex2p , unsupported ); Type bram_prim_type is ( use_srl , B4_S1_S1 , B4_S2_S2 , B4_S4_S4 , B4_S8_S8 , B4_S16_S16 , B16_S1_S1 , B16_S2_S2 , B16_S4_S4 , B16_S9_S9 , B16_S18_S18 , B16_S36_S36 , indeterminate ); ----------------------------------------------------------------------------- -- This function converts the input C_VIRTEX_II boolean type to an enumerated -- type. Only Virtex and Virtex II types are currently supported. This -- used to convert a string to a family type function but string support in -- the synthesis tools was found to be mutually exclusive between Synplicity -- and XST. ----------------------------------------------------------------------------- function get_prim_family (vertex2_select : boolean) return family_type is Variable prim_family : family_type; begin If (vertex2_select) Then prim_family := virtex2; else prim_family := virtex; End if; Return (prim_family); end function get_prim_family; ----------------------------------------------------------------------------- -- This function chooses the optimum BRAM primitive to utilize as -- specified by the inputs for data depth, data width, and FPGA part family. ----------------------------------------------------------------------------- function get_bram_primitive (target_depth: integer; target_width: integer; family : family_type ) return bram_prim_type is Variable primitive : bram_prim_type; begin Case family Is When virtex2p | virtex2 => Case target_depth Is When 1 | 2 => primitive := indeterminate; -- depth is too small for BRAM -- based fifo control logic When 4 | 8 | 16 => -- primitive := use_srl; -- activate when SRL FIFO incorporated Case target_width Is -- use BRAM for now When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When 5 | 6 | 7 | 8 | 9 => primitive := B16_S9_S9; When 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 => primitive := B16_S18_S18; When others => primitive := B16_S36_S36; End case; when 32 | 64 | 128 | 256 | 512 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When 5 | 6 | 7 | 8 | 9 => primitive := B16_S9_S9; When 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 => primitive := B16_S18_S18; When others => primitive := B16_S36_S36; End case; When 1024 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When 5 | 6 | 7 | 8 | 9 => primitive := B16_S9_S9; When others => primitive := B16_S18_S18; End case; When 2048 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When 3 | 4 => primitive := B16_S4_S4; When others => primitive := B16_S9_S9; End case; When 4096 => Case target_width Is When 1 => primitive := B16_S1_S1; When 2 => primitive := B16_S2_S2; When others => primitive := B16_S4_S4; End case; When 8192 => Case target_width Is When 1 => primitive := B16_S1_S1; When others => primitive := B16_S2_S2; End case; When 16384 => primitive := B16_S1_S1; When others => primitive := indeterminate; End case; When spartan2 | spartan2e | virtex | virtexe => Case target_depth Is When 1 | 2 => primitive := indeterminate; -- depth is too small for BRAM -- based fifo control logic When 4 | 8 | 16 => -- primitive := use_srl; -- activate this when SRL FIFO is -- incorporated Case target_width Is -- use BRAM for now When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When 3 | 4 => primitive := B4_S4_S4; When 5 | 6 | 7 | 8 => primitive := B4_S8_S8; When others => primitive := B4_S16_S16; End case; when 32 | 64 | 128 | 256 => Case target_width Is When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When 3 | 4 => primitive := B4_S4_S4; When 5 | 6 | 7 | 8 => primitive := B4_S8_S8; When others => primitive := B4_S16_S16; End case; when 512 => Case target_width Is When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When 3 | 4 => primitive := B4_S4_S4; When others => primitive := B4_S8_S8; End case; When 1024 => Case target_width Is When 1 => primitive := B4_S1_S1; When 2 => primitive := B4_S2_S2; When others => primitive := B4_S4_S4; End case; When 2048 => Case target_width Is When 1 => primitive := B4_S1_S1; When others => primitive := B4_S2_S2; End case; When 4096 => primitive := B4_S1_S1; When others => primitive := indeterminate; End case; When others => primitive := indeterminate; End case; Return primitive; end function get_bram_primitive; ----------------------------------------------------------------------------- -- This function calculates the number of BRAM primitives required as -- specified by the inputs for data width and BRAM primitive type. ----------------------------------------------------------------------------- function get_num_prims (bram_prim : bram_prim_type; mem_width : integer) return integer is Variable bram_num : integer; begin Case bram_prim Is When B16_S1_S1 | B4_S1_S1 => bram_num := mem_width; When B16_S2_S2 | B4_S2_S2 => bram_num := (mem_width+1)/2; When B16_S4_S4 | B4_S4_S4 => bram_num := (mem_width+3)/4; When B4_S8_S8 => bram_num := (mem_width+7)/8; When B16_S9_S9 => bram_num := (mem_width+8)/9; When B4_S16_S16 => bram_num := (mem_width+15)/16; When B16_S18_S18 => bram_num := (mem_width+17)/18; When B16_S36_S36 => bram_num := (mem_width+35)/36; When others => bram_num := 1; End case; Return (bram_num); end function get_num_prims; -- Now set the global CONSTANTS needed for IF-Generates -- Determine the number of BRAM storage locations needed constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH; -- Convert the input C_VIRTEX_II generic boolean to enumerated type Constant BRAM_FAMILY : family_type := get_prim_family(C_VIRTEX_II); -- Select the optimum BRAM primitive to use constant BRAM_PRIMITIVE : bram_prim_type := get_bram_primitive(FIFO_DEPTH, C_DP_DATA_WIDTH, BRAM_FAMILY); -- Calculate how many of the selected primitives are needed -- to populate the desired data width constant BRAM_NUM : integer := get_num_prims(BRAM_PRIMITIVE, C_DP_DATA_WIDTH); begin -- architecture ---------------------------------------------------------------------------- -- Using VII 512 x 36 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate component RAMB16_S36_S36 port (DIA : in STD_LOGIC_VECTOR (31 downto 0); DIB : in STD_LOGIC_VECTOR (31 downto 0); DIPA : in STD_LOGIC_VECTOR (3 downto 0); DIPB : in STD_LOGIC_VECTOR (3 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in STD_LOGIC_VECTOR (8 downto 0); ADDRB : in STD_LOGIC_VECTOR (8 downto 0); DOA : out STD_LOGIC_VECTOR (31 downto 0); DOB : out STD_LOGIC_VECTOR (31 downto 0); DOPA : out STD_LOGIC_VECTOR (3 downto 0); DOPB : out STD_LOGIC_VECTOR (3 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); type pdbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_512x32 : RAMB16_S36_S36 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), DIPA => slice_a_pdbus_in(i), DIPB => slice_b_pdbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i), DOPA => slice_a_pdbus_out(i), DOPB => slice_b_pdbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S36_S36; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 1024 x 18 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate component RAMB16_S18_S18 port (DIA : in STD_LOGIC_VECTOR (15 downto 0); DIB : in STD_LOGIC_VECTOR (15 downto 0); DIPA : in STD_LOGIC_VECTOR (1 downto 0); DIPB : in STD_LOGIC_VECTOR (1 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in STD_LOGIC_VECTOR (9 downto 0); ADDRB : in STD_LOGIC_VECTOR (9 downto 0); DOA : out STD_LOGIC_VECTOR (15 downto 0); DOB : out STD_LOGIC_VECTOR (15 downto 0); DOPA : out STD_LOGIC_VECTOR (1 downto 0); DOPB : out STD_LOGIC_VECTOR (1 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); type pdbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_1024x18 : RAMB16_S18_S18 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), DIPA => slice_a_pdbus_in(i), DIPB => slice_b_pdbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i), DOPA => slice_a_pdbus_out(i), DOPB => slice_b_pdbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S18_S18; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 2048 x 9 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate component RAMB16_S9_S9 port ( DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (7 downto 0); DIPA : in std_logic_vector (0 downto 0); DIPB : in std_logic_vector (0 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (10 downto 0); DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (7 downto 0); DOPA : out std_logic_vector (0 downto 0); DOPB : out std_logic_vector (0 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); type pdbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_2048x9 : RAMB16_S9_S9 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), DIPA => slice_a_pdbus_in(i), DIPB => slice_b_pdbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i), DOPA => slice_a_pdbus_out(i), DOPB => slice_b_pdbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S9_S9; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 4096 x 4 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate component RAMB16_S4_S4 port ( DIA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (3 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (11 downto 0); DOA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (3 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --type pdbus_slice_array is array(BRAM_NUM downto 1) of -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate --slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); --slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_4096x4 : RAMB16_S4_S4 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S4_S4; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 8192 x 2 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate component RAMB16_S2_S2 port ( DIA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (1 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (12 downto 0); ADDRB : in std_logic_vector (12 downto 0); DOA : out std_logic_vector (1 downto 0); DOB : out std_logic_vector (1 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --type pdbus_slice_array is array(BRAM_NUM downto 1) of -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate --slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); --slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_8192x2 : RAMB16_S2_S2 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S2_S2; --========================================================================== ---------------------------------------------------------------------------- -- Using VII 16384 x 1 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate component RAMB16_S1_S1 port ( DIA : in std_logic_vector (0 downto 0); DIB : in std_logic_vector (0 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; SSRA : in std_logic; SSRB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (13 downto 0); ADDRB : in std_logic_vector (13 downto 0); DOA : out std_logic_vector (0 downto 0); DOB : out std_logic_vector (0 downto 0) ); end component; Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH + PRIM_PDBUS_WIDTH; -- (data + parity) Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --type pdbus_slice_array is array(BRAM_NUM downto 1) of -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); --Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0); Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= Wr_rst; port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= Rd_rst; -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate --slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i); port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); --slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH); slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH); --port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto -- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i); port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB16_16384x1 : RAMB16_S1_S1 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, SSRA => port_a_ssr, SSRB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB16_S1_S1; --========================================================================== -- End of Virtex-II and Virtex-II Pro support --/////////////////////////////////////////////////////////////////////////// --/////////////////////////////////////////////////////////////////////////// -- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 4096 x 1 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate component RAMB4_S1_S1 port ( DIA : in std_logic_vector (0 downto 0); DIB : in std_logic_vector (0 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (11 downto 0); ADDRB : in std_logic_vector (11 downto 0); DOA : out std_logic_vector (0 downto 0); DOB : out std_logic_vector (0 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_4096x1 : RAMB4_S1_S1 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S1_S1; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 2048 x 2 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate component RAMB4_S2_S2 port ( DIA : in std_logic_vector (1 downto 0); DIB : in std_logic_vector (1 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (10 downto 0); ADDRB : in std_logic_vector (10 downto 0); DOA : out std_logic_vector (1 downto 0); DOB : out std_logic_vector (1 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_2048x2 : RAMB4_S2_S2 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S2_S2; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 1024 x 4 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate component RAMB4_S4_S4 port ( DIA : in std_logic_vector (3 downto 0); DIB : in std_logic_vector (3 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (9 downto 0); ADDRB : in std_logic_vector (9 downto 0); DOA : out std_logic_vector (3 downto 0); DOB : out std_logic_vector (3 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_1024x4 : RAMB4_S4_S4 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S4_S4; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 512 x 8 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate component RAMB4_S8_S8 port ( DIA : in std_logic_vector (7 downto 0); DIB : in std_logic_vector (7 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in std_logic_vector (8 downto 0); ADDRB : in std_logic_vector (8 downto 0); DOA : out std_logic_vector (7 downto 0); DOB : out std_logic_vector (7 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_512x8 : RAMB4_S8_S8 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S8_S8; --========================================================================== ---------------------------------------------------------------------------- -- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE -- 256 x 16 Dual Port Primitive ---------------------------------------------------------------------------- Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate component RAMB4_S16_S16 port (DIA : in STD_LOGIC_VECTOR (15 downto 0); DIB : in STD_LOGIC_VECTOR (15 downto 0); ENA : in std_logic; ENB : in std_logic; WEA : in std_logic; WEB : in std_logic; RSTA : in std_logic; RSTB : in std_logic; CLKA : in std_logic; CLKB : in std_logic; ADDRA : in STD_LOGIC_VECTOR (7 downto 0); ADDRB : in STD_LOGIC_VECTOR (7 downto 0); DOA : out STD_LOGIC_VECTOR (15 downto 0); DOB : out STD_LOGIC_VECTOR (15 downto 0)); end component; Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH; type dbus_slice_array is array(BRAM_NUM downto 1) of std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0); Signal slice_a_dbus_in : dbus_slice_array; Signal slice_a_dbus_out : dbus_slice_array; Signal slice_b_dbus_in : dbus_slice_array; Signal slice_b_dbus_out : dbus_slice_array; Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_a_enable : std_logic; signal port_a_wr_enable : std_logic; signal port_a_ssr : std_logic; signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0); signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0); signal port_b_enable : std_logic; signal port_b_wr_enable : std_logic; signal port_b_ssr : std_logic; begin -- generate port_a_enable <= Wr_Enable; port_a_wr_enable <= Wr_Req; port_a_ssr <= wr_rst; -- no output reset value port_b_data_in <= (others => '0'); -- no input data to port B port_b_enable <= Rd_Enable; port_b_wr_enable <= '0'; -- no writing to port B port_b_ssr <= rd_rst; -- no output reset value -- translate big-endian and little_endian indexes of the -- data buses TRANSLATE_DATA : process (Wr_Data, port_b_data_out) Begin port_a_data_in <= (others => '0'); for i in C_DP_DATA_WIDTH-1 downto 0 loop port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i); Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i); End loop; End process TRANSLATE_DATA; -- translate big-endian and little_endian indexes of the -- address buses (makes simulation easier) TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address) Begin port_a_addr <= (others => '0'); port_b_addr <= (others => '0'); for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i); port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i); End loop; End process TRANSLATE_ADDRESS; slice_a_abus <= port_a_addr; slice_b_abus <= port_b_addr; BRAM_LOOP : for i in BRAM_NUM downto 1 generate slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i); slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH); port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto (i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i); -- Port A is fixed as the input (write) port -- Port B is fixed as the output (read) port I_DPB4_256x16 : RAMB4_S16_S16 port map( DIA => slice_a_dbus_in(i), DIB => slice_b_dbus_in(i), ENA => port_a_enable, ENB => port_b_enable, WEA => port_a_wr_enable, WEB => port_b_wr_enable, RSTA => port_a_ssr, RSTB => port_b_ssr, CLKA => Wr_Clk, CLKB => Rd_Clk, ADDRA => slice_a_abus, ADDRB => slice_b_abus, DOA => slice_a_dbus_out(i), DOB => slice_b_dbus_out(i) ); End generate BRAM_LOOP; end generate Using_RAMB4_S16_S16; --========================================================================== UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate begin -- assert (false) -- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!" -- severity failure; -- end generate UNSUPPORTED_FAMILY; end architecture implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/srl16_fifo.vhd
15
13201
------------------------------------------------------------------------------- -- $Id: srl16_fifo.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl16_fifo.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl16_fifo.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl16_fifo.vhd -- ------------------------------------------------------------------------------- -- Author: D.Thorpe -- -- History: -- DET 2001-10-11 First Version adapted from Goran B. srl_fifo.vhd -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "Bus_clk", "Bus_clk_div#", "Bus_clk_#x" -- Bus_rst signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_adder; use proc_common_v4_0.pf_counter_top; use proc_common_v4_0.pf_occ_counter_top; library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.std_logic_arith.all; library ieee; use ieee.std_logic_unsigned.all; ------------------------------------------------------------------------------- entity srl16_fifo is generic ( C_FIFO_WIDTH : integer range 1 to 128 := 8; -- Width of FIFO Data Bus C_FIFO_DEPTH_LOG2X : integer range 2 to 4 := 4; -- Depth of FIFO in address bit width -- ie 4 = 16 locations deep -- 3 = 8 locations deep -- 2 = 4 ocations deep C_INCLUDE_VACANCY : Boolean := true -- Command to include vacancy calculation ); port ( Bus_clk : in std_logic; Bus_rst : in std_logic; Wr_Req : in std_logic; Wr_Data : in std_logic_vector(0 to C_FIFO_WIDTH-1); Rd_Req : in std_logic; Rd_Data : out std_logic_vector(0 to C_FIFO_WIDTH-1); Full : out std_logic; Almostfull : Out std_logic; Empty : Out std_logic; Almostempty : Out std_logic; Occupancy : Out std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); Vacancy : Out std_logic_vector(0 to C_FIFO_DEPTH_LOG2X) ); end entity srl16_fifo; ------------------------------------------------------------------------------- architecture implementation of srl16_fifo is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Signal sig_occupancy : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); Signal sig_occ_load_value : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); Signal sig_addr_load_value : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X-1); Signal sig_logic_low : std_logic; signal sig_almost_full : std_logic; signal sig_full : std_logic; signal sig_almost_empty : std_logic; signal sig_empty : std_logic; signal sig_valid_write : std_logic; signal sig_inc_addr : std_logic; signal sig_dec_addr : std_logic; signal sig_valid_read : std_logic; signal sig_addr : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X-1); signal sig_srl_addr : std_logic_vector(0 to 3); signal sig_addr_is_nonzero : std_logic; signal sig_addr_is_zero : std_logic; begin -- architecture implementation -- Misc I/O Full <= sig_full; Almostfull <= sig_almost_full; Empty <= sig_empty; Almostempty <= sig_almost_empty; Occupancy <= sig_occupancy; ---------------------------------------------------------------------------- -- Occupancy Counter Function ---------------------------------------------------------------------------- sig_occ_load_value <= (others => '0'); sig_logic_low <= '0'; I_OCCUPANCY_CNTR : entity proc_common_v4_0.pf_occ_counter_top generic map( C_COUNT_WIDTH => C_FIFO_DEPTH_LOG2X+1 ) port map( Clk => Bus_clk, Rst => Bus_rst, Load_Enable => sig_logic_low, Load_value => sig_occ_load_value, Count_Down => sig_valid_read, Count_Up => sig_valid_write, By_2 => sig_logic_low, Count_Out => sig_occupancy, almost_full => sig_almost_full, full => sig_full, almost_empty => sig_almost_empty, empty => sig_empty ); ---------------------------------------------------------------------------- -- Address Counter Function ---------------------------------------------------------------------------- sig_addr_load_value <= (others => '0'); sig_addr_is_nonzero <= (sig_srl_addr(0) or sig_srl_addr(1) or sig_srl_addr(2) or sig_srl_addr(3)); sig_addr_is_zero <= not(sig_addr_is_nonzero); sig_valid_write <= Wr_Req and not(sig_full); sig_valid_read <= Rd_Req and not(sig_empty); sig_inc_addr <= (sig_valid_write and not(sig_empty)) and not(sig_valid_read and sig_addr_is_zero); sig_dec_addr <= sig_valid_read and sig_addr_is_nonzero; I_ADDR_CNTR : entity proc_common_v4_0.pf_counter_top generic map( C_COUNT_WIDTH => C_FIFO_DEPTH_LOG2X ) port map( Clk => Bus_clk, Rst => Bus_rst, Load_Enable => sig_logic_low, Load_value => sig_addr_load_value, Count_Down => sig_dec_addr, Count_Up => sig_inc_addr, Count_Out => sig_addr ); ASSIGN_ADDRESS : process(sig_addr) Begin sig_srl_addr <= (others => '0'); -- assign default values for i in 0 to C_FIFO_DEPTH_LOG2X-1 loop sig_srl_addr((4-C_FIFO_DEPTH_LOG2X)+i) <= sig_addr(i); end loop; end process ASSIGN_ADDRESS; ---------------------------------------------------------------------------- -- SRL memory function ---------------------------------------------------------------------------- FIFO_RAM : for i in 0 to C_FIFO_WIDTH-1 generate I_SRL16E : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => sig_valid_write, D => Wr_Data(i), Clk => Bus_clk, A0 => sig_srl_addr(3), A1 => sig_srl_addr(2), A2 => sig_srl_addr(1), A3 => sig_srl_addr(0), Q => Rd_Data(i) ); end generate FIFO_RAM; INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate Constant REGISTER_VACANCY : boolean := false; Constant OCC_CNTR_WIDTH : integer := C_FIFO_DEPTH_LOG2X+1; Constant MAX_OCCUPANCY : integer := 2**C_FIFO_DEPTH_LOG2X; Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1); Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1); begin Vacancy <= int_vacancy; -- set to zeroes for now. slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH); I_VAC_CALC : entity proc_common_v4_0.pf_adder generic map( C_REGISTERED_RESULT => REGISTER_VACANCY, C_COUNT_WIDTH => OCC_CNTR_WIDTH ) port map ( Clk => Bus_Clk, Rst => Bus_rst, Ain => slv_max_vacancy, Bin => sig_occupancy, Add_sub_n => '0', -- always subtract result_out => int_vacancy ); end generate; -- INCLUDE_VACANCY OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate Signal int_vacancy : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X); begin int_vacancy <= (others => '0'); Vacancy <= int_vacancy; -- set to zeroes for now. end generate; -- INCLUDE_VACANCY end architecture implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/ramfifo/clk_x_pntrs.vhd
19
35009
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VHfaMJ2jDU0R2eAkOntfC5B4/6MobpZ0NSnc7trviKzQU5KHakm896MNUQ/U/XUDUOQl1Ix9hEug uFcdFGHOlA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jZ28dq+cqatvP/oWT0j+kbhevax+rcvgcOVET6FHORIxsClPAe5EiSXk6mDgtoieHOJgnr3iO4zI pViSw9QXhHwC7nkjQzCL5GNnIAYREubhi50JKwxrsTofbyKzT/U5b+jDP0girnK+nPIjwrQv3vvD PHropUlOeQU1eg5rEJo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wyTaR+5BBK3PMm+GuCvq0Bco7y5f/oiFqNMyoEJ+yA7qA21Rc24sV0Xv3v9W4doHSIdeeP0oUNh7 9I5Dbu7bsdY24p4a6rVQlpW5VOJjg7abnoTszev3jaBtBOpAM+FQDIkOj6hl9ZK+eUTOGH08ap1P 3rtu9S06fVXB15p5GUL4qJ+pbX9as7bXZJVw8JMDVFn1WsdJ/zMn5PNvL5qC5jZb/F7Sf9m7DkwY x8I3vpZz7RsD6/RmMhT4lv1FkcH4MpJegB1J0hL5KoGG72FOKCqONCLsZdmnqz5BmJzgYmphlYZC jJckdSX4yOLEg+jbosSObzMclIjrm9gORAOhKg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qekQcsRlt2+SE3/eW9XQwKmx/wWWvcG3c3jSLvuGiy4GIetXM6PaXqKAuGTMI8b+mux4A6dEdodI mIX5ojnf5ZA1jyISA9q0jKtn/LDbiV/JtKzm0pK23fPqh9/IUaTz+oirXN82WQzZFKQ5TKpwrFn6 ZmImSJcOKVgUcM/iG2U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tlEZl/v6lEdJp5aVMLYyANJmLh8DNrpNnDhyEkIUHbeTfiozIDqQ3eefGpJHd1yUjxDr+M7d69UI c7u5loKJo9CP6qAEjMhB9NE50dWkO/cRVvdlBQSlpGD8Asrd28oTNAHTTge+6t1TRCmYfvMKOt+b zBqmGPTyIDG3LI8DiLXNfUjWjl16n5IRikeD/e8FsFJjAF/a0Kjal/N8CzCmRiQPdsZhdMiruSdi vpIRkNPRNpCK4J6asTfuTemt2JkEkG10IvEYhZ/qTCco9PECc5G9y0loOf9owc6R54o3iALi9D4Q T0iTW1tROVF1jLbRTIe753z7r02QD4PyC+02yQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24176) `protect data_block 9uPgVvwI6RrrfFMtIq/SVSsHOE+nm05nS7PyyAHLch2CLIurm+XjDM/qD4/zNTuwYK+vMixdEPy9 r6/YroN3BA8QaoG9xTEyNkMBeQynuYgfxwipFH4GZw2nR1EKSom5L+ZL1RSiUI12KGEs5YirgWE3 9unGUEq6jhaSGvTxTbGU2a4XxC3p2eZhZCMDGApV13D8q7BlMfknZHAOFPUmU92u5tfQfCGsM/Ko YY4B7hw3J2My/0fZUn1wBNTzrNTlXaG+OP5V+QK6e3Hkzui3G/FzaaSxfRxo88+th3SkWFi1RQ9R +DfaT7N3GiKxZBbsLBBu+H+Aa08HKsSp1Wda5ILpT0RKHW1xFxPZDW7vLjahFKBqquyfRnPsvnU0 0rOyZVpI+4hVzpjjXgAVayFZEo1wSQyKbjN39s1nhs4l4i4rhLMshlhBQzIakbV19OWRohyQvpNT 1RJTqNO09c7QKQP0vqTKwNtA0dXeRy+2lXl5t0Rozc3t1L/RIEchUC1Bd4zaVkcfEKBFP9HV8y8V X40AsHn1y7mqsSZGioltL+LBe9XHm8dhSO8a933sSlUwELFU2HfvvmjXYLIt/ovj/g+ixn+TDUm6 XZco9O6hXO/UEJkm8vwIIiYpgioXgNsBX5pfvhE642i2A4ACWtQacGlbYZf0kO7AYGTrX4D9B0xS cebusFkSWFvpIUDEmF5fHj29Xtyqv4PF0dCcDaSrzR+iA5yaK+TbN0n48e5EUthf2kxRjWzw0BSj kx7W0pvMPMJ1E0A9Gouw2WWvElH39cEdtjWi30sjfnT3IZm5geQwbRYxZvbDWGM6lgfcdZlOdmdx KVIxmnWgFrbqSVIuRhmLmGskNTEHv8QZrdaSQHG9zcPBgnQxNjiT62+mxr13mXbYww9+/NOLnA/w ysU0VEo3gDRrLY8NDxoclm/WWLqflzmeUesKgdu2VXNg7hrm6j5Dm0HfMjI7baSZuZm2ckUVbIG3 BLCCsC1XNsea7UwxBYbI+kd1NIDhhjJiA3TeOCtuYBnwzhsETPZGGPMR/32dOMPUL9mRnxP8+ooM TNQKirBNwZN02C58lQhr5EVDFqwaB/lrwhKzH8vd+SxmjtH6HiPVoqJr8DyQo5vhFFT/g67QV2Hj vGGVEinIL2MWRZ9HBix4YflxYlAfLPFH5qi7woXp/jU2b5ixeCoLEXXwXvn4AGpn6OWYPw8xwFgp Hhqw2Uz/qqUm+b7Z7QnmlveGJp7iXiuDMpFS/hGwlp1gfEp7FqlXDyUMSXvaWljjJybJaQ5qenR5 6/3dVlFo7hguKSfMUx/vRcgNUfIytnWzLYpyeD8/n8AYjesqsu0QS+z7hoB//oT6kczH+uomWCFz m1cxn77z/UQAWdPENPVLWNJ/dg14jU3onMp2iKOmhumx+J2mzmV5ZBQy+6nvnjvlae/aU+JuFxHJ sHRItxkSFqmsYk/jbuLJv/6Gyqm4DUw9cBtRpmfRbLKN9OJ4Fm+88iwIr95DJ+pJhrzgEDLH/oKK 1Cle7mcYpiy8ma37Dg/8RuH7vjU+9V0oRSZobVaAVJCGZ/aCqSFTQafOGNXcBnvxsD9u47UeSu+w egLAYPhuUZ7M9UZGfW3V54XgquLinsN22f1C5ayQvyPPYWqJ+uVhnGXQnvWY+grSosd/Fy5em5zs K1XT1pOVwFrAtdRlkdB96fVIVaZOTAwTl07Vt59njjDj35eqQStsq5c4alD5D/mQ/dcE1//zabBo 4cqbWBm0Jf0je63V4MxzT0RGFM8JZ9d7NB9vZlbkrfPCI91DcqDtMOuC4U6WdYHayArXfpWvmVIi 77pqJeAvBrNjsjs0wyUIn90ULZtsCx0vaxv/p/yNcar5X3BpJ5yKe9QcbJR2ZfH6VHUMwa/HE5Xg EaN1y3rOIl6d3e0+Z+/6gI2a4JvIlHyN6gucCWnDsHKUn7opPS4u8f3LkNfqZTwV+k80DKLfZhzc Tx8pPZJ/fabWdTB3fAPBAr1owaH7tT7MiKZ5dXvFmGHs//uaaGMr2CthCCuPOOtAGRIMU97Sk4BE 5Z2twvXJKCvM+VztnLXtkKE0SDKexf+b1SHfCkOzK2sYQDTmYMfvNmgEVB83eeXIue/Vab7PAwCg mqmvk4wm1zlTK3p9SdzFaC6tEVu0odNrBkRjXmtNcRjnJ61gPUEwf2pGz946/J7gTey2ViofKKm/ 9BndNa0XK8uIB6bjAPl++5xvJxUqSiH6D1pwnuqivyu3zZ6tYT8PdJ/+lOfrAEem7rjI3aBNnhaf 2q6mG6HstLZdHFwxiCr49SpLwVSPxl4NhJNwmLZLYRo0EBctfpyHtTHUVGhlMgiFDRmYbXYDhZCX 81Lh+Ob+sNwkHQtaSO4N4MNPICb6P6OYHPRvfZA5qpTYy7J7zlhEfmfoyEERDyuZ/Xg5D01UH4LO 1vJ+RbOxmmkjdt+CHIGmxyS3me8hOvruourYkw5U0pr5dhWtBGA5J2pil5a8YOBuOUkD+xI0ApzN dhu0vqsykCl/W1P7j6+QppNmGjfwpiex3xbJtTmHzfFt9PzXW/ylAAos4M61R7zbUx2XB+T+3lgc 6bVr/WKukftSD5+ZX7+3hd7DmD+g5D/M9aKFDKM55idvgcHnC2Blp+g8TLV8HfxslsOwJAWcnmu+ iVxy0XoNKIaBPMMoBGJWOffs+QrPN8Jheia1jC9yellc+ZEW3VYWAvQY3wxG8gkxd356GK+Z5ViC uBjwqJp9FQGGNTAwMdwxmWKf4OVU7Lw6Ow0999wogokRp2lbR9MOBX6SY9sresZ/9KaCc9RZuCya GYEul/mZd0Od+YWDNpVRGqyOwA23hPKM1L34g85XJpcIjW7/FgndHtDWs9XlYhj/PCz8pPCH2jtK Wv2V3zSPPH3U928MocKsrI6DUZU8+ZQFfQvwabo0bLCrFyo21+w+L568VRMi6Egcv9Z/0CLKpcM8 L+5svwZD3k/mFB4H7UZJLn19TFrdlSWu/Se4hgyoa889UZrItcgKKyuNiu44T3aFD4p1SqHFats6 Qwr/KjhCRWKCuD8UZoVjIxCyi96YO2HgFJapNpAmgfNkbjZT9fVX6EnSl9ENpdW/8pI5Xq2OvrnW icYijNJul4hqFou7YynBWJSWeE6yWKaSLFz7aOZj05ELvtD5k5q13JMnETgDjDOR/DsdSkJk/KhO SmKq0/n24IEHaAid/41TAdHG1/Ya67Nz/2eocnCeVpWEb4ydQvBaG3cjcbRE4SRoPjVpKy76uOOO uIpPLpCWvAPU652qxFSygA+83kUu8yux/ofnU52WW8NVQIrcmvKduat2ubUoLgxS9VbueDKCBDGO FmlYEj3yaRhf1G1/7zgT7GcQ1oBYIkYKKiCBpzEp3X5ndmPCWY48fsn1O+RmY9SHMwxanjNkl4vm dvpnkR18RXtjtqcr9oYtLQk6hu8CflQFUGQTUGi1o2axAR2EM0j+gxzOedcXW5xss3wAqYgmRIAc VrIdn4gmQ7qtJ3h3tOCKOf3traSDXt4RdYQArdq3glXyOKzS6ugS/XI2zwWCRur7kk5g7nuevV4l lRMOZRgDiwBdbvvq98FfoASqaa9kPsOp2ACp9M2lIv2vGKVheLyKIGzF76G0RmLo3FtOL7/bOIOC MdXAwL0W6b03lCUwLtnJGNAyZZzY8xgE2obkOCxCzgltZ12QZF1yQFeyr7tjagNZGycgYgm4Lrut LHKcNpu4cMZID5KIBc3fBBflev78gMlVf2OqZcqsLq3+/7umqmtxTvcCRIQsrRmNjLuOeb0uz9eD KaiAjw6qwiQbfH2sFPsf8hGDCOVz2phKhV8bJehuCisHRZxQQviithp37jHWW/f/vVqNjQ0KWOTl 4mCIoUzVyl1nVG69ig1sgoJuiHSA12B55oVtHaz9+ZCdS1PrU7pyZQOGnU+19YMcWO5YXDHcNj66 38fzegCiYLi98RlkyycEO6mAlqtxzCGkhUnKjbVramL+p1Z6HRBvVzOBu7y2n9DGiXT+PS9vAABJ GGVJiCVaXxZm15302rY0goWkJUMufZBmL/G2AT3oXWdUn00UbbBcf9YDWM1e19mVMeLFvCGvv4s4 sgYzFPQQemH/bh25jC4QzmbYcXReSfKZ2y9eh3NlE/2jhfRmT0hi3KllVEvscZGukBQQhW5iN6qD VKqRMx6Zjz5/LEkPY92yFSXh1EiUJLIzkwCuKTeqIlIsMZRdQ0zuwE5Kfw3XrNBDcVWS+728zr9E ZwpUcQNBlqFzdlgM1sHCfxIo/M0P99Ydu1hWwFq0qjQEBHVz2zvD4//r2oLRJfRcUR7MWVlUoD6u 0wiGU6C0Bx9xjEEIX4EKqmhinz8oNToDq19MRBj8XfkGnLgxqyYbMT23b+OXuqAhHZb6CWu/6jDt Tzfh9OM0hLe0BSdxfplS1rHV9oR8lfDxiJjUw/yqNZ3rIJV2CKBtQjNc7p8ohx8SgGJPAdzyVeTU 6AysHulDvPdg3xc0Yl+aULakeVEBLthbUe+iNiFRGsqOKAiBlZMZ+zvRgD3C2RUgilWQ7idXXcRc YEVkXOessjQ82WEBaNqivHct4EbMGIeMhdg0luaUHo3rCORCT8j2EBTCBtsb3SubSPOFA4xqoDCM OC+Sbeo7tFUjHvYcjVYHhKlzD96kEMy+yTU9a4pSmPRlUDJhPHW8UREjP4KNIsG3gJJB9+6D5Gqx B+DPwPOYqUOifglGzyuRYVp9frL4ezccNTP+LKFUNA9qN9L2/imO9ddW5okFI2TofI7LR581DNpr jJwVHcytw1VAYqe+g7PfgUfDKqTERCERpZ37R3eolITjN0ciQlZcTyIv3ufzSEp3aaC+4u/XAh/d ZOl946Ip9HkOuCchH6iwZRB8NXTuxb8Au6imApcMYa4E1r/uY//GCxGPbRU4QsxVdwI+V2aLIdyx 7x5vMBqqGnxjujvgr8H1/P/X6so7+eH7Ql8bn28ofisWD0sfQhEuhW3m+sqAywSGiDfP5BOZIkfT coU6h9jNFjJ2Z6UMoTQOW8/ZGSeOLnMP95Ndy44oFIn9iXYYmHnEIX4vO8CknAVVTi22Z6pdKv/4 U+DRjY/14jOk5ryQ7fgbChlZjWCS9VletSdASi+tBbiykeuqYdKmSFM2na2+DsUr51qAfaomq+ce smR+cQn241/q+K0nqAY3uPKhPYyhA0YvRybcW7NmW8lYpiM6FbgmtwNl9CCio7CF5ecUw4ofaa0w +tUVBNHCk9xWjB8ZUoHCUYgCigyjy+5Yhn5pH7vJ2rPyXaubdtgQme8fHJ/BEnRhaZup5zeGKYtr ehivf4Z+F4PHnbywPcDmWZBZssu4wxCXTScjTdR378ucMshOHHywvqE5thAWKCA1uDxNDc2uehtT 6JNBI5k8ach8Phq1vU54CO7G4y8FfK3UpwNtnCKYS4g0ZC4DO6O2aw0dsF32dc3e01dPClSlEOgU x30Lbs7nNTEG0RSnhY+9nic3vhMfDQH2fJxr4UE3LhiczADaH+FZ8AXQbysUbA5nHutN7faQYPXD 41Jo6QFQX+o+T+e+TlJRSZMSrQ2LjL3PRuGahT6ii2xzJUr+HRHGiw9XOABvXJKXzesWCYR0CQMs P9d9XKGXRfzkhCkhi5RGxKd4Q8CnCiNunAx3x8rdDjbh48Yw8zWGKNJyiu3802yqV529nvuD/wa3 VE6Y6W4X4A/MjVblMsq/EsIHLSyNo9l1FnbkElCahqcVoHbQf5pV4u/bCfmsIR99tqUu87d5IauH /Ew1mnHO9K3jKlyAFr2XALocTBtW89PpwaTxEEzXAteT7FjmPazAWAcykS+su0YNr9t2oE9sV07W aAHPpHIz5FxDg0RVlQ6798AEv1DRwYzlrSQjbrpHmWaRTGnfvS5xOngkFlnLpSNNI61bHaa/qSKE Jsc5yOSs48T31TMvpIk2YT58h2XtTWhRsQ0M+T42HPPAwkf8gLyijiGLKWRJB9YDnZZW9JXLTfgC 3er1Fq0jrts0ppLQ3nYrTECQ7Vx6+llvuF9pDgpfp0+cC0XtKRZ0xdUusjS8GB4Kb9rAvRK3XaVv ikDMs9QrjoTE7FVpd0nfplLmKvD4vwccP2fjX4ERCrpp6iNFSkz3hFfJeW3Yedcz59y3zYsClndK +cUu9Ts739Kp2ucZJGZD9YdEDi03pjMS44hxRQw08XxJ6wHO/1qJDjLjrjp5xxIzTp4JX1dOMcgM gVpush06RjeXahWXvzSni1wZBfuPNR2qB0dzJGRV0iLGbsEHYcLzTkec3cqitCoimO3CLxfAp3f2 Fs97RyOZyGKcMNnWe1RyVePc6/5jlgb1UU9a6gFVTB2X5rLZX+rsOYxHo/OfRUj7IuWNAGto29yp xqw1IyV28EAwNETZ/D6KKpvwIezkOzJ03+5nht095uMvPOk5AGo6piXdjPG1X6HudZtAjwTgFkzt Ml/qDsfwSFa7AKhAJO3QMKteD7V+UEs6US1vZY8H1qPEnSROtoqXQiEForUbpRvAesS5/umrhE11 EkyaXOcZv0pUwSm6gslOvvYORUcjq2X+TxBxhHtFsQ1FLmZ/b5tZaMtMvtPuK0miw0ToZgMnscr5 1AA3OqPvXDxfk+4REulCHvOSPxAftObxbeRERvWqakLMlXzbcx/ePZOI+5xEbcy2+JB7UK5zMk0b eOI3cgylUoI4u9ymbgV5FNPjGQWa/21iqO+19t9/lfZAr+sbX9/Tqhuet0/6iU3xXMWyfZ4Fyhus sAyNSPS9Ce3rL9dDkuUtGJ8HW7fVuiw2O7Fjyn50b79QffSF352a4AlchGDBJIaUa2K/IqF6Yna3 xvPj2MyI4kpoDyad9wI9dGDBR8oXdq2BPUlhVXowRo3Ouew1b6HXDWF5jvQiu5BIgl4ATZvlKeKQ 9rTkgoUguCuKuwcpE5cqoZdUWP4PhCnFyOOgFH0PJCq4nRZwLIUW5VpEViKi6sMzDJP8Th7OFvc0 Ix/tSsy96a0LXmCwWOBH0JEiDIQUUxpgZdor+bOM2kIc6gne7Gfiwp8LWlQ2MQl20HuDQ9Sdtksn T2PvswDJJaHNlVGUsnMuJ9dBxwCo6EUsNdLf6nE9u7kDXXrzy6wYdq22KJylMUuhfOSlmp8ADbiL sj1+LOE0NdRe30H6zbBpDrVMBcHnDHTQT8yzru8/g9vCPEd7GfrZBAc0aWkughwkeCBtDMyRjPfu GH6V3YPRbnHD4fW85QwnH9FEQgMXl4dTx8xG1tdEmwW5WBuMd02H6Ty5h5e47B1kDSsCiLdEV+sy RFUI2dhMDX3tY5184hSYO6TPuOQDRi8AHKTwevP6qObAg4suqLbfKzpNg7tKjYeRHWpGwglxF/Qa DLgvEJXMd1oQS03IYanpw3bSP5WHLGsSGfN618e/6dkhx+Oq0euSawoeWPnznDmOwx9tEn/zmOlD SHUmhcTfKu3HNK65Dzegal1ejzczGeCqlAr1nMhU2H4yTHBRUVR9/5q/ARrRV6jEPPlY6EBeJYUA t2D3NwN52+b88SThSZMtjXdjkKxe2AhpGeCMPchtdWXxjDBCWz7O79lK85qJbMXl0bNFWNmx8+dL y508B8nP7rbVyAmw78grqckYm3KCBFbDe+F1JLI2ida8Fm9/MlPvWo88k0rTf4/hEXKPIwEb3ulF XKQ9gmOfEwH8KVpMKsmB8ruEd0EDgwh0hDaFBUwDexc828wKHgO26NVI/cKKif+1kp/7Pa3blW4w RBGZ5uCZgDssqwHpdGPESUjMIYmFM6rvi4kS99uiWUdkmc2+D9Vu5laPtYxAlIoDs434cvecqw6s u/3/+kW4VOfReHEJf1wy3lAjpXif2LuZCumwZ1y4mYrCYZVGrVwdO3sx+Gtg8GOypDLgyRQxUWuG 3/e/QXdvXNoPxrggUwrOo8BErDBZ/miHmU+xWcQP/+HeiUIf9iWZmagcuupHDXXt0orHYl3yrZHJ Y4oaFiuX+z41RA1LbH6GL70FXI0PgVvajdGpG2/xwxYaBGIOV8SltM7+dyPXJkpte6Brt3bB6x1V BShgmGdNrT2hLSuq7vHwSyD5oCDUoFViHXsHfMXIG4kKPyEmpIpMuN/kdAosL3m2NuWfU7e9GZY5 RRNRjF8K0AddO7KrmfZI9Tde7CM0eRFUTGMJkzR9aYd6+BB+i7cpwp1I51pm58YRlJfRv0U8Z9Gq kkZrMEai165JW+ru069MZqZjyWo6LSIq50jFIMbir+JrbQc9DrliZk0syRUo3aYeLqUosjaLButz KEsPc5sQoaJT7EMLe7waGq8MD7ShZQGdEmN5TQ4KlscZjpZtR4J3LoxfSie+RCRtRMvzMgp2q4VL Zfujp17J2t03WYUUw2Dr1CcVf3yZx7/BHI//6wLbkCqingZHa0zpNwdWmOhpwrXs/AyM6CRVimTm ATM688rsPxY0QAF5NIK6slnc2V6xKLB+4+5AwVIbdirPHJT+aydoM91sMnGC/3P+Ty4puoVvJ72o Vdbm+NX8CGfT7BsKCrfG63ZL45caRhKBguACLF40yCNoyVKPoBlJqfAuf37d02UPXQobev6IbNyX 7ghqtrKbPF+J6ImfbYDg/qGuhOhVP1vXgPPQ91u7PkdP3BxbFeeoqWIbeuEO4VOxJoKiPTfpAzDn Fya5Yd9hv7k0gVHZkff5Y6PT4gckjXaXE8LLQkpRLcvZ6/QpcASBWTra7XJFMGscYoByV0WAp0No 9Jwe7g1lhVni8OwU86pNQqw+GkkvTOriSszPQM2NkCbYNytGr2JCgrIYjwaNOisrzmpADuVrak0z w45VuXfoHLAbS6XVU4uZzwgBkkWnFOt4YVKlebBauZe+0QA0RkPNv09bJBDAwIoEHwEoN15pmvjX YMXyN5F08M3sbyNHamY1Ap9i/wR9nw2YkWjOb8ajfu88QDy425BNE9LispNqyDXryogCE80K82I2 ktuvZ5+q7puWxKrw5peao9G/s4KFQ/DX7t7pXxAzPGDrBCqXtGBP/KOa/VOClUGVj/Z8WqwgW2B/ FXS0FbzJh3eMCV2NWo2x3uy3NG/XcKJ1QOfhfEWIRcl+zlezt2Xc14nuPBa7CsanYKRsrdDfTjoJ 21HeMZiaMiMe+1BH5fGTRBy+GNIdjr+BcsvfqpuvWlhJ8tZORgCKPApcZosOUNY+98i2Iowq1EBF Ijhk9X+Y+Sy4w3xaBUtALl5R5tWP+HvygbwnJdgWbAsd6asVV7w43KjTlVHz3HdDnoLZC5XM9RmM 4y+EgRFmdEpmU4jEQBEm4VOwEqqdTy/qRxuFuO0KlqbHtN1mclgsknX0rGIKZnjz2sHLiF8E/sRG DaDb8CpT5orIrxzL8BG+PnWu9zi7wYRqKy8TwsNmCduO2iAVJBq7uP+pgPAAd8d5NPGpuF65t6yo RcJMoGTgczglJ8GpKLKhzB/3P6TA7QVs1w1SgT1INC12WtB6Xtm/wK48DRywxzyAYZgdzW10VHzZ Dj6EnsOe1/nja6vq0z9lWw7L9hynhAmsHkmhTXacgfDIR0g8KNkysLYvlkr2s/quBg0n92iVE6HS dZfRs1W0OCDcyf6ZGCE2JJbOwGdGVFwpI7UZRKXrnsrTS4gwC/czdQcdkQuX6v4Z4lzr27aLXFg5 yeu3nu6TriicSmhL2Ihs2jcSw6WA3hhJ9PEKikOStN6a6SaBpsA1NhNdYenNzWm/Thms37SBkBdj juJ7vFJ4e6+0I6y8NwjaDsQJHxk3d7QgMHX3nACHNVRZeT+HDkmlU8RdNwCjgs6SVqSM771PX93U 3FdTb2UApsuqUlTOBM1DZ2Xd1GS5PxMRJn9FGiLZoPPRAaO5L0OZl9LWKa71pmpnC1paQ5mqsHBs AzZzBHToxihGFICUVSYlHup8+uQnIVmckdSXLXJNEi4LCkVgXFXdpaE34C+xquq8RqWtURca/Q+Q fr3lC8PZxOuTzm6cO9HKD669Sr2yxt9A2AiNmCMNOrzRrsqwsr9u2wn4mKfL6lz4UnJGEl0vISkO cTLYLFFGxMJaNUf8IiPCQ8kfRbLPbM9ZZx4M045NX4Iqb96qId6ra/t78ags5sjTtF/bfeVWvmk9 3oU4CWO3CjMUaC7uuniY6jIVK27fziQGh6/Xh8JNwEKPNu0i2dqDLcoko1lUrAtwzbckRanzfxn8 n8j3mzDd5sd50SK8jHlgbfa8I+dEmmx81N+aJUVT9QPV26Ssb5RQuxTbG+baNhyyGBKIBDYbXy// 4ZpDT9d/bGl4wZylLr+WNnh/TYyvjj44j7F1JtZs4NM4CT0A0hvtDuht9I2o35FYX3sy9t20hN+4 D5W3w75862TGInXt8hyT85VcSCUsy3daoDmhG5tVOEVxdsHgePqKJqv79BV79WX1/gQIgRqkKTSY 1lz5GA8Hj/mJjF8GgYFzWm/Va4EYnoGwJxuZn6k0TQVr27znGZo64L/aaR/naHC/GsINs8eIXGyR e+FbiVN90nd9awnIq3pFuEpMXdUyrNf3phfbfCrColGCnLZvEpDctoL/1gOqxlP1gKdqxziQQCCI LPOiMASPkz7/ksmiSbxIHKuq9hdfAhQmt2FCBQkHc9asnbMlxWm1jvIADME4dqoENZ+AO0ElbWmh r65c1+d2fpUUsKsvmWhgkWjth3/yuQSj22A5N/GHQ8lnMEiMmyOo8YgPbv7DxhKjfKtKOsEIsaRJ rLSnSrGtwTPPRwXwadV2tt5Sd1iZPFiI3sB7J/Mu8wod0Mfa1nLBYTRs2+SXbbe2nyd9ESZFKCfC vFrg7qS2y8ZpFlToh/CuV5aqQzqAIjMhADOxv5gVaParKfuTmPca+ehyYu9oKwzbqb9cx2b7MarK M7853GtfVZZvG1WYUjJipoY8ZhdNQCwooTi49Qe1dHU9D79gMifFIcuLjNznfl5QsgVpPhCpG3Bh lMCfGSvW8Gz3MhN6RTrnjAebOD6GNOzSlPJwDbZvxvlfVVNmN0y/LCKo9uQlqeIoRuSEkTo1eT+P NbeJJCnTy7JhYO55xeBk1bnFh51WZ8bws6yWx8/3WDIfk47VV8r7uBhjedI+1pAa8lHm77c83iE7 4wXC24BPuQnHlmDEi93B/tVRlI28CZu970EsIsegjbN0DpGMbkyzoTrIZXJpwVNT7yn+XoM533Hl rEbsbjvwf90Nq0XWf/URqVUeTVZuolHxkYiucfr6vWnPdKsPFC1USEZ95XTaNJZNDpiZM7mNgq0f VQqNISjks5XX2OmXMPFWvNi1Y1wl/0DzLvjdn6ToXLq8ZegYKA0maIGxIZsKiZ8arLU80IL4R83E dT2Y+pZvXcq6AnY7nP+700rGEuvUT3FB5L3y/DUUPIIbxVEnR7ArRauwPZTXiGdR2yqp2kdcjVcy t9TLEqX4Z103yfnpUCYD59vbFdovf9QMBYD5d0q8JUe1sEnW2dK1StvTKcZAon4E7kFtgiSGBrNO JmO6vrbPoNOL3Jvy0owalx9ieAY2pUmdlAy+VX/b8LdNKV6Kb9rFOcE+CIBTJ3W1cZHP0K81jxLU GLxxo2wS5I3rHSdBI9yYzn11ml4/OiLsObri1fODyA6O5KKr55Fvx5m2B6FHrAn3/qQXu0NJqplH /wyytWnL6KXqgvLk3vst/h/uF/BtmVooGUorcIbAYKvNViQYR0WgIvStCjArVbASdwOTumLaRNQm 4tylO0Sic+nYG9LWkL1HZg4oSRm9IJQAONLh1aqkoCrj09mWNG19EaQ7eUkFSh1EGbDRroz7VVq5 LO9zOxUus4mZV3TVPdZVYHtsmTLlKlRmIoq71v6pEYwkGr6Dkaw9U8aNm0d6nQV4/0Pm8ymkJQOD VqMX4YpP2Wwqf3D84IGt/ajqGTYzs6whbQaBrKO0bGsMQHHDNFuEG/3o+vt8zOdAaiBDJwtjBDyO OvVeDDL1IEb8eW+n4PZ0Fzmbvk72nFs//bRnOl6ktcd7/TOwCLkf8RJoJEknCN0c+fO2f6JH+8I5 Ef5vbeokOH8Cgu4KWOXP+3L0sA7rQQc4n4LUnPbXrt9ngfnLsAOaTAbCnBOIF+wDzmzzKp7TIFvz yFedZ8yFMLQ0hsaBck8EnVTJ+2rw0258V8jxdBipok1szkykH6DM/NQzvBwdWMJiJs50AN9V90aP DTeqHhpYoSYZFSxvhgtoAWdmtZKHVXEfJyJO5unQnk0z9s8Zhursx5LExEl8lntj2fEUy0IRvvRe HlaCEM06mlcic47hfs47LswXkvjDQojm0E94Al37s9J5te8/KnHlROodeOEOFRosGV4Fp9jL8Ic2 IPWqKax310XK4vh9GaCBMh6/r6WYsfGiU02CbSRn1BKfuXZPhGWt1PbURJ/UcQODbeAPXLHp3UY0 KOPtNRhz4TaAqeBoNkxDWmQ5CyNjtWiK5Hh6LLJLonWczKXJA3Lu3H43+CCXYOv/3X/uVnecSiq6 ODT8RFVeere0BsVY75U5+MSs8kyw5IA3WHcWuBUDQ+PKlqB7V91JRzwa7lEst6xenvVHmNc9jvJS 1VsYUAkoRvvxKW6G5Ogn32Ao//YBePFfYGK3VboaZMhvrwz0pJ4//h76jF1bP0FRvmr+4GU9ogwb QLpchVnIxWV/yejqslgWr3t0SjmHRym+tyrYSl+49nMMaBAdDvKWROI3eejf7PmhkysC+jMY8xAc WeaCJnamwPlNLBiogqoA8Piou81T5L105Nr6PXmr5u6lnnJlIt94ISGf8olL9fzqRt3LkR4zqTIW xcCXN3Ulk4RNFYwQKPJ+8tWCpTGYwuENyCuekW5AC3Ut2VGjpvg4F+ehoFOZJS3/Oo8KPwwYMMJ+ iBf2XGv2yqvMOwlAKnBoDWLImImHRpwU3GTg3lZzw6kl70iS/ScfKk/sFhh44G+SxmHdLRtyOrCO FFFohG9lgIxcWEMma+40KXugf4lbhuy5Mgt3ZSQsunqGLk7UKc7PsA4yvYapEr3WaM7o3saJwJBc QfI06f+PpZZE2Qxt22M7Ex6cZ9XQTZUSq99I8kCfZSbhYLPInd3srhgqs92M1oYh+C4VYM0Z2Xrg j/GhKYgeJ9SPpD1X6ApsB8GzoUxTSBvmWb+1jb10YY4CaBLu80LbRNbutmQ0/nsNubStCbkzddW0 JUgmmECknyhllJLNgX7AgpssCHwz2gE5Km4FCe7cBb666DeokirW0koBJ1J5uic7CE51mS8oc5i5 U5NJNUntkK8fFrYBMSWvdVLdHdPCarXN5jlmACW+9RJ7GnOSDZtrBIp+eSrCzip3BRcC1efYP4Ue tUIqQyb+egXrHsVXxW6/YOBgPjiUVCnis362l7RzEV5iw0l+s7mu8f+IciA/528Xm4o0GQ6Bytsy qbvEU2mNvm4o9jEVBWW+KM/uo6f3w+p3+rjceJZMkSMoFU7fw1HgWboTnlX8LZoho4AqqKMpmP3t IZVjL+2Cftj/8Z8LEV3kJn2LqA2JU5Dlh2jnpocJaanSfgaU2C5DVXq0hWmK3Eoc0zWXg2mGxi/x sTo2b5L2jf2aVg51Jvedkn13TXpDHBjgyWmVukrwveAyTQrA2QrDfmLqz09aFZas1A14fEDzJ/+f zp/omzKISlTbC7ZR9c3qww5rRW26Fje7Pu8BciOKjdH3B5J4hEMUWECcMA5IZWV1Qd+L04VnS/nL l3i5x32oEwmmb+icGmTk1k/fSZ+NO2tdUj24e8FJZpAuG1NUq+4ap2RvEQVhY32c+8h0yduFZpWP YQlEu+Pu1qo2ikOlDaPIGCd+jxxxCe47qvatwe1JZiZSeQuFrK4+YmuQs8NETJQLH5gGNq/vGA4M TZKfoNVG8Nv6qdcGEoep2V9bz2xwaISMuUicNl8GBEEC3qbK3HF/wjAiZ/nVHrSUCKlwldGuCDvp MTp31AleRQvyXqDWLP4Cg38koVlnEUue7omT+/6gUGa0yr0a8zyuElxVF22zbEwS8T1MNI+Io0L1 V6s6XEoDwJmMi32NfrrgOp/UgSX55SBA0mU9xFLEszV9fc2GvD979i1PoIK/+fAiLtMZUVZQjTvU nranZK1oKGBKBF0+A+/tCP/8heIeYs83cWOSIzWOebfWucpS6+0G87DnH03UymEczbNfquaFVMGF 9TJnMOpwstOR0nJO9C8AwZneGeKyJ75MjLMhqtIuRFjcRA7KtGuPN+TeOMIWDhvSpcphubCayRq4 DXcwE6MuopBSHdw/H+p226QNy/S6Nglb1RcDxKGYDc0/Df/B3sQxtDT7y/yw6OC1tq3Es4dJC/gR ou1f4jMd8oknsmIm3D1Gs4dMo2D0p/DP1s/BhsNciC4h8Y3jTUDes+ufzTiHYLSQ75CbsznMzM1c J6+h71UAl2aUUTt3SFc8WsFAi67u+03ijwFJ8AVz7t0qVNkmYWyV4uN1MLOAUZdRSddcWJMSwlWt NofGodOZyMniR/VfufmlMf1gdaaTtUvlCk9EktsrDjEZdTto9CJovb4ZdqscWufMt2ZTHmoPEDIY 1MQcwa1zinkMdg6Ix+aad2kf6pMR1c3lsBTAQIKYTQuuELBBDyDlVjq3h2j2GVcjoqYSeFlIIwSA iRPG8jHncGt2/sTVi8XfP9wnV9PygDVNmu0BhTC5+P4nwuK5qAjESwtnAAqCAjEQkZr370r6EW2F iaABl169gZNhVfTUUmeK89FiEIrwToBo3mZ0X6TcPIa7oY8RuFBwAyQDiI3HUIeG5Dr4JzBlAmlF PWQfbrBYYbsyteKBUYjqrJsDedMxIOBpih8fymB00Kh8sbm63pT1VTowUvxs6spI27D072zgsyHm AtMrwu52zLhOsLAOBmai+noXyUyl3nYX/dzxCxIIRt86dBdpOkthpIkwU4CTuPethNgW2jpZ9R1O KgAPH5iUn6kR+VHNbZTyhPuN3ygugzlMQLJaVFnHB/AOlMk4NTq5UO6JOzv9eoljBs5w2A2O8JVx BxhbcZBvfq04nvoFzhw1ua/mAvyzGijKSixIPysoyugndWcYZFlq1NpgnUjl39e93B27K1zPvmTt L9rPdQiIuCWiObaz6w4CLq01vrScV4TiMUXFrlwPo77l1CNbpaQnbdpHJsD5OAsKxGxtVm8kV9Ac typr5+nmDts7b/rH5D9qUoxDGbsfiFZKBBYoneS7qI+7XalspVjqAA98CkyBAFHV+sm407nxthgi +tICIyuT89zgL/9bmfpgvepjjqtYG/zT5dG2R5gjOgYVSawsvbSdG7fxF5Kw4dkMSt6g5rKihgDg XAoFlM2JTH+xFXyUQathsmhh9CiNKVpRWE94OrtOX1FAci3gGBnMlylDS+ILr+zOJwFG8Yav9hkw 6Pp7OG5SQ6dy3kbnuxnrzM2Ni8d9fyDbbRRRk3nff4YEPXKMnZWm/4LYL+FnpB109N5twwtt0yVt gIMf0gdH0LSliDLfCY0PrzMu2CsEzNmKJF2/16LEV6fgeUQkrl+9skAi0o3ef0J3RAXolIjyVxG2 GdsbYRzxmVssYmxO27NDUnLcg3NfcNjmQZ5Kuzoo8kOZy+siSUsifE9rr0UOJENFmbThZEeOKUNN 811spYEKP75e65WITuhaYifnafBGxjiwcCxJzmnozvEbfiAZlWnioPEKqpz6RtplHgfzNPcg545J kWB6nz4mbfs6IQJRDyYM4g5nPr8i8iAHmiWXmqC5MAHXv+I8xiRoQ34wn2f2gn/ydKnr8ZuTc01/ ICP8tQXgSQKh+IcxXXv11Sq2ImxbssBWi5jpp/n9GQHmDoy1lAPDPys+pYqveXdfedd1FSQ8zfZi 8EP7Zg0Nct/teH3mwaPOpESs32M7sRaJsAmYSTTTyTgxJjvjVqiiE+CY+QtHZ4c7KkSKZ1Mv6jQ4 VVDWw45/IYaohuORPUpKuzP/oWu0Xy3f1rwGcbJYTwp6LyHrK9mfLdDi+LZvSipa3uZ1BH0UCN1m XNYlYsOg0jY3bUxFdIyJi9nDyUATC0LrVWp1vw6+IEdIlvV0YDwnbLDn5xq5Pn7otxZJJFw2Df2b 426RXlxLkzLGxUCAOWb5QJR6JFgAQP/HybSr/NQ/TasnCXOmSv8h50bBWAflqFX3xBLSIaKg6QtC mxACxRl4TeCSp6hiRSD4K7q43zpoMgRCSkWtHwZXooFxUo2E82WovNBdjJE/bxSA5CYlYEZLps4H oPsjIG8y+m3NkYvyo2ztkEm7lbegxB8nnKfsPjYh/yNKkWU2V20dDus6PPXbEsRvS0SDOoeYaeoE 9GhqynJux82LPNcbApH1bJbnTJHBdWxA5i2mFK81G87xjO/G6JxMptGjLygqOiUcLPLkoIi+s+dz TSfGsnsSgQ5hpT/GxoKoSHM0cjKhs7i94XHuQv1gsQtNs/fhpFZEFw1HH7bYUjZw7QbugCoL60kv GF6VMD1zEtT3prnoZg7gOIYrH9aG8GHt856q7Pop0v/XEt8GEnmkmhOUYv0FTsB+tJ2jjt7vREl5 YwGqmK0CZJUIPuSAloxcKakiJXBA5hA0Z6itKNTEjfh6FXeDrNSO2qH61o9Q8mNEtUAPtp1NQp5m A3XRb3PveNxet+ANf/hnLj40jch+rOAWY3r9AXu9WhF14+z2vkFTJFjwkmA23trKydJhONHBf+Gj FyXrM76JVZoYIo9liaDsLsF2XaMw0F5PpS4HEblOYryZd2q8onvDsiMKRdHGH7Yv6mmvisUaMGBl p3w8Xn7kXnrm0T55gKRgZ/HBOEJ+ZQKEniRbcEsRAkBc1yCUX7CBF4vt4aSTIbx5xuYLbEKIcvKZ TUWK3qwe9fSrcttRGpOCVsNY6jXX4XYM4sS6ufDlt4IlEPLXAVkF7IgHL8sIdEPKIrmNWBGpp5I+ 0soUXo3rkvbFak6g9DAoKDbw3hDG+SQ2gNh+MoYnieFI3DbeSHBhcqXOdcYERS46EOQ5PEheLd7W mRoe3z/wpEEltWOh5CB8+FQesuAK15ixHWN4z2ydGjYCEC/XLlFSCaEwiL868ZaGyuLaC4nQjsHh kq4zptGnruCurkA82mm5+2dHFMIlnou3hzgnYzwIWY64vAipygCpybLqUZxK6GNGkyU3h/ZsD2NH FtfG1e18oCpi005BdE4MZ5w9QabgJyQMnr2msvawVcpqTmSFNrqH8XUV6Po6t9+bicg2sThfTRjD MtXWt43Jgx1YQFs78hmSU5NXVjke9AcK006HUI6feGw+j3FnziqNsuMwWMTbYdAw6g4AGvKVcsem u+Dnb1RJ36S6EyhvAaEFNm90fTMXAbqoNYM6+gDDBc4bsNNXXq72mNudh4k9ck7VZLWxMel7+W0b kZri3j13C1Vx7kqw+Y3AVw6CWfzyk89QURTBA7mj5QhYA3w+seb2iXJPrOanH7lu+Kw/esNiQAF2 tSqAPK5juNQyd645+EGAfccvzGTNDLJ+QXM00PyK2VJ8juDpzL0xBPDSLE05WiFhcXdobbTkfnEC HX0Dq67vD2BLPam18sBtk+AquqDJw4zsKxUwJipmKULtxi7f6Yat1SYKpBhA/lyreaoHKTZtc7kB lAoNlNoyXQklcJCtGQGv6UqQzUB66yAT+FZBLFLEn4pEYsycDmSuOgB1p9JnehDpWCTvaZBIV/Fs HN1coNPRC7Pk+JL7hdYyKfp3w7wg3LxXusAoarZ2yNDU889RnyJRJNVEbIzO5mzB0OiLWqVIs46k xWy8TVULTtpw56bdHgeUcSlk4eqSwxmjrouCUVTqVRMiKqqkbjmtLAT23CsJNoMpnv18fq4M79E9 wQY5OKamQcOoPMaOf8J6nOOFLStFB5wGs9S/G36dKNChmRtYaLOiNAP5ta6/6HAiVJJVzy3DW/nr jJBG137Xs3EkoRRHxfLHJgF3qX4SFyqvarBtmvjCdGHHm1jDY1A7MnZJkpfJTFTW77KYEC7ffQHY RndFJiOz1vZyO6l4HmGzVNlbG9PL17o7nL9YFr3biteliEdFgetEuaaQWBxSydtL91y3GJPEaucX nP3nxJQb6Oz0e/URwWGI0DAa8hg0ztSoDQpkNmQudm1eJ3XPbFshS+kzJ8Ri1wEx9wveu/+ZkNQL OlspmulAa5AQvLsKlSDy9UwM+HJkXV2rqfxkay8EtNODqdwO0cJaQg8FlJktx95qnmWaJeOfbU5y /T49Y+1M7+0KZd23ajgasb0+re001RiUjSl1b5KouUgO4OCUmMAl5NHc+PT0jJREmEzWvxW9/ns7 XCwedAGZ5T0jx+viAZkx3+IbHdGBppr4KoeN4cGzjS6Y6sB9dYUHaKwWA1+bNpK/ptAzBSwdLtO2 XAkitzHngbJXwFjsX0p7HQElFjy+2HUTf0KB+x6wUHRQoI/tSEU0ZzCFQ78YnIFNc7US4MF5BHuO ZnZo0NQ5QQ+LL0am8j/KPSwrvHV9wvCAfSVsGyWAVu+FdTbnlAQZxzFpFHTlaoRXqE2kn38VNvAm Yl2axLm+lfYZi/+LtN/Ug4DOn2EWosy/lSg769r7yhjMBe31ah3rPHYPN/F5KY4rzcKjsN60GiaN GKB3hZ5rkn0QolKAVQ8dVnMU8M+Mj34loyK7J55wYlY83HHIH+Yj/4FMRhr0+t52qx5NIIG7eelf VWqzbEFVwLiY4d3NE0ixHZfAoeHV7q/WxoyLf/wKPq+sXlVWXTRCSmbjmtKwRIHx+ef8J787g+5f 6r1eABagNi4cs1Cx1Sc7JuRXyfzMaw+y2q5arroxI0nnWw+3LuqMbuaBbOikthsNsAbwmek9WU3m xWpc/P4SBQWp0NGAUL+ggq36uOOY9XfUUyfiMNMs4zDTTHvm5B5ERxx07u+gluXt42kKQAVwg8Qn nue1Vk/zhI9+sDIuxtEPQf7aFSss4hFB74T2o/Y+mLsNUE5WSxSwFpiI9UljY3E3Vb4Wdhm0cmZh u6xaU7K1bnvNDx86UwSrH9c8XqpoWVoWuejWx0LWkRehQ3q41016dso9okNBic3WLN0MHtFvC399 dmTydr3U2JjnVwUc1xAI5lSu0x2hao/MWPufB/RHRjbi+3lPrRzNcuRUK0t7zeTRJOrNNehOlBbF HhJ6J93PLWcLEvcx4ZgdmxxZRqSQKpd/HYlWYmOxOLCbMeDF+vpYbiuvHENtc6rYzZA/hRwhln0j WdZHNmFaKieYCXOUsFsN/tu30rv26T83oJ2PiXR95OPmlzeVjuOc75H0OQGle3hv1jj3SxZfNbAX mAbOLg5a9jiF27+EZLTiPoKs5n0biNC4v2TtunC1QIvf+qxaRZnAqNHXKdWk7UPxTmgPpsvNkJmt 1Lytfd8iiiWN2XSRy9Pd2ohqBDOm/1b/mXVQdbfrDDmxLSzlgAFqAy8lxcDkOMPomYsxhru90ZGn D0TmHKZWQT705y8f57lQufIv/1XKHfLFm3pNXXY5jYrJMwJJg9iAAHiaiP7IkOc3sdkPTfyKlr3X zRei37HCQ2+MouZzAlaVUoJRvFC4u/0tSxFo7XlINCG0/vd9X1UXYc/CCDhrtAqntwAnx4fD5goB TDI0uPLjcF05DbQdI3FXg4mBUTwLtxI8BVTW7jZokho5DGJ3qqq69m4Zxm1rjXaMnrMzIucDzPT4 OTb468xFRIjJSBboIeTTWQycLzcWlFAqFjdaCzVSPvl2csvSo3aG3OkUwGfwevAw9iO4RrtmkGRY sUFnQvxcv34yHks3ZULITFGBs+oa5yxMMBw1v9/iQyODCsDsDy8TReRggcp2WfbjjdjnDvfPHX16 5dmFV1FaKj1E7ndN5mmTgaF9OD+FVVCEp6ZfN9YqAd4MrXHl+Cbo0eGwNspG57H4f6w6Z8ukSf7x kIsMEr+ZV4kaxik/hrRJ2D+wywAcH8LY31O5HSofW4rjzY0Tpsze4B9E9d/B5cemH9tXe9x9gTWW 38rgA+unVERe2fcBdpgGSelrjWAuDcM8RBWI71Q2kAFLyOP8QGBEb42JX14/jNla5gtYdb5wyQuL rndbJVS+MMWdKDrBARO2sEVXPqBiqXrf3Ekj2jpucRr2FgwEx+R+k+PwmOg6Def/ISqbujjnbf4s JLQXBFSwjqdihoyX1xdyfponN/YPNVE1hUys3tdrHHDWr5dH8hqQVa9DV6wwu5XLg/ZOrJPL1O/y 8Yg2a9nt6yujVDRCzvuT0fKmEGLjueGSRVEcLsGaFrA5MtkpwCUcGQ2LZg/fjrTedPHktl4VrEDW unhaw+T+iLehVmzaAgK9HE2KStk91fO3yczdbVsoednlsQKLSplmi/Z1K4sBL+cK1r6ZDMm3ivHc tB9ij0Ah8pyFPk0HEBSHJSgdhRN4/2/YuCQO2VDGWnO0cv01jJVIKV1+j+h+ZIhw+KNtGqTUJ4J6 OmAx127ddG4N1w+IJvsQ5PDscNd+jUlivEBhkQ0EKVo6W+xq1zcdGl0lXnS1Ss52XSp/EBx1z+Mk WLroELj1B2maAE8DwdGZ2/PC80SYSqa08BrImJSvfkI+D5eyPIAGXH3HC2fzQePladcRUPmV1Ttn ox8CYGFkCtHVHiCaCCl40wziNgjH9pMiZ/G8TJWrtclhIIOcaes4qlakNLjm/PwcTzSPww5TWqhR Rn0VfnA3cJXCh5hOgl3OQVh20J13pDiM/LJJmsdV071WgSJm5Vr6aLF8V/ptWWss337sTcSgoD0u y57XfPw4H85ifd/dBmMBOpwQQnwG4ikkXAm3Cp5vG0Oe1zMqY0Lokoi7RySeMJpT202K9LkcvwDf Wx0N8oUNFsJV+lwD/yLTpGQ/l83WV2ox4NijaZKUOrYcSAUc1vmkxzCoxmVq2MTqZ3reKzQBXQgB WT1WIbVnBHy3m4a8V0lIPTPTUaaS/wEweIrclzqAXa956JWsuUJ7LaOi9Mg/6NHVH3DVFmmlUFB+ tmJKybrPhI0QuIYPapG6XkFyl3tFtMeIn6dwVA2UjgliyLYfXokDmcADE2BjDVfKlB/Q14e/qhJB UQr0mYHURGr9dz7oS35X0HRxY5DBpkdEz6x3LTaL8q0yDj05F7jwREOO2riYJwl9sUQUI9sTNao2 I73R9u6t3V5/2QI87RsIJqNltVUV9B+nq0RggiyA0mqMS1yTpdQQs30o5GXH50a/de1eUBkgUEEx z1v9xzxZdGbyZnr9lCO+ATdKcSS5eropl0HJxEdygVEaU3RZTOaRaeoK8T5ykwL+QzxFdCfwFDYk XGREOpG/yda2sX4fqha+a9ojtL0oIZCzJSRD4EIi+y5Mv7KKiYYkAfx2UPUxGzZYVzW+YC7Hnv/c cTfoaHqApktHD+6dkyts7AWVqxB8SQANCNg1ZeggkRl797yxCxf5dAwmqLUG7uGlkG6ETyyPqWMs YxI1GVJzDvhonkdG/hDJGg+TLr1ZcylQmRZq9mkIPdRN0DRLRTwgKQ0JbZ9ek9Gc952PLFCrJ/nh b+exizLlhBsu8RQJen+YlOB8xM87srWhVqyMjmIAoLuJOe0MJ4siNItZ43h0zUSswgeL/wTpQAAf z2TQCJ9VntPUSTgIROZH1hxdF5e82jXyn+h++AvuiXJ+W9QCvmYkYZIVfaZlLcx4L9Th4hwjT3+D nEgUZLNoXJkLVk+xKgFR+Whho1Ms0vDQi/aW47hJxPOr1usbdfd7VRHE/1OEMjhM3FK76NoninM8 8qx+Yji7GZWMlCOQVhBYzWggcuejppywqdPaS8UBx+26XOzjIXnTCllK4ZMmNL5md9FBY33mS5YO wU7O9e3xZMVfOdshS45c4kT0Gffih0J+zJl+QMJukUZLKeaX2C9Yxln8YcR1q0Ptq4vUV3QorHl3 R7QzY/RHRkbVxVbhraUw7EPkYOADQ6LzgYJVnuZgyH5YEDVqSlKlHTqq1NXqaGFNGs+DAgQd2IOY WHzUytpZkg2PL+tg4JD7mS/IQpGVTCkWAKZO5LmIL36M11hLlu6V5yq/9OQQ7mzBA70pdWp2JbUp r5qf57boZoG5OckpvPYh8LD/hp8qebRcfL2ZFCi0qur61P8RjupzuNCpDnwzpGXd+Qm5mO8EZsZz LNvlghDIvOwsBKJ4f0M2kRNQCp+VMNNnOvEitq2h0W4rIJeKiaXSAqP5gIvMTx/MDy23fq7V+Ju/ zLWeD0/9/Esz9POE9Ae/+U8yO35InDK7wpnM8VjfLQ/L8muJ7Wf4a8CA5ZjRtbYbrOcjU0JSRs+5 Tkrg9SdNjFikUGpBoRq+HytzXOPqbcEKxHGXFefps6VTzAhw/MzaPst2EyiFYgAJXzjSPSPBsB5m VzB1d6gpqafhEV1XDQxWpde2W3xFLebD1rmE3VWIHjwI7VTapOqOtJyEL16p4yxDSLs+zOzEoTQE zIBkDV2UrN5FSYKwaukJBXu/XMlk4hxCrPuYq/YyMLQElK9os200HvRARMFa8Jv+ylZShsEuw/Ox B+k1BwjWfZ5WHu5CKDPw1ExYg8VkXGb0/7B/P9agezX9k/jyAhoBoVbvvUxNF2HXmb5iECjcUlyd CmLbRe8qyr5ynZY9d7TuZN/I4BUVUPtP8C8CqPCM7wHo0gyvtvMMmgCsTr6ZuUOIMd+y8zwtCsEc J/D50lw83WdN6lEScacPjd/Sq8sTFYTVr/zTZIq7jjMcqfEJTY+71npRTOJ1YzC6660TawhF/Sz/ xJhIffoLvs4Tt6dktgahxfhYdk9iPko+DAT4H52WPADbxsFzRKFKgcQqp/zO6RdNqQGZOg7cw9ZH hs1LMAQDPHY9NZJG7SA0biREOhcRddMiU31t5Y6zzyujISXPxKClX34MYsj+PeeqZ45KJwfz1rV5 vo/NZ5rehVEP0s+1tK3wbrOt5ui2g7qRJ9LWRTxIWt2uUSPC40zS+LjMueFH6DB8DUAgCTwkyPuT EKUkkjcGINjU08mV5U8FgFseeTM2rfPtoS9NU73vZ9LpqPrx5obvbgVbxGresbC1dks4mPLRBLk2 JO6h1YTzX8qMHvNywtlX+lLqwJ6+Jb/qWWvrYS2NAVgF+TQgF4tqDpSadfBJDs0gnp2EXnZfpHmW 0giHmbZHVvWll+/STb1VOC9ics8a0qu90kKfvG6wEH42fIj9DywYJk+g8/EK1jXgiO05q1PAHAN5 2tBYxxY9YmNOSr+jnLXlZj22EquLFfazuig3x/WaoDFBwmr7DLEmErj3vOgoBXbM38zg0L4Q8Z2y Nl1V/SSVXIgMQY96BHiutEDiHwnATNH9V8vM0y2yorlv3XQrJzMT4uviN1PMsQRiZ2BBUIAMBzQ/ J5Oestg1awZVMP7tFHSesAqTdy8LlUwuuTAX5jkB64KD4Y7QoKdtoApf2orgESpKHrDs1uMYGQne Fk/q5yLY+j1wk0rh5s9VKZDJ1Yvf0vVdqNsFOQlLjVd5HQuspvwtT5I4dkAJXuiGssnI+PIrlaVX ntrURccnIn51vBPCEdmlp1TbqtIXZDtHSLwtRm/jHZLEDsOFVkL3XulclhySoPKHsWT1yrIOxJ8B ZfBE4dYqYzglo6lIrkzEyEGUYjV+N2DbnJCfIhW2mxLZoilUEftlSThknssPqwT/0t/cHLhcxT0B rhP2d+T5ZRnC60D4rKv4prUacFGYDy0J/ebPzFDEU1WCl9Wm79fzlKY2ymNnas2ubxPHHxFbvuo1 Rba04SRy5grn15At3cOW4GoMgKpUOpceBkx7lzVwj5CiiAJWjlf8ke/wKrVhv8AuTN9smpB7RrVx N7Iowku6ZHs3uif9f7yWSft8IBIsfYiWm8geAVGcE8DI6cyyTO7VftSPR5/J71hxxsL6+2EyTV9S 5Nsf47G8tqiICsCxqPf4HwFo3ZkRHtN35+ow1UgQdo7yyVr5e3RZkkgGnfeRnKv/XxXb4G6nlweY oTfKNvKibOKnhSn78mKvaolFN1NyypRyv2MBSDfU2LayUC6PkAoMyUQVqyTYj0+AgGXMxfkBwNno SPDB49J4fGDBvj/gikQz2dS5Etjuy6WQ34zDlJD8Tg36h1lc60MyvtLXYy8ZwtgA4AWYEycLKWM1 AkFeLWmuDBESD3MtPFxpev5MBD2tPmxB1cEfq5CcoPVIW8KDuPPiV1Hz/DzKx3CzsLMtw9+eHaX+ cRhw5Vl3tNVkWkqCjDdR+ch/+xWBosCR2y9/p/Ms8fSsFimvko9IGElfr7jg3Q8GbwcmxhSXPfXX OntMyXQOwm9DI7dNUgdDy9jXmAzP/KlhtdSelyZIjDXHJt9KyJUMauK4h/Jrlq99eIVbn2sVQ/is 6E55fDt6ONzUJaPtpMOnYkj9oIyVkGxghXalsmMn2anjdeXK+BDIVxs27u+dX68JXG7snCd6Q5GO sxVXb5ij8kJMWis5dwKNyxKzWl9bvn43h77d+PG8uVEtsR+Ofkhg7eQiWnWiy0uD9nTTg65UL1F2 1Lm6/+5I0g1hfIk8EVFVuMOckhZMWU31T7I04m883C8PRdwHnIOjpVpHD3fTpb7Ks5Q6szRHCEnx gx/cxplJE2mJG7deZhrAQQiARU4XCfelaJizFlKTdd42Xwux3ZjFO76N6jnyGZjmiOnWyOAEMzLb 1CHVaY+iU5HFN8thrKwQ+zibv/q6sNuJAciRG0SfAAg2zBQhFn0H/i7fI89Qi6MoK4qPQkzF4/fA O/2UR/98UnSe/mIA+kp4z3QJEeDrdihEtRmrl7bzkUEbrac0Z9/5BSecBPilyFSAjNAabuOpH3VN U8DOZov4EUJm0UcMLe1+44ncenjo1RafCDI5Io1qq+0O1k99nO6U1GN4mWvOxGljlP8PGnYV7W83 6KhYcCzA3dAwCfUCWuabRE/OLpKhEF3qjwSnruI95FwZH8ZXUBWtUUC4pbrzOTu32iIL9V3dz0YE cPP9WMh8WwnCJz1prUyeHVuwRMvZUUeY388v3i9G/00NCp206d7+rVaGweJS6B1QVgiyfffBoc5J aXtbFsK0eIzwMSz2wjEE6NpkztZCGeUlIheoXHREMx1jO+23JcsN2c73I398xWIyG3uhstNF9lEV FrQ4iGUJYpivJzqHbxag5A37iKiaXmkZ61+/6FHNUcEnYkH7BbQEl1FojE7yxA++8crj3domdQjS bxsuPTnPzXxfV79JtCzyIVYx6liq/OV+y2bgZBVk9AXwDRZF/sCTroyH+KVnWWR0AFuHHGyOCazM cYEAowrK5kt6ggZ2ze+Lu54whV3O94VWg2OknXZqWVWbp5kep3hqTdhqCddfJL3FgAjCUKigsuRC Wh2d8vnXZ3f6oMe5kBxotO0czLUxZyrcY/9HuyVWO96KqQH7kvzwIkhGQhSqTKh2f5VGHh96SMcG IG62HcAJnS1bkFgPqSi1yMMaBh4Wolz5r8ffBwVZF/Ouf16NhZU0+ZGNiK0PnB5q8rybL+0x3AtX dfQRiQ+I3vXjsqaa04iCjgiWzxrI26WLn13520SZjJ0HloEW728zfBnaBBf6MpFWECSe35PSZ/0N TRhxIvovJR40J2An1gsDgTJcwm8kcKjq4nri3o04BauRzOKD2rKve2Re75C5BHEMR0Uhq2yUJs3A mT76PHZN3R+/YB8Yr9ff2pZg6p00bnzsqmVnO2sNmp98pQuQvp0HvZlmR2PQL/HBNmqz2fUcGlFO 8+O1qcU3OVyEE5cDYZGdPlA5Fk2bPiE9PZ6oAIwgBls76eZSbKEItJbqPrqxcixCSSWSN5Qpy5Zb Xo0fMiPXDVxzV2NBhjGduIpy1dldtFzUIlsZ/HmOtqqjvKYt5l51K3fANen34D5xXBCOYYdECkzh XxsMj+hcgROoOfeb1mZeiJUHJnD+hKdpvCmGOKQhZgdTfo9np0vpo1nSsOnguyJ7nxn2TPnt7W9b Hx8hiLZ5IGe7IU/fZktZ+0IUbZ6UIWxge040hgZ/A3tSGkBifFuMk9jvU54RxffoaF0Go62FSjem HzeGAtHrXelg6aRicR6+/slQQgtm/FyrRlqe87maBR85wZQUBVB1EQnMn1yKwi7eiT69d8LV6PtJ eFqytCZKQWoHfOjH5xENRORMEJjtqWoT0rZTgW8/TZZkgM1f8bENQfGqoI97vANRGMqe3cCua+Mi Frkx8Ba7qrVthbxOLlPVZdTdY2JnfthoqKBAJAmhcpQXKS9CFMGD+FTE8TBzMcF5V3CAglUq7fRM a4WbqpOjeBHZn07rFaFQr3eaE7KoMieFslHyPl2XeNEbIbYlLmFoXoYjSP/KRMsYmSmz8oOOJCtr Mwj2JPGZIh5qqc0dcHk4RqiiLsRweKgPK6vbIfMyMM+esNH2SaI4Hr7SD7bPoT7FSt4JG8fRZFhg nWa8SmTwLHa8akyLkeHjwWbgLBmvElRSnlKMvP0/2NYm1g1OinWXLK+TbtR0XiDg5Kpnqo1dN6ys cfFgPg8Tb5kNpvSiL4cXO0GULMKl1DQaA3poSPB2kAKyNErtgifBpplzoQrPxWv4whpoogqNH2XX j++gIU1Fx+XU/2YtPXm0VJ/E+euCBlt7I7EgQBgKLXs7X7a69Q8+M5JKZAj6s0Fx4J0r/o9RFfW9 v0RNZqXoSFjlujAw6vmbGn70cjDCW2I4AspTZcNyVPAobwddJ+lywhH7qwqeBq1rVGB+WD6AEQm/ m7UUeLZuC8lpKouMPQ4HrtmJ7sWjzAU7pnPbwd1Q+IDqnMCvuhpSfohXl44DDnKyOd2ACsdRt0Ws t2ua8h77k6lU43YpxnR59DBgvWtGniHJ7Wvu/5DBpkroNIUhNCITfsHszgBPxdv6v/z/CzPcmHSP zgri5aSC5aBPIhMe0dazXJzpsRANBCTfnnedEw6eQakaT2qKgHPIjO4hiEc8aa2qCj8oCqsxefDK 1UxYSyrXLjxV7SJQRUDpeQRGczNHS/WLrRIFIpc6bIse1w6c/plLB+AU0GqmXoubiXkSfaCu6EjE C9fA1RZ5v2yi4YPtY+MJ9CZ2VAPICZgMPS0uHD2PUbSDWQm9xqnH9SZt2DPVXPFQ1ThYeCK7tmgI tva2FBZ4y23NRdszdrobcD/mE3fCkhcSPqhYpv4K30Oo3LJ7+E7ih7iXgJpcdhLeNIM+nEvdk0oe OJjYSZWGa+zYAPOcR5sPilakBuj/uWiYa9l90yPwUICS1f4khKkCZDScn7aXFW1rCnhKKJU82axN nAdJNYrrMVbCtr9WsyVEbaq7w90/TZUHganGuqCLJ8qBN1UoYSnLbtYY3XHxpNwXpCWyfaHs/YDJ By6Zir/1yEKahIPdicHEz7LS3goYM+qv13hSjb9L9zLBS4IAZR1MC1v1uYKjO/vldcjzrkzI6o6q t9lwxHq74u2ncQaspg91fnRtW7Vx15UgoDesQ88YylMFw68XayYuQ7K47gopVoYDIG3PbdgE4eLm uaICR0SNlQAULlJM02fYc4DErHm7mVTR4bDXxuRIwm42sYlg7EYQf4m8kKCMrPelqBr4igeoKTu9 mNLQw+RsIAugbQ+mzktMmP1rWZ8Fxv11URW2VmETtWGO4oS20c1gPKN+5NDWhoRGbdj1yPhn7Qa6 T/plvUV9Wl88DqNlH1nLr5jsXr1p9sTjJ9GOVLrHUhoOAEQ9ezDHxVP5rjFu9o8lQBFrKULQO9j5 KGhdAhCjBGzI2Bz/YsnOudtri+kiyacKsrRfj7YqIs84g8SD7Py42cKqmQahI4zSLqA50/Aqzrvb iZ6zgzh6t2mWbzwzdFQqlWKUGjtxdAFC9/EpLB6632WZ+rlx8HGZfGa15azLa7YlAj4k2qgCASHU S2AH1khGdnTi3UTJ0XmTix23h3lTGhlwPLxRjh7H6rqEaesj3/m5zRE2sBE22NylzzHk017GcaTI D3vn0e9PGtyCeQFlD1PJCPVppPxZN3o93K3O+79u7XqbBteWQROsEAQVKVcDLwcSic6KQ3Szv9AF RLTfClbVKGUYsB4eYGBk0zA5QbfXBaYxWUAi6yCiUJwD7v/S1MqCc3GwWaZF9wNg+LbBfFAFHTca VCpXUVuz/8DzpOSjnQbGADKj2Z6mV81It9HARMixX/Ih9/I0VBslRu9ffKnhNORBEUCTIlc2aZdY T+CIQoe4e83KcDnLb8StR+UPf24qKWRibpGzimqCvFK2I9EK1nCN6bKlvsF5cwK2c8HiosSPgz16 ws0OX4Mbh2NQsfjT3hYZU2NQ8MQluCJ0vMZtZlS9+58kaPCKJFvTbw9K4EXUyxI1Hw+qqYyjJ1SR Ex5Yf1ykZM/na9gouyoCG5Um2ucdV1YOHa/BH4wT8h42smzM0y2cmEkx9NwWIH1mXGlAatxxaYDN l3jKoEc7SWYpqQC/12CsKViTyHr18OVPxqPUO7zatcjFoYVWykPR3VglqD69jpWYVstVJbcj+Vsl vj8rT5jj7pNVxzbu3iD376h++hRq4MULdqGuawf/cp3/ThF7Yrta50UnaigPDKlnLEcXQdG0a4t8 RrwgBs54cQEyC5fWH4wwbA5Fwo19ljAFJXhQT1nV7uHhQmkuUceTmq8I6r/NYgOICHhcNZhTi8xz ldFoQrM5aimKx+N3i5O9Q2R/Mm/8dus0bRdrqvSGBwzuXN6GTIVIWzYwInwFduVsSSnEk4mmY3lD abPwqJ7vAtoiJ7lUg8h/SLTeBl6u+OzYIm4s3VpQj6176I/BCQCMuz0WpeKbYxu337Vi3yL4eogt 0Ui0/kOIfLxnDRta1dR5DYvlvlHXoJkjGrVUcAQRtVTXXP5RNmurOQWsA7baMXvjGvYk3WMo0/85 e6qAtpoW0Iz4Wfc1ylKe/KvdD1LwfcJEdwsDN8chC3sVzXkzxZ/WT5NmsG93GY3kiWEXsAakS0xK fBvGk4UztlgB2MYyc7PtXntkBsAcUVPslMYIir86MtQfi9+J03tcD1yfUHPmA+7RB3ObfOZK0VUR 6ljnQwdIEy+Ci5zHUCAuemKTfZ0IBUtsqKvNB/nuNXEZCix2hFIED1kNxZ3j1TqJIX8qcw3WeAp3 E+jnRPw6gQgVUlyDCpY7ED4tzKdsLGJacgYxDgwVHVcs3AR4NAIMGBPvpP572Ta1mgwn7Ugryq0l U7ziDlgp7x5tuNXKWIWo876NtiFaN3SU8luZuxmsoc8t5gIqDr9GtysT6AiWStwcg1BM0CtcVFJL 8jT76XvbiMqoJOFeCuIcdEsE0VfJ28z/ESJA2/KYJBTXNHLLP0EGjdgOW44rfF9buYBVDnZSNm9W 8DbQCtSPX3hqCd1/kko865drd3Y9QeCu3GhkZticZbBKw7VacdZ1BySt9WKwTgm8AsMrVegkm4fI TjzONxpvUiv07rmtrrFPOifSmGts8I68OswFDgcF/KQaVqf3fe7nLdXGevJaKt4KslXi7wN5bnrt H2806CASWvHpZW22k2jwIGMVoAlvs+/sFHlksuZai94h0EZXGX6J2pngnflePlnuh1I2U8XoE/FH jSG5fAyglEYw4TqY+RfSrnweQQKBWx1faR+4OESTCskHwIOSPPJQoSOC+1YPvtreneCshi3FFdGP vRMtJiR0ZH9qxMl3lBxe2DJ7k8lXvT3f9vc2RMR6b7L9QRG3pIfVOXXwYFApv97Wk4iHltpsps5E ZY/yvHQHvEg4MpBTm31jMN2qWo9zgV5Dip0ygCP3v4xdkuYLO1tQw/+yvC3YpiHQLUcQRmPx+90U 43oVlDwlAs5H0bkD5Z6UhHpAno99hZmZ1m52bc8+jSj+9mf74K5jqZ+Iy/nuy63kN5+iSStT4J5m lL9nEs+U8WIao78huP/QKzeCIFVxbwZ4WEyT+EfuohGOY5E3MbWKsK1KhLNaWfr6Z1oz0uIHm6Nj 5Chj/kQE11L61eD7iBR8J9TJXEzziBfFdHArlJ2awfioSUmxRdYqbgZBeLWn7dq5ao7zlUqiZAx1 JhEAHO4oXkYv2cxFv8I5oL18XrwIr0DIU3Sq+xyDsbabmVkfIZ/hHt3UE8slq/7PyTqFEzVmeCWn uWW9UpLitHQdIQp1VjQOx8UxuN7prAQGbkwFCIrB7B8sk1Fxpw9JCxZugkOcljCP5e0T+XkG3mop vaam9px/UqSB5TWSuhYHk9jUhGxvzatnc7zknbJkx10vgpmYoBF7zm44w21z5coqAsiufQ3YFB9C 5/PlOBWM5t9NtwgHCvM22HUFM53jz/N9OTPDUcjTcSBW9/Xmj7FH1IHwapOeRD0EpRvRsywbbkyM U2/UpwR35Rz87NRWGH6zmt7Zz1aaqIYM2hFnWhgnlr6191w8SLi4R+1P4WOHZhk3Ik2fzuYmhROr QAb1wLzpio76V3/5crhh353FcSDX8aX5Tlwp4v3KOQG8nRAh8FsY4b13Ok76v6Agq0mHKQVLdjKJ v8ugk2q8T6JIQE0iNgFILj5rUN6ce2nc6jI8JKSSOrjItFolgW7ZL1mtzHOE2g8Hr8tImWHOzFRo rEytDSjidLyrZ4GtWTfUou2KOH2lQTyJLxO3n0902bXDLehW4kMEsA47m/+dUa+C2TFpFULWoKYP v1ZSgz9SKKZJcuswyuEzv7UZD1tSP2aNgT5Zdm/wC5K48motXr+lQm0hCblNYNfMkIskznjqPHCH EHhpuCS9b/RGdk7BgwSOyyq3QYUyQcj3mphaOUTBXCwGXF6kxjgqMFgsnE8NpQZYR52YibpyYmvI VtUT37e2LdNhrDowVwW08d1bR+7OlevSpNllP0a5ZU2BfHkejLj8K5PtV09l11sq12/y7TWc/jK7 DLFJcgd9Z1BQVwTYAAKz3Ch3HFEtL9kYLJv8+KQ7wcS5Xq9cgiSM6nmq4BkxajvT3Nn+JA0shKAi eyq773pXIFAz97HNQt8IZYVubP63rAsFJuqfDutdwwnn8YyhSuI6wD/e4rP1p93gbDDYMUCgIVvq BivX1A0esHV3UyIo3fZWwzwHBOqD7fX5l8d2DMmm+V9kxLBuddqLIGYOKZqn38Nn7eJDNwwO4Jrc ruILMZSgFMovft9zShXQGeHlk+iUl4QOoC6HuRFoUm8e/0NlxVTLuSmlhqQQJrsctXNrHFo6iQok KrOGaCM+IJmfj035EVWM+/eXeL88kE3azsVs2DSenTyzpXMfkP7249yYMID6qiNskexzcOCIBOEo QHExEpjdf5PLTt4BKjQhGPa9SoeUuHE3s+aAuBU31vrXyBoDtFhwDkowm32q3g2yMnaQ1WkkaT2o lTgzSfiyAw2s3a+fRhF7lzLpoKPHgkulpRF7cVadLXhSi1hruSou1ntt55yplhx/jpSe5YdoxfUC cXkrGKh0yUblTIYjtc8qX3C94QFCwm9HLslHlp1H+HzSAOhKGpoql1mKuF/pakFMpJOBHxz8u779 jch5RsE6eeyja2VKxz7rnjE+g78PzMgVSk1+0uXrLSYHdAHTVJDQOOYTKI2Wnxh/TY8K4j0fsw0P 4W+H23xZIJOMZplq99lKDxOu+IHokeVwYVpvg8RAERTYUCvO3OmUG+giY4D9BXAtzjWkfGUAnvH1 hFBbF7Agl+/U/bAnrb4+Ezjp1qmxSYSgCmotIVpzWwR4QHKv8OBcEt8h7ImDvF1iUMJvQkZlBnfu dPZ8lMUIdd7vJSI5yr3NRDL4f9ZqyGGMttzwxuaceRwkubNdLOF46vyny3P/4aOjzshPKnkddVsy gv+VgGV+U3tdGsTdDxsqM5/zHSg0122RZgyREqypg8Wc31mWHnXiYZUKjQQKqtf5cqL5Fj+XWRAa V9kJbpLouHdBGI0G0yeyZaF5xys+9ICxiLgd//zmxx21fdVvRMnZFNikzYhMdx3hT4fOXjRdzlv0 /+Z5mnVjS5b8wCSMzqD7P03/YFenczhloMKHVADoWbVWa51Pw6yOagZjIeK/v3Ce+lw2GFD0IkVi Oo0tx7Mc3HsJu68Wrg4z1A4ePf7hISqby7mR6xZDyubipnzBQL/dB0oUmD2fDIJC6Gzp/MWhOsr8 S7ERUOIyfuoFNp5QyvdABW7lt44xqe7c+hi6SWvyoCROqNTC/nxLJaGEv8+y+3Dwnv6iTQFBujR8 WTiEvSlducMx5DQzkR8c7kqVTKhFJMTyB3ijwPWo8V9W/DDe2kprRYvVf9r6qcrV6ty0dSxxWiP3 Rd7hWfRR8yTH2KEHY/SYz3z97XiiGLpFrznIm38YtNtTdzgnXGFa8XE0+pksPIzF1to7y0d3GWm/ YwHBLkjH2JkhRzAkotrZgb2cDdPtuKLMOBUIh+Pt6faq9OwBWBEBH2uRv1VpCVPXGlus2NvjA1rG nPgLeh3YD2s= `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/inferred_lut4.vhd
15
7214
------------------------------------------------------------------------------- -- $Id: inferred_lut4.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- inferred_lut4.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: inferred_lut4.vhd -- -- Description: This module is used to infer a LUT4 instantiation in -- structural VHDL. It is compatable with Synplicity and xst -- synthesis tools. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- inferred_lut4.vhd -- ------------------------------------------------------------------------------- -- Author: D.Thorpe -- -- History: -- DET 2001-10-11 LUT4 implementation to work around xst lut4 problem with -- INIT generic. Adapted from XST France work-around -- solution sent to Bert Tise. -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "Bus_clk", "Bus_clk_div#", "Bus_clk_#x" -- Bus_rst signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.std_logic_arith.all; library ieee; use ieee.std_logic_unsigned.all; ------------------------------------------------------------------------------- entity inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end entity inferred_lut4; ------------------------------------------------------------------------------- architecture implementation of inferred_lut4 is signal b : std_logic_vector(3 downto 0); signal tmp : integer range 0 to 15; begin b <= (I3, I2, I1, I0); tmp <= conv_integer(b); O <= To_StdUlogic(INIT(tmp)); end architecture implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/full_axi.vhd
7
43438
------------------------------------------------------------------------------- -- full_axi.vhd ------------------------------------------------------------------------------- -- -- -- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- -- Filename: full_axi.vhd -- -- Description: This file is the top level module for the AXI BRAM -- controller when configured in a full AXI4 mode. -- The rd_chnl and wr_chnl modules are instantiated. -- The ECC AXI-Lite register module is instantiated, if enabled. -- When single port BRAM mode is selected, the arbitration logic -- is instantiated (and connected to each wr_chnl & rd_chnl). -- -- VHDL-Standard: VHDL'93 -- ------------------------------------------------------------------------------- -- Structure: -- axi_bram_ctrl.vhd (v1_03_a) -- | -- |-- full_axi.vhd -- | -- sng_port_arb.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- wr_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen_hsiao.vhd -- | -- | -- rd_chnl.vhd -- | -- wrap_brst.vhd -- | -- ua_narrow.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- checkbit_handler_64.vhd -- | -- (same helper components as checkbit_handler) -- | -- parity.vhd -- | -- correct_one_bit.vhd -- | -- correct_one_bit_64.vhd -- | -- ecc_gen_hsiao.vhd -- | -- |-- axi_lite.vhd -- | -- lite_ecc_reg.vhd -- | -- axi_lite_if.vhd -- | -- checkbit_handler.vhd -- | -- xor18.vhd -- | -- parity.vhd -- | -- correct_one_bit.vhd -- -- -- ------------------------------------------------------------------------------- -- -- History: -- -- ^^^^^^ -- JLJ 2/2/2011 v1.03a -- ~~~~~~ -- Migrate to v1.03a. -- Plus minor code cleanup. -- Remove library version # dependency. Replace with work library. -- ^^^^^^ -- JLJ 2/15/2011 v1.03a -- ~~~~~~ -- Initial integration of Hsiao ECC algorithm. -- Add C_ECC_TYPE top level parameter and mappings on instantiated modules. -- ^^^^^^ -- JLJ 2/18/2011 v1.03a -- ~~~~~~ -- Update WE & BRAM data sizes based on 128-bit ECC configuration. -- Plus XST clean-up. -- ^^^^^^ -- JLJ 3/31/2011 v1.03a -- ~~~~~~ -- Add coverage tags. -- ^^^^^^ -- JLJ 4/11/2011 v1.03a -- ~~~~~~ -- Add signal, AW2Arb_BVALID_Cnt, between wr_chnl and sng_port_arb modules. -- ^^^^^^ -- JLJ 4/20/2011 v1.03a -- ~~~~~~ -- Add default values for Arb2AW_Active & Arb2AR_Active when dual port mode. -- ^^^^^^ -- JLJ 5/6/2011 v1.03a -- ~~~~~~ -- Remove usage of C_FAMILY. -- ^^^^^^ -- -- -- ------------------------------------------------------------------------------- -- Library declarations library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.axi_bram_ctrl_funcs.all; use work.lite_ecc_reg; use work.sng_port_arb; use work.wr_chnl; use work.rd_chnl; ------------------------------------------------------------------------------ entity full_axi is generic ( -- AXI Parameters C_S_AXI_ADDR_WIDTH : integer := 32; -- Width of AXI address bus (in bits) C_S_AXI_DATA_WIDTH : integer := 32; -- Width of AXI data bus (in bits) C_S_AXI_ID_WIDTH : INTEGER := 4; -- AXI ID vector width C_S_AXI_PROTOCOL : string := "AXI4"; -- Set to AXI4LITE to optimize out burst transaction support C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER := 1; -- Support for narrow burst operations C_SINGLE_PORT_BRAM : INTEGER := 0; -- Enable single port usage of BRAM -- C_FAMILY : string := "virtex6"; -- Specify the target architecture type -- AXI-Lite Register Parameters C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; -- Width of AXI-Lite address bus (in bits) C_S_AXI_CTRL_DATA_WIDTH : integer := 32; -- Width of AXI-Lite data bus (in bits) -- ECC Parameters C_ECC : integer := 0; -- Enables or disables ECC functionality C_ECC_WIDTH : integer := 8; -- Width of ECC data vector C_ECC_TYPE : integer := 0; -- v1.03a -- ECC algorithm format, 0 = Hamming code, 1 = Hsiao code C_FAULT_INJECT : integer := 0; -- Enable fault injection registers C_ECC_ONOFF_RESET_VALUE : integer := 1; -- By default, ECC checking is on (can disable ECC @ reset by setting this to 0) -- Hard coded parameters at top level. -- Note: Kept in design for future enhancement. C_ENABLE_AXI_CTRL_REG_IF : integer := 0; -- By default the ECC AXI-Lite register interface is enabled C_CE_FAILING_REGISTERS : integer := 0; -- Enable CE (correctable error) failing registers C_UE_FAILING_REGISTERS : integer := 0; -- Enable UE (uncorrectable error) failing registers C_ECC_STATUS_REGISTERS : integer := 0; -- Enable ECC status registers C_ECC_ONOFF_REGISTER : integer := 0; -- Enable ECC on/off control register C_CE_COUNTER_WIDTH : integer := 0 -- Selects CE counter width/threshold to assert ECC_Interrupt ); port ( -- AXI Interface Signals -- AXI Clock and Reset S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; ECC_Interrupt : out std_logic := '0'; ECC_UE : out std_logic := '0'; -- AXI Write Address Channel Signals (AW) S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWLOCK : in std_logic; S_AXI_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; -- AXI Write Data Channel Signals (W) S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector(C_S_AXI_DATA_WIDTH/8-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; -- AXI Write Data Response Channel Signals (B) S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; -- AXI Read Address Channel Signals (AR) S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARLOCK : in std_logic; S_AXI_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; -- AXI Read Data Channel Signals (R) S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- AXI-Lite ECC Register Interface Signals -- AXI-Lite Clock and Reset -- TBD -- S_AXI_CTRL_ACLK : in std_logic; -- S_AXI_CTRL_ARESETN : in std_logic; -- AXI-Lite Write Address Channel Signals (AW) S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); -- AXI-Lite Write Data Channel Signals (W) S_AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; -- AXI-Lite Write Data Response Channel Signals (B) S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; -- AXI-Lite Read Address Channel Signals (AR) S_AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; -- AXI-Lite Read Data Channel Signals (R) S_AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic; -- BRAM Interface Signals (Port A) BRAM_En_A : out std_logic; BRAM_WE_A : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_A : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_A : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_A : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); -- BRAM Interface Signals (Port B) BRAM_En_B : out std_logic; BRAM_WE_B : out std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_Addr_B : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); BRAM_WrData_B : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0); BRAM_RdData_B : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) ); end entity full_axi; ------------------------------------------------------------------------------- architecture implementation of full_axi is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constants ------------------------------------------------------------------------------- constant C_INT_ECC_WIDTH : integer := Int_ECC_Size (C_S_AXI_DATA_WIDTH); -- Modify C_BRAM_ADDR_SIZE to be adjusted for BRAM data width -- When BRAM data width = 32 bits, BRAM_Addr (1:0) = "00" -- When BRAM data width = 64 bits, BRAM_Addr (2:0) = "000" -- When BRAM data width = 128 bits, BRAM_Addr (3:0) = "0000" -- When BRAM data width = 256 bits, BRAM_Addr (4:0) = "00000" constant C_BRAM_ADDR_ADJUST_FACTOR : integer := log2 (C_S_AXI_DATA_WIDTH/8); ------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------- -- Internal AXI Signals signal S_AXI_AWREADY_i : std_logic := '0'; signal S_AXI_ARREADY_i : std_logic := '0'; -- Internal BRAM Signals signal BRAM_Addr_A_i : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal BRAM_Addr_B_i : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0'); signal BRAM_En_A_i : std_logic := '0'; signal BRAM_En_B_i : std_logic := '0'; signal BRAM_WE_A_i : std_logic_vector (C_S_AXI_DATA_WIDTH/8 + C_ECC*(1+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); signal BRAM_RdData_i : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC*(8+(C_S_AXI_DATA_WIDTH/128))-1 downto 0) := (others => '0'); -- Internal ECC Signals signal Enable_ECC : std_logic := '0'; signal FaultInjectClr : std_logic := '0'; -- Clear for Fault Inject Registers signal CE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers signal Sl_CE : std_logic := '0'; -- Correctable Error Flag signal Sl_UE : std_logic := '0'; -- Uncorrectable Error Flag signal Wr_CE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers --signal UE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers --signal CE_CounterReg_Inc : std_logic := '0'; -- Increment CE Counter Register signal Wr_Sl_CE : std_logic := '0'; -- Correctable Error Flag signal Wr_Sl_UE : std_logic := '0'; -- Uncorrectable Error Flag signal Rd_CE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers signal Rd_Sl_CE : std_logic := '0'; -- Correctable Error Flag signal Rd_Sl_UE : std_logic := '0'; -- Uncorrectable Error Flag signal FaultInjectData : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0'); signal FaultInjectECC : std_logic_vector (C_ECC_WIDTH-1 downto 0) := (others => '0'); -- Specific to BRAM data width signal FaultInjectECC_i : std_logic_vector (C_INT_ECC_WIDTH-1 downto 0) := (others => '0'); -- Specific to BRAM data width signal Active_Wr : std_logic := '0'; signal BRAM_Addr_En : std_logic := '0'; signal Wr_BRAM_Addr_En : std_logic := '0'; signal Rd_BRAM_Addr_En : std_logic := '0'; -- Internal Arbitration Signals signal Arb2AW_Active : std_logic := '0'; signal AW2Arb_Busy : std_logic := '0'; signal AW2Arb_Active_Clr : std_logic := '0'; signal AW2Arb_BVALID_Cnt : std_logic_vector (2 downto 0) := (others => '0'); signal Arb2AR_Active : std_logic := '0'; signal AR2Arb_Active_Clr : std_logic := '0'; signal WrChnl_BRAM_Addr_Rst : std_logic := '0'; signal WrChnl_BRAM_Addr_Ld_En : std_logic := '0'; signal WrChnl_BRAM_Addr_Inc : std_logic := '0'; signal WrChnl_BRAM_Addr_Ld : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); signal RdChnl_BRAM_Addr_Ld_En : std_logic := '0'; signal RdChnl_BRAM_Addr_Inc : std_logic := '0'; signal RdChnl_BRAM_Addr_Ld : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); signal bram_addr_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); ------------------------------------------------------------------------------- -- Architecture Body ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- *** BRAM Output Signals *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Generate: ADDR_SNG_PORT -- Purpose: OR the BRAM_Addr outputs from each wr_chnl & rd_chnl -- Only one write or read will be active at a time. -- Ensure that ecah channel address is driven to '0' when not in use. --------------------------------------------------------------------------- ADDR_SNG_PORT: if C_SINGLE_PORT_BRAM = 1 generate signal sng_bram_addr_rst : std_logic := '0'; signal sng_bram_addr_ld_en : std_logic := '0'; signal sng_bram_addr_ld : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); signal sng_bram_addr_inc : std_logic := '0'; begin -- BRAM_Addr_A <= BRAM_Addr_A_i or BRAM_Addr_B_i; -- BRAM_Addr_A <= BRAM_Addr_A_i when (Arb2AW_Active = '1') else BRAM_Addr_B_i; -- BRAM_Addr_A <= BRAM_Addr_A_i when (Active_Wr = '1') else BRAM_Addr_B_i; -- Insert mux on address counter control signals sng_bram_addr_rst <= WrChnl_BRAM_Addr_Rst; sng_bram_addr_ld_en <= WrChnl_BRAM_Addr_Ld_En or RdChnl_BRAM_Addr_Ld_En; sng_bram_addr_ld <= RdChnl_BRAM_Addr_Ld when (Arb2AR_Active = '1') else WrChnl_BRAM_Addr_Ld; sng_bram_addr_inc <= RdChnl_BRAM_Addr_Inc when (Arb2AR_Active = '1') else WrChnl_BRAM_Addr_Inc; I_ADDR_CNT: process (S_AXI_AClk) begin if (S_AXI_AClk'event and S_AXI_AClk = '1') then if (sng_bram_addr_rst = '1') then bram_addr_int <= (others => '0'); elsif (sng_bram_addr_ld_en = '1') then bram_addr_int <= sng_bram_addr_ld; elsif (sng_bram_addr_inc = '1') then bram_addr_int (C_S_AXI_ADDR_WIDTH-1 downto 12) <= bram_addr_int (C_S_AXI_ADDR_WIDTH-1 downto 12); bram_addr_int (11 downto C_BRAM_ADDR_ADJUST_FACTOR) <= std_logic_vector (unsigned (bram_addr_int (11 downto C_BRAM_ADDR_ADJUST_FACTOR)) + 1); end if; end if; end process I_ADDR_CNT; BRAM_Addr_B <= (others => '0'); BRAM_En_A <= BRAM_En_A_i or BRAM_En_B_i; -- BRAM_En_A <= BRAM_En_A_i when (Arb2AW_Active = '1') else BRAM_En_B_i; BRAM_En_B <= '0'; BRAM_RdData_i <= BRAM_RdData_A; -- Assign read data port A BRAM_WE_A <= BRAM_WE_A_i when (Arb2AW_Active = '1') else (others => '0'); -- v1.03a -- Early register on WrData and WSTRB in wr_chnl. (Previous value was always cleared). --------------------------------------------------------------------------- -- Generate: GEN_L_BRAM_ADDR -- Purpose: Generate zeros on lower order address bits adjustable -- based on BRAM data width. --------------------------------------------------------------------------- GEN_L_BRAM_ADDR: for i in C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0 generate begin BRAM_Addr_A (i) <= '0'; end generate GEN_L_BRAM_ADDR; --------------------------------------------------------------------------- -- Generate: GEN_BRAM_ADDR -- Purpose: Assign BRAM address output from address counter. --------------------------------------------------------------------------- GEN_BRAM_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate begin BRAM_Addr_A (i) <= bram_addr_int (i); end generate GEN_BRAM_ADDR; end generate ADDR_SNG_PORT; --------------------------------------------------------------------------- -- Generate: ADDR_DUAL_PORT -- Purpose: Assign each BRAM address when in a dual port controller -- configuration. --------------------------------------------------------------------------- ADDR_DUAL_PORT: if C_SINGLE_PORT_BRAM = 0 generate begin BRAM_Addr_A <= BRAM_Addr_A_i; BRAM_Addr_B <= BRAM_Addr_B_i; BRAM_En_A <= BRAM_En_A_i; BRAM_En_B <= BRAM_En_B_i; BRAM_WE_A <= BRAM_WE_A_i; BRAM_RdData_i <= BRAM_RdData_B; -- Assign read data port B end generate ADDR_DUAL_PORT; BRAM_WrData_B <= (others => '0'); BRAM_WE_B <= (others => '0'); --------------------------------------------------------------------------- -- *** AXI-Lite ECC Register Output Signals *** --------------------------------------------------------------------------- --------------------------------------------------------------------------- -- Generate: GEN_NO_REGS -- Purpose: Generate default values if ECC registers are disabled (or when -- ECC is disabled). -- Include both AXI-Lite default signal values & internal -- core signal values. --------------------------------------------------------------------------- GEN_NO_REGS: if (C_ECC = 0) generate begin S_AXI_CTRL_AWREADY <= '0'; S_AXI_CTRL_WREADY <= '0'; S_AXI_CTRL_BRESP <= (others => '0'); S_AXI_CTRL_BVALID <= '0'; S_AXI_CTRL_ARREADY <= '0'; S_AXI_CTRL_RDATA <= (others => '0'); S_AXI_CTRL_RRESP <= (others => '0'); S_AXI_CTRL_RVALID <= '0'; -- No fault injection FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); -- Interrupt only enabled when ECC status/interrupt registers enabled ECC_Interrupt <= '0'; ECC_UE <= '0'; Enable_ECC <= '0'; end generate GEN_NO_REGS; --------------------------------------------------------------------------- -- Generate: GEN_REGS -- Purpose: Generate ECC register module when ECC is enabled and -- ECC registers are enabled. --------------------------------------------------------------------------- -- GEN_REGS: if (C_ECC = 1 and C_ENABLE_AXI_CTRL_REG_IF = 1) generate -- For future implementation. GEN_REGS: if (C_ECC = 1) generate begin --------------------------------------------------------------------------- -- Instance: I_LITE_ECC_REG -- Description: This module is for the AXI-Lite ECC registers. -- -- Responsible for all AXI-Lite communication to the -- ECC register bank. Provides user interface signals -- to rest of AXI BRAM controller IP core for ECC functionality -- and control. -- Manages AXI-Lite write address (AW) and read address (AR), -- write data (W), write response (B), and read data (R) channels. --------------------------------------------------------------------------- I_LITE_ECC_REG : entity work.lite_ecc_reg generic map ( C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_BRAM_ADDR_ADJUST_FACTOR => C_BRAM_ADDR_ADJUST_FACTOR , C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH , C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH , C_ECC_WIDTH => C_INT_ECC_WIDTH , -- ECC width specific to data width C_FAULT_INJECT => C_FAULT_INJECT , C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS , C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS , C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS , C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER , C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE , C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH ) port map ( S_AXI_AClk => S_AXI_AClk , -- AXI clock S_AXI_AResetn => S_AXI_AResetn , -- TBD -- S_AXI_CTRL_AClk => S_AXI_CTRL_AClk , -- AXI-Lite clock -- S_AXI_CTRL_AResetn => S_AXI_CTRL_AResetn , Interrupt => ECC_Interrupt , ECC_UE => ECC_UE , -- Add AXI-Lite ECC Register Ports AXI_CTRL_AWVALID => S_AXI_CTRL_AWVALID , AXI_CTRL_AWREADY => S_AXI_CTRL_AWREADY , AXI_CTRL_AWADDR => S_AXI_CTRL_AWADDR , AXI_CTRL_WDATA => S_AXI_CTRL_WDATA , AXI_CTRL_WVALID => S_AXI_CTRL_WVALID , AXI_CTRL_WREADY => S_AXI_CTRL_WREADY , AXI_CTRL_BRESP => S_AXI_CTRL_BRESP , AXI_CTRL_BVALID => S_AXI_CTRL_BVALID , AXI_CTRL_BREADY => S_AXI_CTRL_BREADY , AXI_CTRL_ARADDR => S_AXI_CTRL_ARADDR , AXI_CTRL_ARVALID => S_AXI_CTRL_ARVALID , AXI_CTRL_ARREADY => S_AXI_CTRL_ARREADY , AXI_CTRL_RDATA => S_AXI_CTRL_RDATA , AXI_CTRL_RRESP => S_AXI_CTRL_RRESP , AXI_CTRL_RVALID => S_AXI_CTRL_RVALID , AXI_CTRL_RREADY => S_AXI_CTRL_RREADY , Enable_ECC => Enable_ECC , FaultInjectClr => FaultInjectClr , CE_Failing_We => CE_Failing_We , CE_CounterReg_Inc => CE_Failing_We , Sl_CE => Sl_CE , Sl_UE => Sl_UE , BRAM_Addr_A => BRAM_Addr_A_i (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) , -- v1.03a BRAM_Addr_B => BRAM_Addr_B_i (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) , -- v1.03a BRAM_Addr_En => BRAM_Addr_En , Active_Wr => Active_Wr , -- BRAM_RdData_A => BRAM_RdData_A (C_S_AXI_DATA_WIDTH-1 downto 0) , -- BRAM_RdData_B => BRAM_RdData_B (C_S_AXI_DATA_WIDTH-1 downto 0) , FaultInjectData => FaultInjectData , FaultInjectECC => FaultInjectECC_i ); BRAM_Addr_En <= Wr_BRAM_Addr_En or Rd_BRAM_Addr_En; -- v1.03a -- Add coverage tags for Wr_CE_Failing_We. -- No testing on forcing errors with RMW and AXI write transfers. --coverage off CE_Failing_We <= Wr_CE_Failing_We or Rd_CE_Failing_We; Sl_CE <= Wr_Sl_CE or Rd_Sl_CE; Sl_UE <= Wr_Sl_UE or Rd_Sl_UE; --coverage on ------------------------------------------------------------------- -- Generate: GEN_32 -- Purpose: Add MSB '0' on ECC vector as only 7-bits wide in 32-bit. ------------------------------------------------------------------- GEN_32: if C_S_AXI_DATA_WIDTH = 32 generate begin FaultInjectECC <= '0' & FaultInjectECC_i; end generate GEN_32; ------------------------------------------------------------------- -- Generate: GEN_NON_32 -- Purpose: Data widths match at 8-bits for ECC on 64-bit data. -- And 9-bits for 128-bit data. ------------------------------------------------------------------- GEN_NON_32: if C_S_AXI_DATA_WIDTH /= 32 generate begin FaultInjectECC <= FaultInjectECC_i; end generate GEN_NON_32; end generate GEN_REGS; --------------------------------------------------------------------------- -- Generate: GEN_ARB -- Purpose: Generate arbitration module when AXI4 is configured in -- single port mode. --------------------------------------------------------------------------- GEN_ARB: if (C_SINGLE_PORT_BRAM = 1) generate begin --------------------------------------------------------------------------- -- Instance: I_LITE_ECC_REG -- Description: This module is for the AXI-Lite ECC registers. -- -- Responsible for all AXI-Lite communication to the -- ECC register bank. Provides user interface signals -- to rest of AXI BRAM controller IP core for ECC functionality -- and control. -- Manages AXI-Lite write address (AW) and read address (AR), -- write data (W), write response (B), and read data (R) channels. --------------------------------------------------------------------------- I_SNG_PORT : entity work.sng_port_arb generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH ) port map ( S_AXI_AClk => S_AXI_AClk , -- AXI clock S_AXI_AResetn => S_AXI_AResetn , AXI_AWADDR => S_AXI_AWADDR (C_S_AXI_ADDR_WIDTH-1 downto 0), AXI_AWVALID => S_AXI_AWVALID , AXI_AWREADY => S_AXI_AWREADY , AXI_ARADDR => S_AXI_ARADDR (C_S_AXI_ADDR_WIDTH-1 downto 0), AXI_ARVALID => S_AXI_ARVALID , AXI_ARREADY => S_AXI_ARREADY , Arb2AW_Active => Arb2AW_Active , AW2Arb_Busy => AW2Arb_Busy , AW2Arb_Active_Clr => AW2Arb_Active_Clr , AW2Arb_BVALID_Cnt => AW2Arb_BVALID_Cnt , Arb2AR_Active => Arb2AR_Active , AR2Arb_Active_Clr => AR2Arb_Active_Clr ); end generate GEN_ARB; --------------------------------------------------------------------------- -- Generate: GEN_DUAL -- Purpose: Dual mode. AWREADY and ARREADY are generated from each -- wr_chnl and rd_chnl module. --------------------------------------------------------------------------- GEN_DUAL: if (C_SINGLE_PORT_BRAM = 0) generate begin S_AXI_AWREADY <= S_AXI_AWREADY_i; S_AXI_ARREADY <= S_AXI_ARREADY_i; Arb2AW_Active <= '0'; Arb2AR_Active <= '0'; end generate GEN_DUAL; --------------------------------------------------------------------------- -- Instance: I_WR_CHNL -- -- Description: -- BRAM controller write channel logic. Controls AXI bus handshaking and -- data flow on the write address (AW), write data (W) and -- write response (B) channels. -- -- BRAM signals are marked as output from Wr Chnl for future implementation -- of merging Wr/Rd channel outputs to a single port of the BRAM module. -- --------------------------------------------------------------------------- I_WR_CHNL : entity work.wr_chnl generic map ( -- C_FAMILY => C_FAMILY , C_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH , C_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_BRAM_ADDR_ADJUST_FACTOR => C_BRAM_ADDR_ADJUST_FACTOR , C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_SUPPORTS_NARROW => C_S_AXI_SUPPORTS_NARROW_BURST , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_ECC => C_ECC , C_ECC_WIDTH => C_ECC_WIDTH , C_ECC_TYPE => C_ECC_TYPE -- v1.03a ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , AXI_AWID => S_AXI_AWID , AXI_AWADDR => S_AXI_AWADDR (C_S_AXI_ADDR_WIDTH-1 downto 0), AXI_AWLEN => S_AXI_AWLEN , AXI_AWSIZE => S_AXI_AWSIZE , AXI_AWBURST => S_AXI_AWBURST , AXI_AWLOCK => S_AXI_AWLOCK , AXI_AWCACHE => S_AXI_AWCACHE , AXI_AWPROT => S_AXI_AWPROT , AXI_AWVALID => S_AXI_AWVALID , AXI_AWREADY => S_AXI_AWREADY_i , AXI_WDATA => S_AXI_WDATA , AXI_WSTRB => S_AXI_WSTRB , AXI_WLAST => S_AXI_WLAST , AXI_WVALID => S_AXI_WVALID , AXI_WREADY => S_AXI_WREADY , AXI_BID => S_AXI_BID , AXI_BRESP => S_AXI_BRESP , AXI_BVALID => S_AXI_BVALID , AXI_BREADY => S_AXI_BREADY , -- Arb Ports Arb2AW_Active => Arb2AW_Active , AW2Arb_Busy => AW2Arb_Busy , AW2Arb_Active_Clr => AW2Arb_Active_Clr , AW2Arb_BVALID_Cnt => AW2Arb_BVALID_Cnt , Sng_BRAM_Addr_Rst => WrChnl_BRAM_Addr_Rst , Sng_BRAM_Addr_Ld_En => WrChnl_BRAM_Addr_Ld_En , Sng_BRAM_Addr_Ld => WrChnl_BRAM_Addr_Ld , Sng_BRAM_Addr_Inc => WrChnl_BRAM_Addr_Inc , Sng_BRAM_Addr => bram_addr_int , -- ECC Ports Enable_ECC => Enable_ECC , BRAM_Addr_En => Wr_BRAM_Addr_En , FaultInjectClr => FaultInjectClr , CE_Failing_We => Wr_CE_Failing_We , Sl_CE => Wr_Sl_CE , Sl_UE => Wr_Sl_UE , Active_Wr => Active_Wr , FaultInjectData => FaultInjectData , FaultInjectECC => FaultInjectECC , BRAM_En => BRAM_En_A_i , -- BRAM_WE => BRAM_WE_A , -- 4/13 BRAM_WE => BRAM_WE_A_i , BRAM_WrData => BRAM_WrData_A , BRAM_RdData => BRAM_RdData_A , BRAM_Addr => BRAM_Addr_A_i ); --------------------------------------------------------------------------- -- Instance: I_RD_CHNL -- -- Description: -- BRAM controller read channel logic. Controls all handshaking and data -- flow on read address (AR) and read data (R) AXI channels. -- -- BRAM signals are marked as Rd Chnl signals for future implementation -- of merging Rd/Wr BRAM signals to a single BRAM port. -- --------------------------------------------------------------------------- I_RD_CHNL : entity work.rd_chnl generic map ( -- C_FAMILY => C_FAMILY , C_AXI_ID_WIDTH => C_S_AXI_ID_WIDTH , C_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH , C_BRAM_ADDR_ADJUST_FACTOR => C_BRAM_ADDR_ADJUST_FACTOR , C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL , C_S_AXI_SUPPORTS_NARROW => C_S_AXI_SUPPORTS_NARROW_BURST , C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM , C_ECC => C_ECC , C_ECC_WIDTH => C_ECC_WIDTH , C_ECC_TYPE => C_ECC_TYPE -- v1.03a ) port map ( S_AXI_AClk => S_AXI_ACLK , S_AXI_AResetn => S_AXI_ARESETN , AXI_ARID => S_AXI_ARID , AXI_ARADDR => S_AXI_ARADDR (C_S_AXI_ADDR_WIDTH-1 downto 0), AXI_ARLEN => S_AXI_ARLEN , AXI_ARSIZE => S_AXI_ARSIZE , AXI_ARBURST => S_AXI_ARBURST , AXI_ARLOCK => S_AXI_ARLOCK , AXI_ARCACHE => S_AXI_ARCACHE , AXI_ARPROT => S_AXI_ARPROT , AXI_ARVALID => S_AXI_ARVALID , AXI_ARREADY => S_AXI_ARREADY_i , AXI_RID => S_AXI_RID , AXI_RDATA => S_AXI_RDATA , AXI_RRESP => S_AXI_RRESP , AXI_RLAST => S_AXI_RLAST , AXI_RVALID => S_AXI_RVALID , AXI_RREADY => S_AXI_RREADY , -- Arb Ports Arb2AR_Active => Arb2AR_Active , AR2Arb_Active_Clr => AR2Arb_Active_Clr , Sng_BRAM_Addr_Ld_En => RdChnl_BRAM_Addr_Ld_En , Sng_BRAM_Addr_Ld => RdChnl_BRAM_Addr_Ld , Sng_BRAM_Addr_Inc => RdChnl_BRAM_Addr_Inc , Sng_BRAM_Addr => bram_addr_int , -- ECC Ports Enable_ECC => Enable_ECC , BRAM_Addr_En => Rd_BRAM_Addr_En , CE_Failing_We => Rd_CE_Failing_We , Sl_CE => Rd_Sl_CE , Sl_UE => Rd_Sl_UE , BRAM_En => BRAM_En_B_i , BRAM_Addr => BRAM_Addr_B_i , BRAM_RdData => BRAM_RdData_i ); end architecture implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/muxf_struct_f.vhd
15
15871
------------------------------------------------------------------------------- -- $Id: muxf_struct_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: muxf_struct_f.vhd -- -- Description: Given a vector of input bits, Iv (not necessarily a -- power of two). and a select value, Sel, this block -- will build the multiplexing function -- -- O <= Iv(Sel) -- -- using the MUXF (MUXF5, MUXF6, etc.) primitives of -- the target FPGA family, C_FAMILY, if possible and, -- otherwise, using inferred multiplexers. -- -- Since MUXF primitives are targeted, it is proper -- that the Iv signals are driven by LUTs. -- -- A help entity, muxf_struct, which is instantiated -- recursively, is used to facilitate the implementation. -- (So, compiling this file will add two entities, -- muxf_struct and muxf_struct_f, to the target library.) -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- muxf_struct_f.vhd -- muxf_struct (entity and architecture in this file) -- proc_common_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 2007-12-12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( -------------------------------------------------------------------------------- -- This is a helper entity. The entity declaration for muxf_struct_f is -- further, below. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.family_support.all; -- supported, primitives_type library unisim; entity muxf_struct is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_NI_PO2E : positive; -- Num Inputs, Power-of-2 Envelope C_FAMILY : string ); port ( LO : out std_logic; -- Normally only one of O : out std_logic; -- LO or O would be used. Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NI_PO2E)-1) ); end entity muxf_struct; library proc_common_v4_0; library unisim; use unisim.all; -- Makes unisim entities available for default binding. -------------------------------------------------------------------------------- -- Line-length guideline purposely not followed in some places to expose parallel code structures. -------------------------------------------------------------------------------- architecture imp of muxf_struct is -- type bo2na_type is array(boolean) of natural; constant bo2na : bo2na_type := (false => 0, true => 1); -- constant SIZE : natural := Iv'length; constant PO2E : natural := C_NI_PO2E; constant THIS_LEVEL : natural := C_START_LEVEL + clog2(PO2E); constant K_FAMILY : families_type := str2fam(C_FAMILY); constant S5 : boolean := supported(K_FAMILY, u_MUXF5_D) and THIS_LEVEL = 5; constant S6 : boolean := supported(K_FAMILY, u_MUXF6_D) and THIS_LEVEL = 6; constant S7 : boolean := supported(K_FAMILY, u_MUXF7_D) and THIS_LEVEL = 7; constant S8 : boolean := supported(K_FAMILY, u_MUXF8_D) and THIS_LEVEL = 8; constant INFERRED : boolean := not(S5 or S6 or S7 or S8); -- signal s, i0, i1 : std_logic; -- If there is no i1 at a particular mux level, -- it is left undriven and s is tied to '0'. component MUXF5_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF6_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF7_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF8_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; begin -- Below, some generates and component instantiations are one per line -- to show similarities and differences. ---------------------------------------------------------------------------- -- Base instance, just one or two inputs, no recursion. ---------------------------------------------------------------------------- E2_GEN : if PO2E=2 and SIZE=2 generate s <= Sel(0); i0 <= Iv(0); i1 <= Iv(1); end generate; E1_GEN : if PO2E=2 and SIZE=1 generate s <= '0'; i0 <= Iv(0); end generate;-- No driver for i1 ---------------------------------------------------------------------------- -- Use recursion to get lower-level mux structures to feed the mux at -- this level. ---------------------------------------------------------------------------- GT2_GEN : if PO2E > 2 generate constant NE : natural := PO2E/2; -- Next envelope. constant BOTH : boolean := (SIZE > NE); -- Needs recursive call for -- both the left and right sides; otherwise just a left-side -- recursive call is needed (with C_NI_PO2E reduced by half) and Iv -- passed down unchanged. constant LSIZE : natural := bo2na(BOTH) * (2**(clog2(SIZE))/2) + bo2na(not BOTH) * SIZE; -- 1st option above: LSIZE is next smaller power of 2 -- 2nd option above: SIZE is passed down unchanged begin LEFT_GEN : IF true generate I_I0 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i0, O => open, Iv => Iv(0 to LSIZE-1), Sel => Sel(1 to Sel'right) ) ; end generate; RIGHT_GEN : IF BOTH generate I_I1 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => SIZE-LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i1, O => open, Iv => Iv(LSIZE to SIZE-1), Sel => Sel(1 to Sel'right) ) ; s <= Sel(0); end generate; LEFT_ONLY_GEN : IF not BOTH generate s <= '0'; end generate; end generate; -- Instantiate the mux at this level. -- -- Structurals S5_GEN : if S5 generate I_F5 : component MUXF5_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S6_GEN : if S6 generate I_F6 : component MUXF6_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S7_GEN : if S7 generate I_F7 : component MUXF7_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S8_GEN : if S8 generate I_F8 : component MUXF8_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; -- Inferred INFERRED_GEN : if INFERRED generate signal h : std_logic; begin h <= i0 when s = '0' else i1 ; LO <= h; O <= h; END generate; end architecture imp; ---) ---( -------------------------------------------------------------------------------- -- Generic descriptions -------------------------------------------------------------------------------- -- C_START_LEVEL : natural - The size of the LUTs feeding into MUXFN network. -- For example, for six-input LUTs, -- C__START_LEVEL = 6 and the first level of muxes -- are MUXF7. -- C_NUM_INPUTS : positive - The number of inputs to be muxed. -- C_FAMILY : string - The target FPGA family. -------------------------------------------------------------------------------- -- Port descriptions -------------------------------------------------------------------------------- -- O : out std_logic - Mux ouput -- Iv : in std_logic_vector(0 to C_NUM_INPUTS-1) - Mux inputs -- Sel: in std_logic_vector(0 to log2(C_NUM_INPUTS) - 1) - Select lines. -- - The Iv values must be ordered such that the correct -- - one is selected according to O <= Iv(Sel). -------------------------------------------------------------------------------- -- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; -- entity muxf_struct_f is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_FAMILY : string ); port ( O : out std_logic; Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NUM_INPUTS) - 1) ); end muxf_struct_f; architecture imp of muxf_struct_f is begin MUXF_STRUCT_I : entity proc_common_v4_0.muxf_struct generic map ( C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => C_NUM_INPUTS, C_NI_PO2E => 2**clog2(C_NUM_INPUTS), C_FAMILY => C_FAMILY ) port map ( LO => open, O => O, Iv => Iv, Sel => Sel ); end imp; ---)
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/muxf_struct_f.vhd
15
15871
------------------------------------------------------------------------------- -- $Id: muxf_struct_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: muxf_struct_f.vhd -- -- Description: Given a vector of input bits, Iv (not necessarily a -- power of two). and a select value, Sel, this block -- will build the multiplexing function -- -- O <= Iv(Sel) -- -- using the MUXF (MUXF5, MUXF6, etc.) primitives of -- the target FPGA family, C_FAMILY, if possible and, -- otherwise, using inferred multiplexers. -- -- Since MUXF primitives are targeted, it is proper -- that the Iv signals are driven by LUTs. -- -- A help entity, muxf_struct, which is instantiated -- recursively, is used to facilitate the implementation. -- (So, compiling this file will add two entities, -- muxf_struct and muxf_struct_f, to the target library.) -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- muxf_struct_f.vhd -- muxf_struct (entity and architecture in this file) -- proc_common_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 2007-12-12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( -------------------------------------------------------------------------------- -- This is a helper entity. The entity declaration for muxf_struct_f is -- further, below. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.family_support.all; -- supported, primitives_type library unisim; entity muxf_struct is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_NI_PO2E : positive; -- Num Inputs, Power-of-2 Envelope C_FAMILY : string ); port ( LO : out std_logic; -- Normally only one of O : out std_logic; -- LO or O would be used. Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NI_PO2E)-1) ); end entity muxf_struct; library proc_common_v4_0; library unisim; use unisim.all; -- Makes unisim entities available for default binding. -------------------------------------------------------------------------------- -- Line-length guideline purposely not followed in some places to expose parallel code structures. -------------------------------------------------------------------------------- architecture imp of muxf_struct is -- type bo2na_type is array(boolean) of natural; constant bo2na : bo2na_type := (false => 0, true => 1); -- constant SIZE : natural := Iv'length; constant PO2E : natural := C_NI_PO2E; constant THIS_LEVEL : natural := C_START_LEVEL + clog2(PO2E); constant K_FAMILY : families_type := str2fam(C_FAMILY); constant S5 : boolean := supported(K_FAMILY, u_MUXF5_D) and THIS_LEVEL = 5; constant S6 : boolean := supported(K_FAMILY, u_MUXF6_D) and THIS_LEVEL = 6; constant S7 : boolean := supported(K_FAMILY, u_MUXF7_D) and THIS_LEVEL = 7; constant S8 : boolean := supported(K_FAMILY, u_MUXF8_D) and THIS_LEVEL = 8; constant INFERRED : boolean := not(S5 or S6 or S7 or S8); -- signal s, i0, i1 : std_logic; -- If there is no i1 at a particular mux level, -- it is left undriven and s is tied to '0'. component MUXF5_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF6_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF7_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; component MUXF8_D port ( LO : out std_ulogic; O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; begin -- Below, some generates and component instantiations are one per line -- to show similarities and differences. ---------------------------------------------------------------------------- -- Base instance, just one or two inputs, no recursion. ---------------------------------------------------------------------------- E2_GEN : if PO2E=2 and SIZE=2 generate s <= Sel(0); i0 <= Iv(0); i1 <= Iv(1); end generate; E1_GEN : if PO2E=2 and SIZE=1 generate s <= '0'; i0 <= Iv(0); end generate;-- No driver for i1 ---------------------------------------------------------------------------- -- Use recursion to get lower-level mux structures to feed the mux at -- this level. ---------------------------------------------------------------------------- GT2_GEN : if PO2E > 2 generate constant NE : natural := PO2E/2; -- Next envelope. constant BOTH : boolean := (SIZE > NE); -- Needs recursive call for -- both the left and right sides; otherwise just a left-side -- recursive call is needed (with C_NI_PO2E reduced by half) and Iv -- passed down unchanged. constant LSIZE : natural := bo2na(BOTH) * (2**(clog2(SIZE))/2) + bo2na(not BOTH) * SIZE; -- 1st option above: LSIZE is next smaller power of 2 -- 2nd option above: SIZE is passed down unchanged begin LEFT_GEN : IF true generate I_I0 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i0, O => open, Iv => Iv(0 to LSIZE-1), Sel => Sel(1 to Sel'right) ) ; end generate; RIGHT_GEN : IF BOTH generate I_I1 : entity work.muxf_struct generic map (C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => SIZE-LSIZE, C_NI_PO2E => NE, C_FAMILY => C_FAMILY ) port map (LO => i1, O => open, Iv => Iv(LSIZE to SIZE-1), Sel => Sel(1 to Sel'right) ) ; s <= Sel(0); end generate; LEFT_ONLY_GEN : IF not BOTH generate s <= '0'; end generate; end generate; -- Instantiate the mux at this level. -- -- Structurals S5_GEN : if S5 generate I_F5 : component MUXF5_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S6_GEN : if S6 generate I_F6 : component MUXF6_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S7_GEN : if S7 generate I_F7 : component MUXF7_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; S8_GEN : if S8 generate I_F8 : component MUXF8_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate; -- Inferred INFERRED_GEN : if INFERRED generate signal h : std_logic; begin h <= i0 when s = '0' else i1 ; LO <= h; O <= h; END generate; end architecture imp; ---) ---( -------------------------------------------------------------------------------- -- Generic descriptions -------------------------------------------------------------------------------- -- C_START_LEVEL : natural - The size of the LUTs feeding into MUXFN network. -- For example, for six-input LUTs, -- C__START_LEVEL = 6 and the first level of muxes -- are MUXF7. -- C_NUM_INPUTS : positive - The number of inputs to be muxed. -- C_FAMILY : string - The target FPGA family. -------------------------------------------------------------------------------- -- Port descriptions -------------------------------------------------------------------------------- -- O : out std_logic - Mux ouput -- Iv : in std_logic_vector(0 to C_NUM_INPUTS-1) - Mux inputs -- Sel: in std_logic_vector(0 to log2(C_NUM_INPUTS) - 1) - Select lines. -- - The Iv values must be ordered such that the correct -- - one is selected according to O <= Iv(Sel). -------------------------------------------------------------------------------- -- library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; -- entity muxf_struct_f is generic ( C_START_LEVEL : natural; C_NUM_INPUTS : positive; C_FAMILY : string ); port ( O : out std_logic; Iv : in std_logic_vector(0 to C_NUM_INPUTS-1); Sel: in std_logic_vector(0 to clog2(C_NUM_INPUTS) - 1) ); end muxf_struct_f; architecture imp of muxf_struct_f is begin MUXF_STRUCT_I : entity proc_common_v4_0.muxf_struct generic map ( C_START_LEVEL => C_START_LEVEL, C_NUM_INPUTS => C_NUM_INPUTS, C_NI_PO2E => 2**clog2(C_NUM_INPUTS), C_FAMILY => C_FAMILY ) port map ( LO => open, O => O, Iv => Iv, Sel => Sel ); end imp; ---)
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/blk_mem_gen_v8_1/blk_mem_gen_ecc_decoder.vhd
27
24873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block g2RN5TBir43ECxFrT/y2GRXX5NGDYpjq+n5gxNTYWsuzDCjF5YeYUisYseKLr1ryeyQynd8Epzt1 V06LipLPYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eJKP4nowQhkS+sdlDJ3aF081jbTFWdzdlOBNNOlq8qkrol2Z2K32WIgnl06Lqx6yc1xJY0X0kmV8 eOkRE5vog2ePPioAy86OAcMONOPoHTqykW2qaaCPwvHqEP73jf7t4R18PaTf0PZeg4kzgW5BQXqF THWJ0viu+pagUeVYQuI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MDIZ/fTOzwhXm05ObJ3zkVB2FpJAN9o34cB0jHfFprFQZmUeUQ3tZW60svZwBPLmTXGm6NjoSEnE d1b16jr2OvP7e61sGc1GOIzSD5lAxq6KYGFDCFGlb2HKuXZP87xm86ePu57tT4ld2oGvDNavbknR LLxhx9ZyBV7SuzGo3PKuxBA2tnF6vIEJkp4n2dqwXnKJw+xgySn5xCMvJuNm4ghYOfBAsNQGJ39j 9OlCVz84SN0I+ZhsnI7KhLpJBWOyFN5hfdsD0RVsTRLOBu1rLKX6200sXAdAwmaB9xg+3o0vilh4 pIPe6hkIVYlfHVKU7Znj0kURPqGkJtm2RI+CaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RvD4A+WtjqxHYEUrji4gUWEBsLfMuiFWzgBi0pzOF5kWQsF7tHiiAC+dbiIZv5TBKh6/SeRqqj5f up1ybf94wq9EXJ/d1afld/HRqNac4VRPTUzPBHt4z5dEncFPVDK4ucOaLAd/3B1aieNd9xn+mBS/ wR5gmSxp/s9f+zaVsS0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NS2iEv8S/DLjr8oIhLcaUy30De4W+2t5q2cf287k87h3kSCMEoBnjvcyRcG6CE1IFz2i6ewnJ0mb 4oesPU8Xde+4KmwGSKnw2OpNx1aFtJHy7C5xPLKHuYCmY+9zM9y9RMguGvxUNsPvXEO9G/4BQZtJ xHf97YW4qiiYtbOsAO8R0m9UHVOYT94pj/6x0Itkq5yeU0YXuubMwNfZ5ZRnrVKNyxQ5Ilm1kGqH N2bcD8eyFlVJydABBBV388JKwKrfOh5ZHUd8//7U9+6XMFYO4OGZzTYmAvyyO7iRRKEjPElnyW25 UoL5ziiALbB2biJ+eBPz4dgChqDQ9nB8HsYg8A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672) `protect data_block P5M/4PHgCXWT4d5bQLyxafc9gPLXrc3don7LU1HGE8pT14607cJvKERJqXmRuw7Vu24p6Rikcq9u GWX7pruE1bGxXU01kyIDlaQBCbgDyYm2PzZ2WcV3wJZBP2GqoNGGNnV9D8EoUe8nkfgNlnSFShtc 3gyImEfEmPx5WTUeprbCjuzexZHjL9ufilaP7sS564ZYueRr8CJEkkUenp0vPgr10Js6pUWUtqmv dIhLyHLmwTEim0EIMvoUePfUfEe5QIDHQeFhIaYn6e4PLZqpxn+8TE5wRprrMb0/4UIt9Ughb91Y EW2+F2Z6KVEbQWRpAub7VMwRNuyyQV0J65/pLA3A6rTE7Zgi7Rgmjdmml2+kv0ez3cYDsJawxcwu 4kbTfcolN2QPPPNOmEU1y8C3UFvGi1H9Pfw8g4O5YH7qS7KhFFRghD49E8fqSkVfiXnFq5ZNPCgJ s83F4Cqdwflu8KU57Ccq2FsttPU6FWQyTL3b41ZPpeP4oNnRcomqAqIScuaZWr9xDbXzL1u8QEll IWkICPFN5UsqfZh3UzZGS0VTeWQZJgW2WVS7cmgXSU9cGxtdK0iwmQHjC0zkwWHbtBNk7hwwIPtE XTaVltnfe9twX4mAd3Ifbp3hgkEcWx1TRA1G+qggq83UQmsaUfPSoQMmB8OMZHOndrRAeM9Ux3WK gJYC5lCKHthZgsPEyPEvSv1jUo9eVnzM4zx6KWxDfwQiURf0YsbJ9tp44FV+czYe961snK/mYnmW R4ZFlquW4yfKcdlJMF7l4nOok9OTwk5KlSRs+e1gwm4WJO4vm4XqJjO3OruxP7IYhCr3ToZKi6/9 fyUgm5OLnZ9FZihAfDYedahvH6y7QmxrqM/oi7X5rH3K2eObEkWhUwfdovJRXpiS01RVy9cu3rup 9xvY4Y0C6/01SYeTB6XPcShVKj3FOTX6nPzQPQkhYlG2eNolyxk/mxOePULA7jk6ZW6Wqi+UCIby IpEh1z1AuiN9Ig2zoN2TWgkocTA/fuisDeAllz11TjXw+U60Vmg6Z7fla1qrxokGcCr07zJiB/dx rW6G5F62/FortVfdgE4uzGV8Z/vRczGf8+hj7CSil5rT9XvH28espi8U2JWcl876j6mtV9oeHX5k h9ckaRU4Bvta3y4ZluzesxNMTiUL4bHOat2pgUz6rleaeWB38gV6qKbX5TlciG5FbLnd7Z2EhmBQ KRSVDL7/Qo/kDWHP6muVBhZTvTx2iTr1adWEHxkyogMPVmMBDcDXjQfJc4Piru3t47NQs59cASDG gROO9642IOIueo4Chayp4qPPNNYxC+4SyI/79YIKpVjHvwz6VGuYdGIRK8q+fCxkdpl+XzDlwBn8 fzZuslIFoBlMzRLif8ppiAUTbRK4feEoFabQGrkBHI2HI0ogfHrAmQDvLYKtC3iGvBw0DtkKbfQd 4HqmWtO7qqutSt22vw2OGHHE3A0W0xS9OHaLjAtMECIth2PDLruFYTkhQBoMXznoxsB+LLZUmROe NrsrfRlgcbwQ62d6TUgp2CPM8gKxe3vZY+sl605rS/E2upbTAt+PZUNn6OeiwVKkMrd/QSZKCLWv GvhDzdVCxAM1ec/SNRlvK116pK5VkXlkGw5PY/4ro4DqduLQEV6asUzB7H2Sp/jU0xKOO3Pvxkz0 ZUTVHfzUtARsJBKLaLCUWyQm/yYIWj1/gmqe3EXpwkuFUQuEsaNQdkq4/CKlOczcYnAyyPN5l7ON ELF2CpCppXAK6KljY/WPXnem/QkE3PXwQIsL+isXw5vxMAMYWBurxiBXQHeW70f6FrpxCWgZjHWw 2fIjmauLrdEdqWNozepC1g2DBt+5UbekF+lv4KRuzf6IuGd1uB8ajy9So/S8eI2Ufd+UBOBx7J4f BrjEkBARWVxbafl/pHM69jupClI5QalH8gogiy7XvPACrYTE7FVOY8RhmxQNUewO/cwhzGaR6aFW wo/dks49DfBULTdLVW5snjvs7OSci7AH5qdh4zRRMHoa18n4ndVIuAKp43ybYWNxSo7Xxuqzby1B 3CDGGQeii9odDFtRhkI3g4aMaz09zjfPjzoBCU2Rr6Cwf5eUywIr+giUBbXb2z47cXsRmnmHJSTG qQMMyZTqvpEV4SvBj4b8XV7yhM+UmkcAcokVgw+vJdq46knD/V+JwmIUVmkTqTZb+3dBeljZ8SkB /KYCkFF/G4Jbz71zO2sdl1kmH1VlPEeOB0xUavI/kEbn6qFX9sGtSVdRPnbBd27VTSWbFxVr4Ol0 IK2z8hvPO42QgqugH97D29c7UH5uuH5bakCt3Kx5zUZhCbUmAGV6SDfu9abhzZdPB5xzeq80ZlVg n3o58+NrQOerFimkjAwbOznp/0iFGYQwpB/5j/bABfZJ2D5hOcHvNA0WJgKmkcBWin+1CJt/x7kv f0Rj2om44MXpn6QWssVsDLX5MvG4DWmdLoUQMFFs1MH5KY36WotcasWJWSdsYXoC/K9P5mDuSEkn c7Rb0QUhrIuVLTy72gLn6oeM/5Dk3tnXuHrjc5dsbpV8NRmMqlwpt1vi8jL2ClCGYhPmCW0DGmzN nPsmrXmoVRCJBI1wdK49J7vrvoQ6aBz4Kom9prFB2bkSATI034Tz73YZOZPtw/tgpfqneBs56cil IniO9vdPdExXIyj+J6PafCF1QGh1aFU1f9vmXGFin18t780CrkePItPrq/VQb1zmHWSgaxcCCBWC 4Yjt/xtGUABkLpoKNqfDjO97y4+PtgpgvUYiroNfKhaQzkT8+tlFsbp2yn5LWbJsGgOToSW7FaZI eEoHLrrR4DTtvHRGJq3NnkR+X2GAdKaj7eDFK8tA8D7iu0qErsIzJpi3u0fFRTWFKtFYagjLsxqm w+AkavU4zxmUWUPoi7Lhb93JL2Rjz8AnKvVMNXR4hGd1SAWLL1Ge96k8Y1s/ZzPJ1rBWbB/2uGKx F/ua9sK+7xm0zhZz7cTR6XtTdPv/d+zoZi14iQ9Rjz/U0nz2TDiZL21uZ3z/2ZgQ/zwzQU3CNFVj AmTwq9bwv2Z2ouyhTqebBb22kdfJ0a06x6jkPTNUwifYuBFN93UcRVCpxZGNpFPqlufJsctPcxhS IzqdHUNxFs+7Cn6p7ikqq/RbABIvMPUdATlF2KkupUVFtNgDurMB299y/bASiPJ7bDn1tIkRuIvs O1bcp/v/JSVXEAXCQcJmMhhbYisTcLK4eS56/LLsV6l/WPVm7E3vktUz5BYB0C5q4cTHo8LnOcjK sdA0CbYAmA/+hIXV3nYMZSO2cNiCVZNkhVS9dh4IDrSyiNVms2ASFrLKHkUkdDQ30MZBaY3ePKaW wtBYLfAqxeLAm9SjsKwVVHwQXBa+e/mxLXJoTqWSO2RarayrU0y8SOEAxRZLdIuFYJRKDSGvxcQX k6RJxfNZN4+MtQOB6DUAVyVRe6OlV90gQG0x8XNMe1ctq3ba3hzfGCazteMVBQtAxpyWZNar5Wwd 8Ga2tfzfzSaxFkIYiBobTnkYJuMPwSSoIjjSSnX2+FhlPnHvukxB7W6FZy0hLBsfcuqWTK+4eSKO lPzcPoTV5X6XLUnsPS4D/P5XLKO+8oxEEA7QDWANcRmaTT+kQdKlP51cXuyRHwi0SrWmioit7dE6 SFHx5vv7YTRJHY7f+9dxhoudNY4WT3+Rl4VIO6dBF6JhnHJQPqMRIbTntnz6/fy7GQ6oDP1TsvY6 bFf9cr1/yOxCOXafhBnDvslKSl+1UQZopNGZ0QHOruICmCIQtpWVOU/Yn8CVPFadaOwcSKRq51hh mPML9om3mKYcqFMVbrRT3AbzNsjrdnf3hj8sDnhYILlCveYnVU5VzWsZu6L49/Y4PCaQqluWTn2Y e4oYJZtY2Sy+QQ32aKz7ZTnfU0GtYgVauZwz0el7PEdaw/dbPf+uxAeBZUKKNLGWvH0ULRhn5k2s kt/i5/iu13GT23Pv+wNRI/OlgtA25KeCKsTe5xZRmbnvnf22zaHWOe5InJqD1nhsRia5wCWOaC1P DVHC9wPE5QyOYZMO6jyYnJ8huAibT7jeACzsDGku284ciChHcCqZpHlVHJPVPxauN05n91Qi9+oP /pTNX3Yd/pDwc4fcj9T6XnndmOMAEUB97u0rAFh+rNPuELE2cLM4GTSv9bC46+2i+RCR85vKz99o 6vURWeeVLNqnIeSvnuneu0wkNtSmqj580ciVF5MXxmke2SZwpMr6j316eJA34gPqDkLpPEKrCHdL za2hzPA6Wjo69Ys1jd0YkVPfbbLaSXdxjyLTx9F5XjNduJBnCdDh32kDHV29bPICtvNlf7n3E3Dr q7e29Bmz4bj6l7Rgha8N4goAKBEoI6Bu+H9yc6tEUexPCIE2MZS7Xil3XZzfGksztOoOE50iu+gJ HOmblpxFVxNc/4EUmLwapE72Q1rq44WpkpXFS3XsHqWGYhf8aIbgq9M/yDOtfk2kpnxSLTFsSWBl jGZ5d3fOyHXDF9gR/LKlPEGlWqI7COcwsxAi4OjoJje90OEgq1IndcSvb+ixafisjLVxduVWZ1V6 HLRSC7miUJ/684qLhIfc5UH6+RBDHqDcKEIEE2cpxlDBUl1ZTb2KK7hEADmyo1qZb9UwPjCqE1CP LZ7TaYfKAR6dT+kt4ZZx8O65tjKKXtArbNdJgnzZX8Vu3IbQ0PAcMYSwgeBLkT2Tl7ErrI4HA+NA PeKSTLMvGzyAsf5LfYFe8GY8SHelI47ekayEOc+tAoXG9vasCfHvehLJo46Vb6Ps/bWg5B6pSxZm 5a++tNMwR7XwWfxZ7JL75Xbt3znZVj8a4COou3iaq8PIcd900vHWAaDMRy5pg0CdnNZmvYlgFlar Lh9P/nv70AdDP7fFWlccjq8mRBRJzfk+6amBw6b2DtkGteqdWd/9+3CfE4ugNA8m3SGFSVBTCM3X 6/SNXSxjSGZ7Y6zSWHaFQyLLKktPRVXQtiRbC5V8njIfND5gpBxtKsDZwg9WR4vF/m/+pUY9zWHD UoAPQtMakRXU3obsqSJ4a01GzRgrxu8nQWb0EPRMoj8/f7JLD2XXOyusKzqQgQGA4Db9r55+1mLL 7jlsWr0GpL3qvMUWWNX/+O9r0Y6q6pZOAI8ad+0UGihUQ4G1Yef4QEQKB0QXTSl+Q8fJTOdXSDHr tyUTD7e+6Qrj0cljfD+zOB9Eh6PzV4+z8t6BtT4+7Z3P16HhL1FqdrgCPsCTtNEUEVcTqK0M8rbC +09EUnMuL55jXy3mroPrMFVadfkCDCmClJc8z/phmhZn6vzzuOaVL0ivtwH5k/BkVqVDozJiVc/4 xeIhaDM5NeYCWf8k9D0c6JxwHC8pLfbPoSA3t2Y6Y7P1k0eqJmdbSjwbua1lEVD/MoBwPr979T4K 0CDOAVmxk8LQ2X4S1GUIXPICvt3fGKFDrdBUq0BNyDooTYgksFbypO9q+mCPBzOJCoxtUFvI1PhH tFaIEiTSwdzny7KQmk6Oh7TToJLGc2pc8LMItoMbPmc003HyLqzfN5KW/zmGpVAnRPIgTnwaxuN4 th3yqG2Qi7OfLlinhmWrUODgF/nw+MzLVQkinTyUIDJv/r6d7Z8Int8sb/DUJO/wMSSz7vtdoxrt b0i3JdfoPiDhcaKRhT1KdvGWAf6EYgdu2OjaDwf//j6AtC56MtPQiopOHqkfunP++2t6e3ulKd/T 9/u6K/TXKgYhhMuKwmVxnfuaQVzyqpa5cEzbFaDtDgmSXODE0wy1Manm11EK/0+LGJIuo3JV0tn8 8CBEX2JAaRT4Xn19YwQ7GPqCaGnu0swVfZoka8obkfYNE5Jybhim+VtnFC3mlkMrczMb9OHhq1fZ 1h0ieAwajd3cxxNDFZNytULhdPQcisUNwqtmiPQ2xrw7Ao5cK9SqaggPyG2Oy4E8MMvI67actssk nAVZe0NHHgZFW4sdo2H8gO1cJTipdT3hMlwx894zZha/kw8lFzqi2WzLhFoM2qiyPYTkC+1yv2x3 /jzM9kjdBtwcK1aGw6Mqq9luHgIRkw9X0uuCbXlvcVFdoD3+F92DXkAWbCzUMRtrzRpklsP5UFkQ zVbBthO5kvHVFtp5OK4jbjteRmwWTMkfoX8Quk5LY92LTflg78QnGTvx1faNpG0ejs4AGWJaZS80 eNXCe9B8UyNtFVn4hx3zqqJ3VR0mvKxirqtg+8x6OWQldf0MjyyGfdj/pKDAxw+lBdVx4/66G1nJ aKWIf28BzE+zkP+0v46WB8WsT0N/ZdiGyT0n4PpgkZa/hAdd+/+hgbGFPLHLxgE1912rthCU14eQ uXwyESHjylfZd7OoB8QsrMGUJN4hh/HVoWKoiFQBnxZMOTcyEcdNFQe+a1nc9IyOSaf36EzIi/AX GKrqmZ7+thxpfxnXUd3/s3lBuJy9mC3RhhCyawLL4v7gYg/IAmeYuWZrYPhSn48IAEf6ciWB5wcm pek7xuWG2sYvtZyJu3l+RfdFhpZbjj08vIF2mqrJsA52pNuq+PfIjk9kcFozyCBO38hlG2RzqW5u pQn3lVsfejbod9KJmqXzZDOtVoXseKcYYhCG5N1D0qs52Ajs341voWC93Nib9yknZ6XUjx9Ryzy2 gbvBv6aic3+Ke0wmFxBMGyymkNwNFLLo0A2vXw996BBd9KRJUgHgB+R8Gy3Ei7XPpjvHEMYQa378 173Z2Mi0xXcvOE+uFp2rVtw7Cw1qAyeOs1PnXKEsikcPv9ix9iIffrMg/E0BbtOjIl/JyVwoieLQ dhDSe4AnLsU3Y0LYxjW+c51P00DlxzCe7wVAyvfljkWPs9XP4c4ejLoGR5QTWJJPHHigdfxQcn4W UbuduyIMo3hhbVHut5xZPIEwv6GMZRYadNPfg+m+0chMDK/+AZLmQ2N8khOee9nnUJdY949nymsk CA6AKRCB9LAQh5gbM7T9pGcQfbXp8hYn2+1j/DqDzu/8r2Ul0lb47JRhTX8/AsGXziSwEBXDxPbi Dku0DEDNxGILJn/Ws/2vrl4xmaXOTSBcHVguuHbyQdx9J0D4F1V+GrjG+HfSB0c1mPvllR3dG46G OiHvGoM3gpx+oW1Irtn50kurZAdaZeai6Pl1MhC0Qd0t22h0QhURqekFAa+oyShb+7W5Nep4mo+m 5jaleEa67622MjyFnaIOuw7WcnScrhfej7saUFr7lvyJ5pW6/o6tiJyPBM3cEqpFvjZaMwTa8+S3 iLJtbKqzf3qBIN0pkMX4UoNYzVGjec283kbyGZ6BgiFM9M9+NynSjV9zyzE67tsi9rTWD2PuBR40 j4dFrs+AHhsDjSQhRe2LvXcjCdh37GGy4jCIN7mP7DFAG5BYdN1EcgHp9IJMcXR8ebuOJ6icq+DZ V8lCpHvJtWU6IpLfOu+gqV041L5QbiX8wCRVQ2pEezfgExChHmuN5kPwBbPYsHkI8QR56eotSfXN MO1Z2FBqDDFTA3qQ9c3ZI7pPdBCYevM/d0s+A8Npc29pp6vopY/uMSPY/o2YfFZSf0D8FqrlssH4 /drcKmV/o3wmER1KnC7O0MuvKnq1tbijVPi8kItk1zKgjw5hMpbupdryk3zk1KRHL3X5jE0McOrp kHTIX1rK0EFwc+l9hsjPAvFN3gteWuVxtTmvVRrlAXdJ3OYpte0rcK9BuATUxYtDsQ6HYzgMSEY5 ygqupJldAk7kDunNdat0mkRyXbp9hrTUMxgenDi4LSk3quVJca6WZI1WYdkB8l+s8akreI99zip8 jH89zvA5vgWGRHSz/ITAyBr4ej2gnKiFzNSxxOnbAfZyVJQ7p7Rwf+cOb+8Yto3DAW/fnbbidSWg e178Eij+ppLIEgynJOMsdm24GY0p+XkOCLGQrCu+LVyiGRxMeEdwA+vWzUksb/jOVcjBuiLb+nGh H4yehmue4/wzbXmpETxLN9UsGCsfLcNYVYbzUhsxl1wV8DaNOOs+zprr4PzSiHvRoELHKRAbee3L sE46flC/Vp9Xofnk3N/r4IEJcMZsBdWfVhVpI+Y9nBMnzfdaLOWib3Rb1sXizOBqszivh4zh24gm EYJ2phscTPMnmPld117ZspkMIrlm/W0U7xUuYfleHKrw+uGh7ca6KEP43J0CGLWJ6YWYH7Iu+ucM gCQm1vyB+LdHR1XF5IUCgMLaqM+S/EVXT8/jk2BAgIVPlN9QI3uLEdX//8KjL72uqXWyF2nnL0uB eelPTb4Ojm/va01fOjNKn7F3KAYe2rWAsYVOTuL89yr9h1FVBhGrtZxAO+D8cV/Mav4bWpwlO39a ZsUSe+Zkt2ZCFe9glaY2oQeEEf/he2HNtNWUe2f4DvvBUDwvS04luf4Qmb6dQxLk5VGR76gUz/+4 AOV1PMtsv23Bc5Hrw0HiaepE59Qs2c/KPMztjBjCqJ26MAPH58cwA88PBwf+VpqzPHNwTjyU4qvf NdkBvwOk6j7d6WcV0RGlOXrtf6S1P5AsCzPKZCUqODdfuWxEyPzf34gzAOdNuleMS14A2Q4EDWfk qZ5kHD9ARQrhTsxQBJ4w5AcOqxOqatN8jD3PWaS37+9KTgpS209d592vLRWrbyIjlgZ9gTUPJwHD liD9leEAHBGVt53VrN7bkEYVefGuwZFkGqYTc45VjknRo9Gn37g7uCtMp61HEhaKstLjTYNR0ds6 tLchQoIqD1IQlMINVwJzMPgpTmZxwVGGOeqnubs7q6blzAlOtHH/twZaC+Y1LFgL88O6haQ3oTTr s960u+ZPJVAyq7/5hG0B58g1hrl8COcgJQVDJwcAALI+2+uF50WkYxY54THF3sLBE74fQISzAj2V ZTeSSAnG5jSTiFUZd8ew4SWWXwKrm4Oh0IbdPLee+iqqBgisK8pTtS4pkwrk1W+4MyLPLP43dd11 16i9Ft9ksHq/CvkDiomstPMCxO2/pNNKAkOT3bxUflyypeSheZ+XJJhe2Hhc8/wqVbr5ImGhHF3Y tmLPu+VT2mLBatqB2G4RACO66LkKKOO18Cn7j1p9lIJWgAgA7Bz78oFrZ4ctzht5bgi0n3nj8tHS ePjTAh0USUM8IFkZ1i6/I5r/vXxSWC9XNCPsSdmpztSRmbmM1bBtDNorlG9sgkN0yPN0Hjv+F/n0 H1Z08nOhbiFX6ZpboLILYGIi764DkQcsnDhvKv1Zf2vBxwBX7d7oHQppaOVRCldhJBnKKK6Rv/Rb qUyz01ssQIISjD10761B5Wxd5kCya2eoeKdWa629/exNg0YghJQDXg+2gbawIE+gBloYcHt+328p NarC+s/3Kr37csWoRiRXsK4KeIr69AH2+FMM4UFu7IDGYWuCPDOjSWdZ0lWC+CGFdnttXt1IyrJ4 W5oY6wwkLDCASGjOEBOlN5ejs5tRNWF2pbItXHGGd1MWm8gBt5zwF719iVbx+CEIkLwwQ71BBJ+r UBJXSHvp3U8X7f/YeC5T3linV5s+p34cLaXSg1EyUxLymSQUR7kPEBszkSqxajxMAPyb3AtpFPpI oBoy+7En9aQ438Wk/32/huyMMnXKhIlinO1x09WjkHUXur9CECNMO4LE8WFWyl1OMGKkzaRx1S4t MqDsGzHlssfAkxFP+1vGZETRhZGa8orZN3F+I/+MJ+BaoqADgpsOZzUEHZ+yFMHrIMH8JVs8sBlo mGNAC2icgbl2WG3lb4k7LdS2GVj7DEOveH958O4WnMXIx0Ds1GCsrljfxl25r3//HzGuIK8JvDnx zNUm9Kw1ZzdKIKR0+fqqRf7Lb1NuOYM0gWqfFnyv7GOjfMr1kcLkmqkll33u0QtdnXRCuX1BvfuB Fmnk+LlpgqP76vLhfEH4ru3xvv3fXBczOlTwT44lj855ltEqAoY6nxU6SArvFT6ZkQ8vhT8zYGdG uoljZHzwfv62VrjNiXuaa4Gm5yEswp58XFsGs/dYQ9xmmxHxp9s1MhzRFOy/asWehBX2jIRTHIPK +IIkVpRBiqSS3fbTKGjRry6wL21mwDhOk8AnxEKsh7XZllUnElANwik6yd5HSoRWPTlyRCG8/Epu FpUTOl6Diap6SPxwmWRusc4NJfctbfKGSc7g7IgG4dFZpgLI2OVcJ+2QY4tsJnvPABRApuqyzhI2 jym7OHfp4W+6Cu5vDm4h/Ja5piPy1aw2VvbAuPB+r62IU5StRDakJa/I4Zw2inlLi8C52zhosvJf B9Tr3GqxHdRazaav+DOQm63gCxHaBXHI54Z1NJu3dUTSMJYo7z35MWYHoh9HssA1qISF/WvCkjfx S/rY8NtQaSblG2ANtwXk5LFRzC+jFAc92ssxo/f3o3nQUFKnrubwCeuW0iQxfOihUHIbC0cIQ7KX lELQb5LXGGAag5SCD+nYEWdNuuYQvPgftxJvRn0dCCFfyyYcrY5nskLB44Dc023SJChnI9KPe7g6 ITV0WNPkre3rIUq2kd9f7LiVH3qEeFptHzVGOYY5dYZEPI+lTn8m/KqUbX4w6AYTXFJPVsUPuFcb oTFvmzNpre6cWvtEXAeq4y/B51c/kW3nxqoCuCqwyfEHnst3/Zn6lkcWRPeZy7Ny5Nwrw+RK48h2 GqW3gH+O/fMKkejMDSTLQrqLJaFpcyieIb3EiAXKSC8X2X5TzzxRxMFmGsey9I9ytCArN2WhavcP 3dWvxl9HchqDqJ2hlm2R4hras+f5WL9KlcR/GDaIMpWY4aFPvEmoXWHQI6Kfjd67f+QRvtSGPLVX EP8ktD9mNX/gLVi7bavsIdg4WcJzKbmg/WXoYl22/m2ZN+o4T8XxOYSbggG82zYN2yX0UPi0MHjC DBR0LXWN6jn86OjoV+ONrdWzpUVJnrkLevuQFtaky7+Z9OlLUUTL9kER0fB1pKXi/SHh1AObtvhj py2+N9+v6KsO84KIn7D33arLaQ2AS4Hialy3GA3sRXMF2jzQmC7w1P86OqM+PFBRpaQT9shkWjGT Hp3l7AhOTIOB+4ZfLkrRriC2tRROKJ4Q/gg94kKWoz46cDk3mrZY+KH29i/29JRKzIF6MDc7bERf /EL93xmOQ1JtROsjfTfVVRQF9NzGxaZaP4YyBmH4yFU9fKDlQ/3d1AKExvKVArj2Ne8gv6Z/ID/I X+sJ27x4/9rRNACUwFqDmQ+iy69/exch/7fK/f6f/qwtWzFKJu2+F2CpU43gubXX8eJ6zgKlGOSL XqUd6oKFdDU04Xc0G9+207AdWhn717D5AgolRhrHfVg65cPsjJFaDk2uu4dlEYiAh2RAzGqxJGVi UiXALi06zMPs/VhpR/IOAw4e2TkkggqoZKJSTtQ3/9eLFmUW6j55HgKNvokLhnnrWOKYx5eCLWSi jaY02AR+0dHAPRFxcm0ffunjnTYKTN8sm7wOMzCYhoUjRaDMbxGAjQwKKn5O3N2G32AxW/yBFr9E M7w0WaB/PKtsE4W4Y14DY8+IQEyGLR1EGEDguqxku2IK+jaAm/kX1O9KCpgcscFyr4fPok3UmTXg yPlOzJ3EbGvF1WUYiDcmME9GF/BWcLsNYQqMqOW3DbWgSdC36YprGo+vmXjWvMK0LeePTzcfqbS7 CDL0G/KzJWuK2oxCBOIDuesYDaw5IVBc5Eg2JrIM3g2IFbhDL/5dW45oyG/W5UtzhC5lepzV9NwC cj1FQDnU7Ot4MGWW1250RIAAiN/WV+ocLWH2t0TlCNQeuZlfBVp8tVmiUW9HR+FLlfITydP0BTz/ 1Blz0KKEBL79Q6zDceYDejKhcKA2djVv/o6gXOarojyLJlE5ML7BR6QdW1Th83RktmIASqO9m04V Ewwmd4cqXCjNoC9p4NRx+11u2ynl6Z8PbGJg1khEWmtJGRq29FEz5Bv9e1ucecf43tIBCKjxZUVp wJNu6DQyinc4CXuhCVz9HTfwDmtbyW+olGPEyGp8cdwH5plm8KU2eNqVPdbzCiY9jnAsYLKo4PkA ouKEKULK0rTzMx3Ud5E3ZyF6/zVomlb/cD/RJUZpmv2MPkpojJ64babzXkDZynDs1TSz3/QqXdz/ uL8cdybt2/KWtNbmpRRl0oOOS8xT+dzU/lieu6lCpCfbA11v73GNwNoll7Psp/6FY5rdBzQ0HUWy CfoyhNjnvz5APcUX50RB9/pbOdWSKP7PDL9SoPGZcmeo8fDUaQbLYGL61nzohP+r42WT62NhT26C pDh8LUQeWNy22tvsQn5x9ICdWbYZPPx/tTFFEllGmQDodmdybP2PPaS2XXO5omlyh/n0lljNXjpn eiMISsIk0bBCqGCaioPX0WpKKnHlk9WkEXPe1gsXbp6tbKrX+AxZqCMGLa3dxMGDAV1f0EZ5zKlP JrUzMkIkP0Ereu18lot4vjQOewO0zeRwbpRcdLH/yPG7pKGg2Mxku3R7jc4vSPZFZEwPYJgCe1Rh T87Gb/a0Rp0pJ4RzXaSxgnVVhM6+Uy1PMYbZNxYlaZ2+0d60YEo6Z3jgN3yE+P2wM+pagfUBxuac czUFKePbCz52/SS7RDg2KYYkilSDgaH2Ry4gFB26+tKE7IzvlCh+k8B8Or9C1lUyVoj32uyZzdC4 DLgkzRW6iapLUg0rgiZXYuRZZAMZeMoUXp8ODOlScOCRK6NEyt+m2kfGRchPGjHUej4IIFFQWuEz 8KF8ClSPulx6tNbPMdxICDLJuibcC0dxfzYuEHUYMaPk90am2LzLncwsHfI0v7gbCa3IjxNYsH+s 7RfqwL3IiO+Fh3Wxxn5z9j9o2tLtKqHrwULgmnLUl83eze8XsZVtez0BBSweufWiNKRBkfQ99NsU 6YhVL2eaDkfwLEFC28Rh5Wil3mFhhF6z3H/3iWaYH8puf91C9nNceXCDRg0Y6KNAxRGWaG70Rvfn QXA/5UP2Wy0m6SoixnNVwEiLRBCCLapuPB4wJ73pjCXZA82gJsokCGneqW7qzcvtVOsraejIYVVS 2PsOCfzVl785TmN9ZBxQE6qH7QzI9HbUgU0DpyZyip2WQpi6aw8TVCv9fpp4D7tse5tz/w2/cAvg /drAO9FT+g63lqTAbqryF5u/OkG/JW0U5819TDkdjOovGHVZ/m43cFJinAYGzIF5sFXSkky2CUif VZ8aUbjLwNcZR29axUrXx936R1B0WXfZ0kKwaWbps9ksiCuDnGD9NR3mLZU5eHNBzI2qKvpRtnN1 9C7nXXC/8ovVw3BIXgCXH4f3TcR9LJlewpVyMlA7/vTpvRNprN1AelgAPMlsbzktgojFcek/supc dksbY5+MjUEKLy/7UkuiVNxMcsXTZAeZ6fs6O5EChmiJGncUfrPehklWqkevjHFrdeM/ck7AukLr quAkCIldW7NosjsJzAIF+tBBqygsSHClPmFKXU7zfqs1+/nWOowJEpobmvTMxHllIMGVS3HvtwVo i3LbbV5NNXXwUC+/TOvbvXpVMbSmn4IFhhbtrh/1+OmLZmfH2WdBj9a9gs/oyk2MEmaW9Yg+S6ej 8+PiKT8AH6Kz2qTAGeXQiksQmvkQ9fa7MZThdIqgFv8ITcdxXJL0DkfOXQC7h4ylZ9X4GacYGWyc 3VObwPewZbjRbgjyP7TUqEvZo4CUtFO/IHG2UWXWnPIeccEUEs7twAB7QiWKQhPSolz7gmwzZJ+N Qv/DajKPO5h/sdwaA0TVgokxEhkt4E7QwHKsltXl0UwUT+/4Vwp7EH91OFpARVh2jm8ioepkizeo gW5RZUcHwE3Aya/iErZbOBIcqxa9Sfjd2I0rldJrSc1PwygrXgI2veScRrtlr8VLtDuzP4VDYoVn gI4acnOPBdNcAlpt2Pm8OapkHZYtmSOLuGhiPkZdfjFZVZ0w6Bi5OBJybeO5cZlTeGhyyL1k4x8V jc6nYeXh6YOxujwocpJCxIkKAqi/QXDew78QJdneb22sVg35dRsKhxv6FhmnFFecjUvWS9HL0aE0 9NnGaAKdOoJi3QXOTSIDUnDrI2z3cCJkwh5HeKFFi4HYE8EGB6KLt852j2MpX5ilkJZl9/BdtEiV c/KITaNNekWykRzt1yLh7iSIGvHKOJndOp/GAjM4XNseqgcR6OeKgH8FX9YsLSSsQ78vPHxE50n7 LgX2wmh+rDqnyG5xRSHLVYlvoJTgiNu8xAu1d0E7wsYT6FpKOlafNo8YiL9Lh6dCRRBgwfuYiWnh sROZqQFuQHAldtTyVr7wpC6DZMtML7OgrXQ3A7he+acUA765wGHMnQAFyqSmL7Ho4hGpMPcEAiZj Fx7fw6tWy0tm3vVW8DnAKMCym/MAVspD6hRnP1LZaMRmeYIV7CrobDWREA7u5PehhWPg/yqXRFDg HgB2M3miI83bqHLcrHiEvyEeZB8RPSiBIKVarT4rztBGfD5p0HolvFGMz+1YtROSeqwzlGoUnBeY qB75Za1bOnSbt1HDH+nfaJLxNoN/yqNPknpz6fG9gAzYHsLYMRtK7MLl1oZ7Ge0bsFBJbk4DofpJ l6YyQK4RtvbDFlNpO6s63ooGaQ37GfHXZDdfCho5QA5Gv4iIXwhVm3H2Y7rSxaV9D4Om9Ap6L0+m L0w95Sv9kj+9IOBhqBc6zwQRocn/mxyk0r13jOJnocJ7hyU2igH7RxJ7lFZs4DSX5eUG9uFdj820 WxSO1r7Cwhf6eWxzEmkUbdHqF9AfM8/TlF3Lfe416h9ayLwm2vYLulEQxhpNQ0F6/wXf/EX6TgH7 6RFA3dxKpVrWgFbOJej/U95Sbj1pEety+a3pjNdW8jWKDQirZ8098tcpCBEupcDj9zh16qnfGukr gQkoiVjxZjxnl5m0uk1ZcOpVWLe5+Hg2E5Ww0RdCgSHK3KWqr3ASfoblgC0ggxtp8qM2uGCWuD6F OFRSEepsp9Q3DceD3s1NZqWL7jrsokD9iXUCDFN8lfPVqQeVlKTt1PUQWnX/aTFBT+QIoKRQRxzY fE+hp6Cp2vCrd54XtguFcPDlJzDB7DSaxjw3rxv84LCTjR+cFLrfSg/4Y3DE9UGedp2mDJ4JDW9T tdns8g7OTWIt6IFgRh4i/br7ma/jAHnRQTlj2LcRw/nOQLWHibv3mLYYJJCP41ddMJz0ZCWDLnj0 f63DiGOVyIetjWjNFkeWuN8FxDDtmtZIf3lKFn4qiYiL0nQJ9oavxBHuiLbyOU9iAK4IIsr2jstR SDtzxk+qaHgi32q9l8Z+1lWCokPMC81wlD+dneDL/CRQ51xd6095JxPFJ53FldcGmLZ5uE2X1eF3 CRhhNbUHH1vVseGXNSNcKOikAK/I1PHhwVCG77/fzPpH0Ge6Xt/luMtvw0/bsi69rq3nnHbDaLWN DTje8Q7ZL1bQ24pZG3q1d1wLzJP2tYQXCgOg1LprtMvutgD6b+G0FCAAw9B302210L2fjJ4u0Cvb QrWzhFOr6e3AbqHL8eH1PMwYGcDbBkien5QRNB1pf3rdplikeqxBRetq75scMBeeuRgKH54LCxS0 A2Y/qaGJL38jv8Bs/6t6xCQCCV6N+pZt5947pMIyiM4zOwL7GZC1Kc1+/7itdgmRLrWvfqx4hJ2O mzIkZts2M2fNnt2aJioErqcwHtJ5pg02OigMP68jVqyzs8IHm7jyI4iH7VxLG+JXr3mFkV8cH9LN Vr/aii9TlWvvmK4yLAMZWe0ArPcvQsaqBeKb/XvXMOZuLTLGxBiM/dq9z2Jl5pjJUMz2nrEjvbk5 CrW3AGXmsvjZ1m024H69oqCHLoaNdZr34G5cRke7XRTz0gCOqW+IchbSy0vUSDTiM/g8tsSeNpfX uIXfpEIjzlfWG3QpdL42r3IKyDR8LJw3hOQBUwOXCdQ5q7BvKil/TPgCoESnHrFTtEwKMKybDl4t F5YJfmesUbbINWqFgZUzs1Avy0eFJ56ZOlpIRdNrO1lFOqZuGiJDu/JbUm9h0brS1encj2s6/dUk HJo1F6i/cj1xH37KgiE3r2pfPBihM+jo1BQwC3f/wgSpikSlyAWXi0cGNig+mTjUgeeE/eTIMa+5 Qw7ZtUUSGjcfeyNfEj1+uc+qZAcfaMbYdYafpNNfeBNZa6CxVExDqS3bdQoMPfrh8k+VcdhC8xCD CcuCz6x20a1apQVw1OSnF+HPNb7TKVL76gmkuTDcJxNP0X3f1OA+rBDrzlkLFIBIvTNhvL794WIt dq7YHH4gGyQ7rNUlp5XueEvF2mKcIpkHtYW6r6rrwQArRAgthNQrQPhJ2h2MHiV2v7JjvqLwW7Ez WNpmqodPz+/3iJji6S1zEziWYG4QUlb9xTC3ic3oZw4k6QXxqgsX1T3fzj2Y8o671HnaNqS4fK4F U61ILb+3ARP4lJsrL3IUk4Dh8y5iE2TO9hCJkFYcgfjEIyKvZtZWsQ00EQrtKC1S+Eenuvan9SHv hzmeOnOD+BASJwWXG+sa3MKa+AB5/uXAuXBRkDN/AamEg2674VV3yRx8tJaM/EGgq+tIqb2TIhDJ 4MgMJUe7geB6gMQopTBfKzJSmQ227ZDDJoQcOdZOGT6579PN4LZugdqObDMPELzI2D9CZp7F8cyx 3xLKeqmUWMuzSgtyAS/F/MaYrnEf5Fi9H+mngU14Y7w82179IAfmt0dp6aW9v8fzJ5fIX5DimUtx m5Hi6ceUwOcTgbFUj9CdZfQnhAAMZzYT+GotTFjzEVMZ1AIUWUEZ5pR0sdp84b2pTGPAULNKD+cD QWxkBDuyI1vXPjqLwCVheqXEXqE0IvcZM4TtGr1izAZUm4PQDbsw9ehGkaYeoHRMbwbBi1dNjed7 1RwD3vQCWgsEOoH47CjkjhHYnszOUYzCdF3O9WwwstJkTOw0klXzw1laMTprd9QYEL2GP+/UI9po AvaU7z+XhcahYJRdN7Xxmq6J+v/U426iXEcmJ1VMOVPPPGE4u0cQg8Q5HX3pG7c9rejcrCkvV+FI 3lm4EW+4AcV4s2NVTeCY3l2l3giaP7XDZNm0iVPmUTEWPe88hdnBC1j8ZSh31IqDxGgAuE+w8BOw 0OcnlWGGUCh2K1QK/R/TfjlnWax9SsVlyG4/Y5BUsuuyDNKJgFoov43qbdFrqxjANM2g2tChsSwX UYqxUIDMUK4bktZh2QeoCa7Nhm5psg8S6NqO1agkLk2BdJZ6lyzkrdM/sCLr2li3cG+o1W+YgytE Q8wsWoQ0+aYaDTy4jn4KMWlEATXkef1HcEQ/G51WD1joqkFgg6QzQImDBmqXWkcLddBMNiJH7CxT S26NW10fmL8F2uqAiLTHSlCmfFVHNfyix/sz+RIrBkux5MNAz7Wkrm59lrdMnhdmrBA4/WUuWba2 lmcswZfpMSx3K5f/UjGs2ftyBZSIHvqbZ7TXrlOIB23NKEX0M6eYwO+7EbSRKdBrlJngjK6fH/Ht g3uH/oyRyW2jyBAw8wHPFCl4J1WTj4kvdIXA1C+nQtfIiL/Oilhj7GZPyuj2m0JB0LILPRVDGCnC 2TrihMqGw/nmjXGAIo1Qi0m7p08xcbV7Vjw+cYFeKnQX7DKUOY5xoBa9ronSUo3Ew1BOY8A+U3qE PQXOTyNZyBh4QrktQ33ujpinDK+JxLCjNC+BH2VnesRYq8WLQYWl0/lzdJuEUKmMh4/Xr37IXyZS UVh5N6vxU9gzX+to4CcoTPq0tk0v/3/6ks3z6S1XR/PVh5+3EDnTMjH9UX8pDQiXelpgIIWOrWE2 rTVxjscIg+ebwaJQPsje+tNAJYtCRRGTqpvXpX0I1YTilGNpWvnoAP1gwnrnDD+ghEyADtj6AzHC W9STxDrr1HEwd9shhDgrj98VaEBnHEaQf/lT3XANqL12eJPdPk7175ENlNKuvTwiisuG/ie0bpLU wtZOOghnZ5WyJjbNwH1b8BqwpwVjH6ZJCt45hAWW1HLlS3lNfleJIN5SX/skwy62iLxsnGZVhtxm cT+cpymA6xPfEH0oGwSvE3sE9EH9V6SrDREWEI1e5qg2zKBuHTAGDZjIJ1rIsiXyfghbba7Eg6xK d2JYyPRP5/Jj4cEizibMiHqaKRalOLRd3RMTy7E1FQHeb8h+1dfYx4u08pqcwP4vmXqQES13LAUG oPlsnSN+w5MGaHoMCywYjgKJt124N34vOPShzUQHZNpbMnz5f6P0DN/lYYrL85QwEg4yoXsprhpV DANTfQIV5MMc+Lf9/U+rEcV4TQ+TBpTbw71/xvLaaKkXoOYF7NUZUf2WVWjsEgkVH68FfVPtJCxd 8nzdGsdMl7aGTGU6MPtjZ+F2+/eC+qZEEDPeiovijKTvGPpyxejrpTF60uQ9MTfM4FSV84iQpYK6 o1SCKd+qsDqMyMS8Sx00fPNff5+kWJ/wgNycgc6ZCBQCihTDaqvjCDHrZsuHLehSza4H/2UmoIZl 9XFeIaI2wSVpKlm9Flt4395N7/INEPdQf936/wQgHWnkygHNDWR2/bGGS8WwvOnF3ed2L0D5VPu3 +yyerw9xjDbrLfRcMCb1aUY5r5Qo5A6ZqfFGlBzbnKVwYzv6UxQ9s7L52xOKu/0FkTWX3mEWbVBY coQR4GMTr8p1GGCiCwb7tcpQ8BFZ9dPgshiUBjgE6+YxIrdFOSMSC3TSj6IVRS4ifssDoaSdAlfS SV7PgF/P/JL0XBzXZf03tDYEYAC6E+7vrJVL/zhR3RCiARbWSRBhT2VOoQm5eEGzXY1PG7+NSZeL oQn2VL8vrI4PFnqdd1HHw0z6mBBarqIgz0uarARrhY5Hy5iZF2UXwY6WdvrJMDBCPuClWI1jdqt3 /L+5CpmSJpuupz1NKbfjlnKHECJI2j2sZWKwCSG04J9SSg2x/+qmlFVe2R37yEwBdqppw4qNl23B mkIcULrF4cpqCy2ovrPWFCYk0Jqenwc7pMYfg06sj+7j+YxlD5uf8uquribJDQiU3qBTmvScf3Fn iX+xT3q1hIT7f+RVvsbSGtli8gYXyC6Ov0h1EDauSNcIEmtatDKgByk3qmPPwrYPA2pnad/L5DtE +2yyJ6bLm5zs31mI23Bzn9FmqGkCBAQ/DUR75xeuNsWLE//44kNwIGEj+35qyTG1J1np/Wfht3pl qY/1JNyphnLYoZrKpAOKs5+LMHTfLvNXiW6Sn5S/JnOso/i+21DBQJ7h3ntcKdmwHOTKDpUK6Cmc mQmoNwBiT/ruXg5L9D3vZ2ppEr5FoN4wieJqURWhV5X5VPwhyh3vU6SyUX7Xh120GWJsThI8pr+u V+V5NrzjrPykT5XF5RyYXPA8tYrgTJZw1iVt2+Dy/VHa96blpZzz8YJXB1B/UkG/nWIEBWMZgywV YKuNASoRcMEAz9NZFtdX+FIrlpOVmrvlUsKbXLxNDQxy1HiXZUoasXYJswLF96UUEN573bLEXR/e 3/VGZSaxwOwvqHQenVT9b641eGcX0eKAuCpdawu/DlA10MI37IilEHUByRAHawmStlYl9MmGOvRz XOUvXhk+MR3fpsl1ozvGIpDEAOklN4ERE1MwjQS47QiVmIjPGedHLezCJAJwieIjOKo67LfLNSBi wNBG1jIf04JrJBiD/tBqC4O8O1fT9N15A4RmWg+oTqE7Y/+PoKrI3FYkgPhhhz4/8wvgdtUnHwFC NMXq3d1cMc8msAIHBDNn1L9G/QabF6Pt0vCcMZUfTlTnpUf0TEWs6Asx4dRrgrESR3JAp/LRUqgO 4irf7Rj5oCmbp+MDHM3XZJLZvFzu/28ecApbsHAbyrTm9hoRnPQTchwTKXQIc4/LPtOLCEhaUkZp UYDT0fmy7ewCA/zWtpFMrc7EvPzsSc3hSF7rAX52wz5gptz3b6/VxHdh/mMxixh1B+KEO1NLBsBA 2AiyQEvjUw2UL4SqU/B7FrOcg3IuXo8LbB0panmk4JE69WU4rTSZHjvHbv7yiV5zYOEDLGVVcjIf /+zwHaZ4MBcTvbSztRhC3ryxjfnopY1W6NHHhRe6WZ5njgrEl95X5theWF7Fr0AtqCc/Hr1+zeTu AKIy8ITYwtsxhwssLrWNn/OOwPYwAF/McTujkT6RdiWtFMsYGreWwh56TmR9hsNx2VJr85ShX8z/ +5F6UhY38zTtxNkOtKM7UjhfbId0OkSDKSjX06zowxihdJX0W4CZrypbuNRq/KVlbwKNq8Ej0YkE PRUr5SAb0f60R3QLZ6lZoUkshU0fMMRR/J+0j7DDPf4m21Lw6XhteZYx2U50lecY5oKc48NtCDIa BKclS1AILTxcIb5lJUBhXqkX3yjoKSHixDGxEUTCnbT2GY0xdFI1jSshdjSirripWvZZ+8IQb5Ak 7NBps+6wgMeAebi3raFsOnVuICiTIH8czTtEaoDvQfEeWTcyRZ3F7d0/NYBN8nMoaqUFxcHUoM5T quj3daLoAh4R5vS+2xQd1OJAkqmxkgjpy++Xy28IKWCZ63i7OCroBHuejpTJ80lx+pBqz0q/AwSq 7WxMf9At96Lazs2Bva7Xbu4qtnEJELQniE6C6w17A9Wfn6awTuZ5UbqBxujZMzLA3PfKduA9XX9S S8XC9vTxvF254WpmAtlzpIiIsqAPf4lWnpHMQDs0OcZbPR6xTTDpHAOuPIEtvRk9EdiSvkW4jssK lOdFK/yJOe3znC+olnSczZzf9SYHeergmKNKKYDrv0aJUXRd4H6rAGKbJHaZH54Ht9XPZsC5zxMf b9GNWV3eyqdB8E9GwRtmJIi2/MKoa7COTFJAJ+8fRo/ROAqmiIfULRWG3bRHXHQfjdINmPxEH/fF RURc9baJ/jfqx8SweSQ2kBlQkb+WyBLnsgK0ZqKyZeYkifyuEaVx7LgwXXxYw7NckpiuPOBhBAe4 55Cxn53CkJRtWStJ2ooaSbcj6BgXla2ZE3eZYEcNW764KvW6qOnE2KzIng721k1WY5SpW7idYK8V gOIG5zvpBoUX0w+1B+Jgc3jjJsWZLxb+CnlSO2J10XaQWc+VltE5Nojoa2kA0pQ0TLbyBZPkirM1 5UoDg1aNcZm4jlM6dXOlmZ3vXD+6ZriGqNPy4n0mKj8hMCDmSITvkb/GXI+UAcNnGNXSfjJEE8Gr wyylqVJBIu34jBMo31iLEnyrCEWpGDjsvpX9VeK/D0FstoZfUF15JbreltNGQy/U3lZywMopcc5j HO7av12jf2V06xKh1xn4lrJ7J7AJZGlAWtyA941FbpLMRg+5NR5IydggY5nyiQdVBfQNRM5u0uGW 5Lg/MH0YXqEjKDvlQtxn2pDbjl0grdhX5wCGotG3nyebGX/DicEd3YYCELGdbh9XoP7t6oX+b5iO iHTKMNnN7qjTeSy8j+RekNJRSZIUdE2J3rXUpXQfXKlQpR2K2ZIx6AourW2K3NRI6XqXHYk7dIV3 JaLF2sCu8uYBwXXBJ6cGuXRSkBDNJOx4ipL1sHU1bOBex7ryNCC2P1LJ504M/NNNB3jS/7LRMyCZ MOrmF16CTeJntvie+fzkfDrUrkU8jIlJqgn4vOttJUuL2cQ3Vn1FhcjYJUupVg6AWh+fl8aVDbzw M63oCbA6kvy1np5funmwklW/UoQGLyU9+jCENq1Y8pcAGtAUQ945gySe8QG16tcux8WrUnqF3/0G SO+k+8jT+VlYpIJEA2iakyztz0dkyBupHWrFThUbRDCmKeD20bNmB8bf5BxJr3FMVGcWWyeiNgz0 xX3jaUA7gSlB6lHGx0Nbmkf5StjhIQzc2lMd1e8++sa5JjGMsOIkVwh/RgGaHnSltK3YEgaG/mu9 z8eo7BsseUbHaM7QQiAsE13/octRPj4YWOKaTDfeDGh0Z3u+XrM4O5zFgjJTVz1VDkO5g/ydooqy rZdlGaKVh7ppnareY5+Dj1J83PsYSDj6xZA7dk7x/YqI8Zxd7EVr8znsv+uzQEPgLZPTY7K+5dcI eXMd50zuhcyqs49vn+kyeOJnmT+BonP4oJjddm4ERHvfgfKctGKIyqz1KeqdecEpkHnXqkg1smgE CwmfJkMrc75yOisJslyox+NAWZyX5N/f0pxFIR9h7bZ2GlUy6Orme4hapz1dqK7KdoWda/CeoRvq ttS85lIoq2Y7FQOzBVzghJnOud30oUaQ1dgi7xDMPETHNGvhcWsk7LmaLRRGufoNa4NHWVjGeMMr lYxFUwXjjF5aK01ls2eGy0i68EjFNkt8Agoti/TI9gmpH44bHXb1TdInVO7ZuaiHyU/PKX8+wONt yBNN/JFSsj0DtGvHKy2N/xq3cJmO2Qb9N1VnE6KboyDdcUIIo2XrVlfI3FMCOh1l00TxtwoW6aTm 08NaenNvtN/WUzttt3SLh/Jo00f1mI8NrTXLwQ== `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_15/fifo_generator_v11_0/builtin/delay.vhd
19
10088
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iaGK4Vux1Zzm9gBS3KKNmBXNdPq+lSqE3Nnx40zW9JpQDS5U0+JlSB5O0czPvIZs1e6N9M3JonU6 /VRFISTQHQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hnTIGD4PF052NtQspkoD0qYNWsnDfk/EZli95x6g3PoDiWDo2i9hfthnklZPOTwcwwB/on/PGVLy LOGgor+yT4ZX8UGtoSmScYDFDjshoGWHhtXrHczoGSF01e42zFHCzF3p+Kqif4EYEFLVI0b3qWfo JoBwVA5mSGa7z6eKZ08= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jM4x3jcOa6ByCa1VWDPoU4L7JC2eupLAavYhTE4GTMYrnvE7xP73g8zjlwq1G8Zy1ODZ+0DDopVA JY2gdvefh3SJisXvlbuH55643svFB8C9ZXe+EMovXErk8XGGsVfWZZ9248m2dlrUXREntbWGdORb Fvho+MXYXuv0DV2DKImT+u2TQDacpvX5e8ltSYsMmjYxEdkZrVMF9C544bgDvuCE9PfD8XjA3SZW m5oOMSMtDQabvtrFCxaEG4NyuxA648giN43WXdidnKPUkuB/HxDMEcw9NxHOVNuLeVs7mrwTNW8a Y8nkGhyssdB7pA+UlWrXAfs2U9Wpi6SjK7D2dg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l1zDcM4+iGcttYyoR8HHgtSyP4Fiyy45WEsaODDzemrDXcJaURYpyLa2UgO2HmqSNgBK4XdlSO3S QC2s2wdlVLq0nr6twxtavd0Mc90p3l2akMlkawzSfWC3lR7JsZexWZNEb6frZfXhesr8/8i8wphW 9oH5nUnhDJDdlXi2xk0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pHbCg0c3yWoABGhh+X5xmKdWu54K0QNaj8yiI7dbYcl0s74Nnt3O7DJj12bDcjZRfdRoiT43bXo4 30QPK3Jr7E41USUv0QfI981OyCHaIYD9DzkFx/42CQBEOSHNBrRTW/rge+4hugPE8z0ogrEZGdei kB3oPw27BqROJcBQEhzDTOz6PP5L7SaiUGBsXkKo2TeQ1sLfd6VNm52eUhSewTFcPcdSylZU9gjA /KlsPUnl2PskRWTiOzVvvy7q14ROz/8yTOqbBslSCNrDfBQA/bwCsE4HN784FAGU2BIu6GH0W9gV ySlMw5kMiPDazI4NmLxMcJvTd4Vi8xnRt0T8Dg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728) `protect data_block hz+eB03GTRCr4kl9NBfZDeU5to8L7s097FSl4rNdvJNGNcvz5hUQfrQXaZ5Mp47gyQqERKP+nbHP W8ijtIwv2LwCvmfaL8fadT9FN+LaZhINEnJ2eoZvsN08l/2IOEEVZ44+bSNALFrhtpNFPjaTrSrF l6PsmcFmWgkjihsmc2qsups9jYRpTevrQy2UqaEoA1Vb+Zt6yBwJdq5r2vUM1hRghORtxAHWHCK6 /T2ulb4vziH1aVCV7gZ2qC4ln9lR7w2RSCq4NdTVDHUVD+0QT/F5zzd1K0VFb4OZ/6dnQMT9EAIv HFowDFIDNeesI8ljw/tC4rQO1bcuvnICh9/BNm6vto9IcLvrLdyulnsdx4B5cPxsGEct+NjOApA6 zjQUgkAscgqL/BhbB4iC7jGC6CkHjOn0pAr9F0CBtoi1Ns3A+lzCioQt6cDcN9jpUA3ryc2VdDbK TLm2wz55oD7tZjjVcIqef75y5UCAd6RWdxrawX0ew0pFgFD0eCxjaM8f5uuL46JsxXvZh7iAV/zI qrlAlgTQYbI1s56KP3Txp5jTlj1+2RmWPJS+FZUaveYdIVLLVz9+Q+QSBLs+/csU5fR2Pwx290vA ODz5QYJpNqQUzxOPr9Z3dpdibMmSxIY3kOvrS/IMvrv8Sx+/kEZg3GqbsXBBtBEfyKzi6gX24IUu fJoU2E6qnb9+IEfpnz5EYyfTzWXqRlfA2XqR25WApdN/N6o5V8WtgyNQMn+a8DdpS+nV4EGrgl+j PqBzj8EkHqwtZDdeA3Mwn102WMobiYuK45XJzhJydSMqLyLH8MOHDM3ixjUEaGFFpBULCkU7G/R7 AZ6wLajVmlzDeFgGWL8ssBgLrdutC0yyMXbwy9/ZEJxjzj2689nEERxG6yIFM1WbYgAK6DpO+ICA VAmNHD7jL6hJKqSk8nZ5Cjo+A3mf8SdeVb9MB4/CHnDm38mcRg64RpVlRBVWifCtrVuJD9xq55ez NJiahlA4v8+wIZ+NfbuhBEkj77GbB+ywx7s+Wb3fApO0oyxi/rHqh4M0XplZwItVqvryyOZKdA15 uq3qoS8WgMgNdcADWoxnSEH85MukOJDZ9+pwzajNzWpoJbrTxWuXWhawcYAKdxN+nmZ2JtAHZK4z 1SJ7CCNed+5885JC2NG89Ajkcq83EaGNq/gB+ASDApcsUvTU8/qyTTDjBvGSDhS42bay8Ia7rY0v LAje5lKuO7D31QXmwZ/bXT16LyO5YDUs+NDx8sxrKba57z9MJ3wlheYDaIBhn3xWa/sf6cVVtFdU 2ugmwIHKnbixIB8GKXoFms0fGoLjJdzaDNA1iXYhvkurRfPmRXayYoozDiu7PXY8X4ninoKz9ncq oGukrhJBso4gh5uSGDmdvTMRjJn5IjV4bptGVgyEIrzp3suPiIB+93nhiN2O/+QoK41kZemI7Hmi wcahiRN+DR7FLTqV3tFnRT/mAzkAru7MSWxexmYT+oulGWmnjRH2YNjaUgbeX4xzD4j/b8rfFZyi geb/6Rtr2qfLwZjcKjsN+oDCadxMcYg8dMnG7Ehz6A3frBo9GGfidHDYXeSEU7kshUNcG4eMdAgJ 1Im5kXWaIn4bZFqTvSKCDUmkON/f8ZYGKranDqUJw44kowrLObueewIOj1LxxbUzco34TOoxBHzx mB+jPl8K695Z3eFiKp2MBYE0egSdCNSmMDHgVFHs1ieOESTQjtRQAAYc9DZu+GZ26nCFC4DvpE3M a0spVv1PAwul1WLY8JbmZGHoAFwWpSRykP/9DB/Ehr6DDOylSKLKZ5pIop2ejCJ26z2W8bVRyBtA e9TQbcrc3IQ7pLedjmzJjyhdAWG2WBH46dNrEj/ZoG3SCo2pBSX+7nNX5VIGevoqpOkpeYuUxN4n PuWanBzad80J+yAug63sYu0KnpAw14XWC7eyhEgbxvI3is5LS0vzp3G5FMPI4LhSsdgwUwMYWu+F MTRcHqQcYKpOUMjukORgcPq+2lK9AV9L3lQD5srNmc1VONA2wJn8QhFIabC1fqxNunm949aPcd6K TikNvFUjMBgoz1Xe82j6dHtBSZGQHi9bQPUwhRR2WWyGzTNUYks3q5E2me0K4MqUDEjUZS6ROfW/ I1MvqSjXwi+QOQjFbOe6qyDXrx9pglgdcUPy8ptKCAj8OpGbi5VZ5hCZxdUimWf/CDtcYBUr+/lz QQMp5NIJbm/PZFOIeCMuUUpUGwGJZDhpl/FR/awZV3pLPKbEzLxIpVmmSpe2cUDnxbbdL/ZUBt/1 gHdXhMvMMwU/7c2jTifc8HiBTulbKXMoInUlWI5pGoUEqlTWdodpEpUOnaMjGqMl/yz5H5s/IYSj gML6zkKRN2anBkDBDO/v1k3+Ytm8pSKfZNCHMS7NhKG7I2NYWZKfljtT0tR1jfv/AZ2R4cX8mQXy 1rs9DP09NiWBBiRtop/RjiGhjQuZm9NUhx3vwI6+Y6DS0gS/VNVs4OzXOoRn5T0T+zyJ59gv+Bbo E4OjlNGN0cBU8dHZL58o9J3kxURrTTK0iaJJOh5sBI5YcZzt+Xld7JPmogSmb5GcXeKr/PFmgShK cVge5QHtS0QnnlIdeD9NpynhsxcL4pXnnPS0bl3DjEAn4TjCr4MKS7sOXgZ1+C/gG09mdFe7Vf6S P0n5D57biLM28JRyzdgTvPS+1u6RnFRMb/6VG8inP3f+UfDPZs+B6Kcsph+YBS24xrFhA0yVYfcJ SuXxIeBn16cT2Wlyl9LTfJqqWLHitFtSNF59plkQARlSwErut9AJIbSmL1qcWNk6LILPtgFcbNR9 /JNDrdZz5NeuKQJl3g16TJT4Ev/8OLrjhn+mI7lV9us3lzEu6bQlWfvdjjsEXfapyGQO7Gjs2dS0 boW/Cl5BMBx3L1lSAteyVY+gEWHD4dFfkQ5Q2xOucErRr18rTuadEXjAk2bdWbDIj6Fm5pz1d+89 MrpWxD5Z/4ZVs2rlcVGTsrNHYV3RfpgYQFNhHW2DfCUPAPGCu8+k28nsFG2Gd5CdhQRHum9oEqhy /oEuV370oChJGj58HgpKqJ20CNASyjMTqmGjoX+0EhO/SCJ8F0HCTne71WmobOcP+mlunJHf4Odw xbyXaCVQ/SAtfGtIfcCNAdwOmZBtkC8huJoO1n6hBNVqVJSaB3YWWwdNDBw2GSaXCNgxDXyWh4IV K+kOadKu9hffcGNoTfFbXTtCQcw/Qgx3YZ+W4AELcDX+IuAlY/UjejMYTTQyRQUwfTSJAQIMkCUo 2t3PTNPzDewJ8B/PwiczouxMeHBkJgFSHEny6Y/VNauF/NSjfdhS3tqP6UpnjUKB/wi4CVK/uB0y W5i+6S9WjjGh/b/4f98EKasVDlz26DHyJtpSIWI/pSqrf1o8qrLZ55T6pPc8GQ94QCFgEGnb45Cv zSLwSgHbLfKslJFiLGc4a85JU76bvJ/wxukVm9e/YRafE51VPCyFElDmD3EBvTZPV/Y0q1OQC982 CO1+0059szmT3FS96W3Huamu2Jl07DOf295HzBs9c2uDsuy5H06Ms9yCpG0gcm/dkTaeUFA7U9kg JF+f4YVenmNllktmxrilqwd6Q42zYYil/TO7w5nnkAArp1mVi35vWGcclOOpQSlhYz2XZlUFt/SE MqLGuXXv/jLcgfULjJ1bqrWL5LEQ+bGx44tE3Iuoi75Xloq+vR0NSHzRTDa2Lisg5lDvHeiqAuF9 7MQqt0k6iekshcAw6Q93T0WXFh4NuNxhS/m58aqa4Yc/GZY54p3N9RqW8EkPjKhJSqwE0pUwSpHA 6hAOuZw8TH63LB/ZG7GZWN3fPHLiZjI9U77aA1vfM9wWWYKJ+pbwvp4WmRYIy3K7n9QHejxqnPWF WqAOlghwQ+yimhRzRODQUXeKyE7d3wytPk+0ygm0Xyu7s3uqA9K1sMAvvr9yWN5K9j5RBQNA6hik fDD+wSAy2VgmEx1/NQNQacJjmRXaB08eJC1GJgYz/+cZIJtFQ6m+l3fRFcqiRCAR8ITDPqUMsmhx ZB2DLJHUhF4P5w0+hU/rCs0oJkSd1lG5Qp3wtRU+0l9s78z3Q20xDklEh4HcMuitqb9EjTLmeA7S msJHQf4n6knLCL3crJKm6f/IdlqSN7US0oTQqTOi+U4l2rFrguoBTD6h2IkCMTw2c0Z5/cB77eEj GJ0uyYSMEEuHIyCcubuYaMbWnNgVXPzBL2cRYg+DPz2+N0H90GFZVJcVwSnSt3OHkLDxWqB+xXoN 3FmODc+K+ZGkx0GsS81eGlOgEnCxT0zHi/U7cxb00Ntc6AGkeb0m30HevfYh7s6JQTeHY6vJRFNo wrSkW635zwPNgsq8CJ2R/Bidgwm64CYaiW6DHxwaA7q2VfW5WMEBkEuvSWS3Fqq7GkzqwXmPIe5u UOjYau0uonlhdqaVyNWdpMWqzSsQn+A505MZwnbs2Aoag06uPO0ezS+oXiOwnRBd6gPuF1W1No+N nsq13KqbCTUemqIEOfiH1VFPEJaBjCPBd4eT8L/Hd+PX0wGwshJinC21JxgpzOzTmAIAMp7cJJz2 nBqG3DLZVcZb5YkD2x4luSZ9jySXCsfc4KtO/Jqe3aOLGXMTDWRytqSnYvA6cQ7LZj3fGs82oC+Y AXJW1j7C1J6N+1xti93eIUzpgtiSFjJb9mgJfq8VG8RodJX1nVKmxckzSwtR/9CR+EUNVRlEP5r1 AJwdfsVW7Le99Ggt1n37OeNHxgBKcqsJgxQu7FH/EQROOnloapsABMm76ImONWYMH8Rwe32+DFnl NWYKrPJsNbjFuphSvocYb1nmc0unTqChO9sGJPg1bfFnciSO4EtqCt2qzv9TW2+vsCqE+UXZCGLS FGSga2NkljJcMwDqM70GRaZzNBqNsKcAIOykIT1QtX27EFmuWLa0sqJ87jWnD+6aIx+CF47Tdn+c okXBc9ehCQSfoUipq0rLarD3MCIQh3PNK7s3ywFnQUc/BjcBhSPfb9AcjgzUsqOlE7p19yNuf3J2 DMrf6OIBtx5fYXlTIu43JJyLO8aFU3j4qQa9HEyhVjjlQcTfz5uPaRSkFuEQCAPWo93u8vsUo1Zp SfN4TTElhl4soGRRmyYnmv5S5eH0a0qL5gp2J/GbLdcCwI/IuJwnDTAUlhfd67pBx3i8ME8vi5uu 0bIdGhlny6ukPhtfNyKJmPAdItPASpAVyv6OrtrC/kMjT19Uf88R03wXygobWhw/6nhTOJVo91hr X7BzTNvzbxX6BkRyIJrTs9tSmPtCutwOl5tir0rY2SGAa5MOtgc/sGVoKjU0TMEF6smTURY8G4KQ Zbr4fW4MHp14TVTCt1SdwTt3tNEfivEA/ldMLoL1w0DatdBR0uGmkvbJZaK7nCgr+WmqWw4KOCmf em2xGvTn3nSSUGKNDnmwwnE9Exk7wyEYDLszuz1gIENeDnSIVhU+vURVCLC3fF0XNWcxZf8fjQKL 0UsxMUav6PX15/E35JMqWWdTIgtf8Kyoi7eY0dcJiXuoe64BCqpDut7h+mlEGpgaGtDI4HBcabHE c34G501giJRuA83OStpYNrS9S7AiXUMbwK+ji8Kx0zYjpmj0Lv46h4X6oh1Xa1ypYSqYNhvRvW7P /yOX4beNEyFEyLUMKlBS7/pGv3IvEHmG1HrZ7LfZlCO1PbBbkh8p0PMckBtyK79OU279StI+nbjA II2HCwShl0UIrWoAQBP5p+KOXnW5c7xENbj2TOY/pFFLx85KO2C6kn5h6YPwGLg/L0ivyGdhlIDG qj/zZX+IQQO4qRe4L9Pd6sI6SFAewlxYJyjif1dEsWxBPFcG+HoHhDlMfmSMroX8VrXOED5jd0f9 DiVJUDTPLV2zoH8yprwBt17PDBF10l+B6OJdtH3F50K4iWlCPgKCIuBriaT5hVUfpGLwNXxnl5kd OZ845rJvwiIVLLFz5YJAGuqdLOdcCgJ5HmKV0GNMS+gHNFC26W5Ok8pf9MHfrL6RngS1kDD5ZPlW OSxVUweh3A9y/rMoO68od/8yc30rY3XHzPu4nKS5tD61fVAMz+LZU1qyUXk5uv4obJ0nxVfJssoM YYiWM5pxfayk6t5uejwZJl/Y4nWfAKRvOSClJ7YSflZgHHA/acowkYItCRmvyPzfjvv311XDyL2q bduaw1XCXsi60teLojp29mQADflB6AIGjMno8Q1g0I8Pu/Z61GfOn8IOX1cdxe3YqPSU/34S5N15 y0Uti3Y901ELX544ZSejwKWB2ixgBg5V8i1Wd7BUnhhFv0INVVJRW9KKX1t+1bzFfhnB8E3mbW4L 6hFcnYt8dAb03DraHifao2nQyRIkr0FXhMVzjRW4FAu1FvJioFwpgH0xKMhUE9c3EfTqxgEaMFOX v6C6waRpzEXWq4A5jf25lJN51KmYmAI6uhuE//FXgXiZuDWT94xYRmTRChGBx/Nga6WLf4tkiB+J WZfBzNJfooOpS022zn37XjBwrJj+Ecig7ni19i9eMO2W6P6Mo12rbGzCyfwO8zQBxZDbkbOC7UqY Lx7h6DApSgM9GLfb9FPZ/q/ZeDYIuhZF9oabKQYJHJkW/ItBh169M9GpIoOJJg+a/tu/JK2QtayS He2iSGqPC/LcaNVUCeb9qp8jNHnXMsTBlxQg1s2yEYbR+tvLFFuRCil90Yid1+TV3BwQm3NH3ZTQ DenRf7CLZ2VZcPnw3Q89LBIA5hbyln6MFQHFU2Zo/gARmAZ28mEuWAJhNuUNkSTUsGmeCaQyBjKu XGV/abTAFKRO/L3N2UVhe0N+VcMT8Kab4hjnv8rcRifGZaYISEYt8O+MLRdNoc3VH93SitKesTWp 1upWNacd7jVPwWKP5v4we1HNGhZp3mwlyj3QvFpiqQO3MCSMGuibDv1ssSVTb+3cTtzbd0EY223i gE+ZB/Lse2qV40ZCZkN67zkgvxQRaOySMNQ41qINawhBLdAjEvy7YtKnrNoE3r+AXXBwNLPIRx7g UTRs8xE5qV7XZW5Z8/S2qWB3mLCo+wOM11JDeKiNSbMG3VIRiUwzwfFHy542uXo8Z5KwfQue1kSB KB+r7hZEozwpkZ9ZIz1kT1D9NiFEFROW7ZQmmD4AF/82u0bcSwTdxA0fmvgmqQ1CcDeFvSbqgknx jrT89JN1sHC28SWZ2dW5QxQyeXQYN4aKNW4csc1K/fNoKOBLSLaNdarL1wV3UV4aq7HF1BnuKAD8 p3lhONe57al/KWb+CGPWSv7GDZkQemZMj3fbIHFNFdRVbd4a41iy70WRdJNmr3kWwtFkd++1n0hA UlbxPh26FyWj3D29tsbSTw3q1pjGihZcSzKLX3IEWYwhcIDmST0j2816gDFOTggxwe6gNG5lXbNj Ti0JOqgESKRmYaBnPzv6qqs7vl7lDdZJKsHRk0m5758Pr/ZBTFmETS33zzT9AEBMQ84UeyCP/tj5 QmwddWvO0SmvYXjebpwpI70XCDEAbL0+BzGQe0SiSkBX7CanDDMAlnX0MNewyQkfT+81KlZ6lB2V Hq5iWQwoGoO86SXwLNAlQRBzLe3JDT7RX1PIbVqMPqdfYRc1kM2lpVfLGq46L6pIsscsys58jr79 S9SF96hbjCgNSH9JL6n7v4fXqUlG80hwBCplRg== `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/blk_mem_gen_v8_1/blk_mem_axi_write_wrapper.vhd
27
66283
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qm+ahCoXbtCT96FlU7osNjp8Kf3rDAFQ8vMBTpaKgTo3EvHN1CM/XiHNcIsmMQ17hbL+pWxo5SQe TeNJ1GZN0w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KB+ek3mkpx3N+ihSLNljgKYzWfCbUQKXGho6dSjrHEWrzL9W93J5UQjcPdLkP/4r8XQ5AjiJVm8G O0+WgdiO6dbDdWggVe0UZIQ5qp9jotaT15XQQVVkD2rcK5wquost1xsRm7MTsEsCbzkhqKPM6ASZ mpW7GzuYQ2vDPmY/r9U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 5IFnCgXf/KjXBNbWCJPfF+u/Xe3PWCvLt3/lqQEWvv6nS2jJ8qz3O+bSiUUxyt/rlAZZm5DvQ41j Vn2wE7il4mdux1L3DFueP8Ob6UEbh6yobetr8hrEOpbRcnmnH7rXtvR+yuK3psDEpqbW7d8GyDcy T6jGK5xIsUceYrUwudt7lxYx4bLnzP6q2c6uLhkxaoLJTWJGh28se0dzlAMX/BnMMfjK0HDKD6kp 1VwH2Gj4iT7DvyBkDmISaH7LPSlLhe+ZmQMkilflhi03bS9w9ABaqs6v4fufe3/pEUeBrvl3gRH/ oCU4QtUwSf8qfFsWdX+C6Nn7mzOb0WSGIH22+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BAf2bWZTeSaPIqnT3j5aNO9C6t5/rcfC+/QtvmxOirWtcQ57aHowXlt817D+9PTxe4qEx5CjzmUg 9oMYSESB8IK4XXnHzrwWEKN1a7YOhI72J3KxmNssnP6jdEMx0znih/oPMXJaAdPPRUXzSczvXVqf S7AhrmorMi/7B7tc1xI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dVk9aS2pcwcb0BrWR4Sm4FSW8QQWqHH7xHbqUaQTDLyPydXvHmrmxiDqUJWu8AAmbDSnHtBnMo/b vhz6TIedlqcgp9o49Jh0CEli94frA6kGx65vbdl7q0c/R9+UB+XDf9B8tq4xwdSd4Twx0zVa9WGD lmNliqJyvFk+OMbS2OJJyBNqK6eZPVzKMFkUG0UJu6TERfYV2nuxVMsugR94X7JoKx+W2jEprOdB UQVXsqhudTLpaKEQiNqzDCaBK0P3FekkJJMtZNaV6veO7wX6Us6tTDs6pxGysSo4e6tLocXysaO7 1blW1S7foypb+e5LTkDXsQjIPmjtBTMz3Y2yyQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 47328) `protect data_block 2XzMWRjd6RfwufMjKjigSHlgIdFM4Vad4PLylqBV59tvIPSIW/fl1hAdvGL3x6toi6GH7xbyH1+m So6AAmHnm9qV6OBePCnSu/CAy0TCR3RwfC585SDu3eUn1fXs1MdcXcjnB497XYbo4F6njL8A5WzN dUKBJGtNySmnz7/gSgfT1ifT/qmnQuwU6MN9xwX62ZEdWwwWwr1CVZkDFgKW7S0YOEeYeWJY+kaj Ne2xR4qmaKUXVrbZa3hyya5KyNcjUXATnlcIVlayK1WggpVy1Wb0rLDlB6ensJ4pvlRMV3SqcSEe 3Z1MN6diSkknGSRcrwE8i+eQF0LdiTdnZhEk1uFIoYt4K7eC/ytOjHjP3VaWbNoSAFiQm7HBVG2E Z4LpVE0i2HtjMPeVPeABPkwFhUhyvNyA1CZP8wDXD+j7oMXUrbYRdWjpH7B/uWKswO/ClC9BqZ5G FtCVR2zZT6XmOxN6VeiZdtwwa0jzLgSmy6rXFqmeCCsKfA0nkyQPii6z9nT2Q0a99/brPUPSqACO 29ZUyR8x5oYzkP6fVe80TqgrzuujtfpefG5YRLXw3QrdRrsMi40LbHGi9KV1VUEHQQkoFHhNXRU6 q8jIpcCKtk48p0KrG3BYHAkSn51s0j9kcxxcQWyG/CQ3vGTceqQSle4d0afxQvKaN7tNys2bTQxk tyc3fkYmiOHZZbDvMVKLQDxPbJKIpVVlM3JkxyVwRIYefLaV8BafunbzcVpiZc3U2tX1PvMwQdJ3 vhFVQ5ZVupVdK4SB5gyHOCnFTzv+JqBc1eG3kkeV7Kd70IQHmJ8Tlq95lVZG9SW4pSMw6jvFb7TP SiLPcXsIjsse3pxcXC4Kt20oBsd5KURqLZwbwo2Kb7cO+kkUO+Y1c3cUClB/24u2ovMfjKQg48qt nq5CpNNjvXjlwWB1Z7UaYcxqpi4oPJjkYnuSFBKyKJ1eKd38SzohLvROesNFdL5g9TjkR/SMlApu VJ9YRzTdJ/XGssWH6/GawXBfHHVIv5yVwzKkbDzuiZtB4O+VszVhmuDa9ZOKtaf6cu/WeSnf6sGn 875xofixI3ZZdtCwqNpQ+65QEAJGXbjRtqPDQ0LNLUD1qBLDDA2MGS0tjMQDbkX9uELDTFrRaPYf NYtwVWPCcHJbVDWgSylggYsJs2qa2a/82vYw1K9ICtJzAn2wm6wDcOGf0KilRIpshnTivSnHLnS/ 7Hrdkv1a9NzPJ5ZupjUq1CxSM5U2GbUOlEqL1qXTaEshNHhAeILcn1T2GLbcglt6z2bf1qC1Ddr8 hixvfessb669pZ9+OUdLdOuDEr1HdG+4r+iiVAbfvi+7V4mSZef8UBhewj31f7RXBT9VpU1xuhfP /Gj5wxrSmZXSz+0gk7GyfLR+pqnEZf1oQilAhqkib5oXW+ijzqdlOBVBeUTmLfa/80rXp21IM2O+ WGE0RL882TH8Lc91jAoJ3AQzIT2THWZOmOLIzish/38iq5iL8trG1XLsP6rc0RHePshhVneR4epg +F7mYKTHLICjLgBZs81Gy0vr7nZp68xrGmRX7HdGL8oVCb41GAaKcEVgV7Hep2P521bQJLGiLI23 QWKdMBG20vz1T6iD+fa88SKpI4l55nGIYBqA27a0blQyZERbeqaZo6yStw2QB/mTnCW/+Z/DYeuB dNXr4GUEmae2NC8gER4397MBn8YpVZGlDNExHb7hRFMHr0j7sSgOtyku/nqoBkqKmbsnC8TlM/pg YkzBjJvwaCwCVT3FxaTj8CIE3bmTV9XoZRMgb/5XL/1BqtuvGFErLXDJQwT7iyiHq8IBGcJc6ljJ ZVcy96JOMz0jJGzp+G8nStc04KItadWxXAjvxohSN05dJfY3ABagZ3eQJGR1mDBi8/uh8qs9ybnv 66paXr5ID/5j78mUUvLrHAAQpxowGfTev3TSuNFnJKJtY6iwxOxwI152l/DKILP2jO+LYUbkGt+G ta2cQ6a7t7G9nfnonaymzpxyXX4Gbn5zBhdTOhFVMLmCD17nEAClxv8I1Xl6r+4XeklnFTKT3q48 qTAzWbqeXm/4grI3E/K5Jys6FlJTVHtNUJm4HDGsyAo4KPQmLj0Zy5sS0hLzJzzql0HsuR+nykOB IUfWhATotE2gOUdE8Z3HoGSCUFDlJVxF4PV9Gcy88CIi1xsOnoFWadNspBn1i+XAVcFQ78Y7narf Ickq5JufLX1id7yxsft+8OD+POPEj5RV0nzI42d4XzBtfNrJ8Sso4tlJlhKDXLoGnVFHNqNNXFhE s+ghN7tjC/bhKTUwSJMDtHMdKBXv/7u/hDNQADmoW/RDQbnq3QxZjGmap/3ixoQrMHfzxVfSsfRn 0K4TYzdw7G4V5YpbO3TCB+ejZ4D8tCCGC7YV6aHr+RY1j4eLu6gL/vCj/x/5hdyzxVkONwBU4vYo NCRlpwddffjlG3/f6AI2MLdfPEEqtseTRxFmJ8MtAoQUE9LLJc4LisX0qpHlZUwiU2Lq6hV6bON9 96PjIBI6LpkFT44GCVbp9CUusfS55ZBVFf7YSwu9w9ID40Uc0mNy+2V8O7dT/DYbLIvowO//bHvR gVgb0N3bMYItJaCNJ1LsT1Xcd/oIy2xVnczSCP6Ue96dxmgbpV6RfllJNzqDwwU5fXip5vKE+dI2 J7tLCtkWDtC1jKJ6PuU54YJ1WljAmoSXBHjW6HOLyclYlUghBA/OkS3D6x2cbp4UfL94pLJNn3xX oMTTjKwp6i1bGDfOhj0Ot+yGwW6SQJ+wi5wml0pROyS3L7tV436zwKMtblvjnS1jweO6NzOE/MWh ec7U5XgnzJwMSCQoehUyiiP8eopOHeYn5IOviMcmYXMKlulrCYd84bEv1acIk6pUPnZyc5hwALBV K1yMVKswNTcZOCerdK3q79aiPKgDMQ2k4coepWM9wtW0WwEq0XzCyLdv6uX/xBhBmdida1JmIMD9 uY5OQTFHjmEnIfAjmmfXdR6a35I5CuPJlcIv6FXeKl7Cd0DegWh+gKV+lLSmZ8pGZzPdtvqZrtf0 qUClwF5M30piOuBDogWJSrF0MrxWCM42UMUY+r2L5XiPjdS4dpVzTvolWDO4bgqZC5kL4t6qiuNR Q4YhfCzdAjtUoTmc+u13LXbidnS8X2FIIA6hfE3JcAwGk34rjhqHUwYCeECJNHmcA7mg/eau7oWz WddG2h2G/irE/R/nGRtc6ett84JAQKS8H1JVO6tr3hZORgG9Yf4i8hwWBjkaQ4jCc4KuXIuF+BeX J6g5gh/FF1ZKkSs4nBlSMUCm7QlcuxecxmDvboj/yRmNNnJwnORdlr2RsNZx2D0EzA9QRj4A8tRl rbXKTiXowSyvlbAc0sq8jCP2rtmL7wtxFgCpQlFPCWbvczYnfoSZliZhR1P0dM/OLgx2ez2m5viq ogu2UBu9lWy8YO9/BCQQGGEzmyXMZI8joVkgnt+SQSO3PZasYJ96nd/NEf8m94VY/P4gPXK8A4ni 5/QpZu6fBnR+MeyE/EoRNos31ZTs6ohx+jU48Ius2tJm4LdhvxC6R9uLLtpm5ozzoFXLTcP25mJ/ ecdrkx1EexKvp8iVRNNHaO1zzS8saXqZaaiMLahXCGpPifhC51WjjUIORs9424I1FRnVPaN8otrE coOT1wjgRY+IHsoCPfqzwTp2sn4t1VQdfQI/NCrYmwZ76LWQ/RB+6GFkIQ9rouX2vIVXqG6fTAd3 65tagFZ9LQfo474PBqOtIS06erKWLna7rRnFsHcDP3ZDqy9M9fETEGznPLE8ZUywGbebkC6tfVrv uwPuCINjpUyYYmijtcnpPIQiJRc9GQHsEFhdLkKQR0dxSRBC7oT+lixGbg4ie1wdnizEVoHHeB68 zfi7jky7iPfUL5Fv+vqH3QB3Kjc5r9yrGlkfcDHGdJycAniiDrX/T9HMeVyR6sKtNewz/IOA6n72 bbCtOvZrrcsxHSkMQUkIx993Lr+P0fHZLqyYQaCob3S5SfA1FIynA8/Tllw2oGqT4zO4XD46cfAg YGMVBhwVyqVnoj4e7ly9H99dvcyDCV0c82J2yvl955f3spPq0yWfgt2iibz574ocgPfyD714rr+E EgDxCo9P4++eUtj+Yh1Dk7mCYq2OkOWtg0yjKP2PROlP97WFnbJs1gznV43ZycT+2m4apJCyENPD cejkC4fpzZYSccTXiVpGnYKw3+WmS3u0hc5OYJsKSZc/gemJyQIJVM1Ok26CUVd56ZNxtfeSMgBT TISWwa3pq//PgMJRXJyKUNjPVK64Nb8lUd73Bjgsj6711htlWOMdUu3NsaVFU5RwSAtFRcmzr9FJ ufx94aDFNKrj9znPBicuGoyAyBU5YKgc4Og9KmwRHwtJjgckc+76TksH2GTCaNIW0hRCOq4o5Foo FuyDhanCxbKb8fgNgl5b1zOP81gVV3ssbSrhQKb9cPJdmqRj65jt/scxmnZ/YVxTP3icvKzkWMwY ynDG9VtH3tyJ6b8x95ACMn8ufcSp0k4zwJIWZab5l89wGisHiD3ssXDvfd7RAZdvn1qKPmw7bvRC BjpBC2/9hkgj+T4DHHEQFNxaaOLWOA6/INV8RwFlHih6gvjf6pmyEBskHXkt7kmzRZivmIbMewBO IdmS3fBde+AEpu5dgebYKP+646+qwtk9+PZ32vd6PRmEzRFLOtoL7lX9n0PNv9oBc+RviU5RyQs2 noaec+x60t4l5jnVamvEPvtJcU3x8Vfl1hcppSumjBSxC3Nq9NrOnc3lfilnHcKV3nAdiLPfUuQa GMQlWw4HMJtHJFKYcX8/jqe1+1aiQrR4tywmlEzncgygyWoKSE1aSN3BsNKYeD2FE6iXMV79edxq zbnUKErwmisoqlkWpHdVsjd8OmQ82qhq1BKakTCPfKVnbnhK3qCyZ/Dd5ei3lRRDIga8zad5VAfr Kn3aPn6i021VxaJeRgkObuMiG362VuA3bAqS2+kYfJlOQRFSdmTTKjwrSi+ys3Lo2rMVPYLgywHo Hu2wo+JV80iJZz1/M4zFzzGQx8oCTEvmrtYqsrC2eDoBGpuQYSV7PR7SjOnEXJsCqBN4ONy1jpSJ JHM1cZwyKpPgIhAntJykMCnueq1PAp+YyFpOk6xDbMCHtIBfxGcBDAyLB+jbqmiC0nZPu2vObk1v yblV1FW/4tScZktP0T9n+uBiloPzlgIYRS4d6ETWfuWDbpfjEQ5gmVH0qx386NFxA/tmTOMbcDU5 mjhPcitxJcG+CQgTR6Ogx0h0d0YRUYeuROKKDk76tcW4hQF912l+4BWGsJNFPe7TmyzgE/H5lpb5 oN1kRr5qI5/eRIYAr7GQrdpZTMa1xzjqLnbj/tWQ8wAZ7Kl7UxzdoW6w0BFlXYvUP5LhI6qedIFW NMQf07pVi4StITQ4+YqPuBfuh7QZc6skrUh921+uu2Nh7EVxORY9BEXfPrJvSYCS7sJsvTt5r42J lcikMZZaRzJbKm8NkipMyIa7F/C/cKJVbOGfOIhx9G3lfauGKbnUoe7mekwGGZwsMcXNbzRnupEY eX7Ms8zWIF8Z4X0GCKf08mmwdleQjZnTLtEtKuOstLXMikCAjcvT37RBPocoE9lbQML5MXMrVlmz ZGmmV7DLvTN0opnZhhosMt7s8RXrEAPup/Lt1UEEWjicDlvg1mxxZC82SHT7SRh+g3+LLHA/qU9V 6e8j9uUCOJfvf8s2flXgr9Prx/Ck4Bs7oqdeTfQ5csHhR9nYC2/q9x4O99D0cRh4MAbZqFSOM4W/ JWGJBFjlmOvWMFQw59dVkdXG5uavHfd8/JqJSsCjBzu/BA9hXbLneApUKuWGLEKi7qH1JKtAYJ+f 0VI+aN492iYT8FNruxHnajKCyPEcfKPxNR71GQlc6jvVe7fT6UYKCWBtF0exQyVCtgDDj7lYFGQq DvWkU+d/tpNgOYPFPjyFeQka8i/IKSN2ST6s7RkDdXc4mzv4pPWrT6dGzhjcZBQoAr/PyiGZGiga tfi3t672U0SSiHzKnuomAMhm6hm+01nwW5hX98BFFrZd4gc4J3Sn2quGtMexI3rKWPVr+1fJU2GH VSKFy3jKtxEdJtj1DNyyxyQBrospTC5nl6rdisunMkKe3yBciM3AF4C90zg6HZ0VXEk1gKAlUrDW UPPDSY12nhka3DdNLbevY9fT8dfVpsbkhNyoyjkwUYXtm7p7w/1xcEvTuBsgqBJJWZ8qBftWxEIM 5E4aMZZ1d1dis5b4YVopu6z2x3BbrUm14z2w53XTjVO/AtjYbkHOnS3+wVRhNLLLMaXZqN9OrBvp XfOyIg3XBpw+HqYxUeqJGJ623yl2pIKilk/EaJKIsYr3lUbDx+dybxVT1eukUoniHxARVxsJlA3H PL5K4ZEDlIuexsJF9uEyEcgIHAfwzESWo9Km2hLFzRO3FvxOUwwn430AcNIqxZWlsGalrXdTgOfo 4YzKRvTBlyTYys6b1GPc03RN2hsp28xK41h7DbDAkOMgpdISpYhexU0pvNa8FAboRF9GRnPIL058 1Rxx4iJykjTfsMsoIYsfjlspGQotbMteG7hc/qqkovTan5gRObG7uCpv6jLbps9hFvdZbyOj02IP mebOjyNpzdfv2emBwpVYIjnTiGG1avCP9MhnerRS+OBxuSoU44OLhWX9HNbt2Kub0bKel0Utt3ro iqmnD8py8syQyNG/RYl30kvub33/k2K/4TONiq7/fOhA0Si4VzH4dL4A6frHpbNE4ssVcpkywTtW 7mT8gUSsw0XkowznvkVmhc5TExEZ4Qqa/zdsNTDGWbj0fxE6T2ipLE6EJ4KYTikE2kLmenkJcLQA ZN7wYZg6VdLSsSehwOc/QD3H/FT1L8WaH8hPg882UNXldbFT35qZTeARmGBsYusHr7/9BLpv+1wl mKGI8+AITdS0ZE8rcvRJwDWWcUdf0ssj1dddlGhtAkM/2QaykS0vCuxn0X1aPcIiWhuJ/K9wGR8F pgHuOb4IXqrvuNKppIp33YolquCGmC025mMJ4az9PWsexz6gWkRKZ23lwj4tj1FLbmHcjSANM9PA 7LK/r7BWnd9ZtL0nFFWlBI5AxXoUDIHWEgNdIuHMnyr3HhUNzYxKWD7wKuml90F5WjtZWMoYS24m iB0jsqgEZGMo8Czt/up6XJlQ//bNYZCsWUEa+hgk4YbHMXGviVi/TSUIQ1v0XY3nPJ3rXUfY0t+D W4XEXkH8mOIPAz7WypG4agAl0G/VoodLzNrvGHvlsT8IwFjRkXSOAS7dMUohK0Nv7cr1nhR7GUJ2 zhD8hO23MTbvQJnM86txJHGBGFVs0ryfFIA8bXA2pKp1SI8/9iPb3PsCuOd74wgY31yGU/K2x/IK gPDz8EGJq5tCWnTNgV6IHBbrDpqW8xKTMtGPU0tqOeTkHCMyGF25ERzIlF7RcyqVVoFDtxYhsFX5 D1b/o7TNNIjNrN0OArhjGsSDzqTUGz9a19CWdOZbNQFxZKA4jEdmeDWshHAVoOAy+zJgyRwEXxHT C90X9gATrRS7RewDxPlLx3N8+hTHdCav7CdSggwX46iPxXJ8s5XBbhnYQpVQmlQsoxRlPBZKWr6S FtLp0tSMG/uQg3I80nLu4lyTPoyvCxE8ilBY7fkzpCEbTl3F8P2aHIBlF6Hx0pAd6gx4vG0IzXbV 6tc0H2vlMUfiQ95OJzWxWlubeMvG+ukKUVEf0/5WU+sl8eirfMJysAxAc5gwmwml6rs8VfgWHBjb Gi2VGuRDuzfeNzO3XbrrGigIS6zEFS/RhYcrU6fKVNYoRViXpgn1QzXTAWwDSOeT6HUm3HVmNx0l QIdvN04pXi+DP6ZtoejcftJ2vC+xABfAdZ6EhKHxxNsnbKi0f3fKBgcTr+4p2njdrWa140Y7hY0v P5FE9o3Zf5gIHugrp9JV+YRiNlH0Ir4LrcWx8M5MJI/u6tBWeAgmZHA4hQoo3A+nv1WROpkw2lMw 9LLq5Eg/2OZwfWfzhWpbvBBm7ixf7Bfc5HmrbUG1jd9EP5FfyaZ2NBSVejwf9qMPzQPlJJ5B68i4 sIk9Bx1TMsA086Fqi9ulcH6fhKcJS/Xmcr9FW4VLv4rTi/HAXg8Y+ehXUFwIUlFzY4pM0sLrcBar irUkz+0n5d6hNbwOgJcb2HLainlawXPx9Yf2QylrlknhPiEUHIcsmBF9UYePmq0rIlaX7KeGju4a Y2qLfNOK8ouL4PXA1M4y+XxXeItJAD0PuqmjmXp+52RY5M83VeA+oD800pee2EWicgrwwcK9a9hs 0Sgzqnl1zAqTFD8/g9vIldCfToj4tbid51LGcR7tSp1zs1VgGKbMNMSWdcfJ2LTlS1JjNAGzCzk3 t96bLT3Q5WiN+pS4L5QDC6Irvijl+iDPypEtWCn1uwSYu+Ge219ZwoZlly6uMWcHFQOfZLehA2J/ chAbpTCdELu3NQYeMD/S0n8kSZQ/fb/dA2ye+kjjrzNHGtrJ+6q5RIMgA1o9YnJsdAP48GmyADZr iIW4oU8mqaH5Tq//P79XtluH4AHvurRqgBsvU5aHKJRhmLtKdEUkDS1k9vaRKyB/w4w1xPoiQTNK o6yfa0Q7m55ne6wo9Ew/Y1sXrKScoOkEBQhalXdtvbkihrHdcU0uWZT/VYIvx5eOIX1N5lIK/3bV PPg3/JRbn9PfmBU4PiwRxZEodGtYmZ0hzysolcpV0wPu5vZsjewWPnK0HYi9qdQ+x99LS74YfJXn QbwsWez3hYKWvpS7/xhx+oW5r61R4tzHgie3a4Xx7Y45EjRyrJnDIwLownGHADjvCSGitneP5h2W +Ux9YfpLNWdrnf/vL6obV75Av+TjKJ+rm7Z25eEUKZjzT3rtOq8ZXonROepjwUa7QU1ZlKVEieXS 3C5nUv0y7tYOjcZkJn9zMDbLxF5tVcSzPppBcB+kHjB50iUccMBRgSobQf2jcR1afm7RHd44XDxT iMNdE8ET4GiORi2XNUN1Cj7VMhKnLRk5W8cubQRQrwylTyzg9TY6WXWwuTmn8OCrJweIC4yoWNVb MpHimQ194aIFabYwUGwNdkz/IU1sCF0YQct1cCEg8adq+G+Mlx5j3bMXtrPjHRu5+PrYOnfH+7eI yCv79bHfIPDOeA4D2tapd95AG0dIZt3WL8Is4tOcDUHvBVzDjlmp9TJwyX1dYvATMYGCJm0z3sb8 wY0wubHafi/erXDO1Hg0aURmiJyt6CeVRXzIpqdA8+RlhU7ozOlqSsqQuxsKjPRhLd20xvzkGfX+ P36/zsRxwrWKrLfuQ0Pou/0hYijlyVa8fl5Cim8isAW3BIFExeMKy3LqPwDWzRsGZ9/Y7bB5QFHS BOaossUYKiej7Q4jYI/rtsK1kVZlNwxcma6bUZ2xTXEzygIQjMwXgwrXUlos6to10p6p5l7G8B0B gyoJn/QDyCl4suNshAS7gD4JaoRTX1TOu83kSX2wzo1zNXXGCyigYk+8jIlRrSUIi4J8+AXGIy+8 30e2xpRPV1wJ8WThMgHC+mARQTQQwGraW6stWhO/SiXwiuXQPKzudbUdSHfUcPd5Tc/Au6s3MwZ+ nk8yUL0xqfe3/sq2TLIpBDBFe5GaOnbhm8qSsRqX3Hbe1sYtYUE1K8sc1164p+uAihdg9Ux0IqVF DvnJwyMS2m0aab2TEhrCqIm0CK/h2ZyfkZ/HQhNCulHaG+EPNKTYe5f1+zveDGjsOBvVEi32+ElQ 17T2OPCu/bGK8IjItCiBLnWmTawlXJYrtLjU6cGx2F88/G12BPobA2FONzTwjizo2wahuLvf1h5o XSKFfGEH1kpDDWZgeKuNGS9BypVTEtZ+JywvAQBd6CFmtO/UCB+zj5p+OM0VAl6R0lNRmCw9cImJ 0hqpSLOXRocqmV9KrM01G5JsTqsJfIcYSWlY96wO7WBWCVVL8ML/9cA9V4x0Y3SaIV0/GjMOv41p 78ec/K1nPAKMs6YmM98dOSbc8BvRzmBdBLdtXsle5LU3gBvpSMty46iSUsMovLcwi4EDsZb1x+69 lHH7Jd494lSTuvYOxSxqRk/FQUHWUV2oZ80fvlO9M4keZtosFFAKIPD08g9443eIB3iQqcLj4d1M 6eM0nQPHtRU2szd7mi/GDF0kGCj/eZ1gTp3QQlWVLhxM3N6MR+x/GCqNHq+6RtG3JBfFG6YywxB0 YF29S58LSYHAlaApT429Vdkao72BpvlZ5DhW8mpoP0K6QB9zGtDD+5yd91hu4J44IgTpyZJ1xz1x jQ8q2qC/5nFmMMhWe5tORNLg8LeisA/U5ZG0QKN4ZvGrW9SbZ3CIQvJyynyXut1qxfBRbhOG3kvQ 5jXN8xf1fJmaNWbLTx2pGOoHOfmUf4yu0T3Y7cpVIX83ARdozBRB04kEbOLGxKxZAeG6xdcEQHtu giz4cV1lq+Ipex6U8JdoaCyOl45nTnIEvcGAViot00b+ySn9QU7wr0RKWGU4Lq2XL7Sn6dThPN+f M2e7LmYjGzXppHCP9y+WAZh7YUGe9jmF/Uu4MUHju/+/rcQcr1yHNdEzmzor1+/jaLbToPFFwqol xu1oEEFN4T+usfe73DDw+meOrNpWI2rUzYbwB37ueRDWX8viysLY31ScjEbDCHD+cweuMq4loetq eOCRfbP4YpwOI6LU4j+Re5kheG5tIVKydtoewZ3YdaIYRW/99erIc/kv6s2w1su3gvwpweyD+2DH CXCtxLuAse+WPrQqkGGyLVd15agIpjQv1PlaeCZtO1uNHDiJNKsBnFURhYtqqZPP8PElYFlv7SRZ ixOF1ZMkHChDJIc7PU0/KB8CZRJByGJwnS6Ftbrxpv64QDzOJaX7Icg90yHYB7ddoZYE01NIQvRG kxUr4ZOAhlBph59Jt9Sec8m19PRsZN8R1nufeFb2biqSxOjfeyQ4zLb88tRm98HFi71ga9tIoQol f1KKITK+6K2B2I3NKGqdc1bSFmfo+GvZ3lLLgq3thmkanjtjk3qXcEbswQgArSuuTvPPh7cqKL6o NlvwzHIQdt7rfA/TaHKC9+X7vPjbO16qsD+AzMdZKjLSZrs6ghXVc/MrNvP4xevFx/H3cTxmwnXs 92Mswrq1E5FOy/dZNuC8eUnS23P92rNurKHObbsUaed3sQpGFWYExSzI/UGp0usqxC2x6zYKm1d2 cfrQKBjk/c0dP5P6V/zWFBMK+exCrbY7/7gvJjeYnZ/WT2JkKY6BoUcbbXY1K8FPFv+lCmCX3t9u eY1NjlNKIEAN85XP9TqUQMNdQNsW3Up2iHpZwLCwC2FrDBJl+36lJvOrxnnfTBMAHbIksLxZscLm keD31Rbqo7ES2KU7+fxoRfSoUzlkvrVboGvOE/H87mniVlFZAVOL9fsSh+bF9wughxc47L+UQLxD AegLBpO/MhFwmm/8UzPGbuuOUqsUVjg3+9V+O3G0v8ory81vxIlj5Ctdxpn5MPg0+lRT1vqsUNWY FFSbrVYaqlZGdWAiWWTo8Wf3eA42DWDAR6MxA2GmEAoMUBxes9oztOacd5IZJW0x9C/oyFaLTHSI seOwkKqkcHGPDd4bLEAAKIzIcaOkKn9DYZhkntG5kJoFG+05bP6sZzMYBfEyqrBmtY4dkz6gCFvX CAXaPE9JBqHbcFibM4JsTQWYm7ldEc8ulUeEnFN1OW/iZtzmaZezuQLkjsE2axL0WZdVX3n9H8t9 Eizw8tLSVBGuWJDFKHgOfD2TdS1J0x3gFsNLt9ddUPU5i5hMRCICnk87NOUa0i6N63MYKfAIgMCQ tCQYhixaM9zDYfNqtPd1mHTbmQbsX5lN0zmHSwPLhl8MZJbleawn7Bys5giyCpJbbVBAbx+Uxams mHIv335XM7yhnW3Pxl1p//zAISIXoQ3HoWWmUz39bDZEllEY/Xo469l2/CK0wzB1BwBmbmxGZzG8 qqmLxb0y+GhpIwVVT88V04Ao3KXW00SeTHs4btqDBcFims7EDBKhlbAMzOtwGQTRvVG9UvQM+JuC DY3s+k9LZ+56i93sveAjPy6m4E0jGOVo0KgQPmx+hXEr8YI9uAh1jHjfmHDpcuKB3Bgzcj+fJleQ VOg8aRje4hkG5mxBeh4PUaoNZsrW/7kDus7sUKf12K/+gFi0bVAPuhgMX9yC1drE5GsOb6BFsrL0 V2rEOR9JESZQqi+UHER1bd5rHVOrCSHiu9nVrb4m3kRehyeI5gcU4DpoOQeDFniNdtoFQshaEoSP eKG2RXlaUSRwtCyjJ19MigZPrIoEUHrT13i5iM02pm/wwFhRHQvxgQvVc54LIw2a3JAS3klXJjwO DqPRpSp3UFTd5F7+uM7A/QpVvqjAtLlA8oTKmaeZEzYlfzC6FFT2I+QYjD1FR1eEsK+1HHRQ4JvX /ipcrAUTo9wTpzX/KqWyR8GjvlukU2R1ef3uaqPZywR5c7HJ6O2AAA+8kXjSPcn89ZGTKWrBzpm1 t5a3R5TRssCBbDgiYbg8Qu1XjIQO7iwTH6tAnUM9eiX5UxXtVB+9C4HBFBwqfsunUbSC85fKdkjz zFEbLcDK6sTWSqQDrj8OPLuJtko0LcgbPXBGamffi5dwrZz12qNyGVVNy49xbSS12ymJddqKHXQE d2g5Hofhk9a3cAeLZUezO4GmRnhfH67tpK2KJkimsoDq4FAp4nJJaF9Tfzk5cFjpY2PQ1oJudh3O /s9ChbbJ4wggh4lZf42lMjK75fxb9p2LM9CMBd1ge+DuzMPebLNtMu5Khd4YqooKRJWpOfzCUwCH a6kJpmufE3WLrQVxYaV8ruTv1NrMZs00LHdkWRH5uR8ANIbqjieWXPpEf12ZSLKpz71y8sMSaWn5 bIUHjBS5iNu6YkWg3GJCFB3xbBrlOAjDfnd8mSukoJA6KNr7+Nuru94+IZP0hhldaAvzwvfcCEny kw6oykRCqYtqQ7badxbzW726VKg12RuB2miCEmCVPRuwbu9ydsw/b12cTUhmSd4EwlhV+Jy4T84q KLdCIuUAyixBwMCJo8kg48VqPWNWLKTFyWjMbK6KD8YShgB2f9Q3Z/qCvwjRgOddH96uonVZf0NP nA3P7wt2fpurLNYD9koaqyOvliLhI/B+YGv6JwNX9/E5Toz54hh5+8gpulc21gkqoEsrNnRxjIga nsW8JWGyXT/Z0sB5nrlI/6/L/dmBPX0Le9H/cyCXT3zFenjcW+XySB7I1g4sRQG4o3dhc8KwroLk u6sbWWoa+MhVDh7rCcRMQ+YULfjbP/Zr+77nyptXXfBdK9MePjJ2S70vBA+4VyGl60k8qmHlhft2 JREOEZ0IUUmBwgnwTXG1W9Tvx6PKB/ru6blsTSK0Wc+NW1BZKHYeoZIc4+lt4tUwi6zG4yc2FCu+ AKJyES7gzK6TdsSahexJM5II7PS+s+Mcnj7Ispr5PlR2SfqoQ45laaAozsgnzh4t2VfboVvgQ+YN U4X/ch+YKCkGlCZNQZHg7RgtLcjqegQD42F520Xgg6Z1D+M0WnAGaj4oQ4Wxg2VeJzko5M1pz/Ra mND8x5Ftn40KKwGMs+RFgTEiyxMCeFxC31QlVZn+KvZZX5QZYalKRKEp3I5FDnqUV1fiqJ+Li+SV nvsBAXgV4us6dlzI2sW3qnxAqyeO8AhDPowHdYrG0Qzzwxj3xsOIhkWFA9CP45OvL2TKLnjsIINU 5TU9rX+DfvRzdyHJ18Bx4Qk0D2STei/MP5wUKLUHZ1gShCAd316hZs53IjQC4vjdxl2StkzRpPsk /BaYW32HdgXyUGWPpkWjvAwD16Dnzv6xN4cFfmxegy3+5NaTr8fSZIC/jyI66wk0cq/cjuqV2Hdz 8edvMxnKaolR4UYZIm+JaWZaveBxVLzmiBxRfOQkoamKrpGWFMtVSi9c3ThigwGBhA7EAiVd6XGn DYLP3pP5hQ6CGctg2HNZXPCVAF+O8tuHHM4AvwBNbWptet6v0lnF6Fsyg5AxO9Z6NyvjbPDFtc3u Yw84fSqxnBsXPYCQJ1ulpf3+ZsaPSN5qv7xr4pYE3bb0qfwYpAmqgHajLCtZbnolWoW4EcFbjTXk q5a03eKTrrLtXD3q6wvRO2/8hNpjqFbRgFWirtx6d+gMpL1lPo2HB3P4pKuB8oBIUqMbC5XFf6xb 3ObOykDbrVKNJKt+VmZydH7Ebj25V3RZSpa7+J+N3n/0WbwFwvBxTjWkbTZkuWiuALw7glnBosHm YsDQ1lxfTT8V01u1RPPsUtO5WedmkkIw1+so19RJoqNproPJ4r7sNTf3Pm70C3SCB6MEw6wAzLUx YgLRHKqnafk5wYHXUyV7CY4c7kYNmUx2x3V77glfu3MnPdxdgFV8cRbG1zowe9SUdJoV6z1wFY4V Gj2Nzxoa/B06txNV30LEDFEv7jPyhEuN6NmMi9+7ZmV4SV7A0K1B1Raz6fmRexItxN96swSgsFTP h6H92L8aTxc7uEv7ubk0nvyLrJJakGPnl1VOahU/rdAWu23lXRgAcH532KYSZtNwDjurmyb//eqM zzs10y0T90EknfMUsmqaOC9OV1x9ybt4vE/+J6qLYecsMumrPVcbJ3VI0pJM4MCxYrXbYktykiyT h2E4w473SU/TEnwvgNa8KJpadu6dxzhIozbHZwRa2/OKIDTt2+vPg+/WjyUlwqjGAkBh5AMKgeG7 uOQ10foJDGlqPNQV8+NYQ9gx74//BsKY+WsqgQ7XNZ20TsRj+CFenCuLMIgGIR3haVLD/VK4XyNY Po9TiOGZmJLEqplI078YD2kduNbcrEq8CxdxgApZfFB9IjavX+ej3hQ/af4VqPUkjhJC7S4BCmAy qFCRRuMuVbn9L6Uki390MQhpbdqwHXcQJPRkzbAhWXqbrYN56Cta+LEqUeUtRY0JTJByy9ojTwFV G+uTH2r7aYLotZJPEogkk08JsDD/9frW5WjSjXYOicuTaN0ozj09dvI6601jkLvgaUXipe458HM0 RyT1uuHIipfClFNln4z5f0qxHUsYIwAN4cFlj9sp6kqqocMWFDTf5fvj/nszn7C6vPXy6eSkXQAg Fr6WaUWRQIUdeSuz8UUtgu+f5daIMfyn8GyyEL+W5xzjX+moCC1SjizZRg2zLozEdThS1GxUKBlR S4bkdBhPrtkqp49fRO25imXEICri1w9mzR2e8ODene4wCC9sMuDBW0DdxGWC/xkeMmt3ZHQWh/Yh i1vpXfIEWjmJ0R5G/r26lmD95e13GRrIMdXfKWuJ//nkZ2p+5EmZXP+YP1qfWzPjylpY8YQvkWuK LTpmF2+T2lBV1451Dofz2xffXneKGt2HQ74oBnpOUumaLFi8745JLdj10k2TMDttikbGXrFmjNS1 1KrB8vgSBjzyqpNvT61aATpk+zIqntW1pwaTCFoGT8ejs64WW7hfin4LGfo4xGVBs74IEAi1g5DC Bp+xOlYM+z0uvPA4eNyTouZ25SswJQ9lEntESrh8dagsKl/DCkdiD0S7gCUA2oFacIN0DC7AcgSO N0h6Pwt1/1f7c+1FwM4yOsV49j0CatY+WYCsE87I9/guNlf519k1UDCfhogGkb8CHo88++cWTYno lmPxg0bYN5r5YDCedS/95x9Fm4+KkTF7t7UVFD9PmKfCMcNLAB+qZ9wNuDG6aaeqMa859C9wTzHQ ZzMvX0Rg0YzM/Gmu5MgtHjNPnVikp0VYV+k03MqvOGorRhcl4wcSbLemcP5FELZV2dtCVSNA63VV OVSdtHO0uZ6X2FpsDUPzZSCrvLlCCw8mWTvwUnhvcQsvzAYq/hKwP5KNjE6UVkTIrgFYvxJk6ro+ 9vanlVyJy8H9BqsBy66i9UD3oCJYM8l6bfMk5jnkU+bhMrBLti1IpJvFFPB2CEblw+hU3ekBcMIz YfhATeyIstKZMMY1UWHmEMGdG3ns6Ks/iI9l+GL+VPBTSw+VYc5EKXoqNiGn/LQFZDitXKSkJYEY qLF3vKlLnGCMy7GsaRtajvME94eAG5stexTLVBN0ePr15Su2chFZRsywZArGPvTZHv4ATnW39VjD SM9L0toq3jYNzGV11xHeVke+mZYC/ef4r4WH7KKrHt+IMIeKkP1Od7O2G3nc2JfZ/ImaUNmA0tRM kxKj9p7YoPqPpffwSgCiiGOZFc1meh5X+lFkJ9+fLcizuh3OWIeer3bLJgJpDOg8Sr1a5wZ/jkG8 X1I9Npu2+k3FwmsKgXyau1P2wy7K68huvTWLhlV1X3/0vxRuA8rxnUp2T+M5dCKkf7QnnSm87pAC rG3r/s5fSH7UovMTRd6BjcTX5HxzRMaRt1/htPzEKKJzljbkIKyU+IvZi3UCZG6Ga9WSBd6QFnUT z1FHcTWzYK1zRkdNzmdsKruj/Um2Q4WKyfCpOAD0IHfZAt5NMJ6gpxGFm1ExT2S1p97DAeJNq12q dgs0pM17WUfrXzrXeUg0o0U/PQaveAeyXFpmVHO5HgMZgaeTPmbEndnyGeIzzjhzpj6vJgQmlTxT baxtqtWBlbbEz/cr0wNPbldpmGfJOXemK6E0HvPHcOTamszDOaDwJCBZf8mi/f7KXK784gcLsLBs ZwNEEsJpQPnGgt/aJpFN9havC3veKAeSIx/XD1B1SjzwxDopDClKoB4/z9gXS7mWm1isfP5U32ZI soZdXVWcMPyl3ZHnmD24PjFSZWTFTLKAoS2UsgqXDGrTM9nWIkio50FYImjtwRqWo0v30iBXKQtJ 96m+Z5qadF+9mIRq9WXXkCPs5EpVPtvxMCB7qVhBOvvqYroF7InKYiFYNQbrn9kPqohbQLQwgvx/ jjCnOCjHo22delUZH3KS200n25ztjo2vynE0028NUNqmmFq7v5xHQXeW3O5dNmjx9jyTf/3KxGGt boSelZZP388KM0YmHkzd1Xmw4m1+8Hcec6/O9+93s29rantyzOi0qHa8flyYjp6hHqu0XHYsbBod BxTOybulLTh5qzGhrfk3gg8Ux+/IXHKr748S6mgSESD2tGgVU5QiyVbW4RuDC/FhZtcaICPAeP/l muk7b118tIfOlvmy2FjBW5Nhz2Ksa//VBy3arsyNRD+e8Vp8juAtR3lM6f/A4H2jfMGXGRVbr04n 2Y3Zb3idyxt7HGCmtQRiTMSO3qRJdtQpvOtXUJ3aDWmmijzjHit1UFSbm4o48xBqdDdfj/F1Kr7x pB/8GAktNyYmB26uo9zpkXUTgd5uKi9BA0DppI27XAqHlexPy06lA7O35XcajyP7/25E/87FjU2d bxBC+cGHcJvnOcs+/eMV/VoPWWN2o8B3gXPJziYNnjQhzTi2kAFkGg84hKZZ7R2pGrGIy6mv/FuE OGFLQX9xpHEXh+JIIxRoXrAHtT76nO6Hzm+tG0LDpcBscEbU4npLtPJK8EuuIG+aQUJlmyU1S0zm Pv2eeo1ubjP7oRDStQlbvC9LMt0XQMbveXQVywj0gPcpurU0JSzHlP9VaTTOferUKtqUl1EwaNQU YsBFLPhKPvDisQY4rMi9vcxbCRtI+QR3h/jgeZUNh2cMPJ8RNo9/q3DMwEjZxfb9LUKqqF4sS4oa TAkaSqjRW7kPWtRz5gQblqi8H6HiS8HweKKNbhj0SQpJuZUGZXi6Y7R8k2V4uCXnyh2WdaYISWo6 oO+OD5IzMFOWKWGdIbkYwvUKlOO8EAyYSrqKWy8c5Nv6QlVtac+0H/4TC8JucMUyDclNxLssjYu+ TXKtmj4MN4GZQ3Iw/NWfuIqsWZRFXM5Mjiz8N4h8LZ6yQLzF3gI9Ao/s1G7TbdD0j+lVQVSCInf+ 92OrCjHkhuXKEo4BnCf1/iww6ylUOqHz40dVFGTuj13vFou74EMn6j98VOhAoU8tKkOGYooTWwx/ 73P4Ern9OHfsQT1lBvj4fqLasspJFJUIZOFWFqqf/G2SNayyHEgKMfC9TBOsEuEPJZePk++KvE8+ 81N8CwBc6xfYlgl+lnBfondFV3PwtIhY2Tnc2XttEdb5q7XwsKNCuBRio0w4hgdnUk3Tog6G6a74 SdKCZqNwzp6uzUEo5c+vgXvUaTZzAysSlw3LCmzN1P0fWPmSXvry4ljEAtQyL9sRMIQeoYeOu20p c8MUUowYTd9WcdPzlCg/F2FLdueeYCYnRvs3Ne/U0WubOshqsNITnVzqAijxHXlWNx1D/ihonVe5 ssmeCudmD0O4Hga09HDcmkefNSLYdpXxdqkfoIVgRU4CP1Rfzy0o1p3I6f35sDejAYv1KMoi0Deq ruKhwpbSPhMP202nXkVi0PGz9q6lJU49SzLGTOiF7+hi36qwOyeP2NydgZqn/baF6GgoaFTsGuAJ VFDtjfXw5jIUpGbAugRZoAY5ugnjgXbCENPB2xr4tDtMeUCd47UtwWWe0Skk0OjpLyUF+QLlOBaV RObSFtoH9IIU4nnm89Wn64+8h/DHiMsiSFkifs+zUnIpEOV5LT4eaXVSQ45YOwRAqpsSYbNJ98EB qxwsdjYZpDIZJiGRaE3hdwNWbPNaFU6mveOLwp6WMdfXRviWxU/ixJe9yczMOo1SwyJpPtASB3Xc 7b34jMAsAUC/5oguTS1JHbROd0ixry0Fz+QJcWquczIdGd+wlA6/epOhJTRpLdMO6W544GZL6w+y oCxgM1y7h0V5LRjybkc/qFM9gs4fjj6fkOeFMVhsm2BRaa73/BJ6eCWbfFK6QjHHLZJPZYtAfM+c g3E3HJPy2P5+sEW2ticBK3/gGYGCrn4IvOqx6TIVxr74dmP1WoNNFINkvOkM3SzeL+srwMYHy95k hexrcKHbi405QT3GhqEjmuGkMYW4bImqO/LWQh+kY0Oy2kuSIK5TnEkVXa+Q9Q2SBfpo0myPgmoK ULyEHcQVGayuVbB3MQ60nteEIwVYPmwuHBrtkNCQNpfEY2W6G876xljxh1v5c0AFtzIuyUz2EkO8 NF5wRWXDKEVLs1wyHmuJPDahJ2dWDNU1QwAnS6cMVD1RjytaVmnfkBpUlPjbGL4txEygvMTr1nrx TnVEACzbVQWVx53LxXChb4kHCDbMt8DyIEM+Qw112UkPpyeOLw54MvXnrloIlpLwwm6EewOfK21X xlUQj8Mbipq4Kr6SyrwdM0eZhx8ffkDQ/ocprnJ8AJ4SX8a5nhcCUVpJ0+WooOHzT0AxVp7wsOoM rfGT43bmZNw1bP7fxMGj0nHjCW6lMw1JkNAh0c/oeCuzKUyHIKPC1BcKG1+hXNtVoc1rZQ7qdTj7 /uOjh1T+zGc3vRy/NhoxAUwvrUiZApd7JKts12+WLdpPuQe6fQ0xs6jZSq9T2D9T7gKpiN85LbjO 6JcHt+MMOTXcwW9y0k2yzFcoxfu9lN91Ucb7aytQkVYhAkPqZDWHq1nRtdZzq2gNblf2jhyKaj55 CttWHkgzairwe9hiZ2CBxI+SKN700qv/h8DlNNrJO0IawE9xubEEBQH3uFcsiNySJqAYH0enqpKH MD62pd8DGVtx7EiLqUqq02ZiYFCFSXbBAELNjSDPfN23Uy+42Z7rPR4KM5hM8eP8cy2gF+dLUApp OhtN2Qfw4x5w1dslpcFsaujn/LHilLD/BMfBI31VCscTbi7S6Qw0TguERWudrKBZR85kXeOgwAqW wzQZ7bKDaUZtkm/aU7Da/nVsBrm/MBgNkDykUEInBiYSR68YGBiyuKN/2jZ7/lQ7Zv/n33Ce9vBh Zj0+f24K/fdRZT4Y/JGyygKwt/Anzyj8io0/dqev6xs5Hjzrstwb6+8h+QcIT+mcGsMQPmIoVLg9 AmSjK/NCINWEC7+8YM8R0XAYEdmKNAci6uhIJp01+WJTiIH3BvZrI84ha7yjq6kbX04NA2YiAEIL hHv1sUfOxe4KUdy+ICANX6S8+Fs4ij3ROMwqmoAfCSHLffV7oBeXUGNobgLFmaMyJma6gG1gkeCj pNVAYm4i7A43pzhczgYPnqtyHeIN8NofUVhr28RJynUvV0hchxL1h2gx3nWrdvcPDrIiCxf67Z70 j1uhI6TnTOg3dXusgb+o1EwdrUsUqQosPqTWKPnj0PPZMrWgmPL2k6Ot3JJV7nX98XphQ39V3NjN UTauZ64GaE7+fWlErdrSxRcVrXYB27Wk/jVrpjVuAVlnJvcrhOWjV8/cGxYTI2zsTFCuA4nwWTxv XgxFHwYcAk5jrj07sl83Pio2My12wrOvd+j1dMe62PRrkK7GrHo676iBpW5gm+2s5FB20yDUj5PX Hfqa+gzq4kE7CtXYk9o0KgzAo+1jhfwpekCIEFfMoq8YLQNm2AkE/Zjb6b4hKawGTr49S7tR5kRS lfpFnZcdTuGs2r5b8R7JLoNaJt1fXVwETu9qcpPyCP2GdAuuQyYu5vcnXIni0vgV0EdjK3MOCtnC vgjRsti2OgNuyRyeQgbuFG9dJa0aSMY4no6IE1Jn0Cz0yHHkRyRb0+3NYAWYGhuDeQ+96wfQbkUs vZ/H0GA5V6tfdDtPZvJ1E6hn7GL2LSMlNigU8clhbeb4fc2z/UQddsOsgVXYDWGDSzFuqX8A3SHg 89HlWv0D1DXrqSZAbWqjSNf/3a6+o8FhRNCPQq/9tFVjhNVyiyOoQVWY3MAFg3aO9DSRxDdLNlbK 3dK5LuTa5lahBE0WtuCwkaHdrLdChGmbw63J6usIbBlrp6vxOYqU9059VZjmKPigQd+uGUF/q+5L ZgjtG5sqBVk9beDQTJ6fAILNrxh8OY4w64ApMvKdZWg5oZMDMFkAMgLi2wYYo0vPpUBZP0IhWvQ/ CWcIgVTEhOIco6EKQtBKcJ7zCe6MvfEcCERmn9Jh/huQVNsXBe53rOwFGU9qBD64PYcnoZfuBzSk nVbk/IyRk7Xe1sS+q009tiCJFrlelQ7b8cikBYuQGKHfy+VF6FgGffJF3JWxfPowfBoTqzoSL9tE f7CxFb/16txmalNKhZjCflDaTEo5Y/jMzsL/D2zLDxubz4TmV3o35g4af4HQn7kqAI4krt1syPkT tyP8Ovo+AIFakR1UzvdcfeUad0e0tpRPDoMbAoqqPQXsNAP+S4fmcAFdPEaVaJMsIWplv5DCqrw3 NnY9EElk7XFxsp3H9hESZYeHzlnt1Vt8TbQusWJ58YRhJKE7/YwdAALdrlr6L2ZMMV43Rti1iPNF jRM3sgO1Gdr3Q7NX5jVaJXPUf2W0k7QQ1N8REeE6+h6hnBXBpq7Ch+Zybl5pYMeaSpEOq5GbPUnN 5A0EPa+a28KsgKgO8yWcPfQd6+Ps4mUSkMino6N7U1Ys25HE+UWYv82cV92PM54J5xM2j9dwOUy3 fpcwfLpF0vPHCcaL6/jvcztvx63oyAfoMvceaG4oWFNoNWORBe/VmpZKC0ZRz+7sYfTl3wAf3KE0 aeYymR7ljjyJzKy3Tj8lq0E/QQyW9zdKj2NFtcQrRQpId32M1eC8gkXMzUcvlGsssPSzbdXHtEKy 0xf3KBW88CpHk/y3VxqZzPvTWweEB3kWn52T9Ym0bb1xAPcMr+FIlGLg4+8/M+kgse5xqIi5GTHk wm+G4+VJFI6Tp484JV1VpWCLHEffRtjF/4Wta5+KRv8IFgc0wGsvxmfuAr3/KgClEpSAJLJnXcUn 7oSJ0ogs3VcH7eSh+CoFwbFCXR8uO91nxFeVTOq33jb9vs622LCLan3qs3iYSP+pKljbvUqfqULr 2rrK5hq3QFR09M/IIcpL7zZJbFV8BFBpFtNrQ1imzc76RFg/ZdyUi7vTnL4+qIqv6ujL1crQkmwm rPyY3RLdPR9gYQM89yPwbJ9sHZgDBsCRrndewiXhwcs1TvNdimV238ZCIiHPgfkhCdUecTg65IsX ozOu+e//aPzreOcQa60bX/61B5c8gamh5jrpys68KFLYFpYOiDfFqQddMEBWGApdPASo8W7SLjah 4E08CuUP4h/p6KYVtf2wBnFywr5POBJDq7yC5SA268VvRcHjhmM3beNJDijzhG6g3ExB+EwYXDGA q4w+z+awDDuPkEM7RH9VaK9TZa4NZkclWfXW6MkB9H+3HEKUua3OloqByjqAlKGze97nzTfjEPzd m/DCIf6OfJqJScz6AC8tRTLR4hIVGoxJ1GrmB6g6tP1AhLwWOYqJmzrZy6DSxKh1wS7TqsPzdxz+ 9NN4Qkxg/tHjtDatC+491RTvqT5oiDG2UPwZv3ufAAwtevXB+jZDIzPCWxQ6Hi96WOLki43Y34NR SJZKV7rQYSfHqIbNbNfaOonszj1wqY65olnw09l8lAG0qEMIAYD/eSVc2abx7R8rG7/iDMxhV746 g8TXQQfG3T93kg/tORL4UcxoCB3j+uj2jhss2yNKhWz4dAF5IlVJu24Ay/w9tTbfeoWKaIyuLjEs qS304mhvTJ3kowXqc5vvLVRXtJSwMhVp5NfxCefaZE6kOJj+RWDMIZfqtf1DKHLn5VoRorwyVuOR L/qhVHdfjzA6nw3YOSqLdld6YOFKYTgu1FN4S7r9YuY8wrIrrtBAglZ2Kez4EwEHxcB0WU2czpmN 6QTT5B9i5pSrykKpySGtYAsHD9MjsWXGLjuKVjKUNbTfkKdom1eCiLJre1A0dr29/KTIQ1dOtbcb wkmiTXam/C21CejuCHGRclyEcPbSJDnnR8V2pumXIQbOKRki6Ci+ZoAoQFPpWfy0JiDEh59+T5SN kWsXrJGMJw/jRX2cZs/Wzvo1GA2rcXypnfh+dXdWsxQ+U7GQM447D3wBAi2cT9MY4BMlHbu0G5wU upW7EGc64+nmh8qKV+3dmDn5GWLBYYb7I84OB6/szvLgiCcOqbzGIX+MIdXIjCILvsONhyp+vGLc PPjmg3jHE7UobcuX+AMcmbT1bvOehyo8vSrPNxKaJ5ihvObzjSwPcGqWp9tJWsciE0O/mVcq5qG/ 4hWmZv8DF/AZ7WI5JXkS+WztLck8H8sQNs2YFytavSKCND+XhqsXr7mLENGDGcLdZ3lEULSkXHJi gEMOhvXvJEMKpb/tIMRaJoWqOf3b0qwn59KJ3cILcdUj75G/11bnIOP+XI/ZdT/E5u7Is4yNfvCq K/rY15p9wTPJbK5VP2+EqqPvLiJ2ZP+IPGxP7XyA6e1fd0f6JJEaqlz2clxMsFBsUGKCwMrMhqpO 2NVM3KFntW6sUQVc7xwRhktLKNWNEzJ9ha/4dkbLDD/Oc6xwmIxoIwVBN+uPZy2IWCCal8gJlYQb VT07gUTnQGkiJRCa692YM2Na5IIsU2XerOCqXWolWbCJI7f6Eb3qMOd3fPupdWsSU2dqu+5FCP9h E7tI7LgN4KFPbinecaEkTOj9hOCu8aOpKZuGrxje8qsuB0/KvFgwT0HqDS+bxHDXViNgi9Ab+v0M woUAomS3x8x1BO7ysp1aVAkeV/zLSmtF6U+kAqYou3rC2uBLZLORtoM569RjmyI4ZDZwtgv8F2WM zldwCmjYfitj9MsX+rMSvm6vOAm3YI7VqLsoBgqi724EKraflUTBp/QAPKm99+ILlUsHmanvR+3+ nPHGCtjdxnQQsq1ugjHOu2PlfGx5HyeFypx3eW6ckQ9W4qo9GSEhWUzAnMHaq33rR5hNHRa85Cu1 L9MD66SpbB2e3LALoAGcJ46+rbjtRNSroyqKoSLCCZ4mG02r0KKqgBU8rw1fihJLFGeKipj+9UKH V+X0PNQVRAxkeHf20pLWC42Ha0guyBOHq//50Bf2PF39/0C77IykXkjwJzKwGxasrKTBm3w9aHDn p8Ayg1oMSvTTfsH88R2FZqt8ZzXH6QZ0f4gWUB74XtyfHViyjVsN+qxH0s9IwPxjVEHNBaSdtG3g GXF7svlY+XomRiWzM318cyZkAG5nJL7tCWcdJvt3h3p9Styc0YZdtUzrZz65YIBjYlY2DIsQmsZL wd6LwsypasyvjR7LNxFF8oEtdA6EydIKauWD57G8iYvuHub6PaYgBTT6sK3RQ3CRbcBlqvFpTQ3h xKy49yI5DNvjLqbWSg/Lh9WtJBpRV3uChfECqDYjC0Iz9zeSpVplI9uobY6JgEFTzQ9MFjnMhfCu jQ9sSw5IQJy8KzdOvL0AZqzMx0jPQkCQIclUtjpdrfDelnRpsSoGmRtMSGMotLZSYsoO7WYyosDO 7bVw/mOOE9I58/Xfuu++oB9efiCBUxWK1pS3UsZU/RPQbJfTZmduS+HGNYoT9JRoCKyG/DrBf3Ye jext23gnSCYtUVUR6RTd6WZ3UktZHTeHK0a3oMCqWxbRwo3ovi1byH5TTvgT/ujpHuXjj/C2sCH3 p2FvAXigAVG+XMGefDMrAyaPc1PmpUx05tY3bKUI/1B1mPaydNgv/otrmElWMVcgRDGQknU/rrfU BO3RfpjAKMEw8fKGaHUNU8HOPtfYWb9P/pbNOaYvWSHhK50sIFgM+LYqLcovxf/DLnGugXSSsUqT fL3eBc/ftBXb55O4lswN6McLTduawg/LmdzdDspfO/ONtn+a8180jlmFx3orEQ0GcMBmyU5/eoeH 5QmyC0sV247kJfOUO3qTiacoMTOYwa6AJaEvHFwhv1+1ox3XCfXwSpjPzxClrLniDvsJaKr1kWdu IpLvAV3SYToW5Op0uNZ7mMhSWj7o2nDJPwimnRJz6CIz4+U00caW5mHGmU+DutPzQ4FdqTy3mDul WvhntFF5iFvJSYwvJ0zqvtCflxd/Lg2Hy46TG6eC5fQMio4vgXY2q0+sC7G81avCqU++LC4sxptw SnYbS9FDz14XaHouS7EUKp/IdEpl0Pp3wonss7IEkl9uOc3UkE5ykA0fZ8lYsOs334gKYpplAmLQ y0YBQ/7EpVwyTU6S+x+BAETweZF/eBJokZxTfQgvcj5YNWKgHrJxR+fe18a9nx3N7wirFa92bQlO KJLAiB9eEzDaRiWYM7MB7qL7ngMk/K2CYvFmJJzNfc3DODp9ljCnkL69kh+HJhEYa9Kb3x1EHlCC saxTlAzK6MMIrLu5ENfQ6YgYvDaHXEr7QD3aEwLw2VP4yXkaBpaH+ho5GySsbLSKK2p9OpLP9Fmn uTOtCwRMU8yYiBcLBt1pek5ZYMuDl+wxOqx08t/uk+5COKXdP6YLUEgmwlkJgY/PM0PxF05D673J HqgLlnDPAAt66i0iZgoTj+jpLn/JX+qks4T8vQbFBLGrMmHdAQWgwwid6E38RjN7EQNEIg+BSUI4 wRh0zrypMQsbxcFzEKCHiY6e+RDUaijAj8p1D6u8P3NtYpW6WwF6zyEsg5N58oCBGmg+4YxC0zzd 22HuNvFlnwlJobcnrjYJ8y6pcldLFNqNIVDHQvElU/kQkMQBOvG/+a32gCsx71WEvJSqWtykBBeu HLudqZU0sSxydhgeP4tuHePR0XnsfeAej2lkIdadwkCD+KhCan75cqAg6r2pDN0du0Z0Xaz8iI9k vvOhG+X8L9pCYkytEQbGkv/Ow8VB+Lmk269bQujGxbwb6D3QTZWR4vIOz55TOrxJQprqrGYA2Ml9 nRmd8BF4CAXbJ1tkjpAigdanz0eQQBSS4aVyaEfJXf9Ix4GUQVxE/b2ifsoJEtFyhjD/uAFQ92cp n6pqM8EiGV34ULxM7+c/eXE7S+IWalk0AlWKG3+hXdEtAtWe0u6JDi5r+CNYit4mUwn99UuMFaMl 2Xl1ZzMbeVk/UnwrhU6QMxYBDo3Hg0s5+jngdxWp6Lt1nHUarGzWJ0nsEWXgTM5aoLYPr7ES9djb A+yu9u2SLaXP3qkyUxYui9faojt1jDbzKn9jMs3i71g47c74mzS76292h4SuNy4RUcd3EhSsVTC+ jAJTbHV+3ykOiyFH0k+atrgDFzButQUZOoAtMqinQ1igRZNR2pVkLkXQMGCgsu69nzqy7goFZ80P lyHU1v4e065UQHYEzcsvrHgjMLr3mYwjXcGrQSflrMUZt9h0roZJmhb7JPTTq3hw1/INiRIezXBh xzfszaftaODjsGTTahXlxoaksm/1p9b1ENR33kGE2uRl0MX2IrE2PtGVIZOP5+cnG58v/u2XZCGi SU79ieZwi54WAdpg7Cn6e48cRQAQYJ5aRtd2GwfN7v9rg8u+iPF6UH4lBg1jA+Jrt8ODj8nZRTYo SCzVIK29udbC7Ze/J3xq0fhXzgyNky0rt95JUccYAYsvUl+u5ghyByQEjwF0cEKg3HUpBLXFBZ+3 v10znNtKMvaNgovbZ87Br0xAYqd3VDTFfHmXGDt8hAIz08VElYL6Ej+ifWNrRPLEW1q379hpe/EE 83kYhTSWfy6oPZNcBI+5ardupnotEYQWmyL4M3HmUb61EjA/H6LbrMyz4wLuRzSstvLkoLpvxPQs 3qAEdx0Aq24UBLuhcwpFGfNw6ukezigBlFrhPFD5h9ec9G8A91OLdZ9OlI8PErxlN3QnMYLp1GT+ Sktyvk85OcKLup1hEmz5db6Y63AbAS2JKLJ8EBmyfpULJ8JhcKbQXkClBy377AaOd8n14Kt2+6vX 0s4VXZiNcO48z02L1xnoX8jtO+TYb+66At9VqqxnGRF+R5sCBIKUJLb9nKPxDsvjyT9M/OcYC0Z9 Zbs+MoseJaDHlR6u7i8KesE2EJ9fhPiVK4ZWnDcTayBuesfvQMI7lkqVhfsjDDr+50sx2SlxP8E/ JzpXzSL8oZ4cuW6qq2sxa0DZLF0mUQ/TrGVnUV/3PPJkxpm/EAKqSCtAFuCev4doFZjh8OeHuq6V NezUWAPmB1kkNUmBufSf7hE6IfulZ6CmiZfA2aIemglWCvpaF/xtQSxDAn48gLoshfPmN+G0ZK0l tG7tVoj4qyfw6rO7HhpAZZnbYGj42FfvrcuX+JWCkQqjOC5GsRnSXVr3I68yAzWkyp+XmA1SYjpV 09+PRDVvGVwz4qAkhyvDxNqUv14dCOGksmbLyA8kOUPZlAr+dBOX9q3PAZTEfH+fdyntN+OPr+qw C1cxDQ5hkUFsmBJWYnDK4m/GRrKlHSIgntqydhl80RhfGGBxTBQzo+rD1OcKZIwbpPJeHwTzT+6z MYIqsJ/uaC8RTwvgSoeqBoZt/wci1AZzl/7qEnp+Ikj8ignnXcIElwgaDXWG+YcXv7Fr8/4F1Ncc JZp6ZO+I3ReKWrcP0M4C/X+bTI4lT80NCilITar3nn2Y9dxBVh0hChA2zt3yFbI6NuCs3KVC5LbR LpMNq3PVZNSN1xm6WlYZwhZUyAZGp/q66Yw48LRnEVJxHmmueVXyrqmJVD21PqH3PhTq5cBoZlwm XGSHc2H22XVomuqcorLfPybQPi60YXPrK/Tf5kmO8t/ajrxvnB3UrYc4Z2FMBZfzgkKarq775DiR OXBvLvcI5m5zc0U3uvaRHCszsqbDfTqINrJfd0HSM0iky4ukqZw+Yzk+SrWwEgzktRgb542rUbBA pPsBpBlToqwSFEdAaaP55JauknSgCNWDX69YOlW0DYxfUZClYmaDy2qFljVCwK55ibKZVU1xs5GH RNl7t9RtydzTyiBWnNg/+hjKqwxQ2hnPZ64v8Gpgg80xKl9POoqotsdWFAOx5x8zOCgl32EgosL7 YtRq0gAawtZma4JpBaSq2mtCnrWEJ9SgpSsU2zMvY+EkSzlFlju4jPvbc/1OqxSa+z1NFCPvgpU1 8d/18DhIG55N5qtvji4olU6PkeevoFoGTAaqKHxKh0P+NducxgLzB/RaFh8F/k6hJZGF4stLBKOT Fn/xMQxkprUjViErQMDKta0QazJmBlgyhgibIbLLjywCs7GJPZ0kMYnB2XCTxAmKq62qPpMXaRWV vbLvIMW+AtXreWXSTnW7V6Zjey5wlhXfFrC/ycnze+cICGulVO2gRbegKZzs8Y75WF9H2qunjOq8 BoD/Mh/W1vc95nycKadwmxWCjtMLKkiNr++ODkNMATmgfxIWqAB/i3q7yE2VtkOK6aD83Qz73sWU YFeCJovBw5hecKQIVrMl3mQAyDA7s9kncw1tE9jiTnJ/c3vCmNgxvsv8pveRug/dhYQHCDkL2EEq 4bWuP27wfNtw/KBtkTMXiZo3BxBLuK/J0BVI7yJGb6vF39bmb8851mRXjGqZRoloRrr6neniwxbu L8kYSJruss9LXAEVCTI6AwckcZc9PRPxqzXVHAFxbtkUtKWFa7FLyfJsO4hdBsHDvGWSOpmmdGAR enESLKnXSJPiRg+n1SfIrXqfHFfNYeHCxqjr+yfXFQzW1mT7eQWnHAAuQZz7DKLB69bSHsIKsx5A IuEtFIR7H4+phuEz6bXwrmDjubJEoSnHjMvPYqt2ZbC4De1VThTCc2Z8MnU9DbNY3bfsiM3tnYaG 1fSe4dMnapKq1da5nEH2Y6Y4/BIrtUQOhiJCgRkHJbF3aG+uNY/x3lBqglpsKK8d4IBGlRCD+PnA 4jhjaJ+HtYJ6e/00IZopUyI86nL764kg08rwlSZZEIO54lAM208DQg4d3BVPUJkwrc4PCZuWAj7d /tCiGwgyYB9zvTviB029uTTMV7JcJCm7y5vHLnaSBvHXgI5OpXmDONlGtSXbljIRTfjqz+3JqsBu z5nLQDkgGpVqU8qXJ8Lv/QLfe0MWuJsvTvzmEFyRPNBnbDb94VZn9vCy7WnLmjuVoyH0EL4g/lb7 LH4TQhhA2cyeRSH76SkTk4Smjd0079VnTN9QEJvp1G2kkiegq6gBe+B9kzz4xT6VfaiH+6vTHHSg GH31aZchHAgXRnizOJh5jUsMFfxscplf6MEgDX66lyHZY2WUBXML1t3Ph2ukShvXeBMgRQnJ61MG 3nT4QRYoWPDuNlSE1tyxFKR7GSwA9kqQe97GFLfBWCUSKNjjIJkMmHMevmn5CYFluQpHl9FEcaAU ZFywYWWaqjcHUeeLXrWKnzC6+1sxRLobzeN8jt85GB10A7CHw+MoAV/Ly/7cUCayiNdoCgC3dC8y wIN1oG7G6wI9+1z7PV5IDjLMdXTx1vWTEH0wBqDllWIOpy1x7a6riI34rgNpQTf2zFhb5kp2oTwo K0B6H0ejNyaqKMXGd4A1cP2yWik9MbAbDagzDnyDSC9zYlAC6sXH624clAH/myW/64paDoou/e5F tnvnIxterdJhrEutFarfBNdIefh4wC8igig5ieUhFa0disKOspK3Oj2H1Fkap6WFLVC47wFmcmxn jt9MG7mnnPj8BQgBhthRwXXXr7uKQJb5/NQ8nf9UV/Eztv4zuqqsU/KG/xDJzzRcEyFS0gdIeONc kQRVregYLIZCv96bAFhf0oX9Ujd3IMDsCFbfKLj8owVnJ7ax9DFVLcIcU68ElPLv7JiiQjIgU3s0 7sJ9AoHc1RGHyGpvgA0xKAapehGhIE/NCxpCLVjWL4LuIMDb9n+mQVFKGrABOWR+JOaGulasdPvp AFiM8TbgAejM2OWERpUEu+UrVbYJYySwBjRtaVhMQ3t5j2Qs5ueGq7ok6vsVb88rL4YwUPXJQYJ6 k5mCFLUk+AUQd+KBxSxoms+qvhe+svTlKjhuGagQ5G3maOUJDnBBYznROrA0/8yCQX1BfAXlJhLz ozyexAA6RYQG7c7+VxzlosN7k7+udjnYB48alkEV3iJ0iTHpeJ7XrR0fhXk0NKliOweNi7fE476W MB/raaZSHYGqvuKETAIhNr6u2iUClFIKwsl5lFZ/X2qmucinFQqNjptftnBCPH6Vwyz4P4hw3G7C VyWz1SCAprtPvkCaVqYtY6HrBubdbpMFf3BkqQjYyncL0TisPwqP/2v9z6NHUp4vDqEHSkbA9uKa n2ZdqBwFDsjRQMlwUprXtPmL+nSLVLDjN7l7O5/KiV4+FQcxOU9R5jvfiMzHzi9P//WstU9VizDq EqN3Xz2hJITqV1OkSuKS/nHya9YCRk2GCOvZVOxCf+oLV/SLnzUJZEHrjKCAxu0JGbcAIC5Ixh+J yAoGsURi6iphze4Us1FYn2nvSW4kd6ibElpo0untVHkvvrdXlQMb0aZSS4NWHUcpU9RxPdHSqGzd GVkE5jnu6VhgtB2ejVXilrmbU7VgK1yQDrhIIBsG7YnfD8PqAv5dySbOMg0Rf35wyaHVm97+iI39 sZfOXIvv3EqwPqD4cZAvu83YZaVmkc0y926oEgjMhjcFyWZTK7ffoDEs0eEnVNbE6GKB6M2/AJs7 ePYtbvlBoPd38ZRaU2e+XiakYXXi5HywzO3O7ZG/VvzzDFcvdgYrt5wPLKvhJBuxEc5WV3dCVx8k ER5CEyJweQztixQ5nsr0wP5Nm7p4oZOD4ckrHdmkKqwUdIF14Fxcy+++ZZi8OwvcCV6d7YfPDg9Q B9Wei0IuZzSIhs9vPWIm3E/QXD5doMRSTBuHrSvlGjbzU5SCbCKAm7jPM++gpWeezWniID4wwHHq DlgpL+pAWYQv3QzHkry6tDX8vQfGBES9bKeNoZ5gja2HgmBJfAeVPZBbuKqHlmW4tvMTKTcsDLjM No3KsZw7aV1AxaRsJ71qfXPE1Pzv68uuvDGUuxqo5gIg0PbFs79T1aWbRM1nMLGK5twzyrqLuBSF uQwxW64xvzaZJX+vJnB5d1DfFz9oAmtfs6njUeb7MbN5qidWX9PD+Sjx2l1J5vZ8FRiZLIl6jU6M CCrTxHKdadUr6VcDXWdT7A/oq9uCamXR3oKn7QdC3cwt7nhMbxpHPTdDKXq/9YmUO/+h81cO63uP axT9frQWqUygjfO228OhjTe7FEidlsgbGUokK6op145A7csoObvqm2t6fdUOR5lWwrkeDySHmslU Zfucj/2rsC2v4vxw9R733ucNcjIbQT+6DyFXTJ8+sV/LAH3wTlJAoBt3eOBy/8h0uXFyVAMQpsZi Wmc5ArBhpUC5WGCWavUSOmDDXRuEUywwTGAnz5PDzAcyjRo8PqE/SGgzfWyE+4+ErfBCzPWnEsZv qd5Jdj+Nv+70HsRKH4aNLVJkttrf9aidUwa9wq8SOhQ4vEl8VGpO90fi0kKI/aL7eph64N3EeKth cyZpv3EJ+E6naDe+mVHKj+aYB4iMBRbfm0/gSEj7nUkgjYfaIfbMcRCS2Ph/UG9Jq1K+AQmsVFWv ah2oZvzceWP+J9tenday3M/LSH0auikzNd25Cb8Pep0U3wuuIUjzhTnnkn76Amlx5qeyC/0D81x/ vjfUL8d2QH3QR0DcDvFAvIT9NOtvnfvBXsAGoh9QpXI59gx+K83CRG7I0Cf2WNNNmibaYC64sVYN rHPIz3J/6csm8/E6K4kJQiCKZsXW0hIgRQq3LXcxoLFvpdiW3XjkhK7S8M7hP1yEe/cGoZgXCrVa 3PRdcYmJoPQCLiX3DLl5n9zoP0+umKNQyY8nzG77xMkFohLDWwHw2O8wZQysVMALBTCTaVVFa4vI j7nwenjJu3KVr7gHS5ga1wfKEz2srRyJqvCTWg0tAw9htKAEGSoL7uFTnuV7THxfG29t4pid1pq9 0qY7gQkItkhU/67sY5i5tDdGlN4pFtt+ztSx7+QjypDeEg3ZxmqLuyYS9IdnsYvLBexETjBVRZaQ j0xg0HtpNmE4vl0U9V/Pmf33UE56qeAW/SxGPW2VRh+DnyWqQOdCcHf7xmehKuxgC7rR1zXYmO9d Niuk+HuFowDLqCqT5s3SvuvxvlTvQlKimrz/vS2E+cRiaw7pvBa0PmsZKKhOCvAYwcf/vk+ICldm EnuBvv9Zbze/nhCMNIhJY9RWRNYrSp2rxGO4FoXvNFrQgBvVaoLzZjxJWO0B4PVYsrpTnSl8SNpO ZVRbRSGn30XFydeeUGbJ3zhQgVnChRJmo9xBKfh4kz65n7Rb7hxkxc7KC00/+vRNrvahOXKJsx6t p26Na+R5iBRiQDizRfhqkPj6QLxrUlRg6f0s+EIyTzvj4e73eODedDxCn6xJf/2by+RpqbQk2tKT ReYTHeBqx33ZxIZh2ryCngd1QRgxDyGhfybOIUKlY+TzfGG50Jp1pHgXbkjDPqy0OVzBc9wHmHjG U6YizLudNDsdkC61630Pl7sWWPmYZVP97T6LB41201oTrSvy8/l4rw4D0L1BY0tePzyXc60WAuaB xQdmWo2z3SHhLqa+6EGPMGkNLeKeGGAkg3/42TqAVfNIPndfd6n09cL0+xExnvQ5CRR5GNQWEtP/ J7EZMwU+ndr0pXfRtG/1th8WAkZsR5CDQvJG9z2xTN1IfTDU39puYrypQJd8G5BbI0eUJ0sFCGCc QS098u9S2qENu5zoXq6NlXqJArnyHKEfbb/UXx4Ni9PTVzRoet7jaejtvtYhvZsHuhcPDuGEm2Cy XJniSC58YxJ5KLKDLd+5lk06WkKnb6I9iUpHmC+FgHqZ5gegq2N6esuipVZgWHVLLbZT4Uba5z9f mSQ9G5kcZU2JFpzgUhBTjyPspCE8riwMbLr2UEN8aRv0Lv+X3fy+2y4AGJnBw5Rk+HG/ypil2zog 3xTDab+xmZW1ishtIod7Rsv2TQP2KaOeNeUEPaNiJ64N7c6fVotNd3GKsM6Y0TRfD3egQaj3SUa9 SNTuJEqR1wln3j8rxdweeQ7r4ms8OMF9urrFnjrKYdaAD8oqSZ+28BMVTZvAAXxsc7q89iqmJO7S BGarvqf6v15/z50EjuFlMcLbNaa16RDRwDiddfWgZSfqiTe+hATRo3A1gSQuCvqWoZ9emgf+mJHy S+jXsxd3CUeRNZfx7XfUQA7SrelK2P/ie3+QguQzAPh0LA8Pg0UHfaD5br9K1qL7gIoGU3ps9ynv 25eh0fSkgpG/ze8J6BD6o/0ETm7O8QW9kybaSwMJILlSmiYsQPANyx7rf+0zIAQUtix7aHD330yh Hmx8c5b413qpxvsEDj0CUEHwjCN2I5XWUT4snQYgatPBhLBJ88JVPWEiYnrDpcNCtllw6UzFpJvj A5AqecCRmnunrUWi3ag5y0BQ5BAqYdqMvg0sEYoJGk5ACbzMhpGCSlk1tQmTOGRPuil9WLDlRseL YxkBGyV1SE8MVPMmnQK3vWnDZ138bHq8Tm8N2GHFeOymR7fXSor/UUXKJiE9b1uWeNAD/9trUs4Z 7TVUEFf/rLli9I3dpjBz2j/SbSCtCO7DbyvYMst2dsSoSE+p61YZrhhvkrQTHr34VkPHq4ZLlVtq wHKaogqZfoTb9x3W34nZoXodxS8azmiQWJeHXNFbOPjanoOLogSf7GvTYuByqAqFPvFRLUPb55HJ Yv4CEvGDiHMArSOCcz6CaHHw/bhelrh5BbPTroGwqrR8MSq7rlghCZ15/aHwdW4Nts3i/88fDtNT RZXKAiU1bMH+HrL3GTsTGEEKsS2w0Zgqsz2Pba5yWxsaXU8x/zmDQkAzs5Xm4wU9msfDkmOzTS+I bla3dqiC+xImvlge3fY9vMwp7hJVpiYRHzTKkRap2qGYDhfR9iBZk6ImSvKuJsKOtX++ubtcugCO XkAWs/OYScC53oS9rhEtzssW4rYnCllWtHgm0qM3h5xgsk/mnK5/E3I56ecgk7H6FSq3Ngmm/cSB 6ud/sNS7oHn382WEcsOF7QQ117XWdjfmCDTLSr9o+DuA/dvs9ehZekNt1+2kygHkOfAe0rZ1R/mT eQSP9aA/Wr7/NMzbY01EaWqHqf2foxdEDvsRUYKRy7Gog5K0IXsm+cnHjdq5mUcd1V8gGgTrwLVt tnAn8JJhofYIUDUqmsRDOVrF8KpxwM1Uyb5ytlFiJg9AdXHULuhndL3J0paepaV3oIZlW4vzeZmK 7OFyeyCk456VrPimSsh/YKOpkClq+O/yExpUiDiz+gDKwJH2EXCKQoVv2EM4exMMIpz8/EEULape Qv7C1AdWAx/U6t+9kAbSzqbs2/T81sJ967D9Bav6vAtcLNPjSBvKVZ8qZ4Q1pUjiNpUZ9kQZnLxJ FBrZ1FNQUC/m++eC+GCwP1B/+tNNQImid8vgJTdcRwxhiGI9PNncjzKmY/h3BGH033bR7MF2sS6+ ql7TFge6y9SH8tF/tiPLlp/w3rUyspCCMtQmYZDfApN5SJfrH6LyHyiIRSBcOzzAE3nS5/BCPFMi oEj4eQOsdJxHCxNRKwKPhRhMZBjbV6kgm+upIgQe17RDRhwiwSETQpbdo6Dhf16Cb7W55Nsf0+1l y2LPRVeMOVEZe/nq86gosAZu2/pezJDVwo/w2SIpYs+TmGeO/Kn6FIA5pd/do7YS6OGdxwjUS8J8 oq6oc3TeK+6XvUV9aM6Nk2kLQh6hFgi5Z8BAz2ccDjAecrfG99Lp1XqOPWQmXspeC1oQjTvrfKX1 SbDzthvf0ooRePyuN+LmdxDTvGf5pEhAoCD4CwDxxAJnM3dU+nFb5RYmrEGBFGFDXcB9uvQEsNLh eAJu9dTDwoDGwyOTHGIJXSVLEyFC2fOE83RvphTcfq5LYcChEgu/JvZx7FAR57tFDpoM1xw+z0yO Tw34WCfroQPxxmNgYw+9F1s+KrpeoeRYa+DidFR9azFMxzsM3AE5A7yvMOVC4Ce8p39rAp3yKk1c y5lZHk4UMwU8cIKCLhenoHxl6vr3nIdvP4rfzcG37C06mT9x9uG35XcCu3PNQHwBsyOqMQUXwCwQ HTRgsizSTbv6J3UVLm4/qawF0UGqcF3jDGGjsZZkJmEZasUMzyPl48XTEkih38A+e4wKwz0XkiOJ SnVmws5LEKagXomzKeUNEc4MBF3E5k7VxtTKFLtJEiinBqP9hCrNS5jY1XDnRnPuvBKubryUZZEz hRhLVbuNNePwzPX1jV1VNpygCtueSiN+LHokKBtpkI0xh6FDqRl65Y2f8X7F/m3ehSuOQGyusGLR wmO5VgE6d7QNTwQnqSb0RzqWRCd7IAH9O3tATiFwGrKcs2FC0Yfh+qMgJ0bNn2/yquO0XUYEGao2 6g4AwKHNuSu7as1z+XKbUA7ELfg+V142z5Ox3Ab5wesCsA/IQZa/HFCpPhU5fGivuldZ7dY1539t 6Ze8EzaQWDIMomqzaizatfibNHqHH256wrBH33A+WkOP1ScgkhShOxeTMzowHT3kANT30C+ObzNp GkgQPrENPdpD0K1KcDV+NdZR0soDb5NyZtEQ4xEu0YMKrw52o+nIUWo8vRIwmvVzQNPrKJk6v0JD 5jLz+u/YoPXJWaKrCVG5RX3eiwmJJkEaEhO0eNO/06envx85BITLHBpGB8qtfYsz8zbZK9mw3GLQ wztKFNGJ//fO5ahqQqGXnY2yL0T2YP2H0dUl/EPKkYdZqfXXkORoep09XbLIb57K9/Bvw/lYkvgO tMkNTY4J8aVm3tXVegapgXMtGXJzOFAY0d1V5FAOKk4CuMWhN2Vvdz1j84w5A7cUng4u0MKEkjwH GSPaEI8O/FwmyCMA7Ec4xnLsQmpT6eiOC6qUOR7A71eSI25bxgWao/mjN1AVQ2Kc8snhq2C3fWyf AJb+5gHP0lcoOICtKxIOxmcXScn/Pi/g4OKoog1Ci4wjprxNSJ4FIgIagv/evCLOtQ87COLqZ+yA rn8HkBlA34UA/PFXC73ePFPN07oywDifI1ZEdcb+g6T+MDDJKGWMXBXAWPC44V8hi7yEPvnZ6zPM 1l4waS7EjSVFQKx2Ic7FzRR/ZQ8rht7G46YePK95cwR3P5ToccBHOEobIV8Rwh5qopIm7S+sv5zb GZ5sj567ZCN7CQbgKND+Xz5yAp7iqM2dDzgOG7APP0vL7Y8AOH6bJlvcL2rRgmUBETSO/+GgyVks GN/JIFgSivJlmTuMKqEQurq/iA1/iIQEAyHfh0/zbFhd/DDK6UemzQNtYI3xZ/LJGcnZf9soPDE4 hgB43O6BmXXJu+aNAni71FEgX/fT6lfwlR8nnLMndHnok5g9gDwTaftB9e1GMGt1UN+3ZFRh97rn GvAWAe82+rbjr+veObIdD3wpQKhfjCbwix1aWK8VOPIlwbgIRCd5SLaWkogshFCXcCJ4rx7fOBKL OE7J1IxsWet8lzNnItw45NfRJpoDuofw4hi0b4kUb4p1l5Aa97mkMQhCz+KEK8t5bCv88/V+u97c x+AXLozg0cdNzc05KdH+sWkxUC20YYtd9eZL03QdqfMHbx461Tsy6cB4YceDONDH6ft3Ofjj+fte dfkXUFLHqd4lDVNucy7w9I4HDf0/Ppyk/PYusRT9GUamC+L0lJ5LDqBhWngHX1oAWUC1xOjS/DZ2 fK73W9C1NE7FB3KBuTE9QKt17Edfi3ooItpUeeFcCdcXCN59Dw/vPNWo5ATZPcJiiz4r2SWvSJPC PjlfrUwlI+1u6rgILT0NTM4aw4HRVVVvFiE33bZsVtB6jrGz4uZBGWrMF5B6P78+l9bGBQvdWc6i lOgGvPiMLdrmj21h67EFylySJcNPIWZq4JIdC3yTiDvQgr1GGIglDf7JuY/xXTigiGThiBGR33o+ lAAqz58vpGQshfmKAB+puep+ElQeVGjib7KAO4DkEjldZz2KFOsJjBfwX1BCMJS7wIaDiA53qR76 zfrYVs9a6AauQll/NazuG2qOB2bHaPbNHyc0huEnF7qXr3OkhhcsYIUVH84dtXMeoqEH5pb6IBr6 G3ndGiHRrRxratZbvNOzrFjbBHU1GiYbA4e606TkXd6mereh/Jgb6DW/Zw/1iqwj4rVmk/iRhDwl tZjlxZn0xDOQP2dH9/Qe0BgG+dK7UbcQ7xWSdj7rFkI0tQC3BUFaXg3ruAARy4Pm0/VxcVvIYbz5 BJnqSkoG5z53xciKG03angVhlwjuFUKpBKkUu9OZMf9sES6LFCXCWXv3qCVapidMzLt32pbGim5T /KqpYbmNm8wJAiQM0SQO2RytAXGAPc5jviWqt318ySjeYM/5mql7PDz4Nzp4f6McN90za7hH3nmp 5Nv1nGp190ATW7QfTOIz14DmwvJWmmcfvI8mFLIvM8q/2xNsY579f3enmWtSc/eps7XbzVPIwupZ yQOM6CvOwqwvJav8qaeAVnKnufuoA2CGES6GGKk4T6Do3MfuTQ45Vqz3atK/HCExoGPrpSTHP7hG yETYLLSfhCZcz7EO9jQlUgszhhi0trHILXOe5NJ6WJAi2/bWu3qxqhRSfbj5nS1eqcHJnO0qfPg9 rPc6yyON4VoUtUojfm1Y3ik7ayRPdKmJhQSwTF1uE+luRXFeVLw/1HKHmlHTGq3ORD9Xscxc5DOD MklDCEPqighFhr4FuSwq9qZeluOxuwM16lUBhOGjGfCi7hXpieb3zp1/zQMlox1geupQ+13XxCdp inaWqSVhmVB6kGgOI/UZF/dP1xO65iK1nKXsREMyj4U26G4OinaSdUU/lY00SVvp6rNyxHtjmWA2 jyB/QNVMCQlH4RVgox+FEcAQu+IBCUnUmaGaUGktFIeJe3zuV82OP1MWgbfm40eDYPryhM/fC99q XxbqUeZtsaLQzm6+MkxSzCRyGE5DX/r9UrevOTxeIAQ48NL97t6duMMLbV0L/XKQaWHyi3MPra+M Eo1/McNsKIM7vsOb0J34cOGsHf+n5G0X9sTkFfbrXG/ygPbQGeedZApeZ+BR4Z7pm03qw9rCVJTd iXfNq7MTfY5lco0RryujWW/3QMlI6i38jazR0LiCNmQqqAoverzLt2kCYLd/tMKiI7a8m3Is6CNW 1p08zdIdsCFKdpcebg3S55RrwAjUF+7yWI6k0VqqXBG866qo5iNpIdydwgnuBz/6QwcnkuhZg/6p 9lQVW1tKRGkDcu5ETb9aop7QHCXxTH2qmM+gB+mk65Ge9dMRTDd8EWcac5dEr5rhtTYNlfu/j+rq FeHlUls6gGEN7Ecy7KVZHjiAabaZ2Lh78t+FuI5Z2B2+eWOdOIXU1schSfKodd90lvdqRhiF+c+c j10OrVwcprwxRbCkGIIGEkyaAxANtSKGLLA4HnKglIi1VuPMufXQzgCDPqWIhtzFsAZx/K/45Aug eBNX1Ci8YeMSvwT/5zPqrWwDzlpofKtS9wYy1G2rtwJSdm0+Y7KzlC49z+PcGwEkjehK5x7th/Rv /iibUpyR2MF8bSthW1gkM8TXcElUB1aLeTnhklO11VIxqE7dfCiD6Cjfs8E1d65gD3HkTwdCtHHP j8/dDUdcJuKd09dPFbBPzI0xhmz602HVdwISgDEhRniAJe0ItK+xHNdE8rWO6dL9Yc+D7dXYMEtJ bh48KC1FRo4octXpTRxiP7VRUqwloyypvIh297qBExTWgv0WICPTYLimdWo1L5HBCT3KPKGoNRmM lreSETh/Xglqy1ZbSWTaRvt6ysNt7gG6y5dgp6gme0Vm+ZPbfd7FlOLbCwcZhZ2L27mlmGP9anlT zFPxUQDK+mlQAs0O1bYS+snNkDYqdWwZoGnZCQzsOLJIc4PEiSj405uqnJWq/lKzDktGb117MDmr YqaQQzIrh8GerXDupeRsmDYTGD8J6kxO+NvP+JJyGp1gOe1XpnOwzWKAvx2GyfhWKVkzBFAkBIfI zxo4ksczAZ5cJe93xdN6FXvl7vTZZfjp5+sragP+wSxj4yhdV/xKPSdpRczBmq3w5WIaFCWZEXw5 L26M4iEYNSFnMsii9YW2SyLDlESLgrXDJJt0DfkbuyPoa1Cevyn62lfCWLNZfPcrksa3zGfzRygW cbpO6P7m6S3tCGt2eKW6iwPGm6Z7/BWc3ZrT7ejsi/L1u0Bes8Q7/C8myt2lYsI8mra6HVEe8SqB FxvOB/dc0nFcoIwiAXw/R+whx5Ywg17S+TmtMqWEt8qDffIItp8iJY6/A5NKOtNvD0+aT/WObJ1I 6fbIccV3bH5qC81F/9PfJ1XR4elF/gdV6XAMMRuewGKtJuoI1lNNYmGLdgh4pJD8YRF+7EFtOw3e qbviO/BQhMSJAT7LG2AvtprWAC4TcH1Xz2cXiqtFRFYGuAKb8O9QO7LRLEld32rTkTlaIR96RmCy M06gNq4DFXeBS/tD3aQl5OHsnqimGgHMkaExayWC5OR977SuC43I+nos9W8g8RePKVuNIeNI8I4w oAKkaau6NseRlUcYrvcZZee8pobvO6mPvpJWX31E4ef2V9LosyvsImI3sfvZAaxpte8gag5HsjBp ZEEdfNDKdZonCX/KDAWw3j9mprzcr7ev1RVNaQjRhR0koDs75Re5SZ/K4GWjCKm2FM+0dwPWH/vW M4ETT1rTt3RFlBJIh52lAcoTVBg7Q5j3VEKdQJalHf0hwA+vNCLPoRSIdWuUTtaQ0TLcEr85yPmx Dje1YBrN88buqOR4Q5IQ+/KHkC2IZggxg57bwzNRzAGhEVlc4Uu5tL6e52Pgzo+Y4CsnwieTy9FW eyrPA/K/jPa8+I9OH9MkS/bLfFrGj85fRAnTODNtse6qmgtJ6Axg8IhUGUCa57DZEKWi3eD9sbfg N3b1HBWINnsRCqMAYk0YA5Z89g4T+mM6jnPR7ucGdHZCMBBtKuinknrCyiG9TIQ3gb9XspCy2x9B xo9ofKohFRUNnTK6+PAGKTP02OnM7OrFLNPIjR3cFdLIgfk1lL62O9cmyFerZC65N5T7q4zQ6++K T0I0BWueu9TWjCpbkfOamle1ADIls/VWwhyYoTpuAQ/NHfx9ipG0XNLtgp7JAsXxu1aG58xKeBBz cvxMADkdvbH4PzB962zAl/5gSn5tpL+uROL0WTJ58ce4tUhksmT/GIXOEzHkpXZdmDUAMlkLP9w4 xX3Vkl1DbY/gPki2L8Xt/SynpyBd0brjNBlh59SbqruNxJb6/Ic4nHBuBsluY5/QmtpVZjSEDA5H dVxsXG5TUZxKbGWFbn9PiX+sjQpr/ayQBmfwCkqDtvxYYC26f21dLcI5X3azSvrPOLt4gZF5mnyX EpsOHBOGzAON98WnvH4HOnahMeprFLXZYENCmoVvWLfKJA2SiS4AGpV222DBELKHAuwtFf9B0FEr ZbS8+/3cFALGMgmflJkdZiREOD/7bl0SU8D1OAfAUKPXTQ04gjS2rKW9+wM1RSPfjty0wjkfYSvC TwHUgoNmVecvGMrj7CaneTxgNWthHFn1sj6nn4xSQmDQsIZ/eq2Cn/wAqD2H3FdFrR+i1CifWasJ depPUIguc8EhV3ZADJt7fFWR6lXx1k61OkFOipEsqn/9+cgR6v6tzq9ypDqiQq1cy22hwlYmaXfx AVPCT6jz2HS3/dK3/wJ9Bw27Rne1h4c4tbINO69Uz3thxYFvF4rMIbvRE2+ia3RqedELMTIEP6IT WTYEersnRPFnyQQTR1d2dhpq7XGwetcAt2MtgIq8GGfbK4hATXzGi4JC9SMHKWjRkgwZ3Bu85hCT SkXaMgdUZ8w04UxIio1TaJiOuHCPgWUJqDrHmzTW610WNffuWSNwN78DW3VPSBejCpRXVGJ1Q6PI k2j8SmMjiBIn3WYqpGDotsaFiP9zVwtYnncP++g5kKmOtaWcBtH+oRmCyywVDBhNpq2ZdsdCuns2 9MWr2SUFVPh0fp91n08CCoTiogn2/FlCaj3CHXdf1aYELNh4FGbZNRekXoOukrzmiLVlZwurnr4k D8TE33nPxH7oO9yl8EUPQ/gqk/FqVyZ8GwLjOhp2CsjbUeU8ukm60SseumdHhRj+V8t0OVeNVS1I WWN2b+0Hag+0h4C5g3753bJ9x4IbDS0ktn9yCY87c9rXVOb47+HMoj9SM7jI+xhqs6oav/P4xqnG feDhhD5tFtbwSvvv26Tn7d/Kk2++TJzP7Lrt+iT2EOQDMDSlcdzxMKRIhuuumGL5TbsCPts82Itr p0pr274misvAmTsSC+8CYQplGwVBLWonq/HU5uO+ZTygj1pUBQKnNDiLsSNdAZE6zXG9n30a5Hxl KD66UhBQCpmUOsg/dsot4TLAVwSqOdrEDZCluVun/RMflD288hayyVZ5m55zA+oVNjyujbtZFbC1 hv3CQ4OuJIFy2xbaCHhsbOUCNAi9PTrKPuWJewp2l6exTfZw02JixVIpfCTtlUf5/pGdqBBPtuGK m42jdRLQq/IbqCcVTlbwDWV3eI48wniQxn6A18c/PeY4NGHX68SKcLW+bBpthHlGYqnBSf5V70Hq uZ2aGtkKSvgKUrIWenoAWA1cA1LIVd+Mw03ba9OMDM1hobzUGoxWSEUMr4htFIqDamfM3X2AtXOg bhXr8p337UTMbDzSoHAC+K0/vAyfpk/3uM1kHln+7ugyUzS7NI4586c1lCISrAEkztVR6ONPXrUY Jf6BhmwAbMZg/i+4KBHJF3S0uOlw7cChFmjv0WnOgYeeGxs3FzKBJqUxucdXIs1Pc/t0g2gYgZQ3 6zPI+jmYvEHgQI02RLUXV5doPkgcl0K6R3VRZDMYASVg8NQn+49LpEkxMbrREcV8BorK8zAFhTu8 buLSSmSxWowOXTMicwmxZ1HyIYoDF8qbKNUJKusLWKWxd+pWXxfZF4gnCtfvEPUkaq+eL/DQlMho cxIPY3S6lFMd+h/RvGX+ez7Utj3URygRo+Z4lzFtOMwNOX9gXaNWXkGgNmeOUH/tYnzXYF2KZJwt QgJGCpdkZF4Sul3QeST3nWdf84yi/W5iOAKy2kmxjGnPCuSPTcnH/GndxavMXi7+pVAClGmNaUrr AwSoCPWlqLDTNrw4mZQWNRGJQfL0OF+XSoS02Y0HYmLjjXF1unR5wUltcYujo/UaITDQNL/TxRRn LIJSmnFHwTaYhen+u0CeD757mDswpcWGbhzQ0Agc7j9mb/H/XF47OHKy8h/GSQoi7IBb9O2Brh3Y KT0Zjj+RZBmQEVJeD31QSTpI+5t4RLkzol/BV5i1WmwN35eq30gnAAYopkf5IzNv8q0+/DT5cPNh w1TDtWbCnCCafLzJ4E3lh30UN8GdnXdrOOCMYfr0K9zfM9XuRLJyHnn7+bvo3ryb9KjvEc5yEn7z ky0euphWPaFBqnc9rGYsIHC2SVbnfkh6EnFOquxQUmsePUHiojqH1QZmyvYYeCHaDFsP2iIlI0xl AZQDyZzEPl4ABnOFWewo+o0WgjJ1e/b0QJf77gO4NOW6/MgojzTiAqEsX6VERfZQgYpp1yN363Yv /cgyvNDJOy+xWPZA9m7L0GWTBg7YKa+9oeW6stjlWHWSxLsfbcfCtZJ2xae4rcZ9khpuy7vn15/b xj8l2ZojDRFnlSxpw7v1hmfCbJ41EjsGxfV+B2W4NypIBsxmIiqo3g67DC2Hoe3c9UqZTxfcYTSY CIoWDDAm8MfoX2NvyuTa6nD22brGNcdwUam1iZHbXBKmXFEHyplTxdpwm1DPikytKBrRKPZrAfQy gP55ZJypiECxiadXtyUas+XfRj/5See9Cr5XleQwMcOVoSlt5AagGBkqxv+GbKnbCIEfmeFqHSaC 7nIAGpoqqSSgllFQsrM8LodvV5g9Y0u1godIfZF65MzDYayTIz0NcUP7EcVzad5hiZj8lGlfvPpX JtgsyVuWgTwtrw1FvFeLfndL2Vvm/2xb0lz5+DKUop3qRM54WQG6Ny1dkCY8939sWBf/cbKXymF5 EEmfy9AqZEXYjld5zKbIF9z4vc8nfDnWGILgDxEOAEfSubCblrotojEqdPWfsas69MxXQlKhP8XW qJmg25/FqiNepqQr9JYH6gcodAwv5tzcd0mZeO1Z/qEHXGOhmMy6NdC7/mqQWdNAU5GW4FKzIPjP tpqkVduqREM2ga+fSUHYmqrBadYtRLRq+EBHAvw7+68vcLy43cc/vTpyRjUDf8r2uOr7LD/F1Tuk T/nJ66RxZXevSSEVROnZ6wWMJGOomHl0BMISdNbJPcscdn+nU6WhE3yl2LJAQ6wPDVRf+0fRfgYo ev1MVXnza7jUIxqZ/yWAz4taVAWRNqbFQRw9th4ZySKWhlPLOlkbA5Inss/I5Qogfc43HBA7D7Vc uOQkHGPjuk95/CpPsl11YheUoh+VYHALU/JSCEeJSB+POcmYQY2yTw2asyXdaFywPWBrV9XxQdTR 1EXI+uuEc7HnGloBRjolQ5qE/il2idrT/2OHzzIa2H1t6XtQVswF9kFNmV5/FQrWfZjXAcPz4ECy 5p4ZK9FZp944nZ195zHH6MMayuUgJInoZXkihNuYbn+C6y2OH0E97OvDYhCxWZv8gyVFNLGOpicu DrdPjWEH0TMfykwbLywW3Ej+viuNys2X4pXcKIAfup6hxpPLT9S3WNo0nH+MfaZmUSOjm0QG5Zn5 W/V+Ib1xxZ+0/ugrxk+meUT/UIkixZDFNwaJ09EU+Nhts8Lu88beHsdyYBhA2JvsH1dj3J5UP/xp qWGr1x5WGtBdQTsHPiCiDIs4A/3ta6juSb1hAZGuEVuJ/4vpJwFXl7DPQz3/Yf5S0Ej4OurodYJi g5Ilv5RzihjbPvmpDl7e2q70NYw9fPVx8nlHWoM7pJOAtqLAjYI+aZBj/wvGLflFU0e9XHs0IArB WeO9dYteV3vo1UY92h9QXbCw7NQ+ywlPwxoyhqZodP12EnHvGAKNaLJa/jBXQtpjbNgpatkriYkM Y0CWS9KtxHVh6TjQYxWmkPC7tjKoMUTDivj+6XBPtCiLw/4DPsTOESi/h9GOfXDqJ9sohYnUw384 3GNv+4Zu0zKlZpEJNjZtdEzNMpYulvoDUfh7PpuYOA7Lkm3bhYpSfX7XEQwOTj2IRHC/mKvLBFCB VV3r6ZITwqervl/zDCiHHihfC1/9l9UOZ6k122cmVue08nA39M8H9Ydiw3Y24Ae1ztT4BcHTNnL0 Pfipf3mY9yeYNePcpTM6sQlgtO8biN0WH0AlmVZtFsTAmcVKUR0GeTcZUSq3T04t4Am5yxHDSf/3 J2Lqq/44tMrj/VpSeqNFKPIlIywb21nFDW1PlzbYpMB073ah1X+A3fuwAZs7BbKvCencyb6PB5jf CFeibanuWR7UFBfDfJXhuPPiFMNzu2O6z+bHbJvctu4Vcqb9Lyf+aKq/67E8PheK73hWPS5R91VT MVvY02b4mYJxkO/Q+bZe22PaEmlhm4XWOYvEI1hVCrOdd3CA5eOztfD3YjbLGqvjXpgHFCluaIDK 4KNdMpRjFbmm1vbiTchQ7XNadP7OPXK826efvajKmDf0pTY1KfJQual/rfEXaOC+tUchR/4HsHbs Y4eI1HZ6VWPYET3HrrFyNoj7nXwsynWZzZsw7qHCEi1vx5bCJxSUAD9SVwZOGyvtCtIRNxx4o2Rx bhLqhXMOPSKHsN5KjJPoPDuFyRp08IUwwG+X4RQYzco4GDU1Nk0EuJ7Fh3idQoSKZY0hU5MthWH8 ryt/gJS36q5JUlHU+xjyhnu4Uq0aEnA9A7m9vPJ5bT3Wk7H1HjrLeOhKK46nq4zxmJ+SiDGbHyY2 5jNf3zaPfahQKfBxpcZfDufPi+10pVt0On3KsuxO1Z7aE65f5GAHMVLKCE36hVsj2SOMEWTT6YsE /oxn2FoIvshrDLovluSHdQioojiwlmEOKYfM+0+84lopfxJIn7zSBMh2wNh+z0mLghf4zWmgHJqC QpdN8H67eL5mTZwzDY2vapsWreExcHeV2pA25B3eC8kiU232kMqlToXaZTLJNQFUZz0c4eZNUcBS HiJTdR+JGL+5LD+7+ojr1sWXeWcEOUwFtYkTyzk6CV3Z2V8gDyeX4gb2kcZdXATDMpoQs5YYLjvO ksDvcfz5Vd6IoIk7AkjCh4yxLzQa9vqjpPS6M6gFrS99E0hDqvr3Q4oqMpHsYVPuNbc8X7DaSZ+A FJRRR44RHciqD7zl4SlIAIQI3AIM0zW5ubntMPIXKTfVQXRH9gbCn8a9gWPJXYf6ebn0eIFK2IUn LicxVL/bxX+nyhwGLethA4zkO9ZQ5ULWg0/Lk9ZPFXUFYNQw3cycjHbHQ035aDbTaitFJkd4T/GV k4bw0eokPD98MOoFZlDabCVR5TJftxvSvr4ymMw8TNcZI+pT/kRLUqIPHKujULhFfXYvLQjqpwqj OMLhXGOuy31iVH2I+85ziz3Ch6cD8aDILjtHT6++hdBXQ7u8lEdnBN4/9tJMpX9Ek4PHt0laNkIq uRIWh1B/ANheXaR8CZl3kLTaQcTpNW7UjpA553tjyCnOesPHTKnE0ex9ceLjhrT4KGvKJ4gu16Z+ puKKN8PmkYUsNOi2XweYvJ+GPEIrvs5Z+nU/RSd1uDUHLjkU1Zf6xAXygs3kZZOhHxdpU8QnxsZa cbFC2QS2Fv0rm5fa0jjwLKOuaerL3CfbqgF4Md1w+C2Jfs1McKwYR+ZiFMxTANVUg8jXxmddNLim BYFNlaxcinPTSB9BrEECtEiaTaQxVYvyghRU3yZL2YW/WGht3FtuXElSRe+GxIqzyo8cdQsyfrvV XhWehsKnGozmPYsItPgQeVKIS0pSPbMAF2UkXqGJ/kdB5lojjsbm70hByXKZBiAb/59hN+lNHy9U anOzYECpeSoCru/wgm/fHa1Pw+O9ppgdj2SDToMBtCg6rsZwQ8qPqiKjy771BU20oTOc7RtKmD34 /H8LG5yA9kkYxlBLyPhGT/15ykCN2o8V2+/FcMJt2L+/hvRC4Eqlc9EHzAdasBQfAo6f2b+c/dRy objAwrLfIV6pEnQQwwBh8E9RU0jsWM7Rpb6gYpBdK08AxE+eYMt4+dCoNmojYUS9NyQcFiVSLEnx 81jr+iZM3H8S68R3DUQZuUAwyPZ/MXD263MrsbivytiGroCfHWDuxHsdJJjgqlJy4rT+XJikb9M2 d1y/GW+bmI5Hi42n61CaCaggTiJwUkfzdH3Hv+Pi/mlzc9PnD+G/gYZMb5zLF+hW/BJqNppiLlwx bDS7LLlUzHiE0qtS/ySrj1T3HHqXlm9NiSYBZUpIEPtQhsa7SD1PowIm4dyQU7JRxI1n+Uo7AW6G xepDI2u5WpXpYOl5GF+7UN0PBAtgPJyKSVGjCFoCBiBoXIBi0hk12FQ/+ogBmiv9an+x71Cbdsiw hL5ZRM7QuSwgqAt+PfgxvxpB7vm9pZqt7Y24gxLgMgYkbNNbRJiefN9Lf9pX8Hgk2oC3mBX5Bb5Q BfI26vuL1ZUaBPX2cOJp+M005pmX1wYNemUUz6Obz00WkACdQqBs8rDxKu0iO+0sUC27S4AsSn4R znqoNRl+CCUALga2gYsix9KwFIMMm7ty7hhyrjCagjSSxOQEuOxf1pNOyWCMJjH+VwjRIaQbtzbi kvg1Kmv6ZS34sniXFApk1EP0KvQENtqJktRw7/AooWLNs5ztgkTtde22oouAFMyDez5PTpktmQlj 5QjMM9rR2J4dY3iFzdUBf0nnZU7IEpqbTktbVKUjycgjvTqOqmBgEDBY3pMftkh6mmps9GdFKLk9 LMhxBZy5DyX2s1r2pQnqRgbNvRpkzemkf9OElVu3z/8e2D6NaurLLINZSLnoe4qv6+iX8ugJI802 Q3sXZOrK4g007vHXjqqvZE5CmCYC+Iof9F2Ue1XmmT3s1EOXfxhb3AqEt9O2rlx+l+npjIaw7Lv4 5fReyXTLyELebSQCuw9HyBRHk7a1b6ZK3Uldb0mq0rOHBGUbKyR9UP4c6hXKH1ed8jY+8vhcizLC BobRhwteedTmE23Pi14uQZ1AF7jGOfOitGpDevC3bc2w7ZssecvXF6WCmdYsUV3HARuAkzKpUCN9 /RylNCKeJJv7y2tZRlhH3+xsWbIjV7hNNftQh4Siixf4e8R4GtArvOyH82IITMa1Vf1bqyBf2920 tf9RmTww1ra8+om/cb8zF3rK2xRnuV4FEuNNTYtV+4yOt3Y+CbdY3K5Wuv/Rr+Wl0tZbjsmC2U8T Wqa96PolNn4lexUepz4toWOmt2tppNgWGMdwRdbKx08dwhWbpK99WrWFzQIyn4xgR0qPEqRdvzAL s5NvfwMxQxxsJKFkHwVt7fO1OdK4t+g0+yaL07DmxhAWl/81aOTSm2J2pAbrX0TdBP1Jp8JgLuVT EJP8WlDwvNBGqGEbeYrNOqXZB5WQwp5IQ1bvKqS9pDhSHTDtPxB91wi3mr6DMs+wWzIzx27LV5KM 4zi5evBugd2wjkiOwF/p8CKlCj19+XRndLh/KDCcMEQ+iqto/DsOGCL/kHJi2JIV2QjbdGuNWFoc doWsPo7w5LJr44SgUy+Yx5vzYPYV81K9vCOSP8MRX0LYeQKmfI+P/cMCTl+ZFP5E6xCoQBLNJZC9 vhQQLAv8/rv5WVKjuZEDSAed/mPJnMV9JACEYSVp6VprBXQi884JPlRsG7p3WOBh8jAFtHIAQPfi 9F+nO4B/cGjUPs6kZwkPDzH92Y+R3FPvIRl1NJ2SLWqasDu1UUDkpr/Ai3AuPNUAkblR1mvoFYhb miNdhrqCKlQaBxlgieSz9dewKhHIiIuh4PVkKfxeEgPNMzz99hqxWp5UyDLZgvn5u2MxYBNDhlZj cprUIZx6x2gvw1Q6HCqbK3Dz7wBIwQ2c6xIBqGhgXc1cEFSRDQuv2Jz2GD3DEcaFIjg0Q5AYy3BG uy/976Om148pPVPbuxHoVSWL82LyzV9ay6qOX/N8sM9IaeCIJJ4H5E2K1gOFu+yQmfswgwJmkZTc RCWBK5l+QmML7Wm+SmcZZADePurxsJ+Rqw43+Xu2gQHpNuZNGA2AvhZ42wgXPLljhn8Tr8An05xI rMxOx9x+wahInpKkCGaW2RcFpIqanhzjUXKCLw8h8IynOgReg3+utZvyzEneEK6/3TUUn8Jqr3UY f8rBnHD+Wd+2bWklpU8Uuz4PLOeWLKaCV2hbu2bdOL95kghtrsxc0NX0uixMcYLVoFJhDr/aXI2k bVdcyiN4JB0RFvJaK4yx7nyVpO//oJqWk3zcSGdoqQSpgWVcdPIgQYEboBDCCdpnN05v7hqDxjKJ dOAMCpjdlTwZ4a9CTLwV/f+8o+cYhcsiae6prbVNuztBE9McAjybaxRMWcyADtl0SVQ4nEHRI6YY YH3QgIjoJhL5Z/SXeiewr2lcWFjH4dRdTzB5aGe2LnZoLOiHJbPZAOrkBybkMT+t1ohRnsj6Ji1K PJiLofQl0lucP+r24aSrhlY8Jc5y2xAAXFfuIJ6TA2gjcGhKppWYlhgxr7h+F5Dmakvwa1rsiP9E gFODClEiLksM/Thk+w/vKb6kHnJUJpXZk/JnK68b9141pcJ1PoC74CEGpPTc9IaDCcAxIoNOx/Fk umhvFnX7/5icKRPIGWj06y8P5S/gcDJlha1hJNKNTCGvrIzPYYC2wnJBLtBO9G351PtwP3OE7McH 3X8g7SqJKThjedmItmAs4fi3tGAO8fYsVz3mtsKTrmFqVsX63aTi13ENxgZIkGLv0LpWqIWrE1K7 EpJPvp/nYq6RMaWckzo+dnccFSqkZtDo/hRhuWS9KpYp5Xz/t35rpB6uqc9/CQJzDEff77D0ubLI QbpgI/I/CvoGVD437u9Wd2NUV5iIfLPwYDLNPyXSg25SElZbGyi9lwPus8KWPbG1corpk4hElwn/ tlRnqIzkXC/1ffL3qibUr8C1ldm/IFf+GdCps+cg3P12IYNGikJwW3/xRVewwS6hs16zsW3pEQJC ydn+hT2SRZqd6Yk1o16ExrXoPsZx/lZuUj3Nwk69busYds3y1cZ0BsP1wZ8bOFfk3+QB7ZOwMg5m hykAx5Q+TsW75K2lsKtWKA8uZsD0PVTjSK/Z1lrEiJ/Nvy7p1GDqf5UUqVQNtQFUMR6n5KketvSB 3uBFtzWETM6EegEJnpC5p5ovPks1uOJKs2QUPYCN7J16UC3EneD5Ak/MHllWTSMSn945CCGCa3tE H0JORvotyh6p3foZdBqAY8yTd9y9bcW81gf/WV0cc9fP6eKNEKX75YwXhOxS7iC7D93qzbT6Yc9p WcUUYD3C6ASoKlQDrjehSbkZQ/iSHFgnQN0ObDul6T+h/4tIIR/K0tjnEZeSRY5xEKOLXOshyRUE 7bTOHWar7SS/vQ4ASvWzT94qU8rjKBqO7ppFo0ePh33+T0YVxHo3FcQGZYb+unNFDlsy4vByVKOl B8CN6L5B5zhXOREV3blb/ZKzAchN2AqaMRpWTGhJXjz1s7OWudPSqWY6sjR2s18qDxuG8jw98MBy oAhVzAAhQmMG9LczmYtXJ0O1NBrDkqCsQ0BGe5om4/CjCHaqK/93zAnkkkTwQNesVXSE26lcVSB7 ABRn+7YMyWUzZ2+ZXOXRlaeafBxz5oZxH/XwNv/WVZVR+mRZ6VrcWoOfaSAgIUuVEt5CpBiK3H0t ZsPYqNr+nOLdQbsres5yvlaIM1mq8E3Kp7DXe9PFLc9rPbj9XTXQOBNDkzrgbjz7a9PJ87wKU2KN CQRR+YoPejJhIQt3HuIWfovm5E+YKtM3Bh821lF0C5YgV0TbXAV61HvwpX/B3ckmEr/9QVMojTEF 1/lZxeOFTPVNpx695IiVgOcgEZ31UxkW4RTlIyfUSevSL+DP6u2FcoKx9GzOQ0Vr0PnLjznYWBD3 uBA+hI1n5mlj848BBEXoMUSeUOeWFSAukRB+7mnjFMt+BgGwTFTdw/eENB+EyXcTv1hEriqxxj+h bYh6o+KxW6sNxRwMwc0/tAqooBjSFycv4y/fAuwj2MRyw+erhHu6yguNDI9RQ3iMvmY1mF4fHxfn cfSMMctMNXJ8Jz4zUSzwEYStR/YiJzDmAIDzf//pb5yTwh7WYfRIhwjBXI+GIToCK8TykdjBWC2U cbx/3bCi7i07LzidpUNwb4Tiq2QR04zjoJ8jK1S9PQjJaip2lTqMrd1d/ccs+IFtcOF/9ezExP2r sg4bJYFJ71jkSouv1pHCECFSO6b07gWPMS+nOLWQipucG+hjC4lM+z/zeCNVmudk7gUzH8J1+hmv hFxVTc4ZI7oNI0IlTeQ9mEoVorOTG8u+OYdjm4SWT56KENI/wsP0U+5Ir0gCO1mXl/iHGqPzP1Ct 6c4DZb2lS6KDOm3SiM7Y9qP/1k2/IzyaodVVNy13h+Z+zkfwHwdwtAAow/Uf1voQA8rH95Hlf8EL /X1as9PWMrzET+U8JWMSRAaTpLAE1GNfIAUIKzHbPWUFDhwjNVB+LYFA364vhse9ycCfMHZA4HD7 3SiMTXLJDarKEuyFsA+uy0mCOj595eqbsqx7a0Dp2ZezNWmGO1IbAhd5hSzX5QtUeLB5dBfe5Agp EhZ2aH4j6wABUuXiuuY6qIX9qH+yfsnN1bo8hLUSre9Y/YuB7Ojm21wuEt8ZjPechUumjzKfretC 26quc5of+GTISNn5nJSLJ+gK575atiIPgb4oCjiZm0dhjUWLYUKaaRigPpE1/WYNTuOAvSoG1Pi1 sMaeuTpyo4nWOlIXzYc/mRRlaS4T987u2lEzVYbgMXpZSN+o0/Z5bkZIt1QOYfnMaoEW+64olv/i qAKjVOwVLtDTHKFrtBf8MOMd2hEYUM3tyzYNGGI5CvkY7LqxGjr1T/9otFvZ7jj3YGSMdK/I5Wab XB2Hhzpf7OWidaG0FM+xW5gnyEnW6JEAmQ/0k/L93WdD2gmFcVJUSGYt6rqv3wcW+v71RL6uhg1+ JF9sDGob9B8Cs9Z+gMOm3898qKept6I/cbQgcnmuyx6cbhTK8E9moFFJJY6YIzsQbGTdEo+mXQ9I 2nHYT4P5rPMkGhKAvSGGX9mKxxUaLSwtV7iJyQrFkBf3BBnZuA2Wms7/o9hUCQaO6GnWL6P3FoG/ im2AxgMV5H95oHTBw9uT6B9htVsWvCN2Lhx/W+tUeo3cGHwgnxJ0DD+iDpvpMZ8MjCTE/c5KsM9y 8QHyLcUWT4CjMERzHUO5Jws6uPLq9JaZ+IKMs4XP2xiY43kdEyCQcIKtDsuy95kOxLzvZtDvmUVv Hh0T3XDiWiridQxJrwSwl8twTVLmhSRUFBhqPplKvN0o/5uLueh0P/TuJZNRVIWvcyHcxdbLiWqi 50Cp1ZSgGtagOT5BAz7kqnjTFmdhIjRcPoCKZwza8ZN9IkiJnjZH9SwHS9YvDTvZXPg0CJNk20d6 g9fPJ7kKntFyyeJYxwZ4Eg17cmLE24UFoSVtymj3Xb8F96wFlJwtYVmKVAjoOuioknsMf70iKwTs DR+8RUf9x6kRk+LndoGwOKCsP/ySkQBGwNpguNUlJ4hjoru411RNvr3DjOxhyvnYbHYNP7Vda0fX vxO9qJdpSqaGhCCX8MuyDaXhT+C5Rx4sFOU/BXBMj/QMcwHJDz0okaaRhNo4cFFIPRBaCOMijFIV 8xNccZs71j4C4+euads48Wm/oRC8fXg4m1mRDRyQJgaRWg0UDD1ZAXpJ1ml/y1A30jBuMOLkbctZ PvieVJMVV30pKpsMCF33fwhzc492G4S4uB3ArdcJ95p6WVaG1G4WwjGvOCfQ8Q7VhewVOAZh8RGk sOyQw718FOfq7drkgQarYDZZtvLzLT/pZcUSeWnwOZixZBBHcKbGESaUoR5wjWQQRIfcW1aeimd9 Aj2Jz43Gh3CHuOcyMqNxt6Vydbux2cE102nMibaNoJVVXtieX3jk0hv2iKTpM/hS+TbDoKjvzWOg C0lJIRYan3NV5zwwQHcsYCgYDQ8A1qsn3b9y+HOkTaYh26T0x9atInAPMs983pFjYeR9WYsL/ZAk CQ2ItzlW5H4IIN69oJZu3jn58aqmmA8+aio3E3bk9v4zUQZqwRQqIvdXzAVKgn8BfEvNqTPtgere HensQtK8D/ZD4VWQ07rGsGuFSF+QY/+CGaOjtJmuIQ4b4up6eRPaOrCkAUe7LX7DFj/5T3ZVVjoW Hi9mltzFzm8GWmz2/Q31xexS0PmgEIP7IgX+meGoxBd7UFFJK7fjECZ4DnUOfh6K8AdTl4YJXk+1 VC7He3CmKayTReYUdC3rxs1rJrlqr0Rb5OjUmVZ7rqU+rhwJS0nFEgUI/Gd+ApQmmn+vMqXRul5l qDiqFO4d393P/Qa/jpjP+8pxPmRnvJ7mDAMhmUdT/5j2iLjFfhYxc8T1yAEHbkpxS0t0jsSbuXyy Cnx9Z32RxQSuxcB2fRAH185T5tUwe+kR2RkqlefoV3UMj1abemuRKogSWZ2hFWTa1pW+aCugnKyP CHyogSl6eu8n20zFtwN5Iiw70Xtx4Og5bH2ND1yLy5XWoobcOsXfCtf2gCeQAE6AKbVanpTCV9Nx 3DPQkA4lsjluTHao4tnyZBOINjcYEdHEFrDzjZgIx+Ob5ECsWhVfHnQChCgIY+OasZOK9xeyz9oI qnKTsnmlUzxcQNwZ1wTXAWKgEC53xnIPCV2dmZoEL3WTHtaxByjGl93bquDxTCXU0hsP3YVWYg/b 3DVERYOsjf47EAeDPJQqlD0ZxPAOxuqCxcByoK5gP79kbWeb4q7PAJSUDY2XUznRZfJz5uqZHCXl zSinC9ICgCe8he2XTME3oCsbC18FK+pLnolzwq4LENUOM6Zjgmd2VuWNAUEcK4LfK/rJyAwOjPQ4 kojLP+LHZsKs+bAd6BjBtf7rT/21It6fM8j9dAiDhAmXqCnIuHa67buSOlQ7UJcy1lKMeonvtwhR yIPkw7DQkXORpH2c5RNZWaLRU0sLT+1PIxwRBrSlXJbvcxfC/jVZPXRaZctZz2YmiAlCuoLcwCmk i/62H9S2UxxumQr3HEC60vptdiJv5vVkgkkVWUvFD7pdxARymcd0u/hybrFNcFygAokUWm68NEqd fePMAMMmR4xhU1180cpPkp/MoVeKXFqWyBJer/DlHh1TBAHq9FdBcvNSOGwPQtMN9+Ub9dwSY8J4 JTK0OoFoX1tf9zzWUyFuoO54pxzpdV5YeAdcGbPImc7d679hxUelBRIdY9Qa6q6/Ibwd8mqRba3p KBV9eJPgL8kAkoPhJcB2EYsi0GojLdHBfHsTeRpbjOomyVwOdMAqpf2YwTxJGXgk2aTzCUr6DEDk lUKQs9aq/2c3qttZxSh2pSko9HEwqMYmFS8ANKApRY8R1fGxQK2239Dq/HkGeLcOUoznZ376RImB kJ1sQDndiYLUHhBlN8xbFLusKd6h9nUSWFckEq8iG+j/WiMDYBB9aFrC4mcDwZ1sUaQESx+tdmH4 1GwxvG+dkRIqfogIGkjwmlImMTS6/ceEUD+kSGriYNCTAyvqE5hoKnyL/l+tWo4/Xs8fn4dJ9++y V1MQX3sV7t8IZBhupgZYKlpeaYU4cha1N54xl1SWlXPvquLvN239DRNg2izPIGiEDLIW7sC6a2Ti 9aljpchbeZJA1cz+g0hg1rLhRbFy7R0GoQq9aRPPLzsUz2q2ewJDFK5s2f00ffJ7Zsr3WPyrASXv Nda79FBwvqXHnD+2pZ2gZwqS0VfrwLTnq0k6XJbnXuqp6oVNvOJ+oxX8JoWGs6iOCWZDDgBPEgWW hNW7Ty+2RLhfZZgQjyslJlQgL9Jla9HPXMSi9a9qHtFFKnZRnwwdQN9ty8jtY4z6eT2XjoN1PacI dMISGl9UEweh2VXRJmkC7rKN6D1kPzSK5K3oR6o9RVA+lXfcZZWBGGtQr5H0s6ypC8C6pevQx2UR 91g7IrcRnuFrOW/1EbejvrAL4yRXVPMwsL1j5ece6NhCgD8lE+tSsjbxQ9Yiz7ZTl5PYITh/mNTl IQOA2/0NevM91jLP6uPS03/sHE6Ksr4993QYJ7qaUOjVASxy92/beuJS7AKYYJ8AtN1oI4LK6f0f LX900E0QHvVfRMGUQDBdLm8QNMVJW/zW37O0cwwbZ1gvFp7A/5LLMJpoqkm2A7vuY6u9iqPgN6SS 5D/0/yncmZzTXCmkBlRBFD+hzjjiF33r7VB6m36BwxG5oF0CXtbVhoVYQkBZ4kueKlz41JI91SG3 RAYpRJExTXxvHTxj8ets8G081Ttk0G6HlSstEV1UjgWw7bFXEkxCLt4jsvYQ5Ih6Rhd3esLJK+DG PRXz9gvKvl6LxO8Bwsx4qptaSlJoOY+vuXPNY5n4MjxJYFvHgq6Qe9v7KExTbRGQrn/59LJPYOLG S560hk9iBA3PRfdCkiWOaeRgdYRl16q6lpIe6NkkkdGDsQVdzxUVp7XNUdvvYDgKPR8HnhnfUdno nr2PmEq0es6woB2ZlhfrSXBpkqcpUQ2DT5Zb33FlymdwlxR6J0FVMwGU/MbN1noe/+jnrdu0QUZQ G17qAA0bTAklcDOJl5cFrEcGY8nlb6y4eD8KrqjyDtAlLwkNqcdm/lIyXjO82f0iA9FwquE/ndc7 rLASAWbHuOVYTnRXuOrFqAQufYPXbBqbx42If2rBqdsNkxgYj9JiO98I0AXRFpFxpfv1arQth4Bz i3f2A4ssHNFG17n/oYM+iEijXT0wFons91mlwQXFQRkHEl2vcn+wauWRRb6KvqD9lu5hkLyfFLju vvk6dk/YxcCpJlItk1YgG7RKEcjfBJz19uGFFL1DYgR0YTZSy7Ik+ikmRo0wBbU5kKZ10iJ6+cob alYFIz7R+kVWhjGcjc2J3jp9gSBdbddwzgwdfgkT02VYzBW4yfn6Stx4TzLqC8lsPoqu6afbJaPE 7Qw4bB7eYN2sn15qIUUZYaaglfzRPT4POQyqFY6XM4uSDWLEOt3yqSFK/nTlASXjqPjfBfiFLrfP ll2A3lYq9WlP+ETVy1HU5eT6IcMyaIoah2g2+vxes0/tbTAfmpDqmYmTNX2dNYbc3YposYqaP7DA Unp/7LejoicBw1UqZOWcXNUsTeogpdAa5R8jQ8Bomfii3h2uTdvWVT7lUsSCvRG31zQCyZfdLeHY w2y8fCiTU8XhZRCnDvofXkMfjZtmk47asFKemgiktWa1Q+57sqWXUTUBcQSW+RGGC17f1CCpNsGt C+x4gEMI1BiX6QjmmdODPrJxQXSefHDOjIg47WbN547N7QOiLpOhnt+3hO8GvKnip7oSb/GTunyV XFR7HoxPhVOJbMsXRSuMOaoajJDz4RRj58FdHnAwggYU6pmm823u0BLRyJpi4YU0piEy9Iu3dhvB ArGkzyvx0bMeCpW2RQGNjCd3O791AtXlnyChQVAO46TDEjzmT5QKMjEfsC+J8flfF6yWZJxhOrKS qa/JfCGBmn0NEn6mz0w4pwB3YZZBYbE3DhWRyFj9koj7jplo8uE0wdNV31D/WjZ8aHvXBDSWKIr5 EkIqZ00CakB0RyD/nflXfnPQmSrjMidBRbB6fR69nfIcIiVrNYInBqUq9kAvQQrt3nVb+47MDItu AccpVUsG2O676K6DTQN4awNh4K4BH1hyb0bHeeCeou9pYHmtOnlDVyV0rrYpekrdXitlf+MGEPJu ZGALu0xTmt9A3gIC8BzgTc/qSpNgXzYi0vkBPxa/gOy9JBEfQkE1IIH2O1W5JngRKsR7mJVCZvMt l22mMNWTjwYZnnhiI4MlL2APbokw/i3/p5lcHjGhoJK9Ux2Ic1f+difyv3nF16hLwFr2KjBcVzqh al2YEHvd+a39Fz4G1+8Xbr26AZApjhlba/0WI4ptOV+O4fOH6cFdStu8UPEBR0lwLZ6MwIwY1npT 7trBJGqhQ59lZjBe75uqKkuAwOkxhMmnAVegBg+y3QcSJIYm0HyRdkyR2MPMjQmAxQi+6xvoIDXa +loYpvu+izHgScyxrgnUrLzKTcOdToSh49T4+WNUEU6rbsal6twP0gtQUvEM6g1YMPAed+h0ENcX uUNQFilKmfXmDR/U7PYQHlnzWJjKdSDbPNuWz0P5uH/nMC+BDgQoTEaVGyvVeNdEtroe0HEqKwVZ 37JVImmQEN+edxqNOo96Pyhva3n2LcuZlV/dBSwPvKIz/sFNQOMiAO3eKKbWpzoIntkt+mhjWQbP VBOG8kseiL08+zgF/xfhvogCEY9wudiWXPMElmaEaKIQZ4TK4I87WPlLtXCZ7iOTe19wsaO0DWrP nKc4w7T8R5UmMEN0baSQo/7xp0S9cOTAx51SgcCy3+jwRJBemlofrqeRfzqxkes0SBb4eEHcrxUL 3QBWCLRK1tSxdt6lKhX7qK3Sm/AreS3pmLD0tCSQl1JDrSJfBMWvGPOY3K9J/8gLgWJAgCC845/N jf69HsbKkZl9KKUZ8V918iO5v/yRRci7fiH5RTbYC6nHuXGsrc79eSwxjqF7W2h9waaJ+S0GsH0g /+9zjTd3Z9lsjViBBXSZvyt9vOdDOLLTgUV5ZeBwRi2Pki1RprqU+vmmWLXP+lqCq/FX2qj/LL51 rnZUzZAVRNxNhIBoQEZrLRf9Ru8vbNTn1hmR8RAZXw0una+ijOTHI/4mVo3W6OL3F/0JtiJG0dVi 3ZlVrNqZuAUn7XOCL8VjnWvXWNH6IQZktsxXR8Iqp1krnhQNrPefiKUiPz4ytFpPJKaSvHP8Yyia sYj3zy/9LiYlGfZQCNl8oJatYHZA7H9AFzlz70emYubzMb8ww5C1/o+YQ4C5zXDg7DJXuuMNhJIZ ZZwJzOs8mcYumJFhx2tWAsvWcDM5WMypx97g2FuKDMYVa7lD184VSBvAF9Ulw6SSidj8pcpzzqmx w8TWbkDuErl+wsr1KMT2uoY0KgBhAyjHkWNBuzXNyuWjopLkgCTog/QFegiUMigjZXlxVaouSU4/ ezI/HYm+p1K0FsmQVPCJEnCMI19c6xjYPRhqJ2ptd+vqrEtadZDt+1j3aZrI82Npu5Rgo9dvWMzX R3Sqem48BR7c8bwohAhQXZ5nmqOIEje70ET3T6Sg/De7EnMnprYIxyGsY/NvYfKkOTY7LC037aNx O7gNyJ4FRklPuYTj4jBbJWmKCkPtGI8ar94u3qv2esBHZmU2RdDia9AOFmpmlnz5FhwKS6l6btq9 OTdayojuiDc9jH5NQUBkoYWb9XmOex2I4Bx62ZHOYqh+GdHL70qMPG506bGSDS4fpbTHnH/GOfjj zveqUokfGhj2lm+reqxMcet+l4PttEgi26+vT0lNcsofH9f6YcUI5IWP+TNlhDTtDwn0bspFlVrh XSyfafy9sYzsq3QnWjsv9a7fXyF1fop1wtFAhIlb1FWgpsYYDN1DD0FdISgScJFtqjYQCUVDCIg8 x+Vc2f+9zdnRY5wo2Cu0qphKdbltXTOYCkFpl3jyDjUcL9OiuJfN+myMLO1kBcM2L4++hJN6e5u2 9qYY52obRaIwV7xIEBRauvxQLelu4U70hr3H2uDWXh4uaLaWrO4GL45NxUxGTW00Mh4g3FK/NpNu Nly3wVnvLKzZlISmuBiQsaznJDp9V57VX1txLo209MNQmmrgEzYoPu6WrUCRWspMdOfb1c0N0E2y HAnitVbbGFIj0gwyN4wcPzcdsm+mG7ilIOK40hAiVY3kXQkOTZw95uTC8NPubRCTcBUW6wW+aY9W bIPtHl3pIS87446tFWmZmEzXHYp1vAIOfDUhZpl4p6IHUGEGcXvRFq5/r+VoYfDKCCgEWdr/fgBo XDsfzfh401cSh0tpWGqbc5qYOyyrzDU99P6SSAxPbUmO4Y1xGAvRVKD0WVDN1lMBPK/RfriXPo5j 8bVukRyXEdTlVugkPF0m/weUjFZ0UX1C5hlQPyTzR/09yh2tpIM9UQKkPNhohN7q4+p198kksv8R P+u2YhUNvkeQ/FltCW8HU5uRAMJ1xAzT+WYcvl0tXT8G9XFVO4yqYVln3wx7lh21aDpChOjCaMxs GTqF7p2d696u/oDZVs/8AZK/y0K3VjiPT2x2VXL5lswPu/ZjDZNuXv1wKH/qCnKe1HuMKWhcCBZY 4QpLbXFnbt0MwaXN1phDku/MTjaofXJfz7Llgzcd9XVCg5gIkoniQyeEEdDeyHUGnZsjqM/bGatv X48NdjjXE2sufQl09Fszgg/IFvIlWNfUuiZxNtipyZcY0la0ZWtoj2BLoY00X2MmVD8XaqNyoBB6 O1f/8acmk3c9kr96QSkaMrxx6KO+srgwCIt/6NTiZd9WZ+o5JjpNrZ18CMBWP9PRdgJCD2YQaVLl vBbVDvfx98kXa3310oXprXVQE5sSStV/mzs5xYx1Dfe/h/ihLGE5JWkJI58WSl5fGfh3oQm4tLj7 GQKHLxin1u0Pgdw3mYIbxqGdQCDV5i6gNZiwwNVEXheYpVpOEvqy5xZzlc9XtgE5HFbWSwWVSHgt gH+b8i/pOwsr5rVKPxeDhyIf2liC5tZTSrYUpg84G+XdOpO1RFlhJ9Prb15sy+UOFfukYcyIMLUr CopBvOmlKViJ5fZqbh+ObZA7ZMoK6zifPVh5DH9Wr5v5tVf2Fi4b0Sa92GdZUALy4VqOI+guNZyI fPYZm8Pz/lBwvq8ghz6U6V05g8z/Mnjxr5G8xWg3jLgNXuQQMWv3XmZqYfY+1OOr4Xv2yRQnCw+Q NpkxD7QUOfIWs3cE8ZMHVpHkdPkIqmWMkeVA8s7Wm+QkHLS3fA+IH3m+Zox+j6HzUz3TNW7k15Y2 Ejvuy01HnP3vpOeVJDphGdQLRJsPF1iweQ97ru6a61S9r0TxoTRdgoP8F5JJrr8FMmBT2A7mUs95 Y3XKNnMGjI0TzkxTA3zBDEPmxVncTi+stmGs7tSVjhR8Atunvo0vUQIGhvAAGRiS6Ho/Z0KfHL9k 0QUovKlG+iQ63/1dhRX1qbEv+Y6UFkxrMHXBgD6JWzL94q4kVvY4iz5vvVmlDmTREPOzTVumK5ag Sg7gSJN+J3E32FZ3DbBjwoGsk+xfb32jGQrKxFaBuGz+FSnHDMsRvTT2HEpUin3ejUF25G6GieNo WrMdvWU+ujrhCDgQO43zm2dBhUmSpK6ricOWiHZ6P/zdqTf4QoyAP1nT1pM8J5SnzPXaHg58Z8uX OMKk5E1j+Hq3J7Sq9sjcSQqY18VXOF9S/IGYsT+3v/p89GRND3DSDceZUT/hEGby5W67ig99pN44 HsU7CLR8Ngs8BN/HgjNbQjCa+n7vyM1GqSaqcXfpZpGhmynRZ+R/Zk+oZLsH26COlJWGjloUiduS bdx9lO9Qv9Xr43CrYntiMW02NPideGnZw9O1hi2BpztD8leur6aK2ISqJLsPHQZFEKy2Tx7HKq78 bSK2T3LRApt9o8oF+ZOUG6zaBdanXta08blpTPRt8j5Jzd2u5raRIem2LTeDOQsK8cL/Aomh+Hx0 7aPE9K1bfwcr7Vajs9hqdoslvWEatB7eUY2eG6FZaeLIaXSk+nZ5Cv+nfEvgLyUO4FEWdMfGZcTh V8oQiXl/iFSPRoHKNDSmqhjWZA2JYUVyOnAfhNCwjzpexkDX7g3gynVaGwXNafY08tBUvyRD882Z QHq48O6fB8bxRcyw1SZe/atVtXCCM58H9HOFqCxYuRnkOt92vB9kEZiX48eLW63jEqSGXO/qj72q AMRN4pvXvryzwNvQ3SP8jzznRikOLZhkzqFjRs6zVNp9HXI5Y1OOq4j74n7YJu1VBMRXIPjMheDh u/Pv1jrDFbkz58DN5YvD1DKJbxh+tuDrK7V4RXJgZIj5fUaEqWNpFtaZ5vDj14lONHhInkWEI2rp sFugT9RZVY+pdbxTNHQwSVqrlSzTVY3mKvT390hNIGFNVwL/iSPPYyRZViFRlxabHzp0UDKnZTIB sE7aYrX8NkNUTylDooN/OuTfmxYCb9FFkSCZzSxzM4hLcrhrwIRE15liW9sitL3FIYjOoEXOsMUP bRnGD0b4s1IquG87f9Otyf42zOT58SxouO/KyTA8FMW6ummbOwu7+LNeYQa1xwnWEtoSc1+XfHCi rh7iCGv+Xt81+UNkyLxnV/zTK7moo+zK7gCPXUz3KAGUExmtQ6XNV6M4AhdjT02ygzF3MSbivZKq sEcN8hWr/skkLDbBSDF6saypgNi41W8uk2p3gCxcu2mt8mrW2Z9scrx7bDwBwGBU5dhP0S5sxhXb 6erUpOhGev9TIwJv17ooTi8mjSqnZn3ur89LVQuitE6Fjt96u00beVhGbtjlxBMfn7TVkqRJbjmz 9IUKEVlxuiwwMSr02EqWuFkoJx/S+54H7jFubcE6XzfsZQJ+G52jDQzx6e4mDpq0Op7af6mVnbDQ 6GK+s7BPbdKI/p4pKtnjI30l/aR8DqCWxJpz7ak03/rWLsRKX1a5YRFyGYrkbw/wRzWEVTAlQdxv ot7LYMHqkSEwtGMNteH58HjogcnD3RZOMxteJj/SOkTsXXJeLKhQZ1u8QdKPn5f3SDheiCeIYsHT n60GRsDg31KkwkG7YWiuL/2hm2kr9apv1IN0B4/p89OB3sguH8XpG33kJvUJHPkv8T4237crO8FI KLOPZVGsZbYcvufNgmZgjnYCDsJgDgnOf25SWEDvJkrwEnMFBFXlusMLRz9PKRr3XCWTCuVKr2j9 Sh8IZu9WRdIoqB913h4pjYc4/5iGPyOPPmymBKPD0Evr/rWmbNv1JaPwtI30RBIJMeR0eSpYprIY YAo8wbp3gKTQ4EAMOk4N339N2JzKtpxVT05jB/IggtyWC30cR66kVHFfHNeHpeE+DigIemoWTvCm 2snxFE/S872QSh45edzMxrSmvuCXE0HP3Dh4BRrunlkRb1TrqAPa8h8/wBG12NlzFakz4EILN7Qb cosBIuKZfBLtcvoHTHF6KyaoN7gzQ1GbGYqOv5PwjAhIn1TCTXXROvJMxYRZyH1e2TPIeF/02Vc7 BcDzvbqCU/xrNXWO6xFkG4pTXaYoBBJIg8+fK+c8SRzhw/nffvtKQcE35srIQAYgqNtuG6Nnf71z htMXRJNF0SMIkOwhYLh/oPdlEwv/o4oM+8GVIkwT+UlUE6lGQ9EHdV5W6lpW3cppLsKzlp0sttsD hZSMaEJjHPnO1ahB+hJdqivDxYSzBKZIJurWMZuZZaJ4ewTK99k+AiTaforAuk7aD5qfQ7UZJv13 HFa6UrwwI7aESV5Pe2veeah49lnydHcumZgKaPUus37SW7yCDytsKwV4aY/WMhfVgVEzb3yniEfT fEcynH6ilN+0iENFLW1Wl5nnBLudECcDcP2uqqNY+w2myF5zgOh2S4sdTzC+1BGZZig0p3MxOXeC HSG1a53BdqnOPtq6bv7MP5uplj1hVqtwxsPG14oofBxb8n4wlrd/A7wRG+COwdcwxHsVtAlDu25h HJ9RJ7gNj0Rf2GdzVk05jd7o2ttCla7Y+lpffRLUwGkx1uFJoSrRUGwR8Szh1Za46e+AieNXMMmt 5wR8gUd/l9DA1FEXt034tuOrgzms6q4aHINm7NmPbLEbQ1VaEDqoX8sT7DL0RNXI46urEvz/v8xd 9XN6SCIggaBfDt/OOClBdqto4AtZqYZYZGfXJ4nerMBa/249jHhqXTctQkB2v93c413Dx/S7p8GP F18irL+917gbxV7wkdQDB/VvwC5Njez5kfdIf64GueQZbieAF2VuQPRydeCE5ePEOJlum5zgtG4I 1k2rtdpxOEdxTuzELmM56hOpr/h0+r1ESdUiZCwn3H0fMqCumSCysCOeGNX0hcSBPvu/361JAwg5 K8SZ5M8eUda5ZNSkLsnMz8Zl4WwTHZZpVK6RF5VFgM+UYLZ9jeRaaURC+Ll00vfDm4I1oumygk3S tFxpRYBcIOYru12OBE+avgyCgzjvutaO79TwlfcK0T8v+HLSZW9FY3lYHiiVhp9+gaaXuwj1FGNb EA7i1yNOK1r1+VC9viIyBEd+9Bqc/DHdZcTmveY21jr4OUuL9P3YNs+NUCME8/yv9kOAWOR+8n8w sJa4TvcRYvRT///KgFGSq6pDAp8vpoqsGsXMDRZp43y5tFFnOdDMIXm9lvp5RnGp66dwMez0JkCD s5PLFyXb+tVXulxkPspuJvnT/iiTeOXWtFndBHEyaziSfCNjJNvt5NV+ekAeGqE38uT69YUzI4lD Ieu9mNsRmyphB/YMf0IlvDunpuVZU8PUF4FTKCEFU+NNX13eRJLkhdH4QI2iGrQTsDIGMQMOkaqo yUuP+GKY7fyarlEAgfLLOQdaE5HSI+MmNn4xyVVgTRBe60MRLyxmgOuJtGxb73a0/ImZgBFaaPv8 smZXocGqdbNod3zCfX0QzDiv4cKMFx8DTlWhlFvkRGsMqond/BwbM0b2hsPh5xcdGJ76mK8btqqO yHtsyQqbaX4D8MBX6zLPNif2Ci8p81Lx7WFX6Np2w0uFsc/5hv6k5WDnfVD0mqZyGAP6SNk0rUle VbhXb5gi/WGfllYRKAhgzVlLPPJmrm3YC1Z6x0O0t716fBnsfAp6tXz4v6Sg6DzqKKjfZlFXhFpz zWZCH2zP6Pa/zanqmj5aVYt+3+sWcBwkMachizUbLPf88W2U/rc6wcGHp5zMQTAKmGPTm0/gRipp TwrPqwPBX5WRdMLIeaOWwzsNwB0kE+pOrasRGhMaCRNo3yDF0Sf+UC1rrB9iI6/mzu9EwPDFLSrA exBjvYYPgbKGvLnlQPWBO8phZtI4CNEkMKDZHJ+Yf/xGiUlZepOcODKJFUqizwugtDu3M4g97t4v 90n4jvsP4VHPjttuYpKMq4bg+5KqR0cvtqv1HW3zMZhmfz4k7FFodviAqChQQSRvy+nG5vpwFdRM FCnU8p9bm7ptyWhNL17MQy3Ctx64yGQdALUStVI1NxTS5E7xT9iedG9vrDcTGfn0POwp+42+605u hqGlBmX6Sk9DFIvbx+aw7c4/UK+smQ2oTBio2XIyfhwHUDj0ZGfJWvxmmGsl4Y6p5Q5xF7thpdVp E7Cz0c+0WG9/6bI+QaOgzKSHaKWESBhq1pfDrya1uMeFhPOqsDbZ3qzZ5bxxRnFY8RYkr9MUcIYv HgNWN5Az9fQ2TMjGlTaErEBJNYbTyYV1G1c5vBjYqPiihHp+2jGH4zYX6KZsmm/8ayP9SneuWPNd YOQQ4EFaXJnZC/6Gwe8uYG8IaceNpU9UN2LMvNdujtN5wNns2P+EWgLLaOILfZWUCKLb7R4Tl+D9 +k/LltWw4UyTyBBxFjS5+NPnLude694w3iZKy5Malbp73i9JDGMWZL7zRCFa21TgT7sq65AcQOFb fJE24vB54cAcSwrr2n0ZDowPMdqEOdrOfLKCuIsOdeSGb6HLdGBv3bMEU2Nk8ffa9DAUiJNkdiHT nVk1Ea1GFryBzVY/qtwVFNYmYCIYG4SjiBzNq9mM+C+KDpVsgzL9uV426DNByCrrWYpqgRNzUjNO P4uykz+iJMU0ZGozyswB/3nHz7CHWIl0GyfsVr0YEsn00Uj0NFThrLm4ueVM+h0wrq3Na2V57gUt bp0vbJYFLk8ouoxpE3tQSfSe90FWq9wHod/J7JltTTCTxg/Rnx85rv1E9oUadsZO1Ij9TXG7GavC ImQY9Z94vxs3DFladmjK86CZ `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/blk_mem_gen_v8_1/blk_mem_axi_write_wrapper.vhd
27
66283
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qm+ahCoXbtCT96FlU7osNjp8Kf3rDAFQ8vMBTpaKgTo3EvHN1CM/XiHNcIsmMQ17hbL+pWxo5SQe TeNJ1GZN0w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KB+ek3mkpx3N+ihSLNljgKYzWfCbUQKXGho6dSjrHEWrzL9W93J5UQjcPdLkP/4r8XQ5AjiJVm8G O0+WgdiO6dbDdWggVe0UZIQ5qp9jotaT15XQQVVkD2rcK5wquost1xsRm7MTsEsCbzkhqKPM6ASZ mpW7GzuYQ2vDPmY/r9U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 5IFnCgXf/KjXBNbWCJPfF+u/Xe3PWCvLt3/lqQEWvv6nS2jJ8qz3O+bSiUUxyt/rlAZZm5DvQ41j Vn2wE7il4mdux1L3DFueP8Ob6UEbh6yobetr8hrEOpbRcnmnH7rXtvR+yuK3psDEpqbW7d8GyDcy T6jGK5xIsUceYrUwudt7lxYx4bLnzP6q2c6uLhkxaoLJTWJGh28se0dzlAMX/BnMMfjK0HDKD6kp 1VwH2Gj4iT7DvyBkDmISaH7LPSlLhe+ZmQMkilflhi03bS9w9ABaqs6v4fufe3/pEUeBrvl3gRH/ oCU4QtUwSf8qfFsWdX+C6Nn7mzOb0WSGIH22+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BAf2bWZTeSaPIqnT3j5aNO9C6t5/rcfC+/QtvmxOirWtcQ57aHowXlt817D+9PTxe4qEx5CjzmUg 9oMYSESB8IK4XXnHzrwWEKN1a7YOhI72J3KxmNssnP6jdEMx0znih/oPMXJaAdPPRUXzSczvXVqf S7AhrmorMi/7B7tc1xI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dVk9aS2pcwcb0BrWR4Sm4FSW8QQWqHH7xHbqUaQTDLyPydXvHmrmxiDqUJWu8AAmbDSnHtBnMo/b vhz6TIedlqcgp9o49Jh0CEli94frA6kGx65vbdl7q0c/R9+UB+XDf9B8tq4xwdSd4Twx0zVa9WGD lmNliqJyvFk+OMbS2OJJyBNqK6eZPVzKMFkUG0UJu6TERfYV2nuxVMsugR94X7JoKx+W2jEprOdB UQVXsqhudTLpaKEQiNqzDCaBK0P3FekkJJMtZNaV6veO7wX6Us6tTDs6pxGysSo4e6tLocXysaO7 1blW1S7foypb+e5LTkDXsQjIPmjtBTMz3Y2yyQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 47328) `protect data_block 2XzMWRjd6RfwufMjKjigSHlgIdFM4Vad4PLylqBV59tvIPSIW/fl1hAdvGL3x6toi6GH7xbyH1+m So6AAmHnm9qV6OBePCnSu/CAy0TCR3RwfC585SDu3eUn1fXs1MdcXcjnB497XYbo4F6njL8A5WzN dUKBJGtNySmnz7/gSgfT1ifT/qmnQuwU6MN9xwX62ZEdWwwWwr1CVZkDFgKW7S0YOEeYeWJY+kaj Ne2xR4qmaKUXVrbZa3hyya5KyNcjUXATnlcIVlayK1WggpVy1Wb0rLDlB6ensJ4pvlRMV3SqcSEe 3Z1MN6diSkknGSRcrwE8i+eQF0LdiTdnZhEk1uFIoYt4K7eC/ytOjHjP3VaWbNoSAFiQm7HBVG2E Z4LpVE0i2HtjMPeVPeABPkwFhUhyvNyA1CZP8wDXD+j7oMXUrbYRdWjpH7B/uWKswO/ClC9BqZ5G FtCVR2zZT6XmOxN6VeiZdtwwa0jzLgSmy6rXFqmeCCsKfA0nkyQPii6z9nT2Q0a99/brPUPSqACO 29ZUyR8x5oYzkP6fVe80TqgrzuujtfpefG5YRLXw3QrdRrsMi40LbHGi9KV1VUEHQQkoFHhNXRU6 q8jIpcCKtk48p0KrG3BYHAkSn51s0j9kcxxcQWyG/CQ3vGTceqQSle4d0afxQvKaN7tNys2bTQxk tyc3fkYmiOHZZbDvMVKLQDxPbJKIpVVlM3JkxyVwRIYefLaV8BafunbzcVpiZc3U2tX1PvMwQdJ3 vhFVQ5ZVupVdK4SB5gyHOCnFTzv+JqBc1eG3kkeV7Kd70IQHmJ8Tlq95lVZG9SW4pSMw6jvFb7TP SiLPcXsIjsse3pxcXC4Kt20oBsd5KURqLZwbwo2Kb7cO+kkUO+Y1c3cUClB/24u2ovMfjKQg48qt nq5CpNNjvXjlwWB1Z7UaYcxqpi4oPJjkYnuSFBKyKJ1eKd38SzohLvROesNFdL5g9TjkR/SMlApu VJ9YRzTdJ/XGssWH6/GawXBfHHVIv5yVwzKkbDzuiZtB4O+VszVhmuDa9ZOKtaf6cu/WeSnf6sGn 875xofixI3ZZdtCwqNpQ+65QEAJGXbjRtqPDQ0LNLUD1qBLDDA2MGS0tjMQDbkX9uELDTFrRaPYf NYtwVWPCcHJbVDWgSylggYsJs2qa2a/82vYw1K9ICtJzAn2wm6wDcOGf0KilRIpshnTivSnHLnS/ 7Hrdkv1a9NzPJ5ZupjUq1CxSM5U2GbUOlEqL1qXTaEshNHhAeILcn1T2GLbcglt6z2bf1qC1Ddr8 hixvfessb669pZ9+OUdLdOuDEr1HdG+4r+iiVAbfvi+7V4mSZef8UBhewj31f7RXBT9VpU1xuhfP /Gj5wxrSmZXSz+0gk7GyfLR+pqnEZf1oQilAhqkib5oXW+ijzqdlOBVBeUTmLfa/80rXp21IM2O+ WGE0RL882TH8Lc91jAoJ3AQzIT2THWZOmOLIzish/38iq5iL8trG1XLsP6rc0RHePshhVneR4epg +F7mYKTHLICjLgBZs81Gy0vr7nZp68xrGmRX7HdGL8oVCb41GAaKcEVgV7Hep2P521bQJLGiLI23 QWKdMBG20vz1T6iD+fa88SKpI4l55nGIYBqA27a0blQyZERbeqaZo6yStw2QB/mTnCW/+Z/DYeuB dNXr4GUEmae2NC8gER4397MBn8YpVZGlDNExHb7hRFMHr0j7sSgOtyku/nqoBkqKmbsnC8TlM/pg YkzBjJvwaCwCVT3FxaTj8CIE3bmTV9XoZRMgb/5XL/1BqtuvGFErLXDJQwT7iyiHq8IBGcJc6ljJ ZVcy96JOMz0jJGzp+G8nStc04KItadWxXAjvxohSN05dJfY3ABagZ3eQJGR1mDBi8/uh8qs9ybnv 66paXr5ID/5j78mUUvLrHAAQpxowGfTev3TSuNFnJKJtY6iwxOxwI152l/DKILP2jO+LYUbkGt+G ta2cQ6a7t7G9nfnonaymzpxyXX4Gbn5zBhdTOhFVMLmCD17nEAClxv8I1Xl6r+4XeklnFTKT3q48 qTAzWbqeXm/4grI3E/K5Jys6FlJTVHtNUJm4HDGsyAo4KPQmLj0Zy5sS0hLzJzzql0HsuR+nykOB IUfWhATotE2gOUdE8Z3HoGSCUFDlJVxF4PV9Gcy88CIi1xsOnoFWadNspBn1i+XAVcFQ78Y7narf Ickq5JufLX1id7yxsft+8OD+POPEj5RV0nzI42d4XzBtfNrJ8Sso4tlJlhKDXLoGnVFHNqNNXFhE s+ghN7tjC/bhKTUwSJMDtHMdKBXv/7u/hDNQADmoW/RDQbnq3QxZjGmap/3ixoQrMHfzxVfSsfRn 0K4TYzdw7G4V5YpbO3TCB+ejZ4D8tCCGC7YV6aHr+RY1j4eLu6gL/vCj/x/5hdyzxVkONwBU4vYo NCRlpwddffjlG3/f6AI2MLdfPEEqtseTRxFmJ8MtAoQUE9LLJc4LisX0qpHlZUwiU2Lq6hV6bON9 96PjIBI6LpkFT44GCVbp9CUusfS55ZBVFf7YSwu9w9ID40Uc0mNy+2V8O7dT/DYbLIvowO//bHvR gVgb0N3bMYItJaCNJ1LsT1Xcd/oIy2xVnczSCP6Ue96dxmgbpV6RfllJNzqDwwU5fXip5vKE+dI2 J7tLCtkWDtC1jKJ6PuU54YJ1WljAmoSXBHjW6HOLyclYlUghBA/OkS3D6x2cbp4UfL94pLJNn3xX oMTTjKwp6i1bGDfOhj0Ot+yGwW6SQJ+wi5wml0pROyS3L7tV436zwKMtblvjnS1jweO6NzOE/MWh ec7U5XgnzJwMSCQoehUyiiP8eopOHeYn5IOviMcmYXMKlulrCYd84bEv1acIk6pUPnZyc5hwALBV K1yMVKswNTcZOCerdK3q79aiPKgDMQ2k4coepWM9wtW0WwEq0XzCyLdv6uX/xBhBmdida1JmIMD9 uY5OQTFHjmEnIfAjmmfXdR6a35I5CuPJlcIv6FXeKl7Cd0DegWh+gKV+lLSmZ8pGZzPdtvqZrtf0 qUClwF5M30piOuBDogWJSrF0MrxWCM42UMUY+r2L5XiPjdS4dpVzTvolWDO4bgqZC5kL4t6qiuNR Q4YhfCzdAjtUoTmc+u13LXbidnS8X2FIIA6hfE3JcAwGk34rjhqHUwYCeECJNHmcA7mg/eau7oWz WddG2h2G/irE/R/nGRtc6ett84JAQKS8H1JVO6tr3hZORgG9Yf4i8hwWBjkaQ4jCc4KuXIuF+BeX J6g5gh/FF1ZKkSs4nBlSMUCm7QlcuxecxmDvboj/yRmNNnJwnORdlr2RsNZx2D0EzA9QRj4A8tRl rbXKTiXowSyvlbAc0sq8jCP2rtmL7wtxFgCpQlFPCWbvczYnfoSZliZhR1P0dM/OLgx2ez2m5viq ogu2UBu9lWy8YO9/BCQQGGEzmyXMZI8joVkgnt+SQSO3PZasYJ96nd/NEf8m94VY/P4gPXK8A4ni 5/QpZu6fBnR+MeyE/EoRNos31ZTs6ohx+jU48Ius2tJm4LdhvxC6R9uLLtpm5ozzoFXLTcP25mJ/ ecdrkx1EexKvp8iVRNNHaO1zzS8saXqZaaiMLahXCGpPifhC51WjjUIORs9424I1FRnVPaN8otrE coOT1wjgRY+IHsoCPfqzwTp2sn4t1VQdfQI/NCrYmwZ76LWQ/RB+6GFkIQ9rouX2vIVXqG6fTAd3 65tagFZ9LQfo474PBqOtIS06erKWLna7rRnFsHcDP3ZDqy9M9fETEGznPLE8ZUywGbebkC6tfVrv uwPuCINjpUyYYmijtcnpPIQiJRc9GQHsEFhdLkKQR0dxSRBC7oT+lixGbg4ie1wdnizEVoHHeB68 zfi7jky7iPfUL5Fv+vqH3QB3Kjc5r9yrGlkfcDHGdJycAniiDrX/T9HMeVyR6sKtNewz/IOA6n72 bbCtOvZrrcsxHSkMQUkIx993Lr+P0fHZLqyYQaCob3S5SfA1FIynA8/Tllw2oGqT4zO4XD46cfAg YGMVBhwVyqVnoj4e7ly9H99dvcyDCV0c82J2yvl955f3spPq0yWfgt2iibz574ocgPfyD714rr+E EgDxCo9P4++eUtj+Yh1Dk7mCYq2OkOWtg0yjKP2PROlP97WFnbJs1gznV43ZycT+2m4apJCyENPD cejkC4fpzZYSccTXiVpGnYKw3+WmS3u0hc5OYJsKSZc/gemJyQIJVM1Ok26CUVd56ZNxtfeSMgBT TISWwa3pq//PgMJRXJyKUNjPVK64Nb8lUd73Bjgsj6711htlWOMdUu3NsaVFU5RwSAtFRcmzr9FJ ufx94aDFNKrj9znPBicuGoyAyBU5YKgc4Og9KmwRHwtJjgckc+76TksH2GTCaNIW0hRCOq4o5Foo FuyDhanCxbKb8fgNgl5b1zOP81gVV3ssbSrhQKb9cPJdmqRj65jt/scxmnZ/YVxTP3icvKzkWMwY ynDG9VtH3tyJ6b8x95ACMn8ufcSp0k4zwJIWZab5l89wGisHiD3ssXDvfd7RAZdvn1qKPmw7bvRC BjpBC2/9hkgj+T4DHHEQFNxaaOLWOA6/INV8RwFlHih6gvjf6pmyEBskHXkt7kmzRZivmIbMewBO IdmS3fBde+AEpu5dgebYKP+646+qwtk9+PZ32vd6PRmEzRFLOtoL7lX9n0PNv9oBc+RviU5RyQs2 noaec+x60t4l5jnVamvEPvtJcU3x8Vfl1hcppSumjBSxC3Nq9NrOnc3lfilnHcKV3nAdiLPfUuQa GMQlWw4HMJtHJFKYcX8/jqe1+1aiQrR4tywmlEzncgygyWoKSE1aSN3BsNKYeD2FE6iXMV79edxq zbnUKErwmisoqlkWpHdVsjd8OmQ82qhq1BKakTCPfKVnbnhK3qCyZ/Dd5ei3lRRDIga8zad5VAfr Kn3aPn6i021VxaJeRgkObuMiG362VuA3bAqS2+kYfJlOQRFSdmTTKjwrSi+ys3Lo2rMVPYLgywHo Hu2wo+JV80iJZz1/M4zFzzGQx8oCTEvmrtYqsrC2eDoBGpuQYSV7PR7SjOnEXJsCqBN4ONy1jpSJ JHM1cZwyKpPgIhAntJykMCnueq1PAp+YyFpOk6xDbMCHtIBfxGcBDAyLB+jbqmiC0nZPu2vObk1v yblV1FW/4tScZktP0T9n+uBiloPzlgIYRS4d6ETWfuWDbpfjEQ5gmVH0qx386NFxA/tmTOMbcDU5 mjhPcitxJcG+CQgTR6Ogx0h0d0YRUYeuROKKDk76tcW4hQF912l+4BWGsJNFPe7TmyzgE/H5lpb5 oN1kRr5qI5/eRIYAr7GQrdpZTMa1xzjqLnbj/tWQ8wAZ7Kl7UxzdoW6w0BFlXYvUP5LhI6qedIFW NMQf07pVi4StITQ4+YqPuBfuh7QZc6skrUh921+uu2Nh7EVxORY9BEXfPrJvSYCS7sJsvTt5r42J lcikMZZaRzJbKm8NkipMyIa7F/C/cKJVbOGfOIhx9G3lfauGKbnUoe7mekwGGZwsMcXNbzRnupEY eX7Ms8zWIF8Z4X0GCKf08mmwdleQjZnTLtEtKuOstLXMikCAjcvT37RBPocoE9lbQML5MXMrVlmz ZGmmV7DLvTN0opnZhhosMt7s8RXrEAPup/Lt1UEEWjicDlvg1mxxZC82SHT7SRh+g3+LLHA/qU9V 6e8j9uUCOJfvf8s2flXgr9Prx/Ck4Bs7oqdeTfQ5csHhR9nYC2/q9x4O99D0cRh4MAbZqFSOM4W/ JWGJBFjlmOvWMFQw59dVkdXG5uavHfd8/JqJSsCjBzu/BA9hXbLneApUKuWGLEKi7qH1JKtAYJ+f 0VI+aN492iYT8FNruxHnajKCyPEcfKPxNR71GQlc6jvVe7fT6UYKCWBtF0exQyVCtgDDj7lYFGQq DvWkU+d/tpNgOYPFPjyFeQka8i/IKSN2ST6s7RkDdXc4mzv4pPWrT6dGzhjcZBQoAr/PyiGZGiga tfi3t672U0SSiHzKnuomAMhm6hm+01nwW5hX98BFFrZd4gc4J3Sn2quGtMexI3rKWPVr+1fJU2GH VSKFy3jKtxEdJtj1DNyyxyQBrospTC5nl6rdisunMkKe3yBciM3AF4C90zg6HZ0VXEk1gKAlUrDW UPPDSY12nhka3DdNLbevY9fT8dfVpsbkhNyoyjkwUYXtm7p7w/1xcEvTuBsgqBJJWZ8qBftWxEIM 5E4aMZZ1d1dis5b4YVopu6z2x3BbrUm14z2w53XTjVO/AtjYbkHOnS3+wVRhNLLLMaXZqN9OrBvp XfOyIg3XBpw+HqYxUeqJGJ623yl2pIKilk/EaJKIsYr3lUbDx+dybxVT1eukUoniHxARVxsJlA3H PL5K4ZEDlIuexsJF9uEyEcgIHAfwzESWo9Km2hLFzRO3FvxOUwwn430AcNIqxZWlsGalrXdTgOfo 4YzKRvTBlyTYys6b1GPc03RN2hsp28xK41h7DbDAkOMgpdISpYhexU0pvNa8FAboRF9GRnPIL058 1Rxx4iJykjTfsMsoIYsfjlspGQotbMteG7hc/qqkovTan5gRObG7uCpv6jLbps9hFvdZbyOj02IP mebOjyNpzdfv2emBwpVYIjnTiGG1avCP9MhnerRS+OBxuSoU44OLhWX9HNbt2Kub0bKel0Utt3ro iqmnD8py8syQyNG/RYl30kvub33/k2K/4TONiq7/fOhA0Si4VzH4dL4A6frHpbNE4ssVcpkywTtW 7mT8gUSsw0XkowznvkVmhc5TExEZ4Qqa/zdsNTDGWbj0fxE6T2ipLE6EJ4KYTikE2kLmenkJcLQA ZN7wYZg6VdLSsSehwOc/QD3H/FT1L8WaH8hPg882UNXldbFT35qZTeARmGBsYusHr7/9BLpv+1wl mKGI8+AITdS0ZE8rcvRJwDWWcUdf0ssj1dddlGhtAkM/2QaykS0vCuxn0X1aPcIiWhuJ/K9wGR8F pgHuOb4IXqrvuNKppIp33YolquCGmC025mMJ4az9PWsexz6gWkRKZ23lwj4tj1FLbmHcjSANM9PA 7LK/r7BWnd9ZtL0nFFWlBI5AxXoUDIHWEgNdIuHMnyr3HhUNzYxKWD7wKuml90F5WjtZWMoYS24m iB0jsqgEZGMo8Czt/up6XJlQ//bNYZCsWUEa+hgk4YbHMXGviVi/TSUIQ1v0XY3nPJ3rXUfY0t+D W4XEXkH8mOIPAz7WypG4agAl0G/VoodLzNrvGHvlsT8IwFjRkXSOAS7dMUohK0Nv7cr1nhR7GUJ2 zhD8hO23MTbvQJnM86txJHGBGFVs0ryfFIA8bXA2pKp1SI8/9iPb3PsCuOd74wgY31yGU/K2x/IK gPDz8EGJq5tCWnTNgV6IHBbrDpqW8xKTMtGPU0tqOeTkHCMyGF25ERzIlF7RcyqVVoFDtxYhsFX5 D1b/o7TNNIjNrN0OArhjGsSDzqTUGz9a19CWdOZbNQFxZKA4jEdmeDWshHAVoOAy+zJgyRwEXxHT C90X9gATrRS7RewDxPlLx3N8+hTHdCav7CdSggwX46iPxXJ8s5XBbhnYQpVQmlQsoxRlPBZKWr6S FtLp0tSMG/uQg3I80nLu4lyTPoyvCxE8ilBY7fkzpCEbTl3F8P2aHIBlF6Hx0pAd6gx4vG0IzXbV 6tc0H2vlMUfiQ95OJzWxWlubeMvG+ukKUVEf0/5WU+sl8eirfMJysAxAc5gwmwml6rs8VfgWHBjb Gi2VGuRDuzfeNzO3XbrrGigIS6zEFS/RhYcrU6fKVNYoRViXpgn1QzXTAWwDSOeT6HUm3HVmNx0l QIdvN04pXi+DP6ZtoejcftJ2vC+xABfAdZ6EhKHxxNsnbKi0f3fKBgcTr+4p2njdrWa140Y7hY0v P5FE9o3Zf5gIHugrp9JV+YRiNlH0Ir4LrcWx8M5MJI/u6tBWeAgmZHA4hQoo3A+nv1WROpkw2lMw 9LLq5Eg/2OZwfWfzhWpbvBBm7ixf7Bfc5HmrbUG1jd9EP5FfyaZ2NBSVejwf9qMPzQPlJJ5B68i4 sIk9Bx1TMsA086Fqi9ulcH6fhKcJS/Xmcr9FW4VLv4rTi/HAXg8Y+ehXUFwIUlFzY4pM0sLrcBar irUkz+0n5d6hNbwOgJcb2HLainlawXPx9Yf2QylrlknhPiEUHIcsmBF9UYePmq0rIlaX7KeGju4a Y2qLfNOK8ouL4PXA1M4y+XxXeItJAD0PuqmjmXp+52RY5M83VeA+oD800pee2EWicgrwwcK9a9hs 0Sgzqnl1zAqTFD8/g9vIldCfToj4tbid51LGcR7tSp1zs1VgGKbMNMSWdcfJ2LTlS1JjNAGzCzk3 t96bLT3Q5WiN+pS4L5QDC6Irvijl+iDPypEtWCn1uwSYu+Ge219ZwoZlly6uMWcHFQOfZLehA2J/ chAbpTCdELu3NQYeMD/S0n8kSZQ/fb/dA2ye+kjjrzNHGtrJ+6q5RIMgA1o9YnJsdAP48GmyADZr iIW4oU8mqaH5Tq//P79XtluH4AHvurRqgBsvU5aHKJRhmLtKdEUkDS1k9vaRKyB/w4w1xPoiQTNK o6yfa0Q7m55ne6wo9Ew/Y1sXrKScoOkEBQhalXdtvbkihrHdcU0uWZT/VYIvx5eOIX1N5lIK/3bV PPg3/JRbn9PfmBU4PiwRxZEodGtYmZ0hzysolcpV0wPu5vZsjewWPnK0HYi9qdQ+x99LS74YfJXn QbwsWez3hYKWvpS7/xhx+oW5r61R4tzHgie3a4Xx7Y45EjRyrJnDIwLownGHADjvCSGitneP5h2W +Ux9YfpLNWdrnf/vL6obV75Av+TjKJ+rm7Z25eEUKZjzT3rtOq8ZXonROepjwUa7QU1ZlKVEieXS 3C5nUv0y7tYOjcZkJn9zMDbLxF5tVcSzPppBcB+kHjB50iUccMBRgSobQf2jcR1afm7RHd44XDxT iMNdE8ET4GiORi2XNUN1Cj7VMhKnLRk5W8cubQRQrwylTyzg9TY6WXWwuTmn8OCrJweIC4yoWNVb MpHimQ194aIFabYwUGwNdkz/IU1sCF0YQct1cCEg8adq+G+Mlx5j3bMXtrPjHRu5+PrYOnfH+7eI yCv79bHfIPDOeA4D2tapd95AG0dIZt3WL8Is4tOcDUHvBVzDjlmp9TJwyX1dYvATMYGCJm0z3sb8 wY0wubHafi/erXDO1Hg0aURmiJyt6CeVRXzIpqdA8+RlhU7ozOlqSsqQuxsKjPRhLd20xvzkGfX+ P36/zsRxwrWKrLfuQ0Pou/0hYijlyVa8fl5Cim8isAW3BIFExeMKy3LqPwDWzRsGZ9/Y7bB5QFHS BOaossUYKiej7Q4jYI/rtsK1kVZlNwxcma6bUZ2xTXEzygIQjMwXgwrXUlos6to10p6p5l7G8B0B gyoJn/QDyCl4suNshAS7gD4JaoRTX1TOu83kSX2wzo1zNXXGCyigYk+8jIlRrSUIi4J8+AXGIy+8 30e2xpRPV1wJ8WThMgHC+mARQTQQwGraW6stWhO/SiXwiuXQPKzudbUdSHfUcPd5Tc/Au6s3MwZ+ nk8yUL0xqfe3/sq2TLIpBDBFe5GaOnbhm8qSsRqX3Hbe1sYtYUE1K8sc1164p+uAihdg9Ux0IqVF DvnJwyMS2m0aab2TEhrCqIm0CK/h2ZyfkZ/HQhNCulHaG+EPNKTYe5f1+zveDGjsOBvVEi32+ElQ 17T2OPCu/bGK8IjItCiBLnWmTawlXJYrtLjU6cGx2F88/G12BPobA2FONzTwjizo2wahuLvf1h5o XSKFfGEH1kpDDWZgeKuNGS9BypVTEtZ+JywvAQBd6CFmtO/UCB+zj5p+OM0VAl6R0lNRmCw9cImJ 0hqpSLOXRocqmV9KrM01G5JsTqsJfIcYSWlY96wO7WBWCVVL8ML/9cA9V4x0Y3SaIV0/GjMOv41p 78ec/K1nPAKMs6YmM98dOSbc8BvRzmBdBLdtXsle5LU3gBvpSMty46iSUsMovLcwi4EDsZb1x+69 lHH7Jd494lSTuvYOxSxqRk/FQUHWUV2oZ80fvlO9M4keZtosFFAKIPD08g9443eIB3iQqcLj4d1M 6eM0nQPHtRU2szd7mi/GDF0kGCj/eZ1gTp3QQlWVLhxM3N6MR+x/GCqNHq+6RtG3JBfFG6YywxB0 YF29S58LSYHAlaApT429Vdkao72BpvlZ5DhW8mpoP0K6QB9zGtDD+5yd91hu4J44IgTpyZJ1xz1x jQ8q2qC/5nFmMMhWe5tORNLg8LeisA/U5ZG0QKN4ZvGrW9SbZ3CIQvJyynyXut1qxfBRbhOG3kvQ 5jXN8xf1fJmaNWbLTx2pGOoHOfmUf4yu0T3Y7cpVIX83ARdozBRB04kEbOLGxKxZAeG6xdcEQHtu giz4cV1lq+Ipex6U8JdoaCyOl45nTnIEvcGAViot00b+ySn9QU7wr0RKWGU4Lq2XL7Sn6dThPN+f M2e7LmYjGzXppHCP9y+WAZh7YUGe9jmF/Uu4MUHju/+/rcQcr1yHNdEzmzor1+/jaLbToPFFwqol xu1oEEFN4T+usfe73DDw+meOrNpWI2rUzYbwB37ueRDWX8viysLY31ScjEbDCHD+cweuMq4loetq eOCRfbP4YpwOI6LU4j+Re5kheG5tIVKydtoewZ3YdaIYRW/99erIc/kv6s2w1su3gvwpweyD+2DH CXCtxLuAse+WPrQqkGGyLVd15agIpjQv1PlaeCZtO1uNHDiJNKsBnFURhYtqqZPP8PElYFlv7SRZ ixOF1ZMkHChDJIc7PU0/KB8CZRJByGJwnS6Ftbrxpv64QDzOJaX7Icg90yHYB7ddoZYE01NIQvRG kxUr4ZOAhlBph59Jt9Sec8m19PRsZN8R1nufeFb2biqSxOjfeyQ4zLb88tRm98HFi71ga9tIoQol f1KKITK+6K2B2I3NKGqdc1bSFmfo+GvZ3lLLgq3thmkanjtjk3qXcEbswQgArSuuTvPPh7cqKL6o NlvwzHIQdt7rfA/TaHKC9+X7vPjbO16qsD+AzMdZKjLSZrs6ghXVc/MrNvP4xevFx/H3cTxmwnXs 92Mswrq1E5FOy/dZNuC8eUnS23P92rNurKHObbsUaed3sQpGFWYExSzI/UGp0usqxC2x6zYKm1d2 cfrQKBjk/c0dP5P6V/zWFBMK+exCrbY7/7gvJjeYnZ/WT2JkKY6BoUcbbXY1K8FPFv+lCmCX3t9u eY1NjlNKIEAN85XP9TqUQMNdQNsW3Up2iHpZwLCwC2FrDBJl+36lJvOrxnnfTBMAHbIksLxZscLm keD31Rbqo7ES2KU7+fxoRfSoUzlkvrVboGvOE/H87mniVlFZAVOL9fsSh+bF9wughxc47L+UQLxD AegLBpO/MhFwmm/8UzPGbuuOUqsUVjg3+9V+O3G0v8ory81vxIlj5Ctdxpn5MPg0+lRT1vqsUNWY FFSbrVYaqlZGdWAiWWTo8Wf3eA42DWDAR6MxA2GmEAoMUBxes9oztOacd5IZJW0x9C/oyFaLTHSI seOwkKqkcHGPDd4bLEAAKIzIcaOkKn9DYZhkntG5kJoFG+05bP6sZzMYBfEyqrBmtY4dkz6gCFvX CAXaPE9JBqHbcFibM4JsTQWYm7ldEc8ulUeEnFN1OW/iZtzmaZezuQLkjsE2axL0WZdVX3n9H8t9 Eizw8tLSVBGuWJDFKHgOfD2TdS1J0x3gFsNLt9ddUPU5i5hMRCICnk87NOUa0i6N63MYKfAIgMCQ tCQYhixaM9zDYfNqtPd1mHTbmQbsX5lN0zmHSwPLhl8MZJbleawn7Bys5giyCpJbbVBAbx+Uxams mHIv335XM7yhnW3Pxl1p//zAISIXoQ3HoWWmUz39bDZEllEY/Xo469l2/CK0wzB1BwBmbmxGZzG8 qqmLxb0y+GhpIwVVT88V04Ao3KXW00SeTHs4btqDBcFims7EDBKhlbAMzOtwGQTRvVG9UvQM+JuC DY3s+k9LZ+56i93sveAjPy6m4E0jGOVo0KgQPmx+hXEr8YI9uAh1jHjfmHDpcuKB3Bgzcj+fJleQ VOg8aRje4hkG5mxBeh4PUaoNZsrW/7kDus7sUKf12K/+gFi0bVAPuhgMX9yC1drE5GsOb6BFsrL0 V2rEOR9JESZQqi+UHER1bd5rHVOrCSHiu9nVrb4m3kRehyeI5gcU4DpoOQeDFniNdtoFQshaEoSP eKG2RXlaUSRwtCyjJ19MigZPrIoEUHrT13i5iM02pm/wwFhRHQvxgQvVc54LIw2a3JAS3klXJjwO DqPRpSp3UFTd5F7+uM7A/QpVvqjAtLlA8oTKmaeZEzYlfzC6FFT2I+QYjD1FR1eEsK+1HHRQ4JvX /ipcrAUTo9wTpzX/KqWyR8GjvlukU2R1ef3uaqPZywR5c7HJ6O2AAA+8kXjSPcn89ZGTKWrBzpm1 t5a3R5TRssCBbDgiYbg8Qu1XjIQO7iwTH6tAnUM9eiX5UxXtVB+9C4HBFBwqfsunUbSC85fKdkjz zFEbLcDK6sTWSqQDrj8OPLuJtko0LcgbPXBGamffi5dwrZz12qNyGVVNy49xbSS12ymJddqKHXQE d2g5Hofhk9a3cAeLZUezO4GmRnhfH67tpK2KJkimsoDq4FAp4nJJaF9Tfzk5cFjpY2PQ1oJudh3O /s9ChbbJ4wggh4lZf42lMjK75fxb9p2LM9CMBd1ge+DuzMPebLNtMu5Khd4YqooKRJWpOfzCUwCH a6kJpmufE3WLrQVxYaV8ruTv1NrMZs00LHdkWRH5uR8ANIbqjieWXPpEf12ZSLKpz71y8sMSaWn5 bIUHjBS5iNu6YkWg3GJCFB3xbBrlOAjDfnd8mSukoJA6KNr7+Nuru94+IZP0hhldaAvzwvfcCEny kw6oykRCqYtqQ7badxbzW726VKg12RuB2miCEmCVPRuwbu9ydsw/b12cTUhmSd4EwlhV+Jy4T84q KLdCIuUAyixBwMCJo8kg48VqPWNWLKTFyWjMbK6KD8YShgB2f9Q3Z/qCvwjRgOddH96uonVZf0NP nA3P7wt2fpurLNYD9koaqyOvliLhI/B+YGv6JwNX9/E5Toz54hh5+8gpulc21gkqoEsrNnRxjIga nsW8JWGyXT/Z0sB5nrlI/6/L/dmBPX0Le9H/cyCXT3zFenjcW+XySB7I1g4sRQG4o3dhc8KwroLk u6sbWWoa+MhVDh7rCcRMQ+YULfjbP/Zr+77nyptXXfBdK9MePjJ2S70vBA+4VyGl60k8qmHlhft2 JREOEZ0IUUmBwgnwTXG1W9Tvx6PKB/ru6blsTSK0Wc+NW1BZKHYeoZIc4+lt4tUwi6zG4yc2FCu+ AKJyES7gzK6TdsSahexJM5II7PS+s+Mcnj7Ispr5PlR2SfqoQ45laaAozsgnzh4t2VfboVvgQ+YN U4X/ch+YKCkGlCZNQZHg7RgtLcjqegQD42F520Xgg6Z1D+M0WnAGaj4oQ4Wxg2VeJzko5M1pz/Ra mND8x5Ftn40KKwGMs+RFgTEiyxMCeFxC31QlVZn+KvZZX5QZYalKRKEp3I5FDnqUV1fiqJ+Li+SV nvsBAXgV4us6dlzI2sW3qnxAqyeO8AhDPowHdYrG0Qzzwxj3xsOIhkWFA9CP45OvL2TKLnjsIINU 5TU9rX+DfvRzdyHJ18Bx4Qk0D2STei/MP5wUKLUHZ1gShCAd316hZs53IjQC4vjdxl2StkzRpPsk /BaYW32HdgXyUGWPpkWjvAwD16Dnzv6xN4cFfmxegy3+5NaTr8fSZIC/jyI66wk0cq/cjuqV2Hdz 8edvMxnKaolR4UYZIm+JaWZaveBxVLzmiBxRfOQkoamKrpGWFMtVSi9c3ThigwGBhA7EAiVd6XGn DYLP3pP5hQ6CGctg2HNZXPCVAF+O8tuHHM4AvwBNbWptet6v0lnF6Fsyg5AxO9Z6NyvjbPDFtc3u Yw84fSqxnBsXPYCQJ1ulpf3+ZsaPSN5qv7xr4pYE3bb0qfwYpAmqgHajLCtZbnolWoW4EcFbjTXk q5a03eKTrrLtXD3q6wvRO2/8hNpjqFbRgFWirtx6d+gMpL1lPo2HB3P4pKuB8oBIUqMbC5XFf6xb 3ObOykDbrVKNJKt+VmZydH7Ebj25V3RZSpa7+J+N3n/0WbwFwvBxTjWkbTZkuWiuALw7glnBosHm YsDQ1lxfTT8V01u1RPPsUtO5WedmkkIw1+so19RJoqNproPJ4r7sNTf3Pm70C3SCB6MEw6wAzLUx YgLRHKqnafk5wYHXUyV7CY4c7kYNmUx2x3V77glfu3MnPdxdgFV8cRbG1zowe9SUdJoV6z1wFY4V Gj2Nzxoa/B06txNV30LEDFEv7jPyhEuN6NmMi9+7ZmV4SV7A0K1B1Raz6fmRexItxN96swSgsFTP h6H92L8aTxc7uEv7ubk0nvyLrJJakGPnl1VOahU/rdAWu23lXRgAcH532KYSZtNwDjurmyb//eqM zzs10y0T90EknfMUsmqaOC9OV1x9ybt4vE/+J6qLYecsMumrPVcbJ3VI0pJM4MCxYrXbYktykiyT h2E4w473SU/TEnwvgNa8KJpadu6dxzhIozbHZwRa2/OKIDTt2+vPg+/WjyUlwqjGAkBh5AMKgeG7 uOQ10foJDGlqPNQV8+NYQ9gx74//BsKY+WsqgQ7XNZ20TsRj+CFenCuLMIgGIR3haVLD/VK4XyNY Po9TiOGZmJLEqplI078YD2kduNbcrEq8CxdxgApZfFB9IjavX+ej3hQ/af4VqPUkjhJC7S4BCmAy qFCRRuMuVbn9L6Uki390MQhpbdqwHXcQJPRkzbAhWXqbrYN56Cta+LEqUeUtRY0JTJByy9ojTwFV G+uTH2r7aYLotZJPEogkk08JsDD/9frW5WjSjXYOicuTaN0ozj09dvI6601jkLvgaUXipe458HM0 RyT1uuHIipfClFNln4z5f0qxHUsYIwAN4cFlj9sp6kqqocMWFDTf5fvj/nszn7C6vPXy6eSkXQAg Fr6WaUWRQIUdeSuz8UUtgu+f5daIMfyn8GyyEL+W5xzjX+moCC1SjizZRg2zLozEdThS1GxUKBlR S4bkdBhPrtkqp49fRO25imXEICri1w9mzR2e8ODene4wCC9sMuDBW0DdxGWC/xkeMmt3ZHQWh/Yh i1vpXfIEWjmJ0R5G/r26lmD95e13GRrIMdXfKWuJ//nkZ2p+5EmZXP+YP1qfWzPjylpY8YQvkWuK LTpmF2+T2lBV1451Dofz2xffXneKGt2HQ74oBnpOUumaLFi8745JLdj10k2TMDttikbGXrFmjNS1 1KrB8vgSBjzyqpNvT61aATpk+zIqntW1pwaTCFoGT8ejs64WW7hfin4LGfo4xGVBs74IEAi1g5DC Bp+xOlYM+z0uvPA4eNyTouZ25SswJQ9lEntESrh8dagsKl/DCkdiD0S7gCUA2oFacIN0DC7AcgSO N0h6Pwt1/1f7c+1FwM4yOsV49j0CatY+WYCsE87I9/guNlf519k1UDCfhogGkb8CHo88++cWTYno lmPxg0bYN5r5YDCedS/95x9Fm4+KkTF7t7UVFD9PmKfCMcNLAB+qZ9wNuDG6aaeqMa859C9wTzHQ ZzMvX0Rg0YzM/Gmu5MgtHjNPnVikp0VYV+k03MqvOGorRhcl4wcSbLemcP5FELZV2dtCVSNA63VV OVSdtHO0uZ6X2FpsDUPzZSCrvLlCCw8mWTvwUnhvcQsvzAYq/hKwP5KNjE6UVkTIrgFYvxJk6ro+ 9vanlVyJy8H9BqsBy66i9UD3oCJYM8l6bfMk5jnkU+bhMrBLti1IpJvFFPB2CEblw+hU3ekBcMIz YfhATeyIstKZMMY1UWHmEMGdG3ns6Ks/iI9l+GL+VPBTSw+VYc5EKXoqNiGn/LQFZDitXKSkJYEY qLF3vKlLnGCMy7GsaRtajvME94eAG5stexTLVBN0ePr15Su2chFZRsywZArGPvTZHv4ATnW39VjD SM9L0toq3jYNzGV11xHeVke+mZYC/ef4r4WH7KKrHt+IMIeKkP1Od7O2G3nc2JfZ/ImaUNmA0tRM kxKj9p7YoPqPpffwSgCiiGOZFc1meh5X+lFkJ9+fLcizuh3OWIeer3bLJgJpDOg8Sr1a5wZ/jkG8 X1I9Npu2+k3FwmsKgXyau1P2wy7K68huvTWLhlV1X3/0vxRuA8rxnUp2T+M5dCKkf7QnnSm87pAC rG3r/s5fSH7UovMTRd6BjcTX5HxzRMaRt1/htPzEKKJzljbkIKyU+IvZi3UCZG6Ga9WSBd6QFnUT z1FHcTWzYK1zRkdNzmdsKruj/Um2Q4WKyfCpOAD0IHfZAt5NMJ6gpxGFm1ExT2S1p97DAeJNq12q dgs0pM17WUfrXzrXeUg0o0U/PQaveAeyXFpmVHO5HgMZgaeTPmbEndnyGeIzzjhzpj6vJgQmlTxT baxtqtWBlbbEz/cr0wNPbldpmGfJOXemK6E0HvPHcOTamszDOaDwJCBZf8mi/f7KXK784gcLsLBs ZwNEEsJpQPnGgt/aJpFN9havC3veKAeSIx/XD1B1SjzwxDopDClKoB4/z9gXS7mWm1isfP5U32ZI soZdXVWcMPyl3ZHnmD24PjFSZWTFTLKAoS2UsgqXDGrTM9nWIkio50FYImjtwRqWo0v30iBXKQtJ 96m+Z5qadF+9mIRq9WXXkCPs5EpVPtvxMCB7qVhBOvvqYroF7InKYiFYNQbrn9kPqohbQLQwgvx/ jjCnOCjHo22delUZH3KS200n25ztjo2vynE0028NUNqmmFq7v5xHQXeW3O5dNmjx9jyTf/3KxGGt boSelZZP388KM0YmHkzd1Xmw4m1+8Hcec6/O9+93s29rantyzOi0qHa8flyYjp6hHqu0XHYsbBod BxTOybulLTh5qzGhrfk3gg8Ux+/IXHKr748S6mgSESD2tGgVU5QiyVbW4RuDC/FhZtcaICPAeP/l muk7b118tIfOlvmy2FjBW5Nhz2Ksa//VBy3arsyNRD+e8Vp8juAtR3lM6f/A4H2jfMGXGRVbr04n 2Y3Zb3idyxt7HGCmtQRiTMSO3qRJdtQpvOtXUJ3aDWmmijzjHit1UFSbm4o48xBqdDdfj/F1Kr7x pB/8GAktNyYmB26uo9zpkXUTgd5uKi9BA0DppI27XAqHlexPy06lA7O35XcajyP7/25E/87FjU2d bxBC+cGHcJvnOcs+/eMV/VoPWWN2o8B3gXPJziYNnjQhzTi2kAFkGg84hKZZ7R2pGrGIy6mv/FuE OGFLQX9xpHEXh+JIIxRoXrAHtT76nO6Hzm+tG0LDpcBscEbU4npLtPJK8EuuIG+aQUJlmyU1S0zm Pv2eeo1ubjP7oRDStQlbvC9LMt0XQMbveXQVywj0gPcpurU0JSzHlP9VaTTOferUKtqUl1EwaNQU YsBFLPhKPvDisQY4rMi9vcxbCRtI+QR3h/jgeZUNh2cMPJ8RNo9/q3DMwEjZxfb9LUKqqF4sS4oa TAkaSqjRW7kPWtRz5gQblqi8H6HiS8HweKKNbhj0SQpJuZUGZXi6Y7R8k2V4uCXnyh2WdaYISWo6 oO+OD5IzMFOWKWGdIbkYwvUKlOO8EAyYSrqKWy8c5Nv6QlVtac+0H/4TC8JucMUyDclNxLssjYu+ TXKtmj4MN4GZQ3Iw/NWfuIqsWZRFXM5Mjiz8N4h8LZ6yQLzF3gI9Ao/s1G7TbdD0j+lVQVSCInf+ 92OrCjHkhuXKEo4BnCf1/iww6ylUOqHz40dVFGTuj13vFou74EMn6j98VOhAoU8tKkOGYooTWwx/ 73P4Ern9OHfsQT1lBvj4fqLasspJFJUIZOFWFqqf/G2SNayyHEgKMfC9TBOsEuEPJZePk++KvE8+ 81N8CwBc6xfYlgl+lnBfondFV3PwtIhY2Tnc2XttEdb5q7XwsKNCuBRio0w4hgdnUk3Tog6G6a74 SdKCZqNwzp6uzUEo5c+vgXvUaTZzAysSlw3LCmzN1P0fWPmSXvry4ljEAtQyL9sRMIQeoYeOu20p c8MUUowYTd9WcdPzlCg/F2FLdueeYCYnRvs3Ne/U0WubOshqsNITnVzqAijxHXlWNx1D/ihonVe5 ssmeCudmD0O4Hga09HDcmkefNSLYdpXxdqkfoIVgRU4CP1Rfzy0o1p3I6f35sDejAYv1KMoi0Deq ruKhwpbSPhMP202nXkVi0PGz9q6lJU49SzLGTOiF7+hi36qwOyeP2NydgZqn/baF6GgoaFTsGuAJ VFDtjfXw5jIUpGbAugRZoAY5ugnjgXbCENPB2xr4tDtMeUCd47UtwWWe0Skk0OjpLyUF+QLlOBaV RObSFtoH9IIU4nnm89Wn64+8h/DHiMsiSFkifs+zUnIpEOV5LT4eaXVSQ45YOwRAqpsSYbNJ98EB qxwsdjYZpDIZJiGRaE3hdwNWbPNaFU6mveOLwp6WMdfXRviWxU/ixJe9yczMOo1SwyJpPtASB3Xc 7b34jMAsAUC/5oguTS1JHbROd0ixry0Fz+QJcWquczIdGd+wlA6/epOhJTRpLdMO6W544GZL6w+y oCxgM1y7h0V5LRjybkc/qFM9gs4fjj6fkOeFMVhsm2BRaa73/BJ6eCWbfFK6QjHHLZJPZYtAfM+c g3E3HJPy2P5+sEW2ticBK3/gGYGCrn4IvOqx6TIVxr74dmP1WoNNFINkvOkM3SzeL+srwMYHy95k hexrcKHbi405QT3GhqEjmuGkMYW4bImqO/LWQh+kY0Oy2kuSIK5TnEkVXa+Q9Q2SBfpo0myPgmoK ULyEHcQVGayuVbB3MQ60nteEIwVYPmwuHBrtkNCQNpfEY2W6G876xljxh1v5c0AFtzIuyUz2EkO8 NF5wRWXDKEVLs1wyHmuJPDahJ2dWDNU1QwAnS6cMVD1RjytaVmnfkBpUlPjbGL4txEygvMTr1nrx TnVEACzbVQWVx53LxXChb4kHCDbMt8DyIEM+Qw112UkPpyeOLw54MvXnrloIlpLwwm6EewOfK21X xlUQj8Mbipq4Kr6SyrwdM0eZhx8ffkDQ/ocprnJ8AJ4SX8a5nhcCUVpJ0+WooOHzT0AxVp7wsOoM rfGT43bmZNw1bP7fxMGj0nHjCW6lMw1JkNAh0c/oeCuzKUyHIKPC1BcKG1+hXNtVoc1rZQ7qdTj7 /uOjh1T+zGc3vRy/NhoxAUwvrUiZApd7JKts12+WLdpPuQe6fQ0xs6jZSq9T2D9T7gKpiN85LbjO 6JcHt+MMOTXcwW9y0k2yzFcoxfu9lN91Ucb7aytQkVYhAkPqZDWHq1nRtdZzq2gNblf2jhyKaj55 CttWHkgzairwe9hiZ2CBxI+SKN700qv/h8DlNNrJO0IawE9xubEEBQH3uFcsiNySJqAYH0enqpKH MD62pd8DGVtx7EiLqUqq02ZiYFCFSXbBAELNjSDPfN23Uy+42Z7rPR4KM5hM8eP8cy2gF+dLUApp OhtN2Qfw4x5w1dslpcFsaujn/LHilLD/BMfBI31VCscTbi7S6Qw0TguERWudrKBZR85kXeOgwAqW wzQZ7bKDaUZtkm/aU7Da/nVsBrm/MBgNkDykUEInBiYSR68YGBiyuKN/2jZ7/lQ7Zv/n33Ce9vBh Zj0+f24K/fdRZT4Y/JGyygKwt/Anzyj8io0/dqev6xs5Hjzrstwb6+8h+QcIT+mcGsMQPmIoVLg9 AmSjK/NCINWEC7+8YM8R0XAYEdmKNAci6uhIJp01+WJTiIH3BvZrI84ha7yjq6kbX04NA2YiAEIL hHv1sUfOxe4KUdy+ICANX6S8+Fs4ij3ROMwqmoAfCSHLffV7oBeXUGNobgLFmaMyJma6gG1gkeCj pNVAYm4i7A43pzhczgYPnqtyHeIN8NofUVhr28RJynUvV0hchxL1h2gx3nWrdvcPDrIiCxf67Z70 j1uhI6TnTOg3dXusgb+o1EwdrUsUqQosPqTWKPnj0PPZMrWgmPL2k6Ot3JJV7nX98XphQ39V3NjN UTauZ64GaE7+fWlErdrSxRcVrXYB27Wk/jVrpjVuAVlnJvcrhOWjV8/cGxYTI2zsTFCuA4nwWTxv XgxFHwYcAk5jrj07sl83Pio2My12wrOvd+j1dMe62PRrkK7GrHo676iBpW5gm+2s5FB20yDUj5PX Hfqa+gzq4kE7CtXYk9o0KgzAo+1jhfwpekCIEFfMoq8YLQNm2AkE/Zjb6b4hKawGTr49S7tR5kRS lfpFnZcdTuGs2r5b8R7JLoNaJt1fXVwETu9qcpPyCP2GdAuuQyYu5vcnXIni0vgV0EdjK3MOCtnC vgjRsti2OgNuyRyeQgbuFG9dJa0aSMY4no6IE1Jn0Cz0yHHkRyRb0+3NYAWYGhuDeQ+96wfQbkUs vZ/H0GA5V6tfdDtPZvJ1E6hn7GL2LSMlNigU8clhbeb4fc2z/UQddsOsgVXYDWGDSzFuqX8A3SHg 89HlWv0D1DXrqSZAbWqjSNf/3a6+o8FhRNCPQq/9tFVjhNVyiyOoQVWY3MAFg3aO9DSRxDdLNlbK 3dK5LuTa5lahBE0WtuCwkaHdrLdChGmbw63J6usIbBlrp6vxOYqU9059VZjmKPigQd+uGUF/q+5L ZgjtG5sqBVk9beDQTJ6fAILNrxh8OY4w64ApMvKdZWg5oZMDMFkAMgLi2wYYo0vPpUBZP0IhWvQ/ CWcIgVTEhOIco6EKQtBKcJ7zCe6MvfEcCERmn9Jh/huQVNsXBe53rOwFGU9qBD64PYcnoZfuBzSk nVbk/IyRk7Xe1sS+q009tiCJFrlelQ7b8cikBYuQGKHfy+VF6FgGffJF3JWxfPowfBoTqzoSL9tE f7CxFb/16txmalNKhZjCflDaTEo5Y/jMzsL/D2zLDxubz4TmV3o35g4af4HQn7kqAI4krt1syPkT tyP8Ovo+AIFakR1UzvdcfeUad0e0tpRPDoMbAoqqPQXsNAP+S4fmcAFdPEaVaJMsIWplv5DCqrw3 NnY9EElk7XFxsp3H9hESZYeHzlnt1Vt8TbQusWJ58YRhJKE7/YwdAALdrlr6L2ZMMV43Rti1iPNF jRM3sgO1Gdr3Q7NX5jVaJXPUf2W0k7QQ1N8REeE6+h6hnBXBpq7Ch+Zybl5pYMeaSpEOq5GbPUnN 5A0EPa+a28KsgKgO8yWcPfQd6+Ps4mUSkMino6N7U1Ys25HE+UWYv82cV92PM54J5xM2j9dwOUy3 fpcwfLpF0vPHCcaL6/jvcztvx63oyAfoMvceaG4oWFNoNWORBe/VmpZKC0ZRz+7sYfTl3wAf3KE0 aeYymR7ljjyJzKy3Tj8lq0E/QQyW9zdKj2NFtcQrRQpId32M1eC8gkXMzUcvlGsssPSzbdXHtEKy 0xf3KBW88CpHk/y3VxqZzPvTWweEB3kWn52T9Ym0bb1xAPcMr+FIlGLg4+8/M+kgse5xqIi5GTHk wm+G4+VJFI6Tp484JV1VpWCLHEffRtjF/4Wta5+KRv8IFgc0wGsvxmfuAr3/KgClEpSAJLJnXcUn 7oSJ0ogs3VcH7eSh+CoFwbFCXR8uO91nxFeVTOq33jb9vs622LCLan3qs3iYSP+pKljbvUqfqULr 2rrK5hq3QFR09M/IIcpL7zZJbFV8BFBpFtNrQ1imzc76RFg/ZdyUi7vTnL4+qIqv6ujL1crQkmwm rPyY3RLdPR9gYQM89yPwbJ9sHZgDBsCRrndewiXhwcs1TvNdimV238ZCIiHPgfkhCdUecTg65IsX ozOu+e//aPzreOcQa60bX/61B5c8gamh5jrpys68KFLYFpYOiDfFqQddMEBWGApdPASo8W7SLjah 4E08CuUP4h/p6KYVtf2wBnFywr5POBJDq7yC5SA268VvRcHjhmM3beNJDijzhG6g3ExB+EwYXDGA q4w+z+awDDuPkEM7RH9VaK9TZa4NZkclWfXW6MkB9H+3HEKUua3OloqByjqAlKGze97nzTfjEPzd m/DCIf6OfJqJScz6AC8tRTLR4hIVGoxJ1GrmB6g6tP1AhLwWOYqJmzrZy6DSxKh1wS7TqsPzdxz+ 9NN4Qkxg/tHjtDatC+491RTvqT5oiDG2UPwZv3ufAAwtevXB+jZDIzPCWxQ6Hi96WOLki43Y34NR SJZKV7rQYSfHqIbNbNfaOonszj1wqY65olnw09l8lAG0qEMIAYD/eSVc2abx7R8rG7/iDMxhV746 g8TXQQfG3T93kg/tORL4UcxoCB3j+uj2jhss2yNKhWz4dAF5IlVJu24Ay/w9tTbfeoWKaIyuLjEs qS304mhvTJ3kowXqc5vvLVRXtJSwMhVp5NfxCefaZE6kOJj+RWDMIZfqtf1DKHLn5VoRorwyVuOR L/qhVHdfjzA6nw3YOSqLdld6YOFKYTgu1FN4S7r9YuY8wrIrrtBAglZ2Kez4EwEHxcB0WU2czpmN 6QTT5B9i5pSrykKpySGtYAsHD9MjsWXGLjuKVjKUNbTfkKdom1eCiLJre1A0dr29/KTIQ1dOtbcb wkmiTXam/C21CejuCHGRclyEcPbSJDnnR8V2pumXIQbOKRki6Ci+ZoAoQFPpWfy0JiDEh59+T5SN kWsXrJGMJw/jRX2cZs/Wzvo1GA2rcXypnfh+dXdWsxQ+U7GQM447D3wBAi2cT9MY4BMlHbu0G5wU upW7EGc64+nmh8qKV+3dmDn5GWLBYYb7I84OB6/szvLgiCcOqbzGIX+MIdXIjCILvsONhyp+vGLc PPjmg3jHE7UobcuX+AMcmbT1bvOehyo8vSrPNxKaJ5ihvObzjSwPcGqWp9tJWsciE0O/mVcq5qG/ 4hWmZv8DF/AZ7WI5JXkS+WztLck8H8sQNs2YFytavSKCND+XhqsXr7mLENGDGcLdZ3lEULSkXHJi gEMOhvXvJEMKpb/tIMRaJoWqOf3b0qwn59KJ3cILcdUj75G/11bnIOP+XI/ZdT/E5u7Is4yNfvCq K/rY15p9wTPJbK5VP2+EqqPvLiJ2ZP+IPGxP7XyA6e1fd0f6JJEaqlz2clxMsFBsUGKCwMrMhqpO 2NVM3KFntW6sUQVc7xwRhktLKNWNEzJ9ha/4dkbLDD/Oc6xwmIxoIwVBN+uPZy2IWCCal8gJlYQb VT07gUTnQGkiJRCa692YM2Na5IIsU2XerOCqXWolWbCJI7f6Eb3qMOd3fPupdWsSU2dqu+5FCP9h E7tI7LgN4KFPbinecaEkTOj9hOCu8aOpKZuGrxje8qsuB0/KvFgwT0HqDS+bxHDXViNgi9Ab+v0M woUAomS3x8x1BO7ysp1aVAkeV/zLSmtF6U+kAqYou3rC2uBLZLORtoM569RjmyI4ZDZwtgv8F2WM zldwCmjYfitj9MsX+rMSvm6vOAm3YI7VqLsoBgqi724EKraflUTBp/QAPKm99+ILlUsHmanvR+3+ nPHGCtjdxnQQsq1ugjHOu2PlfGx5HyeFypx3eW6ckQ9W4qo9GSEhWUzAnMHaq33rR5hNHRa85Cu1 L9MD66SpbB2e3LALoAGcJ46+rbjtRNSroyqKoSLCCZ4mG02r0KKqgBU8rw1fihJLFGeKipj+9UKH V+X0PNQVRAxkeHf20pLWC42Ha0guyBOHq//50Bf2PF39/0C77IykXkjwJzKwGxasrKTBm3w9aHDn p8Ayg1oMSvTTfsH88R2FZqt8ZzXH6QZ0f4gWUB74XtyfHViyjVsN+qxH0s9IwPxjVEHNBaSdtG3g GXF7svlY+XomRiWzM318cyZkAG5nJL7tCWcdJvt3h3p9Styc0YZdtUzrZz65YIBjYlY2DIsQmsZL wd6LwsypasyvjR7LNxFF8oEtdA6EydIKauWD57G8iYvuHub6PaYgBTT6sK3RQ3CRbcBlqvFpTQ3h xKy49yI5DNvjLqbWSg/Lh9WtJBpRV3uChfECqDYjC0Iz9zeSpVplI9uobY6JgEFTzQ9MFjnMhfCu jQ9sSw5IQJy8KzdOvL0AZqzMx0jPQkCQIclUtjpdrfDelnRpsSoGmRtMSGMotLZSYsoO7WYyosDO 7bVw/mOOE9I58/Xfuu++oB9efiCBUxWK1pS3UsZU/RPQbJfTZmduS+HGNYoT9JRoCKyG/DrBf3Ye jext23gnSCYtUVUR6RTd6WZ3UktZHTeHK0a3oMCqWxbRwo3ovi1byH5TTvgT/ujpHuXjj/C2sCH3 p2FvAXigAVG+XMGefDMrAyaPc1PmpUx05tY3bKUI/1B1mPaydNgv/otrmElWMVcgRDGQknU/rrfU BO3RfpjAKMEw8fKGaHUNU8HOPtfYWb9P/pbNOaYvWSHhK50sIFgM+LYqLcovxf/DLnGugXSSsUqT fL3eBc/ftBXb55O4lswN6McLTduawg/LmdzdDspfO/ONtn+a8180jlmFx3orEQ0GcMBmyU5/eoeH 5QmyC0sV247kJfOUO3qTiacoMTOYwa6AJaEvHFwhv1+1ox3XCfXwSpjPzxClrLniDvsJaKr1kWdu IpLvAV3SYToW5Op0uNZ7mMhSWj7o2nDJPwimnRJz6CIz4+U00caW5mHGmU+DutPzQ4FdqTy3mDul WvhntFF5iFvJSYwvJ0zqvtCflxd/Lg2Hy46TG6eC5fQMio4vgXY2q0+sC7G81avCqU++LC4sxptw SnYbS9FDz14XaHouS7EUKp/IdEpl0Pp3wonss7IEkl9uOc3UkE5ykA0fZ8lYsOs334gKYpplAmLQ y0YBQ/7EpVwyTU6S+x+BAETweZF/eBJokZxTfQgvcj5YNWKgHrJxR+fe18a9nx3N7wirFa92bQlO KJLAiB9eEzDaRiWYM7MB7qL7ngMk/K2CYvFmJJzNfc3DODp9ljCnkL69kh+HJhEYa9Kb3x1EHlCC saxTlAzK6MMIrLu5ENfQ6YgYvDaHXEr7QD3aEwLw2VP4yXkaBpaH+ho5GySsbLSKK2p9OpLP9Fmn uTOtCwRMU8yYiBcLBt1pek5ZYMuDl+wxOqx08t/uk+5COKXdP6YLUEgmwlkJgY/PM0PxF05D673J HqgLlnDPAAt66i0iZgoTj+jpLn/JX+qks4T8vQbFBLGrMmHdAQWgwwid6E38RjN7EQNEIg+BSUI4 wRh0zrypMQsbxcFzEKCHiY6e+RDUaijAj8p1D6u8P3NtYpW6WwF6zyEsg5N58oCBGmg+4YxC0zzd 22HuNvFlnwlJobcnrjYJ8y6pcldLFNqNIVDHQvElU/kQkMQBOvG/+a32gCsx71WEvJSqWtykBBeu HLudqZU0sSxydhgeP4tuHePR0XnsfeAej2lkIdadwkCD+KhCan75cqAg6r2pDN0du0Z0Xaz8iI9k vvOhG+X8L9pCYkytEQbGkv/Ow8VB+Lmk269bQujGxbwb6D3QTZWR4vIOz55TOrxJQprqrGYA2Ml9 nRmd8BF4CAXbJ1tkjpAigdanz0eQQBSS4aVyaEfJXf9Ix4GUQVxE/b2ifsoJEtFyhjD/uAFQ92cp n6pqM8EiGV34ULxM7+c/eXE7S+IWalk0AlWKG3+hXdEtAtWe0u6JDi5r+CNYit4mUwn99UuMFaMl 2Xl1ZzMbeVk/UnwrhU6QMxYBDo3Hg0s5+jngdxWp6Lt1nHUarGzWJ0nsEWXgTM5aoLYPr7ES9djb A+yu9u2SLaXP3qkyUxYui9faojt1jDbzKn9jMs3i71g47c74mzS76292h4SuNy4RUcd3EhSsVTC+ jAJTbHV+3ykOiyFH0k+atrgDFzButQUZOoAtMqinQ1igRZNR2pVkLkXQMGCgsu69nzqy7goFZ80P lyHU1v4e065UQHYEzcsvrHgjMLr3mYwjXcGrQSflrMUZt9h0roZJmhb7JPTTq3hw1/INiRIezXBh xzfszaftaODjsGTTahXlxoaksm/1p9b1ENR33kGE2uRl0MX2IrE2PtGVIZOP5+cnG58v/u2XZCGi SU79ieZwi54WAdpg7Cn6e48cRQAQYJ5aRtd2GwfN7v9rg8u+iPF6UH4lBg1jA+Jrt8ODj8nZRTYo SCzVIK29udbC7Ze/J3xq0fhXzgyNky0rt95JUccYAYsvUl+u5ghyByQEjwF0cEKg3HUpBLXFBZ+3 v10znNtKMvaNgovbZ87Br0xAYqd3VDTFfHmXGDt8hAIz08VElYL6Ej+ifWNrRPLEW1q379hpe/EE 83kYhTSWfy6oPZNcBI+5ardupnotEYQWmyL4M3HmUb61EjA/H6LbrMyz4wLuRzSstvLkoLpvxPQs 3qAEdx0Aq24UBLuhcwpFGfNw6ukezigBlFrhPFD5h9ec9G8A91OLdZ9OlI8PErxlN3QnMYLp1GT+ Sktyvk85OcKLup1hEmz5db6Y63AbAS2JKLJ8EBmyfpULJ8JhcKbQXkClBy377AaOd8n14Kt2+6vX 0s4VXZiNcO48z02L1xnoX8jtO+TYb+66At9VqqxnGRF+R5sCBIKUJLb9nKPxDsvjyT9M/OcYC0Z9 Zbs+MoseJaDHlR6u7i8KesE2EJ9fhPiVK4ZWnDcTayBuesfvQMI7lkqVhfsjDDr+50sx2SlxP8E/ JzpXzSL8oZ4cuW6qq2sxa0DZLF0mUQ/TrGVnUV/3PPJkxpm/EAKqSCtAFuCev4doFZjh8OeHuq6V NezUWAPmB1kkNUmBufSf7hE6IfulZ6CmiZfA2aIemglWCvpaF/xtQSxDAn48gLoshfPmN+G0ZK0l tG7tVoj4qyfw6rO7HhpAZZnbYGj42FfvrcuX+JWCkQqjOC5GsRnSXVr3I68yAzWkyp+XmA1SYjpV 09+PRDVvGVwz4qAkhyvDxNqUv14dCOGksmbLyA8kOUPZlAr+dBOX9q3PAZTEfH+fdyntN+OPr+qw C1cxDQ5hkUFsmBJWYnDK4m/GRrKlHSIgntqydhl80RhfGGBxTBQzo+rD1OcKZIwbpPJeHwTzT+6z MYIqsJ/uaC8RTwvgSoeqBoZt/wci1AZzl/7qEnp+Ikj8ignnXcIElwgaDXWG+YcXv7Fr8/4F1Ncc JZp6ZO+I3ReKWrcP0M4C/X+bTI4lT80NCilITar3nn2Y9dxBVh0hChA2zt3yFbI6NuCs3KVC5LbR LpMNq3PVZNSN1xm6WlYZwhZUyAZGp/q66Yw48LRnEVJxHmmueVXyrqmJVD21PqH3PhTq5cBoZlwm XGSHc2H22XVomuqcorLfPybQPi60YXPrK/Tf5kmO8t/ajrxvnB3UrYc4Z2FMBZfzgkKarq775DiR OXBvLvcI5m5zc0U3uvaRHCszsqbDfTqINrJfd0HSM0iky4ukqZw+Yzk+SrWwEgzktRgb542rUbBA pPsBpBlToqwSFEdAaaP55JauknSgCNWDX69YOlW0DYxfUZClYmaDy2qFljVCwK55ibKZVU1xs5GH RNl7t9RtydzTyiBWnNg/+hjKqwxQ2hnPZ64v8Gpgg80xKl9POoqotsdWFAOx5x8zOCgl32EgosL7 YtRq0gAawtZma4JpBaSq2mtCnrWEJ9SgpSsU2zMvY+EkSzlFlju4jPvbc/1OqxSa+z1NFCPvgpU1 8d/18DhIG55N5qtvji4olU6PkeevoFoGTAaqKHxKh0P+NducxgLzB/RaFh8F/k6hJZGF4stLBKOT Fn/xMQxkprUjViErQMDKta0QazJmBlgyhgibIbLLjywCs7GJPZ0kMYnB2XCTxAmKq62qPpMXaRWV vbLvIMW+AtXreWXSTnW7V6Zjey5wlhXfFrC/ycnze+cICGulVO2gRbegKZzs8Y75WF9H2qunjOq8 BoD/Mh/W1vc95nycKadwmxWCjtMLKkiNr++ODkNMATmgfxIWqAB/i3q7yE2VtkOK6aD83Qz73sWU YFeCJovBw5hecKQIVrMl3mQAyDA7s9kncw1tE9jiTnJ/c3vCmNgxvsv8pveRug/dhYQHCDkL2EEq 4bWuP27wfNtw/KBtkTMXiZo3BxBLuK/J0BVI7yJGb6vF39bmb8851mRXjGqZRoloRrr6neniwxbu L8kYSJruss9LXAEVCTI6AwckcZc9PRPxqzXVHAFxbtkUtKWFa7FLyfJsO4hdBsHDvGWSOpmmdGAR enESLKnXSJPiRg+n1SfIrXqfHFfNYeHCxqjr+yfXFQzW1mT7eQWnHAAuQZz7DKLB69bSHsIKsx5A IuEtFIR7H4+phuEz6bXwrmDjubJEoSnHjMvPYqt2ZbC4De1VThTCc2Z8MnU9DbNY3bfsiM3tnYaG 1fSe4dMnapKq1da5nEH2Y6Y4/BIrtUQOhiJCgRkHJbF3aG+uNY/x3lBqglpsKK8d4IBGlRCD+PnA 4jhjaJ+HtYJ6e/00IZopUyI86nL764kg08rwlSZZEIO54lAM208DQg4d3BVPUJkwrc4PCZuWAj7d /tCiGwgyYB9zvTviB029uTTMV7JcJCm7y5vHLnaSBvHXgI5OpXmDONlGtSXbljIRTfjqz+3JqsBu z5nLQDkgGpVqU8qXJ8Lv/QLfe0MWuJsvTvzmEFyRPNBnbDb94VZn9vCy7WnLmjuVoyH0EL4g/lb7 LH4TQhhA2cyeRSH76SkTk4Smjd0079VnTN9QEJvp1G2kkiegq6gBe+B9kzz4xT6VfaiH+6vTHHSg GH31aZchHAgXRnizOJh5jUsMFfxscplf6MEgDX66lyHZY2WUBXML1t3Ph2ukShvXeBMgRQnJ61MG 3nT4QRYoWPDuNlSE1tyxFKR7GSwA9kqQe97GFLfBWCUSKNjjIJkMmHMevmn5CYFluQpHl9FEcaAU ZFywYWWaqjcHUeeLXrWKnzC6+1sxRLobzeN8jt85GB10A7CHw+MoAV/Ly/7cUCayiNdoCgC3dC8y wIN1oG7G6wI9+1z7PV5IDjLMdXTx1vWTEH0wBqDllWIOpy1x7a6riI34rgNpQTf2zFhb5kp2oTwo K0B6H0ejNyaqKMXGd4A1cP2yWik9MbAbDagzDnyDSC9zYlAC6sXH624clAH/myW/64paDoou/e5F tnvnIxterdJhrEutFarfBNdIefh4wC8igig5ieUhFa0disKOspK3Oj2H1Fkap6WFLVC47wFmcmxn jt9MG7mnnPj8BQgBhthRwXXXr7uKQJb5/NQ8nf9UV/Eztv4zuqqsU/KG/xDJzzRcEyFS0gdIeONc kQRVregYLIZCv96bAFhf0oX9Ujd3IMDsCFbfKLj8owVnJ7ax9DFVLcIcU68ElPLv7JiiQjIgU3s0 7sJ9AoHc1RGHyGpvgA0xKAapehGhIE/NCxpCLVjWL4LuIMDb9n+mQVFKGrABOWR+JOaGulasdPvp AFiM8TbgAejM2OWERpUEu+UrVbYJYySwBjRtaVhMQ3t5j2Qs5ueGq7ok6vsVb88rL4YwUPXJQYJ6 k5mCFLUk+AUQd+KBxSxoms+qvhe+svTlKjhuGagQ5G3maOUJDnBBYznROrA0/8yCQX1BfAXlJhLz ozyexAA6RYQG7c7+VxzlosN7k7+udjnYB48alkEV3iJ0iTHpeJ7XrR0fhXk0NKliOweNi7fE476W MB/raaZSHYGqvuKETAIhNr6u2iUClFIKwsl5lFZ/X2qmucinFQqNjptftnBCPH6Vwyz4P4hw3G7C VyWz1SCAprtPvkCaVqYtY6HrBubdbpMFf3BkqQjYyncL0TisPwqP/2v9z6NHUp4vDqEHSkbA9uKa n2ZdqBwFDsjRQMlwUprXtPmL+nSLVLDjN7l7O5/KiV4+FQcxOU9R5jvfiMzHzi9P//WstU9VizDq EqN3Xz2hJITqV1OkSuKS/nHya9YCRk2GCOvZVOxCf+oLV/SLnzUJZEHrjKCAxu0JGbcAIC5Ixh+J yAoGsURi6iphze4Us1FYn2nvSW4kd6ibElpo0untVHkvvrdXlQMb0aZSS4NWHUcpU9RxPdHSqGzd GVkE5jnu6VhgtB2ejVXilrmbU7VgK1yQDrhIIBsG7YnfD8PqAv5dySbOMg0Rf35wyaHVm97+iI39 sZfOXIvv3EqwPqD4cZAvu83YZaVmkc0y926oEgjMhjcFyWZTK7ffoDEs0eEnVNbE6GKB6M2/AJs7 ePYtbvlBoPd38ZRaU2e+XiakYXXi5HywzO3O7ZG/VvzzDFcvdgYrt5wPLKvhJBuxEc5WV3dCVx8k ER5CEyJweQztixQ5nsr0wP5Nm7p4oZOD4ckrHdmkKqwUdIF14Fxcy+++ZZi8OwvcCV6d7YfPDg9Q B9Wei0IuZzSIhs9vPWIm3E/QXD5doMRSTBuHrSvlGjbzU5SCbCKAm7jPM++gpWeezWniID4wwHHq DlgpL+pAWYQv3QzHkry6tDX8vQfGBES9bKeNoZ5gja2HgmBJfAeVPZBbuKqHlmW4tvMTKTcsDLjM No3KsZw7aV1AxaRsJ71qfXPE1Pzv68uuvDGUuxqo5gIg0PbFs79T1aWbRM1nMLGK5twzyrqLuBSF uQwxW64xvzaZJX+vJnB5d1DfFz9oAmtfs6njUeb7MbN5qidWX9PD+Sjx2l1J5vZ8FRiZLIl6jU6M CCrTxHKdadUr6VcDXWdT7A/oq9uCamXR3oKn7QdC3cwt7nhMbxpHPTdDKXq/9YmUO/+h81cO63uP axT9frQWqUygjfO228OhjTe7FEidlsgbGUokK6op145A7csoObvqm2t6fdUOR5lWwrkeDySHmslU Zfucj/2rsC2v4vxw9R733ucNcjIbQT+6DyFXTJ8+sV/LAH3wTlJAoBt3eOBy/8h0uXFyVAMQpsZi Wmc5ArBhpUC5WGCWavUSOmDDXRuEUywwTGAnz5PDzAcyjRo8PqE/SGgzfWyE+4+ErfBCzPWnEsZv qd5Jdj+Nv+70HsRKH4aNLVJkttrf9aidUwa9wq8SOhQ4vEl8VGpO90fi0kKI/aL7eph64N3EeKth cyZpv3EJ+E6naDe+mVHKj+aYB4iMBRbfm0/gSEj7nUkgjYfaIfbMcRCS2Ph/UG9Jq1K+AQmsVFWv ah2oZvzceWP+J9tenday3M/LSH0auikzNd25Cb8Pep0U3wuuIUjzhTnnkn76Amlx5qeyC/0D81x/ vjfUL8d2QH3QR0DcDvFAvIT9NOtvnfvBXsAGoh9QpXI59gx+K83CRG7I0Cf2WNNNmibaYC64sVYN rHPIz3J/6csm8/E6K4kJQiCKZsXW0hIgRQq3LXcxoLFvpdiW3XjkhK7S8M7hP1yEe/cGoZgXCrVa 3PRdcYmJoPQCLiX3DLl5n9zoP0+umKNQyY8nzG77xMkFohLDWwHw2O8wZQysVMALBTCTaVVFa4vI j7nwenjJu3KVr7gHS5ga1wfKEz2srRyJqvCTWg0tAw9htKAEGSoL7uFTnuV7THxfG29t4pid1pq9 0qY7gQkItkhU/67sY5i5tDdGlN4pFtt+ztSx7+QjypDeEg3ZxmqLuyYS9IdnsYvLBexETjBVRZaQ j0xg0HtpNmE4vl0U9V/Pmf33UE56qeAW/SxGPW2VRh+DnyWqQOdCcHf7xmehKuxgC7rR1zXYmO9d Niuk+HuFowDLqCqT5s3SvuvxvlTvQlKimrz/vS2E+cRiaw7pvBa0PmsZKKhOCvAYwcf/vk+ICldm EnuBvv9Zbze/nhCMNIhJY9RWRNYrSp2rxGO4FoXvNFrQgBvVaoLzZjxJWO0B4PVYsrpTnSl8SNpO ZVRbRSGn30XFydeeUGbJ3zhQgVnChRJmo9xBKfh4kz65n7Rb7hxkxc7KC00/+vRNrvahOXKJsx6t p26Na+R5iBRiQDizRfhqkPj6QLxrUlRg6f0s+EIyTzvj4e73eODedDxCn6xJf/2by+RpqbQk2tKT ReYTHeBqx33ZxIZh2ryCngd1QRgxDyGhfybOIUKlY+TzfGG50Jp1pHgXbkjDPqy0OVzBc9wHmHjG U6YizLudNDsdkC61630Pl7sWWPmYZVP97T6LB41201oTrSvy8/l4rw4D0L1BY0tePzyXc60WAuaB xQdmWo2z3SHhLqa+6EGPMGkNLeKeGGAkg3/42TqAVfNIPndfd6n09cL0+xExnvQ5CRR5GNQWEtP/ J7EZMwU+ndr0pXfRtG/1th8WAkZsR5CDQvJG9z2xTN1IfTDU39puYrypQJd8G5BbI0eUJ0sFCGCc QS098u9S2qENu5zoXq6NlXqJArnyHKEfbb/UXx4Ni9PTVzRoet7jaejtvtYhvZsHuhcPDuGEm2Cy XJniSC58YxJ5KLKDLd+5lk06WkKnb6I9iUpHmC+FgHqZ5gegq2N6esuipVZgWHVLLbZT4Uba5z9f mSQ9G5kcZU2JFpzgUhBTjyPspCE8riwMbLr2UEN8aRv0Lv+X3fy+2y4AGJnBw5Rk+HG/ypil2zog 3xTDab+xmZW1ishtIod7Rsv2TQP2KaOeNeUEPaNiJ64N7c6fVotNd3GKsM6Y0TRfD3egQaj3SUa9 SNTuJEqR1wln3j8rxdweeQ7r4ms8OMF9urrFnjrKYdaAD8oqSZ+28BMVTZvAAXxsc7q89iqmJO7S BGarvqf6v15/z50EjuFlMcLbNaa16RDRwDiddfWgZSfqiTe+hATRo3A1gSQuCvqWoZ9emgf+mJHy S+jXsxd3CUeRNZfx7XfUQA7SrelK2P/ie3+QguQzAPh0LA8Pg0UHfaD5br9K1qL7gIoGU3ps9ynv 25eh0fSkgpG/ze8J6BD6o/0ETm7O8QW9kybaSwMJILlSmiYsQPANyx7rf+0zIAQUtix7aHD330yh Hmx8c5b413qpxvsEDj0CUEHwjCN2I5XWUT4snQYgatPBhLBJ88JVPWEiYnrDpcNCtllw6UzFpJvj A5AqecCRmnunrUWi3ag5y0BQ5BAqYdqMvg0sEYoJGk5ACbzMhpGCSlk1tQmTOGRPuil9WLDlRseL YxkBGyV1SE8MVPMmnQK3vWnDZ138bHq8Tm8N2GHFeOymR7fXSor/UUXKJiE9b1uWeNAD/9trUs4Z 7TVUEFf/rLli9I3dpjBz2j/SbSCtCO7DbyvYMst2dsSoSE+p61YZrhhvkrQTHr34VkPHq4ZLlVtq wHKaogqZfoTb9x3W34nZoXodxS8azmiQWJeHXNFbOPjanoOLogSf7GvTYuByqAqFPvFRLUPb55HJ Yv4CEvGDiHMArSOCcz6CaHHw/bhelrh5BbPTroGwqrR8MSq7rlghCZ15/aHwdW4Nts3i/88fDtNT RZXKAiU1bMH+HrL3GTsTGEEKsS2w0Zgqsz2Pba5yWxsaXU8x/zmDQkAzs5Xm4wU9msfDkmOzTS+I bla3dqiC+xImvlge3fY9vMwp7hJVpiYRHzTKkRap2qGYDhfR9iBZk6ImSvKuJsKOtX++ubtcugCO XkAWs/OYScC53oS9rhEtzssW4rYnCllWtHgm0qM3h5xgsk/mnK5/E3I56ecgk7H6FSq3Ngmm/cSB 6ud/sNS7oHn382WEcsOF7QQ117XWdjfmCDTLSr9o+DuA/dvs9ehZekNt1+2kygHkOfAe0rZ1R/mT eQSP9aA/Wr7/NMzbY01EaWqHqf2foxdEDvsRUYKRy7Gog5K0IXsm+cnHjdq5mUcd1V8gGgTrwLVt tnAn8JJhofYIUDUqmsRDOVrF8KpxwM1Uyb5ytlFiJg9AdXHULuhndL3J0paepaV3oIZlW4vzeZmK 7OFyeyCk456VrPimSsh/YKOpkClq+O/yExpUiDiz+gDKwJH2EXCKQoVv2EM4exMMIpz8/EEULape Qv7C1AdWAx/U6t+9kAbSzqbs2/T81sJ967D9Bav6vAtcLNPjSBvKVZ8qZ4Q1pUjiNpUZ9kQZnLxJ FBrZ1FNQUC/m++eC+GCwP1B/+tNNQImid8vgJTdcRwxhiGI9PNncjzKmY/h3BGH033bR7MF2sS6+ ql7TFge6y9SH8tF/tiPLlp/w3rUyspCCMtQmYZDfApN5SJfrH6LyHyiIRSBcOzzAE3nS5/BCPFMi oEj4eQOsdJxHCxNRKwKPhRhMZBjbV6kgm+upIgQe17RDRhwiwSETQpbdo6Dhf16Cb7W55Nsf0+1l y2LPRVeMOVEZe/nq86gosAZu2/pezJDVwo/w2SIpYs+TmGeO/Kn6FIA5pd/do7YS6OGdxwjUS8J8 oq6oc3TeK+6XvUV9aM6Nk2kLQh6hFgi5Z8BAz2ccDjAecrfG99Lp1XqOPWQmXspeC1oQjTvrfKX1 SbDzthvf0ooRePyuN+LmdxDTvGf5pEhAoCD4CwDxxAJnM3dU+nFb5RYmrEGBFGFDXcB9uvQEsNLh eAJu9dTDwoDGwyOTHGIJXSVLEyFC2fOE83RvphTcfq5LYcChEgu/JvZx7FAR57tFDpoM1xw+z0yO Tw34WCfroQPxxmNgYw+9F1s+KrpeoeRYa+DidFR9azFMxzsM3AE5A7yvMOVC4Ce8p39rAp3yKk1c y5lZHk4UMwU8cIKCLhenoHxl6vr3nIdvP4rfzcG37C06mT9x9uG35XcCu3PNQHwBsyOqMQUXwCwQ HTRgsizSTbv6J3UVLm4/qawF0UGqcF3jDGGjsZZkJmEZasUMzyPl48XTEkih38A+e4wKwz0XkiOJ SnVmws5LEKagXomzKeUNEc4MBF3E5k7VxtTKFLtJEiinBqP9hCrNS5jY1XDnRnPuvBKubryUZZEz hRhLVbuNNePwzPX1jV1VNpygCtueSiN+LHokKBtpkI0xh6FDqRl65Y2f8X7F/m3ehSuOQGyusGLR wmO5VgE6d7QNTwQnqSb0RzqWRCd7IAH9O3tATiFwGrKcs2FC0Yfh+qMgJ0bNn2/yquO0XUYEGao2 6g4AwKHNuSu7as1z+XKbUA7ELfg+V142z5Ox3Ab5wesCsA/IQZa/HFCpPhU5fGivuldZ7dY1539t 6Ze8EzaQWDIMomqzaizatfibNHqHH256wrBH33A+WkOP1ScgkhShOxeTMzowHT3kANT30C+ObzNp GkgQPrENPdpD0K1KcDV+NdZR0soDb5NyZtEQ4xEu0YMKrw52o+nIUWo8vRIwmvVzQNPrKJk6v0JD 5jLz+u/YoPXJWaKrCVG5RX3eiwmJJkEaEhO0eNO/06envx85BITLHBpGB8qtfYsz8zbZK9mw3GLQ wztKFNGJ//fO5ahqQqGXnY2yL0T2YP2H0dUl/EPKkYdZqfXXkORoep09XbLIb57K9/Bvw/lYkvgO tMkNTY4J8aVm3tXVegapgXMtGXJzOFAY0d1V5FAOKk4CuMWhN2Vvdz1j84w5A7cUng4u0MKEkjwH GSPaEI8O/FwmyCMA7Ec4xnLsQmpT6eiOC6qUOR7A71eSI25bxgWao/mjN1AVQ2Kc8snhq2C3fWyf AJb+5gHP0lcoOICtKxIOxmcXScn/Pi/g4OKoog1Ci4wjprxNSJ4FIgIagv/evCLOtQ87COLqZ+yA rn8HkBlA34UA/PFXC73ePFPN07oywDifI1ZEdcb+g6T+MDDJKGWMXBXAWPC44V8hi7yEPvnZ6zPM 1l4waS7EjSVFQKx2Ic7FzRR/ZQ8rht7G46YePK95cwR3P5ToccBHOEobIV8Rwh5qopIm7S+sv5zb GZ5sj567ZCN7CQbgKND+Xz5yAp7iqM2dDzgOG7APP0vL7Y8AOH6bJlvcL2rRgmUBETSO/+GgyVks GN/JIFgSivJlmTuMKqEQurq/iA1/iIQEAyHfh0/zbFhd/DDK6UemzQNtYI3xZ/LJGcnZf9soPDE4 hgB43O6BmXXJu+aNAni71FEgX/fT6lfwlR8nnLMndHnok5g9gDwTaftB9e1GMGt1UN+3ZFRh97rn GvAWAe82+rbjr+veObIdD3wpQKhfjCbwix1aWK8VOPIlwbgIRCd5SLaWkogshFCXcCJ4rx7fOBKL OE7J1IxsWet8lzNnItw45NfRJpoDuofw4hi0b4kUb4p1l5Aa97mkMQhCz+KEK8t5bCv88/V+u97c x+AXLozg0cdNzc05KdH+sWkxUC20YYtd9eZL03QdqfMHbx461Tsy6cB4YceDONDH6ft3Ofjj+fte dfkXUFLHqd4lDVNucy7w9I4HDf0/Ppyk/PYusRT9GUamC+L0lJ5LDqBhWngHX1oAWUC1xOjS/DZ2 fK73W9C1NE7FB3KBuTE9QKt17Edfi3ooItpUeeFcCdcXCN59Dw/vPNWo5ATZPcJiiz4r2SWvSJPC PjlfrUwlI+1u6rgILT0NTM4aw4HRVVVvFiE33bZsVtB6jrGz4uZBGWrMF5B6P78+l9bGBQvdWc6i lOgGvPiMLdrmj21h67EFylySJcNPIWZq4JIdC3yTiDvQgr1GGIglDf7JuY/xXTigiGThiBGR33o+ lAAqz58vpGQshfmKAB+puep+ElQeVGjib7KAO4DkEjldZz2KFOsJjBfwX1BCMJS7wIaDiA53qR76 zfrYVs9a6AauQll/NazuG2qOB2bHaPbNHyc0huEnF7qXr3OkhhcsYIUVH84dtXMeoqEH5pb6IBr6 G3ndGiHRrRxratZbvNOzrFjbBHU1GiYbA4e606TkXd6mereh/Jgb6DW/Zw/1iqwj4rVmk/iRhDwl tZjlxZn0xDOQP2dH9/Qe0BgG+dK7UbcQ7xWSdj7rFkI0tQC3BUFaXg3ruAARy4Pm0/VxcVvIYbz5 BJnqSkoG5z53xciKG03angVhlwjuFUKpBKkUu9OZMf9sES6LFCXCWXv3qCVapidMzLt32pbGim5T /KqpYbmNm8wJAiQM0SQO2RytAXGAPc5jviWqt318ySjeYM/5mql7PDz4Nzp4f6McN90za7hH3nmp 5Nv1nGp190ATW7QfTOIz14DmwvJWmmcfvI8mFLIvM8q/2xNsY579f3enmWtSc/eps7XbzVPIwupZ yQOM6CvOwqwvJav8qaeAVnKnufuoA2CGES6GGKk4T6Do3MfuTQ45Vqz3atK/HCExoGPrpSTHP7hG yETYLLSfhCZcz7EO9jQlUgszhhi0trHILXOe5NJ6WJAi2/bWu3qxqhRSfbj5nS1eqcHJnO0qfPg9 rPc6yyON4VoUtUojfm1Y3ik7ayRPdKmJhQSwTF1uE+luRXFeVLw/1HKHmlHTGq3ORD9Xscxc5DOD MklDCEPqighFhr4FuSwq9qZeluOxuwM16lUBhOGjGfCi7hXpieb3zp1/zQMlox1geupQ+13XxCdp inaWqSVhmVB6kGgOI/UZF/dP1xO65iK1nKXsREMyj4U26G4OinaSdUU/lY00SVvp6rNyxHtjmWA2 jyB/QNVMCQlH4RVgox+FEcAQu+IBCUnUmaGaUGktFIeJe3zuV82OP1MWgbfm40eDYPryhM/fC99q XxbqUeZtsaLQzm6+MkxSzCRyGE5DX/r9UrevOTxeIAQ48NL97t6duMMLbV0L/XKQaWHyi3MPra+M Eo1/McNsKIM7vsOb0J34cOGsHf+n5G0X9sTkFfbrXG/ygPbQGeedZApeZ+BR4Z7pm03qw9rCVJTd iXfNq7MTfY5lco0RryujWW/3QMlI6i38jazR0LiCNmQqqAoverzLt2kCYLd/tMKiI7a8m3Is6CNW 1p08zdIdsCFKdpcebg3S55RrwAjUF+7yWI6k0VqqXBG866qo5iNpIdydwgnuBz/6QwcnkuhZg/6p 9lQVW1tKRGkDcu5ETb9aop7QHCXxTH2qmM+gB+mk65Ge9dMRTDd8EWcac5dEr5rhtTYNlfu/j+rq FeHlUls6gGEN7Ecy7KVZHjiAabaZ2Lh78t+FuI5Z2B2+eWOdOIXU1schSfKodd90lvdqRhiF+c+c j10OrVwcprwxRbCkGIIGEkyaAxANtSKGLLA4HnKglIi1VuPMufXQzgCDPqWIhtzFsAZx/K/45Aug eBNX1Ci8YeMSvwT/5zPqrWwDzlpofKtS9wYy1G2rtwJSdm0+Y7KzlC49z+PcGwEkjehK5x7th/Rv /iibUpyR2MF8bSthW1gkM8TXcElUB1aLeTnhklO11VIxqE7dfCiD6Cjfs8E1d65gD3HkTwdCtHHP j8/dDUdcJuKd09dPFbBPzI0xhmz602HVdwISgDEhRniAJe0ItK+xHNdE8rWO6dL9Yc+D7dXYMEtJ bh48KC1FRo4octXpTRxiP7VRUqwloyypvIh297qBExTWgv0WICPTYLimdWo1L5HBCT3KPKGoNRmM lreSETh/Xglqy1ZbSWTaRvt6ysNt7gG6y5dgp6gme0Vm+ZPbfd7FlOLbCwcZhZ2L27mlmGP9anlT zFPxUQDK+mlQAs0O1bYS+snNkDYqdWwZoGnZCQzsOLJIc4PEiSj405uqnJWq/lKzDktGb117MDmr YqaQQzIrh8GerXDupeRsmDYTGD8J6kxO+NvP+JJyGp1gOe1XpnOwzWKAvx2GyfhWKVkzBFAkBIfI zxo4ksczAZ5cJe93xdN6FXvl7vTZZfjp5+sragP+wSxj4yhdV/xKPSdpRczBmq3w5WIaFCWZEXw5 L26M4iEYNSFnMsii9YW2SyLDlESLgrXDJJt0DfkbuyPoa1Cevyn62lfCWLNZfPcrksa3zGfzRygW cbpO6P7m6S3tCGt2eKW6iwPGm6Z7/BWc3ZrT7ejsi/L1u0Bes8Q7/C8myt2lYsI8mra6HVEe8SqB FxvOB/dc0nFcoIwiAXw/R+whx5Ywg17S+TmtMqWEt8qDffIItp8iJY6/A5NKOtNvD0+aT/WObJ1I 6fbIccV3bH5qC81F/9PfJ1XR4elF/gdV6XAMMRuewGKtJuoI1lNNYmGLdgh4pJD8YRF+7EFtOw3e qbviO/BQhMSJAT7LG2AvtprWAC4TcH1Xz2cXiqtFRFYGuAKb8O9QO7LRLEld32rTkTlaIR96RmCy M06gNq4DFXeBS/tD3aQl5OHsnqimGgHMkaExayWC5OR977SuC43I+nos9W8g8RePKVuNIeNI8I4w oAKkaau6NseRlUcYrvcZZee8pobvO6mPvpJWX31E4ef2V9LosyvsImI3sfvZAaxpte8gag5HsjBp ZEEdfNDKdZonCX/KDAWw3j9mprzcr7ev1RVNaQjRhR0koDs75Re5SZ/K4GWjCKm2FM+0dwPWH/vW M4ETT1rTt3RFlBJIh52lAcoTVBg7Q5j3VEKdQJalHf0hwA+vNCLPoRSIdWuUTtaQ0TLcEr85yPmx Dje1YBrN88buqOR4Q5IQ+/KHkC2IZggxg57bwzNRzAGhEVlc4Uu5tL6e52Pgzo+Y4CsnwieTy9FW eyrPA/K/jPa8+I9OH9MkS/bLfFrGj85fRAnTODNtse6qmgtJ6Axg8IhUGUCa57DZEKWi3eD9sbfg N3b1HBWINnsRCqMAYk0YA5Z89g4T+mM6jnPR7ucGdHZCMBBtKuinknrCyiG9TIQ3gb9XspCy2x9B xo9ofKohFRUNnTK6+PAGKTP02OnM7OrFLNPIjR3cFdLIgfk1lL62O9cmyFerZC65N5T7q4zQ6++K T0I0BWueu9TWjCpbkfOamle1ADIls/VWwhyYoTpuAQ/NHfx9ipG0XNLtgp7JAsXxu1aG58xKeBBz cvxMADkdvbH4PzB962zAl/5gSn5tpL+uROL0WTJ58ce4tUhksmT/GIXOEzHkpXZdmDUAMlkLP9w4 xX3Vkl1DbY/gPki2L8Xt/SynpyBd0brjNBlh59SbqruNxJb6/Ic4nHBuBsluY5/QmtpVZjSEDA5H dVxsXG5TUZxKbGWFbn9PiX+sjQpr/ayQBmfwCkqDtvxYYC26f21dLcI5X3azSvrPOLt4gZF5mnyX EpsOHBOGzAON98WnvH4HOnahMeprFLXZYENCmoVvWLfKJA2SiS4AGpV222DBELKHAuwtFf9B0FEr ZbS8+/3cFALGMgmflJkdZiREOD/7bl0SU8D1OAfAUKPXTQ04gjS2rKW9+wM1RSPfjty0wjkfYSvC TwHUgoNmVecvGMrj7CaneTxgNWthHFn1sj6nn4xSQmDQsIZ/eq2Cn/wAqD2H3FdFrR+i1CifWasJ depPUIguc8EhV3ZADJt7fFWR6lXx1k61OkFOipEsqn/9+cgR6v6tzq9ypDqiQq1cy22hwlYmaXfx AVPCT6jz2HS3/dK3/wJ9Bw27Rne1h4c4tbINO69Uz3thxYFvF4rMIbvRE2+ia3RqedELMTIEP6IT WTYEersnRPFnyQQTR1d2dhpq7XGwetcAt2MtgIq8GGfbK4hATXzGi4JC9SMHKWjRkgwZ3Bu85hCT SkXaMgdUZ8w04UxIio1TaJiOuHCPgWUJqDrHmzTW610WNffuWSNwN78DW3VPSBejCpRXVGJ1Q6PI k2j8SmMjiBIn3WYqpGDotsaFiP9zVwtYnncP++g5kKmOtaWcBtH+oRmCyywVDBhNpq2ZdsdCuns2 9MWr2SUFVPh0fp91n08CCoTiogn2/FlCaj3CHXdf1aYELNh4FGbZNRekXoOukrzmiLVlZwurnr4k D8TE33nPxH7oO9yl8EUPQ/gqk/FqVyZ8GwLjOhp2CsjbUeU8ukm60SseumdHhRj+V8t0OVeNVS1I WWN2b+0Hag+0h4C5g3753bJ9x4IbDS0ktn9yCY87c9rXVOb47+HMoj9SM7jI+xhqs6oav/P4xqnG feDhhD5tFtbwSvvv26Tn7d/Kk2++TJzP7Lrt+iT2EOQDMDSlcdzxMKRIhuuumGL5TbsCPts82Itr p0pr274misvAmTsSC+8CYQplGwVBLWonq/HU5uO+ZTygj1pUBQKnNDiLsSNdAZE6zXG9n30a5Hxl KD66UhBQCpmUOsg/dsot4TLAVwSqOdrEDZCluVun/RMflD288hayyVZ5m55zA+oVNjyujbtZFbC1 hv3CQ4OuJIFy2xbaCHhsbOUCNAi9PTrKPuWJewp2l6exTfZw02JixVIpfCTtlUf5/pGdqBBPtuGK m42jdRLQq/IbqCcVTlbwDWV3eI48wniQxn6A18c/PeY4NGHX68SKcLW+bBpthHlGYqnBSf5V70Hq uZ2aGtkKSvgKUrIWenoAWA1cA1LIVd+Mw03ba9OMDM1hobzUGoxWSEUMr4htFIqDamfM3X2AtXOg bhXr8p337UTMbDzSoHAC+K0/vAyfpk/3uM1kHln+7ugyUzS7NI4586c1lCISrAEkztVR6ONPXrUY Jf6BhmwAbMZg/i+4KBHJF3S0uOlw7cChFmjv0WnOgYeeGxs3FzKBJqUxucdXIs1Pc/t0g2gYgZQ3 6zPI+jmYvEHgQI02RLUXV5doPkgcl0K6R3VRZDMYASVg8NQn+49LpEkxMbrREcV8BorK8zAFhTu8 buLSSmSxWowOXTMicwmxZ1HyIYoDF8qbKNUJKusLWKWxd+pWXxfZF4gnCtfvEPUkaq+eL/DQlMho cxIPY3S6lFMd+h/RvGX+ez7Utj3URygRo+Z4lzFtOMwNOX9gXaNWXkGgNmeOUH/tYnzXYF2KZJwt QgJGCpdkZF4Sul3QeST3nWdf84yi/W5iOAKy2kmxjGnPCuSPTcnH/GndxavMXi7+pVAClGmNaUrr AwSoCPWlqLDTNrw4mZQWNRGJQfL0OF+XSoS02Y0HYmLjjXF1unR5wUltcYujo/UaITDQNL/TxRRn LIJSmnFHwTaYhen+u0CeD757mDswpcWGbhzQ0Agc7j9mb/H/XF47OHKy8h/GSQoi7IBb9O2Brh3Y KT0Zjj+RZBmQEVJeD31QSTpI+5t4RLkzol/BV5i1WmwN35eq30gnAAYopkf5IzNv8q0+/DT5cPNh w1TDtWbCnCCafLzJ4E3lh30UN8GdnXdrOOCMYfr0K9zfM9XuRLJyHnn7+bvo3ryb9KjvEc5yEn7z ky0euphWPaFBqnc9rGYsIHC2SVbnfkh6EnFOquxQUmsePUHiojqH1QZmyvYYeCHaDFsP2iIlI0xl AZQDyZzEPl4ABnOFWewo+o0WgjJ1e/b0QJf77gO4NOW6/MgojzTiAqEsX6VERfZQgYpp1yN363Yv /cgyvNDJOy+xWPZA9m7L0GWTBg7YKa+9oeW6stjlWHWSxLsfbcfCtZJ2xae4rcZ9khpuy7vn15/b xj8l2ZojDRFnlSxpw7v1hmfCbJ41EjsGxfV+B2W4NypIBsxmIiqo3g67DC2Hoe3c9UqZTxfcYTSY CIoWDDAm8MfoX2NvyuTa6nD22brGNcdwUam1iZHbXBKmXFEHyplTxdpwm1DPikytKBrRKPZrAfQy gP55ZJypiECxiadXtyUas+XfRj/5See9Cr5XleQwMcOVoSlt5AagGBkqxv+GbKnbCIEfmeFqHSaC 7nIAGpoqqSSgllFQsrM8LodvV5g9Y0u1godIfZF65MzDYayTIz0NcUP7EcVzad5hiZj8lGlfvPpX JtgsyVuWgTwtrw1FvFeLfndL2Vvm/2xb0lz5+DKUop3qRM54WQG6Ny1dkCY8939sWBf/cbKXymF5 EEmfy9AqZEXYjld5zKbIF9z4vc8nfDnWGILgDxEOAEfSubCblrotojEqdPWfsas69MxXQlKhP8XW qJmg25/FqiNepqQr9JYH6gcodAwv5tzcd0mZeO1Z/qEHXGOhmMy6NdC7/mqQWdNAU5GW4FKzIPjP tpqkVduqREM2ga+fSUHYmqrBadYtRLRq+EBHAvw7+68vcLy43cc/vTpyRjUDf8r2uOr7LD/F1Tuk T/nJ66RxZXevSSEVROnZ6wWMJGOomHl0BMISdNbJPcscdn+nU6WhE3yl2LJAQ6wPDVRf+0fRfgYo ev1MVXnza7jUIxqZ/yWAz4taVAWRNqbFQRw9th4ZySKWhlPLOlkbA5Inss/I5Qogfc43HBA7D7Vc uOQkHGPjuk95/CpPsl11YheUoh+VYHALU/JSCEeJSB+POcmYQY2yTw2asyXdaFywPWBrV9XxQdTR 1EXI+uuEc7HnGloBRjolQ5qE/il2idrT/2OHzzIa2H1t6XtQVswF9kFNmV5/FQrWfZjXAcPz4ECy 5p4ZK9FZp944nZ195zHH6MMayuUgJInoZXkihNuYbn+C6y2OH0E97OvDYhCxWZv8gyVFNLGOpicu DrdPjWEH0TMfykwbLywW3Ej+viuNys2X4pXcKIAfup6hxpPLT9S3WNo0nH+MfaZmUSOjm0QG5Zn5 W/V+Ib1xxZ+0/ugrxk+meUT/UIkixZDFNwaJ09EU+Nhts8Lu88beHsdyYBhA2JvsH1dj3J5UP/xp qWGr1x5WGtBdQTsHPiCiDIs4A/3ta6juSb1hAZGuEVuJ/4vpJwFXl7DPQz3/Yf5S0Ej4OurodYJi g5Ilv5RzihjbPvmpDl7e2q70NYw9fPVx8nlHWoM7pJOAtqLAjYI+aZBj/wvGLflFU0e9XHs0IArB WeO9dYteV3vo1UY92h9QXbCw7NQ+ywlPwxoyhqZodP12EnHvGAKNaLJa/jBXQtpjbNgpatkriYkM Y0CWS9KtxHVh6TjQYxWmkPC7tjKoMUTDivj+6XBPtCiLw/4DPsTOESi/h9GOfXDqJ9sohYnUw384 3GNv+4Zu0zKlZpEJNjZtdEzNMpYulvoDUfh7PpuYOA7Lkm3bhYpSfX7XEQwOTj2IRHC/mKvLBFCB VV3r6ZITwqervl/zDCiHHihfC1/9l9UOZ6k122cmVue08nA39M8H9Ydiw3Y24Ae1ztT4BcHTNnL0 Pfipf3mY9yeYNePcpTM6sQlgtO8biN0WH0AlmVZtFsTAmcVKUR0GeTcZUSq3T04t4Am5yxHDSf/3 J2Lqq/44tMrj/VpSeqNFKPIlIywb21nFDW1PlzbYpMB073ah1X+A3fuwAZs7BbKvCencyb6PB5jf CFeibanuWR7UFBfDfJXhuPPiFMNzu2O6z+bHbJvctu4Vcqb9Lyf+aKq/67E8PheK73hWPS5R91VT MVvY02b4mYJxkO/Q+bZe22PaEmlhm4XWOYvEI1hVCrOdd3CA5eOztfD3YjbLGqvjXpgHFCluaIDK 4KNdMpRjFbmm1vbiTchQ7XNadP7OPXK826efvajKmDf0pTY1KfJQual/rfEXaOC+tUchR/4HsHbs Y4eI1HZ6VWPYET3HrrFyNoj7nXwsynWZzZsw7qHCEi1vx5bCJxSUAD9SVwZOGyvtCtIRNxx4o2Rx bhLqhXMOPSKHsN5KjJPoPDuFyRp08IUwwG+X4RQYzco4GDU1Nk0EuJ7Fh3idQoSKZY0hU5MthWH8 ryt/gJS36q5JUlHU+xjyhnu4Uq0aEnA9A7m9vPJ5bT3Wk7H1HjrLeOhKK46nq4zxmJ+SiDGbHyY2 5jNf3zaPfahQKfBxpcZfDufPi+10pVt0On3KsuxO1Z7aE65f5GAHMVLKCE36hVsj2SOMEWTT6YsE /oxn2FoIvshrDLovluSHdQioojiwlmEOKYfM+0+84lopfxJIn7zSBMh2wNh+z0mLghf4zWmgHJqC QpdN8H67eL5mTZwzDY2vapsWreExcHeV2pA25B3eC8kiU232kMqlToXaZTLJNQFUZz0c4eZNUcBS HiJTdR+JGL+5LD+7+ojr1sWXeWcEOUwFtYkTyzk6CV3Z2V8gDyeX4gb2kcZdXATDMpoQs5YYLjvO ksDvcfz5Vd6IoIk7AkjCh4yxLzQa9vqjpPS6M6gFrS99E0hDqvr3Q4oqMpHsYVPuNbc8X7DaSZ+A FJRRR44RHciqD7zl4SlIAIQI3AIM0zW5ubntMPIXKTfVQXRH9gbCn8a9gWPJXYf6ebn0eIFK2IUn LicxVL/bxX+nyhwGLethA4zkO9ZQ5ULWg0/Lk9ZPFXUFYNQw3cycjHbHQ035aDbTaitFJkd4T/GV k4bw0eokPD98MOoFZlDabCVR5TJftxvSvr4ymMw8TNcZI+pT/kRLUqIPHKujULhFfXYvLQjqpwqj OMLhXGOuy31iVH2I+85ziz3Ch6cD8aDILjtHT6++hdBXQ7u8lEdnBN4/9tJMpX9Ek4PHt0laNkIq uRIWh1B/ANheXaR8CZl3kLTaQcTpNW7UjpA553tjyCnOesPHTKnE0ex9ceLjhrT4KGvKJ4gu16Z+ puKKN8PmkYUsNOi2XweYvJ+GPEIrvs5Z+nU/RSd1uDUHLjkU1Zf6xAXygs3kZZOhHxdpU8QnxsZa cbFC2QS2Fv0rm5fa0jjwLKOuaerL3CfbqgF4Md1w+C2Jfs1McKwYR+ZiFMxTANVUg8jXxmddNLim BYFNlaxcinPTSB9BrEECtEiaTaQxVYvyghRU3yZL2YW/WGht3FtuXElSRe+GxIqzyo8cdQsyfrvV XhWehsKnGozmPYsItPgQeVKIS0pSPbMAF2UkXqGJ/kdB5lojjsbm70hByXKZBiAb/59hN+lNHy9U anOzYECpeSoCru/wgm/fHa1Pw+O9ppgdj2SDToMBtCg6rsZwQ8qPqiKjy771BU20oTOc7RtKmD34 /H8LG5yA9kkYxlBLyPhGT/15ykCN2o8V2+/FcMJt2L+/hvRC4Eqlc9EHzAdasBQfAo6f2b+c/dRy objAwrLfIV6pEnQQwwBh8E9RU0jsWM7Rpb6gYpBdK08AxE+eYMt4+dCoNmojYUS9NyQcFiVSLEnx 81jr+iZM3H8S68R3DUQZuUAwyPZ/MXD263MrsbivytiGroCfHWDuxHsdJJjgqlJy4rT+XJikb9M2 d1y/GW+bmI5Hi42n61CaCaggTiJwUkfzdH3Hv+Pi/mlzc9PnD+G/gYZMb5zLF+hW/BJqNppiLlwx bDS7LLlUzHiE0qtS/ySrj1T3HHqXlm9NiSYBZUpIEPtQhsa7SD1PowIm4dyQU7JRxI1n+Uo7AW6G xepDI2u5WpXpYOl5GF+7UN0PBAtgPJyKSVGjCFoCBiBoXIBi0hk12FQ/+ogBmiv9an+x71Cbdsiw hL5ZRM7QuSwgqAt+PfgxvxpB7vm9pZqt7Y24gxLgMgYkbNNbRJiefN9Lf9pX8Hgk2oC3mBX5Bb5Q BfI26vuL1ZUaBPX2cOJp+M005pmX1wYNemUUz6Obz00WkACdQqBs8rDxKu0iO+0sUC27S4AsSn4R znqoNRl+CCUALga2gYsix9KwFIMMm7ty7hhyrjCagjSSxOQEuOxf1pNOyWCMJjH+VwjRIaQbtzbi kvg1Kmv6ZS34sniXFApk1EP0KvQENtqJktRw7/AooWLNs5ztgkTtde22oouAFMyDez5PTpktmQlj 5QjMM9rR2J4dY3iFzdUBf0nnZU7IEpqbTktbVKUjycgjvTqOqmBgEDBY3pMftkh6mmps9GdFKLk9 LMhxBZy5DyX2s1r2pQnqRgbNvRpkzemkf9OElVu3z/8e2D6NaurLLINZSLnoe4qv6+iX8ugJI802 Q3sXZOrK4g007vHXjqqvZE5CmCYC+Iof9F2Ue1XmmT3s1EOXfxhb3AqEt9O2rlx+l+npjIaw7Lv4 5fReyXTLyELebSQCuw9HyBRHk7a1b6ZK3Uldb0mq0rOHBGUbKyR9UP4c6hXKH1ed8jY+8vhcizLC BobRhwteedTmE23Pi14uQZ1AF7jGOfOitGpDevC3bc2w7ZssecvXF6WCmdYsUV3HARuAkzKpUCN9 /RylNCKeJJv7y2tZRlhH3+xsWbIjV7hNNftQh4Siixf4e8R4GtArvOyH82IITMa1Vf1bqyBf2920 tf9RmTww1ra8+om/cb8zF3rK2xRnuV4FEuNNTYtV+4yOt3Y+CbdY3K5Wuv/Rr+Wl0tZbjsmC2U8T Wqa96PolNn4lexUepz4toWOmt2tppNgWGMdwRdbKx08dwhWbpK99WrWFzQIyn4xgR0qPEqRdvzAL s5NvfwMxQxxsJKFkHwVt7fO1OdK4t+g0+yaL07DmxhAWl/81aOTSm2J2pAbrX0TdBP1Jp8JgLuVT EJP8WlDwvNBGqGEbeYrNOqXZB5WQwp5IQ1bvKqS9pDhSHTDtPxB91wi3mr6DMs+wWzIzx27LV5KM 4zi5evBugd2wjkiOwF/p8CKlCj19+XRndLh/KDCcMEQ+iqto/DsOGCL/kHJi2JIV2QjbdGuNWFoc doWsPo7w5LJr44SgUy+Yx5vzYPYV81K9vCOSP8MRX0LYeQKmfI+P/cMCTl+ZFP5E6xCoQBLNJZC9 vhQQLAv8/rv5WVKjuZEDSAed/mPJnMV9JACEYSVp6VprBXQi884JPlRsG7p3WOBh8jAFtHIAQPfi 9F+nO4B/cGjUPs6kZwkPDzH92Y+R3FPvIRl1NJ2SLWqasDu1UUDkpr/Ai3AuPNUAkblR1mvoFYhb miNdhrqCKlQaBxlgieSz9dewKhHIiIuh4PVkKfxeEgPNMzz99hqxWp5UyDLZgvn5u2MxYBNDhlZj cprUIZx6x2gvw1Q6HCqbK3Dz7wBIwQ2c6xIBqGhgXc1cEFSRDQuv2Jz2GD3DEcaFIjg0Q5AYy3BG uy/976Om148pPVPbuxHoVSWL82LyzV9ay6qOX/N8sM9IaeCIJJ4H5E2K1gOFu+yQmfswgwJmkZTc RCWBK5l+QmML7Wm+SmcZZADePurxsJ+Rqw43+Xu2gQHpNuZNGA2AvhZ42wgXPLljhn8Tr8An05xI rMxOx9x+wahInpKkCGaW2RcFpIqanhzjUXKCLw8h8IynOgReg3+utZvyzEneEK6/3TUUn8Jqr3UY f8rBnHD+Wd+2bWklpU8Uuz4PLOeWLKaCV2hbu2bdOL95kghtrsxc0NX0uixMcYLVoFJhDr/aXI2k bVdcyiN4JB0RFvJaK4yx7nyVpO//oJqWk3zcSGdoqQSpgWVcdPIgQYEboBDCCdpnN05v7hqDxjKJ dOAMCpjdlTwZ4a9CTLwV/f+8o+cYhcsiae6prbVNuztBE9McAjybaxRMWcyADtl0SVQ4nEHRI6YY YH3QgIjoJhL5Z/SXeiewr2lcWFjH4dRdTzB5aGe2LnZoLOiHJbPZAOrkBybkMT+t1ohRnsj6Ji1K PJiLofQl0lucP+r24aSrhlY8Jc5y2xAAXFfuIJ6TA2gjcGhKppWYlhgxr7h+F5Dmakvwa1rsiP9E gFODClEiLksM/Thk+w/vKb6kHnJUJpXZk/JnK68b9141pcJ1PoC74CEGpPTc9IaDCcAxIoNOx/Fk umhvFnX7/5icKRPIGWj06y8P5S/gcDJlha1hJNKNTCGvrIzPYYC2wnJBLtBO9G351PtwP3OE7McH 3X8g7SqJKThjedmItmAs4fi3tGAO8fYsVz3mtsKTrmFqVsX63aTi13ENxgZIkGLv0LpWqIWrE1K7 EpJPvp/nYq6RMaWckzo+dnccFSqkZtDo/hRhuWS9KpYp5Xz/t35rpB6uqc9/CQJzDEff77D0ubLI QbpgI/I/CvoGVD437u9Wd2NUV5iIfLPwYDLNPyXSg25SElZbGyi9lwPus8KWPbG1corpk4hElwn/ tlRnqIzkXC/1ffL3qibUr8C1ldm/IFf+GdCps+cg3P12IYNGikJwW3/xRVewwS6hs16zsW3pEQJC ydn+hT2SRZqd6Yk1o16ExrXoPsZx/lZuUj3Nwk69busYds3y1cZ0BsP1wZ8bOFfk3+QB7ZOwMg5m hykAx5Q+TsW75K2lsKtWKA8uZsD0PVTjSK/Z1lrEiJ/Nvy7p1GDqf5UUqVQNtQFUMR6n5KketvSB 3uBFtzWETM6EegEJnpC5p5ovPks1uOJKs2QUPYCN7J16UC3EneD5Ak/MHllWTSMSn945CCGCa3tE H0JORvotyh6p3foZdBqAY8yTd9y9bcW81gf/WV0cc9fP6eKNEKX75YwXhOxS7iC7D93qzbT6Yc9p WcUUYD3C6ASoKlQDrjehSbkZQ/iSHFgnQN0ObDul6T+h/4tIIR/K0tjnEZeSRY5xEKOLXOshyRUE 7bTOHWar7SS/vQ4ASvWzT94qU8rjKBqO7ppFo0ePh33+T0YVxHo3FcQGZYb+unNFDlsy4vByVKOl B8CN6L5B5zhXOREV3blb/ZKzAchN2AqaMRpWTGhJXjz1s7OWudPSqWY6sjR2s18qDxuG8jw98MBy oAhVzAAhQmMG9LczmYtXJ0O1NBrDkqCsQ0BGe5om4/CjCHaqK/93zAnkkkTwQNesVXSE26lcVSB7 ABRn+7YMyWUzZ2+ZXOXRlaeafBxz5oZxH/XwNv/WVZVR+mRZ6VrcWoOfaSAgIUuVEt5CpBiK3H0t ZsPYqNr+nOLdQbsres5yvlaIM1mq8E3Kp7DXe9PFLc9rPbj9XTXQOBNDkzrgbjz7a9PJ87wKU2KN CQRR+YoPejJhIQt3HuIWfovm5E+YKtM3Bh821lF0C5YgV0TbXAV61HvwpX/B3ckmEr/9QVMojTEF 1/lZxeOFTPVNpx695IiVgOcgEZ31UxkW4RTlIyfUSevSL+DP6u2FcoKx9GzOQ0Vr0PnLjznYWBD3 uBA+hI1n5mlj848BBEXoMUSeUOeWFSAukRB+7mnjFMt+BgGwTFTdw/eENB+EyXcTv1hEriqxxj+h bYh6o+KxW6sNxRwMwc0/tAqooBjSFycv4y/fAuwj2MRyw+erhHu6yguNDI9RQ3iMvmY1mF4fHxfn cfSMMctMNXJ8Jz4zUSzwEYStR/YiJzDmAIDzf//pb5yTwh7WYfRIhwjBXI+GIToCK8TykdjBWC2U cbx/3bCi7i07LzidpUNwb4Tiq2QR04zjoJ8jK1S9PQjJaip2lTqMrd1d/ccs+IFtcOF/9ezExP2r sg4bJYFJ71jkSouv1pHCECFSO6b07gWPMS+nOLWQipucG+hjC4lM+z/zeCNVmudk7gUzH8J1+hmv hFxVTc4ZI7oNI0IlTeQ9mEoVorOTG8u+OYdjm4SWT56KENI/wsP0U+5Ir0gCO1mXl/iHGqPzP1Ct 6c4DZb2lS6KDOm3SiM7Y9qP/1k2/IzyaodVVNy13h+Z+zkfwHwdwtAAow/Uf1voQA8rH95Hlf8EL /X1as9PWMrzET+U8JWMSRAaTpLAE1GNfIAUIKzHbPWUFDhwjNVB+LYFA364vhse9ycCfMHZA4HD7 3SiMTXLJDarKEuyFsA+uy0mCOj595eqbsqx7a0Dp2ZezNWmGO1IbAhd5hSzX5QtUeLB5dBfe5Agp EhZ2aH4j6wABUuXiuuY6qIX9qH+yfsnN1bo8hLUSre9Y/YuB7Ojm21wuEt8ZjPechUumjzKfretC 26quc5of+GTISNn5nJSLJ+gK575atiIPgb4oCjiZm0dhjUWLYUKaaRigPpE1/WYNTuOAvSoG1Pi1 sMaeuTpyo4nWOlIXzYc/mRRlaS4T987u2lEzVYbgMXpZSN+o0/Z5bkZIt1QOYfnMaoEW+64olv/i qAKjVOwVLtDTHKFrtBf8MOMd2hEYUM3tyzYNGGI5CvkY7LqxGjr1T/9otFvZ7jj3YGSMdK/I5Wab XB2Hhzpf7OWidaG0FM+xW5gnyEnW6JEAmQ/0k/L93WdD2gmFcVJUSGYt6rqv3wcW+v71RL6uhg1+ JF9sDGob9B8Cs9Z+gMOm3898qKept6I/cbQgcnmuyx6cbhTK8E9moFFJJY6YIzsQbGTdEo+mXQ9I 2nHYT4P5rPMkGhKAvSGGX9mKxxUaLSwtV7iJyQrFkBf3BBnZuA2Wms7/o9hUCQaO6GnWL6P3FoG/ im2AxgMV5H95oHTBw9uT6B9htVsWvCN2Lhx/W+tUeo3cGHwgnxJ0DD+iDpvpMZ8MjCTE/c5KsM9y 8QHyLcUWT4CjMERzHUO5Jws6uPLq9JaZ+IKMs4XP2xiY43kdEyCQcIKtDsuy95kOxLzvZtDvmUVv Hh0T3XDiWiridQxJrwSwl8twTVLmhSRUFBhqPplKvN0o/5uLueh0P/TuJZNRVIWvcyHcxdbLiWqi 50Cp1ZSgGtagOT5BAz7kqnjTFmdhIjRcPoCKZwza8ZN9IkiJnjZH9SwHS9YvDTvZXPg0CJNk20d6 g9fPJ7kKntFyyeJYxwZ4Eg17cmLE24UFoSVtymj3Xb8F96wFlJwtYVmKVAjoOuioknsMf70iKwTs DR+8RUf9x6kRk+LndoGwOKCsP/ySkQBGwNpguNUlJ4hjoru411RNvr3DjOxhyvnYbHYNP7Vda0fX vxO9qJdpSqaGhCCX8MuyDaXhT+C5Rx4sFOU/BXBMj/QMcwHJDz0okaaRhNo4cFFIPRBaCOMijFIV 8xNccZs71j4C4+euads48Wm/oRC8fXg4m1mRDRyQJgaRWg0UDD1ZAXpJ1ml/y1A30jBuMOLkbctZ PvieVJMVV30pKpsMCF33fwhzc492G4S4uB3ArdcJ95p6WVaG1G4WwjGvOCfQ8Q7VhewVOAZh8RGk sOyQw718FOfq7drkgQarYDZZtvLzLT/pZcUSeWnwOZixZBBHcKbGESaUoR5wjWQQRIfcW1aeimd9 Aj2Jz43Gh3CHuOcyMqNxt6Vydbux2cE102nMibaNoJVVXtieX3jk0hv2iKTpM/hS+TbDoKjvzWOg C0lJIRYan3NV5zwwQHcsYCgYDQ8A1qsn3b9y+HOkTaYh26T0x9atInAPMs983pFjYeR9WYsL/ZAk CQ2ItzlW5H4IIN69oJZu3jn58aqmmA8+aio3E3bk9v4zUQZqwRQqIvdXzAVKgn8BfEvNqTPtgere HensQtK8D/ZD4VWQ07rGsGuFSF+QY/+CGaOjtJmuIQ4b4up6eRPaOrCkAUe7LX7DFj/5T3ZVVjoW Hi9mltzFzm8GWmz2/Q31xexS0PmgEIP7IgX+meGoxBd7UFFJK7fjECZ4DnUOfh6K8AdTl4YJXk+1 VC7He3CmKayTReYUdC3rxs1rJrlqr0Rb5OjUmVZ7rqU+rhwJS0nFEgUI/Gd+ApQmmn+vMqXRul5l qDiqFO4d393P/Qa/jpjP+8pxPmRnvJ7mDAMhmUdT/5j2iLjFfhYxc8T1yAEHbkpxS0t0jsSbuXyy Cnx9Z32RxQSuxcB2fRAH185T5tUwe+kR2RkqlefoV3UMj1abemuRKogSWZ2hFWTa1pW+aCugnKyP CHyogSl6eu8n20zFtwN5Iiw70Xtx4Og5bH2ND1yLy5XWoobcOsXfCtf2gCeQAE6AKbVanpTCV9Nx 3DPQkA4lsjluTHao4tnyZBOINjcYEdHEFrDzjZgIx+Ob5ECsWhVfHnQChCgIY+OasZOK9xeyz9oI qnKTsnmlUzxcQNwZ1wTXAWKgEC53xnIPCV2dmZoEL3WTHtaxByjGl93bquDxTCXU0hsP3YVWYg/b 3DVERYOsjf47EAeDPJQqlD0ZxPAOxuqCxcByoK5gP79kbWeb4q7PAJSUDY2XUznRZfJz5uqZHCXl zSinC9ICgCe8he2XTME3oCsbC18FK+pLnolzwq4LENUOM6Zjgmd2VuWNAUEcK4LfK/rJyAwOjPQ4 kojLP+LHZsKs+bAd6BjBtf7rT/21It6fM8j9dAiDhAmXqCnIuHa67buSOlQ7UJcy1lKMeonvtwhR yIPkw7DQkXORpH2c5RNZWaLRU0sLT+1PIxwRBrSlXJbvcxfC/jVZPXRaZctZz2YmiAlCuoLcwCmk i/62H9S2UxxumQr3HEC60vptdiJv5vVkgkkVWUvFD7pdxARymcd0u/hybrFNcFygAokUWm68NEqd fePMAMMmR4xhU1180cpPkp/MoVeKXFqWyBJer/DlHh1TBAHq9FdBcvNSOGwPQtMN9+Ub9dwSY8J4 JTK0OoFoX1tf9zzWUyFuoO54pxzpdV5YeAdcGbPImc7d679hxUelBRIdY9Qa6q6/Ibwd8mqRba3p KBV9eJPgL8kAkoPhJcB2EYsi0GojLdHBfHsTeRpbjOomyVwOdMAqpf2YwTxJGXgk2aTzCUr6DEDk lUKQs9aq/2c3qttZxSh2pSko9HEwqMYmFS8ANKApRY8R1fGxQK2239Dq/HkGeLcOUoznZ376RImB kJ1sQDndiYLUHhBlN8xbFLusKd6h9nUSWFckEq8iG+j/WiMDYBB9aFrC4mcDwZ1sUaQESx+tdmH4 1GwxvG+dkRIqfogIGkjwmlImMTS6/ceEUD+kSGriYNCTAyvqE5hoKnyL/l+tWo4/Xs8fn4dJ9++y V1MQX3sV7t8IZBhupgZYKlpeaYU4cha1N54xl1SWlXPvquLvN239DRNg2izPIGiEDLIW7sC6a2Ti 9aljpchbeZJA1cz+g0hg1rLhRbFy7R0GoQq9aRPPLzsUz2q2ewJDFK5s2f00ffJ7Zsr3WPyrASXv Nda79FBwvqXHnD+2pZ2gZwqS0VfrwLTnq0k6XJbnXuqp6oVNvOJ+oxX8JoWGs6iOCWZDDgBPEgWW hNW7Ty+2RLhfZZgQjyslJlQgL9Jla9HPXMSi9a9qHtFFKnZRnwwdQN9ty8jtY4z6eT2XjoN1PacI dMISGl9UEweh2VXRJmkC7rKN6D1kPzSK5K3oR6o9RVA+lXfcZZWBGGtQr5H0s6ypC8C6pevQx2UR 91g7IrcRnuFrOW/1EbejvrAL4yRXVPMwsL1j5ece6NhCgD8lE+tSsjbxQ9Yiz7ZTl5PYITh/mNTl IQOA2/0NevM91jLP6uPS03/sHE6Ksr4993QYJ7qaUOjVASxy92/beuJS7AKYYJ8AtN1oI4LK6f0f LX900E0QHvVfRMGUQDBdLm8QNMVJW/zW37O0cwwbZ1gvFp7A/5LLMJpoqkm2A7vuY6u9iqPgN6SS 5D/0/yncmZzTXCmkBlRBFD+hzjjiF33r7VB6m36BwxG5oF0CXtbVhoVYQkBZ4kueKlz41JI91SG3 RAYpRJExTXxvHTxj8ets8G081Ttk0G6HlSstEV1UjgWw7bFXEkxCLt4jsvYQ5Ih6Rhd3esLJK+DG PRXz9gvKvl6LxO8Bwsx4qptaSlJoOY+vuXPNY5n4MjxJYFvHgq6Qe9v7KExTbRGQrn/59LJPYOLG S560hk9iBA3PRfdCkiWOaeRgdYRl16q6lpIe6NkkkdGDsQVdzxUVp7XNUdvvYDgKPR8HnhnfUdno nr2PmEq0es6woB2ZlhfrSXBpkqcpUQ2DT5Zb33FlymdwlxR6J0FVMwGU/MbN1noe/+jnrdu0QUZQ G17qAA0bTAklcDOJl5cFrEcGY8nlb6y4eD8KrqjyDtAlLwkNqcdm/lIyXjO82f0iA9FwquE/ndc7 rLASAWbHuOVYTnRXuOrFqAQufYPXbBqbx42If2rBqdsNkxgYj9JiO98I0AXRFpFxpfv1arQth4Bz i3f2A4ssHNFG17n/oYM+iEijXT0wFons91mlwQXFQRkHEl2vcn+wauWRRb6KvqD9lu5hkLyfFLju vvk6dk/YxcCpJlItk1YgG7RKEcjfBJz19uGFFL1DYgR0YTZSy7Ik+ikmRo0wBbU5kKZ10iJ6+cob alYFIz7R+kVWhjGcjc2J3jp9gSBdbddwzgwdfgkT02VYzBW4yfn6Stx4TzLqC8lsPoqu6afbJaPE 7Qw4bB7eYN2sn15qIUUZYaaglfzRPT4POQyqFY6XM4uSDWLEOt3yqSFK/nTlASXjqPjfBfiFLrfP ll2A3lYq9WlP+ETVy1HU5eT6IcMyaIoah2g2+vxes0/tbTAfmpDqmYmTNX2dNYbc3YposYqaP7DA Unp/7LejoicBw1UqZOWcXNUsTeogpdAa5R8jQ8Bomfii3h2uTdvWVT7lUsSCvRG31zQCyZfdLeHY w2y8fCiTU8XhZRCnDvofXkMfjZtmk47asFKemgiktWa1Q+57sqWXUTUBcQSW+RGGC17f1CCpNsGt C+x4gEMI1BiX6QjmmdODPrJxQXSefHDOjIg47WbN547N7QOiLpOhnt+3hO8GvKnip7oSb/GTunyV XFR7HoxPhVOJbMsXRSuMOaoajJDz4RRj58FdHnAwggYU6pmm823u0BLRyJpi4YU0piEy9Iu3dhvB ArGkzyvx0bMeCpW2RQGNjCd3O791AtXlnyChQVAO46TDEjzmT5QKMjEfsC+J8flfF6yWZJxhOrKS qa/JfCGBmn0NEn6mz0w4pwB3YZZBYbE3DhWRyFj9koj7jplo8uE0wdNV31D/WjZ8aHvXBDSWKIr5 EkIqZ00CakB0RyD/nflXfnPQmSrjMidBRbB6fR69nfIcIiVrNYInBqUq9kAvQQrt3nVb+47MDItu AccpVUsG2O676K6DTQN4awNh4K4BH1hyb0bHeeCeou9pYHmtOnlDVyV0rrYpekrdXitlf+MGEPJu ZGALu0xTmt9A3gIC8BzgTc/qSpNgXzYi0vkBPxa/gOy9JBEfQkE1IIH2O1W5JngRKsR7mJVCZvMt l22mMNWTjwYZnnhiI4MlL2APbokw/i3/p5lcHjGhoJK9Ux2Ic1f+difyv3nF16hLwFr2KjBcVzqh al2YEHvd+a39Fz4G1+8Xbr26AZApjhlba/0WI4ptOV+O4fOH6cFdStu8UPEBR0lwLZ6MwIwY1npT 7trBJGqhQ59lZjBe75uqKkuAwOkxhMmnAVegBg+y3QcSJIYm0HyRdkyR2MPMjQmAxQi+6xvoIDXa +loYpvu+izHgScyxrgnUrLzKTcOdToSh49T4+WNUEU6rbsal6twP0gtQUvEM6g1YMPAed+h0ENcX uUNQFilKmfXmDR/U7PYQHlnzWJjKdSDbPNuWz0P5uH/nMC+BDgQoTEaVGyvVeNdEtroe0HEqKwVZ 37JVImmQEN+edxqNOo96Pyhva3n2LcuZlV/dBSwPvKIz/sFNQOMiAO3eKKbWpzoIntkt+mhjWQbP VBOG8kseiL08+zgF/xfhvogCEY9wudiWXPMElmaEaKIQZ4TK4I87WPlLtXCZ7iOTe19wsaO0DWrP nKc4w7T8R5UmMEN0baSQo/7xp0S9cOTAx51SgcCy3+jwRJBemlofrqeRfzqxkes0SBb4eEHcrxUL 3QBWCLRK1tSxdt6lKhX7qK3Sm/AreS3pmLD0tCSQl1JDrSJfBMWvGPOY3K9J/8gLgWJAgCC845/N jf69HsbKkZl9KKUZ8V918iO5v/yRRci7fiH5RTbYC6nHuXGsrc79eSwxjqF7W2h9waaJ+S0GsH0g /+9zjTd3Z9lsjViBBXSZvyt9vOdDOLLTgUV5ZeBwRi2Pki1RprqU+vmmWLXP+lqCq/FX2qj/LL51 rnZUzZAVRNxNhIBoQEZrLRf9Ru8vbNTn1hmR8RAZXw0una+ijOTHI/4mVo3W6OL3F/0JtiJG0dVi 3ZlVrNqZuAUn7XOCL8VjnWvXWNH6IQZktsxXR8Iqp1krnhQNrPefiKUiPz4ytFpPJKaSvHP8Yyia sYj3zy/9LiYlGfZQCNl8oJatYHZA7H9AFzlz70emYubzMb8ww5C1/o+YQ4C5zXDg7DJXuuMNhJIZ ZZwJzOs8mcYumJFhx2tWAsvWcDM5WMypx97g2FuKDMYVa7lD184VSBvAF9Ulw6SSidj8pcpzzqmx w8TWbkDuErl+wsr1KMT2uoY0KgBhAyjHkWNBuzXNyuWjopLkgCTog/QFegiUMigjZXlxVaouSU4/ ezI/HYm+p1K0FsmQVPCJEnCMI19c6xjYPRhqJ2ptd+vqrEtadZDt+1j3aZrI82Npu5Rgo9dvWMzX R3Sqem48BR7c8bwohAhQXZ5nmqOIEje70ET3T6Sg/De7EnMnprYIxyGsY/NvYfKkOTY7LC037aNx O7gNyJ4FRklPuYTj4jBbJWmKCkPtGI8ar94u3qv2esBHZmU2RdDia9AOFmpmlnz5FhwKS6l6btq9 OTdayojuiDc9jH5NQUBkoYWb9XmOex2I4Bx62ZHOYqh+GdHL70qMPG506bGSDS4fpbTHnH/GOfjj zveqUokfGhj2lm+reqxMcet+l4PttEgi26+vT0lNcsofH9f6YcUI5IWP+TNlhDTtDwn0bspFlVrh XSyfafy9sYzsq3QnWjsv9a7fXyF1fop1wtFAhIlb1FWgpsYYDN1DD0FdISgScJFtqjYQCUVDCIg8 x+Vc2f+9zdnRY5wo2Cu0qphKdbltXTOYCkFpl3jyDjUcL9OiuJfN+myMLO1kBcM2L4++hJN6e5u2 9qYY52obRaIwV7xIEBRauvxQLelu4U70hr3H2uDWXh4uaLaWrO4GL45NxUxGTW00Mh4g3FK/NpNu Nly3wVnvLKzZlISmuBiQsaznJDp9V57VX1txLo209MNQmmrgEzYoPu6WrUCRWspMdOfb1c0N0E2y HAnitVbbGFIj0gwyN4wcPzcdsm+mG7ilIOK40hAiVY3kXQkOTZw95uTC8NPubRCTcBUW6wW+aY9W bIPtHl3pIS87446tFWmZmEzXHYp1vAIOfDUhZpl4p6IHUGEGcXvRFq5/r+VoYfDKCCgEWdr/fgBo XDsfzfh401cSh0tpWGqbc5qYOyyrzDU99P6SSAxPbUmO4Y1xGAvRVKD0WVDN1lMBPK/RfriXPo5j 8bVukRyXEdTlVugkPF0m/weUjFZ0UX1C5hlQPyTzR/09yh2tpIM9UQKkPNhohN7q4+p198kksv8R P+u2YhUNvkeQ/FltCW8HU5uRAMJ1xAzT+WYcvl0tXT8G9XFVO4yqYVln3wx7lh21aDpChOjCaMxs GTqF7p2d696u/oDZVs/8AZK/y0K3VjiPT2x2VXL5lswPu/ZjDZNuXv1wKH/qCnKe1HuMKWhcCBZY 4QpLbXFnbt0MwaXN1phDku/MTjaofXJfz7Llgzcd9XVCg5gIkoniQyeEEdDeyHUGnZsjqM/bGatv X48NdjjXE2sufQl09Fszgg/IFvIlWNfUuiZxNtipyZcY0la0ZWtoj2BLoY00X2MmVD8XaqNyoBB6 O1f/8acmk3c9kr96QSkaMrxx6KO+srgwCIt/6NTiZd9WZ+o5JjpNrZ18CMBWP9PRdgJCD2YQaVLl vBbVDvfx98kXa3310oXprXVQE5sSStV/mzs5xYx1Dfe/h/ihLGE5JWkJI58WSl5fGfh3oQm4tLj7 GQKHLxin1u0Pgdw3mYIbxqGdQCDV5i6gNZiwwNVEXheYpVpOEvqy5xZzlc9XtgE5HFbWSwWVSHgt gH+b8i/pOwsr5rVKPxeDhyIf2liC5tZTSrYUpg84G+XdOpO1RFlhJ9Prb15sy+UOFfukYcyIMLUr CopBvOmlKViJ5fZqbh+ObZA7ZMoK6zifPVh5DH9Wr5v5tVf2Fi4b0Sa92GdZUALy4VqOI+guNZyI fPYZm8Pz/lBwvq8ghz6U6V05g8z/Mnjxr5G8xWg3jLgNXuQQMWv3XmZqYfY+1OOr4Xv2yRQnCw+Q NpkxD7QUOfIWs3cE8ZMHVpHkdPkIqmWMkeVA8s7Wm+QkHLS3fA+IH3m+Zox+j6HzUz3TNW7k15Y2 Ejvuy01HnP3vpOeVJDphGdQLRJsPF1iweQ97ru6a61S9r0TxoTRdgoP8F5JJrr8FMmBT2A7mUs95 Y3XKNnMGjI0TzkxTA3zBDEPmxVncTi+stmGs7tSVjhR8Atunvo0vUQIGhvAAGRiS6Ho/Z0KfHL9k 0QUovKlG+iQ63/1dhRX1qbEv+Y6UFkxrMHXBgD6JWzL94q4kVvY4iz5vvVmlDmTREPOzTVumK5ag Sg7gSJN+J3E32FZ3DbBjwoGsk+xfb32jGQrKxFaBuGz+FSnHDMsRvTT2HEpUin3ejUF25G6GieNo WrMdvWU+ujrhCDgQO43zm2dBhUmSpK6ricOWiHZ6P/zdqTf4QoyAP1nT1pM8J5SnzPXaHg58Z8uX OMKk5E1j+Hq3J7Sq9sjcSQqY18VXOF9S/IGYsT+3v/p89GRND3DSDceZUT/hEGby5W67ig99pN44 HsU7CLR8Ngs8BN/HgjNbQjCa+n7vyM1GqSaqcXfpZpGhmynRZ+R/Zk+oZLsH26COlJWGjloUiduS bdx9lO9Qv9Xr43CrYntiMW02NPideGnZw9O1hi2BpztD8leur6aK2ISqJLsPHQZFEKy2Tx7HKq78 bSK2T3LRApt9o8oF+ZOUG6zaBdanXta08blpTPRt8j5Jzd2u5raRIem2LTeDOQsK8cL/Aomh+Hx0 7aPE9K1bfwcr7Vajs9hqdoslvWEatB7eUY2eG6FZaeLIaXSk+nZ5Cv+nfEvgLyUO4FEWdMfGZcTh V8oQiXl/iFSPRoHKNDSmqhjWZA2JYUVyOnAfhNCwjzpexkDX7g3gynVaGwXNafY08tBUvyRD882Z QHq48O6fB8bxRcyw1SZe/atVtXCCM58H9HOFqCxYuRnkOt92vB9kEZiX48eLW63jEqSGXO/qj72q AMRN4pvXvryzwNvQ3SP8jzznRikOLZhkzqFjRs6zVNp9HXI5Y1OOq4j74n7YJu1VBMRXIPjMheDh u/Pv1jrDFbkz58DN5YvD1DKJbxh+tuDrK7V4RXJgZIj5fUaEqWNpFtaZ5vDj14lONHhInkWEI2rp sFugT9RZVY+pdbxTNHQwSVqrlSzTVY3mKvT390hNIGFNVwL/iSPPYyRZViFRlxabHzp0UDKnZTIB sE7aYrX8NkNUTylDooN/OuTfmxYCb9FFkSCZzSxzM4hLcrhrwIRE15liW9sitL3FIYjOoEXOsMUP bRnGD0b4s1IquG87f9Otyf42zOT58SxouO/KyTA8FMW6ummbOwu7+LNeYQa1xwnWEtoSc1+XfHCi rh7iCGv+Xt81+UNkyLxnV/zTK7moo+zK7gCPXUz3KAGUExmtQ6XNV6M4AhdjT02ygzF3MSbivZKq sEcN8hWr/skkLDbBSDF6saypgNi41W8uk2p3gCxcu2mt8mrW2Z9scrx7bDwBwGBU5dhP0S5sxhXb 6erUpOhGev9TIwJv17ooTi8mjSqnZn3ur89LVQuitE6Fjt96u00beVhGbtjlxBMfn7TVkqRJbjmz 9IUKEVlxuiwwMSr02EqWuFkoJx/S+54H7jFubcE6XzfsZQJ+G52jDQzx6e4mDpq0Op7af6mVnbDQ 6GK+s7BPbdKI/p4pKtnjI30l/aR8DqCWxJpz7ak03/rWLsRKX1a5YRFyGYrkbw/wRzWEVTAlQdxv ot7LYMHqkSEwtGMNteH58HjogcnD3RZOMxteJj/SOkTsXXJeLKhQZ1u8QdKPn5f3SDheiCeIYsHT n60GRsDg31KkwkG7YWiuL/2hm2kr9apv1IN0B4/p89OB3sguH8XpG33kJvUJHPkv8T4237crO8FI KLOPZVGsZbYcvufNgmZgjnYCDsJgDgnOf25SWEDvJkrwEnMFBFXlusMLRz9PKRr3XCWTCuVKr2j9 Sh8IZu9WRdIoqB913h4pjYc4/5iGPyOPPmymBKPD0Evr/rWmbNv1JaPwtI30RBIJMeR0eSpYprIY YAo8wbp3gKTQ4EAMOk4N339N2JzKtpxVT05jB/IggtyWC30cR66kVHFfHNeHpeE+DigIemoWTvCm 2snxFE/S872QSh45edzMxrSmvuCXE0HP3Dh4BRrunlkRb1TrqAPa8h8/wBG12NlzFakz4EILN7Qb cosBIuKZfBLtcvoHTHF6KyaoN7gzQ1GbGYqOv5PwjAhIn1TCTXXROvJMxYRZyH1e2TPIeF/02Vc7 BcDzvbqCU/xrNXWO6xFkG4pTXaYoBBJIg8+fK+c8SRzhw/nffvtKQcE35srIQAYgqNtuG6Nnf71z htMXRJNF0SMIkOwhYLh/oPdlEwv/o4oM+8GVIkwT+UlUE6lGQ9EHdV5W6lpW3cppLsKzlp0sttsD hZSMaEJjHPnO1ahB+hJdqivDxYSzBKZIJurWMZuZZaJ4ewTK99k+AiTaforAuk7aD5qfQ7UZJv13 HFa6UrwwI7aESV5Pe2veeah49lnydHcumZgKaPUus37SW7yCDytsKwV4aY/WMhfVgVEzb3yniEfT fEcynH6ilN+0iENFLW1Wl5nnBLudECcDcP2uqqNY+w2myF5zgOh2S4sdTzC+1BGZZig0p3MxOXeC HSG1a53BdqnOPtq6bv7MP5uplj1hVqtwxsPG14oofBxb8n4wlrd/A7wRG+COwdcwxHsVtAlDu25h HJ9RJ7gNj0Rf2GdzVk05jd7o2ttCla7Y+lpffRLUwGkx1uFJoSrRUGwR8Szh1Za46e+AieNXMMmt 5wR8gUd/l9DA1FEXt034tuOrgzms6q4aHINm7NmPbLEbQ1VaEDqoX8sT7DL0RNXI46urEvz/v8xd 9XN6SCIggaBfDt/OOClBdqto4AtZqYZYZGfXJ4nerMBa/249jHhqXTctQkB2v93c413Dx/S7p8GP F18irL+917gbxV7wkdQDB/VvwC5Njez5kfdIf64GueQZbieAF2VuQPRydeCE5ePEOJlum5zgtG4I 1k2rtdpxOEdxTuzELmM56hOpr/h0+r1ESdUiZCwn3H0fMqCumSCysCOeGNX0hcSBPvu/361JAwg5 K8SZ5M8eUda5ZNSkLsnMz8Zl4WwTHZZpVK6RF5VFgM+UYLZ9jeRaaURC+Ll00vfDm4I1oumygk3S tFxpRYBcIOYru12OBE+avgyCgzjvutaO79TwlfcK0T8v+HLSZW9FY3lYHiiVhp9+gaaXuwj1FGNb EA7i1yNOK1r1+VC9viIyBEd+9Bqc/DHdZcTmveY21jr4OUuL9P3YNs+NUCME8/yv9kOAWOR+8n8w sJa4TvcRYvRT///KgFGSq6pDAp8vpoqsGsXMDRZp43y5tFFnOdDMIXm9lvp5RnGp66dwMez0JkCD s5PLFyXb+tVXulxkPspuJvnT/iiTeOXWtFndBHEyaziSfCNjJNvt5NV+ekAeGqE38uT69YUzI4lD Ieu9mNsRmyphB/YMf0IlvDunpuVZU8PUF4FTKCEFU+NNX13eRJLkhdH4QI2iGrQTsDIGMQMOkaqo yUuP+GKY7fyarlEAgfLLOQdaE5HSI+MmNn4xyVVgTRBe60MRLyxmgOuJtGxb73a0/ImZgBFaaPv8 smZXocGqdbNod3zCfX0QzDiv4cKMFx8DTlWhlFvkRGsMqond/BwbM0b2hsPh5xcdGJ76mK8btqqO yHtsyQqbaX4D8MBX6zLPNif2Ci8p81Lx7WFX6Np2w0uFsc/5hv6k5WDnfVD0mqZyGAP6SNk0rUle VbhXb5gi/WGfllYRKAhgzVlLPPJmrm3YC1Z6x0O0t716fBnsfAp6tXz4v6Sg6DzqKKjfZlFXhFpz zWZCH2zP6Pa/zanqmj5aVYt+3+sWcBwkMachizUbLPf88W2U/rc6wcGHp5zMQTAKmGPTm0/gRipp TwrPqwPBX5WRdMLIeaOWwzsNwB0kE+pOrasRGhMaCRNo3yDF0Sf+UC1rrB9iI6/mzu9EwPDFLSrA exBjvYYPgbKGvLnlQPWBO8phZtI4CNEkMKDZHJ+Yf/xGiUlZepOcODKJFUqizwugtDu3M4g97t4v 90n4jvsP4VHPjttuYpKMq4bg+5KqR0cvtqv1HW3zMZhmfz4k7FFodviAqChQQSRvy+nG5vpwFdRM FCnU8p9bm7ptyWhNL17MQy3Ctx64yGQdALUStVI1NxTS5E7xT9iedG9vrDcTGfn0POwp+42+605u hqGlBmX6Sk9DFIvbx+aw7c4/UK+smQ2oTBio2XIyfhwHUDj0ZGfJWvxmmGsl4Y6p5Q5xF7thpdVp E7Cz0c+0WG9/6bI+QaOgzKSHaKWESBhq1pfDrya1uMeFhPOqsDbZ3qzZ5bxxRnFY8RYkr9MUcIYv HgNWN5Az9fQ2TMjGlTaErEBJNYbTyYV1G1c5vBjYqPiihHp+2jGH4zYX6KZsmm/8ayP9SneuWPNd YOQQ4EFaXJnZC/6Gwe8uYG8IaceNpU9UN2LMvNdujtN5wNns2P+EWgLLaOILfZWUCKLb7R4Tl+D9 +k/LltWw4UyTyBBxFjS5+NPnLude694w3iZKy5Malbp73i9JDGMWZL7zRCFa21TgT7sq65AcQOFb fJE24vB54cAcSwrr2n0ZDowPMdqEOdrOfLKCuIsOdeSGb6HLdGBv3bMEU2Nk8ffa9DAUiJNkdiHT nVk1Ea1GFryBzVY/qtwVFNYmYCIYG4SjiBzNq9mM+C+KDpVsgzL9uV426DNByCrrWYpqgRNzUjNO P4uykz+iJMU0ZGozyswB/3nHz7CHWIl0GyfsVr0YEsn00Uj0NFThrLm4ueVM+h0wrq3Na2V57gUt bp0vbJYFLk8ouoxpE3tQSfSe90FWq9wHod/J7JltTTCTxg/Rnx85rv1E9oUadsZO1Ij9TXG7GavC ImQY9Z94vxs3DFladmjK86CZ `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/blk_mem_gen_v8_1/blk_mem_axi_write_wrapper.vhd
27
66283
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qm+ahCoXbtCT96FlU7osNjp8Kf3rDAFQ8vMBTpaKgTo3EvHN1CM/XiHNcIsmMQ17hbL+pWxo5SQe TeNJ1GZN0w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KB+ek3mkpx3N+ihSLNljgKYzWfCbUQKXGho6dSjrHEWrzL9W93J5UQjcPdLkP/4r8XQ5AjiJVm8G O0+WgdiO6dbDdWggVe0UZIQ5qp9jotaT15XQQVVkD2rcK5wquost1xsRm7MTsEsCbzkhqKPM6ASZ mpW7GzuYQ2vDPmY/r9U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 5IFnCgXf/KjXBNbWCJPfF+u/Xe3PWCvLt3/lqQEWvv6nS2jJ8qz3O+bSiUUxyt/rlAZZm5DvQ41j Vn2wE7il4mdux1L3DFueP8Ob6UEbh6yobetr8hrEOpbRcnmnH7rXtvR+yuK3psDEpqbW7d8GyDcy T6jGK5xIsUceYrUwudt7lxYx4bLnzP6q2c6uLhkxaoLJTWJGh28se0dzlAMX/BnMMfjK0HDKD6kp 1VwH2Gj4iT7DvyBkDmISaH7LPSlLhe+ZmQMkilflhi03bS9w9ABaqs6v4fufe3/pEUeBrvl3gRH/ oCU4QtUwSf8qfFsWdX+C6Nn7mzOb0WSGIH22+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BAf2bWZTeSaPIqnT3j5aNO9C6t5/rcfC+/QtvmxOirWtcQ57aHowXlt817D+9PTxe4qEx5CjzmUg 9oMYSESB8IK4XXnHzrwWEKN1a7YOhI72J3KxmNssnP6jdEMx0znih/oPMXJaAdPPRUXzSczvXVqf S7AhrmorMi/7B7tc1xI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dVk9aS2pcwcb0BrWR4Sm4FSW8QQWqHH7xHbqUaQTDLyPydXvHmrmxiDqUJWu8AAmbDSnHtBnMo/b vhz6TIedlqcgp9o49Jh0CEli94frA6kGx65vbdl7q0c/R9+UB+XDf9B8tq4xwdSd4Twx0zVa9WGD lmNliqJyvFk+OMbS2OJJyBNqK6eZPVzKMFkUG0UJu6TERfYV2nuxVMsugR94X7JoKx+W2jEprOdB UQVXsqhudTLpaKEQiNqzDCaBK0P3FekkJJMtZNaV6veO7wX6Us6tTDs6pxGysSo4e6tLocXysaO7 1blW1S7foypb+e5LTkDXsQjIPmjtBTMz3Y2yyQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 47328) `protect data_block 2XzMWRjd6RfwufMjKjigSHlgIdFM4Vad4PLylqBV59tvIPSIW/fl1hAdvGL3x6toi6GH7xbyH1+m So6AAmHnm9qV6OBePCnSu/CAy0TCR3RwfC585SDu3eUn1fXs1MdcXcjnB497XYbo4F6njL8A5WzN dUKBJGtNySmnz7/gSgfT1ifT/qmnQuwU6MN9xwX62ZEdWwwWwr1CVZkDFgKW7S0YOEeYeWJY+kaj Ne2xR4qmaKUXVrbZa3hyya5KyNcjUXATnlcIVlayK1WggpVy1Wb0rLDlB6ensJ4pvlRMV3SqcSEe 3Z1MN6diSkknGSRcrwE8i+eQF0LdiTdnZhEk1uFIoYt4K7eC/ytOjHjP3VaWbNoSAFiQm7HBVG2E Z4LpVE0i2HtjMPeVPeABPkwFhUhyvNyA1CZP8wDXD+j7oMXUrbYRdWjpH7B/uWKswO/ClC9BqZ5G FtCVR2zZT6XmOxN6VeiZdtwwa0jzLgSmy6rXFqmeCCsKfA0nkyQPii6z9nT2Q0a99/brPUPSqACO 29ZUyR8x5oYzkP6fVe80TqgrzuujtfpefG5YRLXw3QrdRrsMi40LbHGi9KV1VUEHQQkoFHhNXRU6 q8jIpcCKtk48p0KrG3BYHAkSn51s0j9kcxxcQWyG/CQ3vGTceqQSle4d0afxQvKaN7tNys2bTQxk tyc3fkYmiOHZZbDvMVKLQDxPbJKIpVVlM3JkxyVwRIYefLaV8BafunbzcVpiZc3U2tX1PvMwQdJ3 vhFVQ5ZVupVdK4SB5gyHOCnFTzv+JqBc1eG3kkeV7Kd70IQHmJ8Tlq95lVZG9SW4pSMw6jvFb7TP SiLPcXsIjsse3pxcXC4Kt20oBsd5KURqLZwbwo2Kb7cO+kkUO+Y1c3cUClB/24u2ovMfjKQg48qt nq5CpNNjvXjlwWB1Z7UaYcxqpi4oPJjkYnuSFBKyKJ1eKd38SzohLvROesNFdL5g9TjkR/SMlApu VJ9YRzTdJ/XGssWH6/GawXBfHHVIv5yVwzKkbDzuiZtB4O+VszVhmuDa9ZOKtaf6cu/WeSnf6sGn 875xofixI3ZZdtCwqNpQ+65QEAJGXbjRtqPDQ0LNLUD1qBLDDA2MGS0tjMQDbkX9uELDTFrRaPYf NYtwVWPCcHJbVDWgSylggYsJs2qa2a/82vYw1K9ICtJzAn2wm6wDcOGf0KilRIpshnTivSnHLnS/ 7Hrdkv1a9NzPJ5ZupjUq1CxSM5U2GbUOlEqL1qXTaEshNHhAeILcn1T2GLbcglt6z2bf1qC1Ddr8 hixvfessb669pZ9+OUdLdOuDEr1HdG+4r+iiVAbfvi+7V4mSZef8UBhewj31f7RXBT9VpU1xuhfP /Gj5wxrSmZXSz+0gk7GyfLR+pqnEZf1oQilAhqkib5oXW+ijzqdlOBVBeUTmLfa/80rXp21IM2O+ WGE0RL882TH8Lc91jAoJ3AQzIT2THWZOmOLIzish/38iq5iL8trG1XLsP6rc0RHePshhVneR4epg +F7mYKTHLICjLgBZs81Gy0vr7nZp68xrGmRX7HdGL8oVCb41GAaKcEVgV7Hep2P521bQJLGiLI23 QWKdMBG20vz1T6iD+fa88SKpI4l55nGIYBqA27a0blQyZERbeqaZo6yStw2QB/mTnCW/+Z/DYeuB dNXr4GUEmae2NC8gER4397MBn8YpVZGlDNExHb7hRFMHr0j7sSgOtyku/nqoBkqKmbsnC8TlM/pg YkzBjJvwaCwCVT3FxaTj8CIE3bmTV9XoZRMgb/5XL/1BqtuvGFErLXDJQwT7iyiHq8IBGcJc6ljJ ZVcy96JOMz0jJGzp+G8nStc04KItadWxXAjvxohSN05dJfY3ABagZ3eQJGR1mDBi8/uh8qs9ybnv 66paXr5ID/5j78mUUvLrHAAQpxowGfTev3TSuNFnJKJtY6iwxOxwI152l/DKILP2jO+LYUbkGt+G ta2cQ6a7t7G9nfnonaymzpxyXX4Gbn5zBhdTOhFVMLmCD17nEAClxv8I1Xl6r+4XeklnFTKT3q48 qTAzWbqeXm/4grI3E/K5Jys6FlJTVHtNUJm4HDGsyAo4KPQmLj0Zy5sS0hLzJzzql0HsuR+nykOB IUfWhATotE2gOUdE8Z3HoGSCUFDlJVxF4PV9Gcy88CIi1xsOnoFWadNspBn1i+XAVcFQ78Y7narf Ickq5JufLX1id7yxsft+8OD+POPEj5RV0nzI42d4XzBtfNrJ8Sso4tlJlhKDXLoGnVFHNqNNXFhE s+ghN7tjC/bhKTUwSJMDtHMdKBXv/7u/hDNQADmoW/RDQbnq3QxZjGmap/3ixoQrMHfzxVfSsfRn 0K4TYzdw7G4V5YpbO3TCB+ejZ4D8tCCGC7YV6aHr+RY1j4eLu6gL/vCj/x/5hdyzxVkONwBU4vYo NCRlpwddffjlG3/f6AI2MLdfPEEqtseTRxFmJ8MtAoQUE9LLJc4LisX0qpHlZUwiU2Lq6hV6bON9 96PjIBI6LpkFT44GCVbp9CUusfS55ZBVFf7YSwu9w9ID40Uc0mNy+2V8O7dT/DYbLIvowO//bHvR gVgb0N3bMYItJaCNJ1LsT1Xcd/oIy2xVnczSCP6Ue96dxmgbpV6RfllJNzqDwwU5fXip5vKE+dI2 J7tLCtkWDtC1jKJ6PuU54YJ1WljAmoSXBHjW6HOLyclYlUghBA/OkS3D6x2cbp4UfL94pLJNn3xX oMTTjKwp6i1bGDfOhj0Ot+yGwW6SQJ+wi5wml0pROyS3L7tV436zwKMtblvjnS1jweO6NzOE/MWh ec7U5XgnzJwMSCQoehUyiiP8eopOHeYn5IOviMcmYXMKlulrCYd84bEv1acIk6pUPnZyc5hwALBV K1yMVKswNTcZOCerdK3q79aiPKgDMQ2k4coepWM9wtW0WwEq0XzCyLdv6uX/xBhBmdida1JmIMD9 uY5OQTFHjmEnIfAjmmfXdR6a35I5CuPJlcIv6FXeKl7Cd0DegWh+gKV+lLSmZ8pGZzPdtvqZrtf0 qUClwF5M30piOuBDogWJSrF0MrxWCM42UMUY+r2L5XiPjdS4dpVzTvolWDO4bgqZC5kL4t6qiuNR Q4YhfCzdAjtUoTmc+u13LXbidnS8X2FIIA6hfE3JcAwGk34rjhqHUwYCeECJNHmcA7mg/eau7oWz WddG2h2G/irE/R/nGRtc6ett84JAQKS8H1JVO6tr3hZORgG9Yf4i8hwWBjkaQ4jCc4KuXIuF+BeX J6g5gh/FF1ZKkSs4nBlSMUCm7QlcuxecxmDvboj/yRmNNnJwnORdlr2RsNZx2D0EzA9QRj4A8tRl rbXKTiXowSyvlbAc0sq8jCP2rtmL7wtxFgCpQlFPCWbvczYnfoSZliZhR1P0dM/OLgx2ez2m5viq ogu2UBu9lWy8YO9/BCQQGGEzmyXMZI8joVkgnt+SQSO3PZasYJ96nd/NEf8m94VY/P4gPXK8A4ni 5/QpZu6fBnR+MeyE/EoRNos31ZTs6ohx+jU48Ius2tJm4LdhvxC6R9uLLtpm5ozzoFXLTcP25mJ/ ecdrkx1EexKvp8iVRNNHaO1zzS8saXqZaaiMLahXCGpPifhC51WjjUIORs9424I1FRnVPaN8otrE coOT1wjgRY+IHsoCPfqzwTp2sn4t1VQdfQI/NCrYmwZ76LWQ/RB+6GFkIQ9rouX2vIVXqG6fTAd3 65tagFZ9LQfo474PBqOtIS06erKWLna7rRnFsHcDP3ZDqy9M9fETEGznPLE8ZUywGbebkC6tfVrv uwPuCINjpUyYYmijtcnpPIQiJRc9GQHsEFhdLkKQR0dxSRBC7oT+lixGbg4ie1wdnizEVoHHeB68 zfi7jky7iPfUL5Fv+vqH3QB3Kjc5r9yrGlkfcDHGdJycAniiDrX/T9HMeVyR6sKtNewz/IOA6n72 bbCtOvZrrcsxHSkMQUkIx993Lr+P0fHZLqyYQaCob3S5SfA1FIynA8/Tllw2oGqT4zO4XD46cfAg YGMVBhwVyqVnoj4e7ly9H99dvcyDCV0c82J2yvl955f3spPq0yWfgt2iibz574ocgPfyD714rr+E EgDxCo9P4++eUtj+Yh1Dk7mCYq2OkOWtg0yjKP2PROlP97WFnbJs1gznV43ZycT+2m4apJCyENPD cejkC4fpzZYSccTXiVpGnYKw3+WmS3u0hc5OYJsKSZc/gemJyQIJVM1Ok26CUVd56ZNxtfeSMgBT TISWwa3pq//PgMJRXJyKUNjPVK64Nb8lUd73Bjgsj6711htlWOMdUu3NsaVFU5RwSAtFRcmzr9FJ ufx94aDFNKrj9znPBicuGoyAyBU5YKgc4Og9KmwRHwtJjgckc+76TksH2GTCaNIW0hRCOq4o5Foo FuyDhanCxbKb8fgNgl5b1zOP81gVV3ssbSrhQKb9cPJdmqRj65jt/scxmnZ/YVxTP3icvKzkWMwY ynDG9VtH3tyJ6b8x95ACMn8ufcSp0k4zwJIWZab5l89wGisHiD3ssXDvfd7RAZdvn1qKPmw7bvRC BjpBC2/9hkgj+T4DHHEQFNxaaOLWOA6/INV8RwFlHih6gvjf6pmyEBskHXkt7kmzRZivmIbMewBO IdmS3fBde+AEpu5dgebYKP+646+qwtk9+PZ32vd6PRmEzRFLOtoL7lX9n0PNv9oBc+RviU5RyQs2 noaec+x60t4l5jnVamvEPvtJcU3x8Vfl1hcppSumjBSxC3Nq9NrOnc3lfilnHcKV3nAdiLPfUuQa GMQlWw4HMJtHJFKYcX8/jqe1+1aiQrR4tywmlEzncgygyWoKSE1aSN3BsNKYeD2FE6iXMV79edxq zbnUKErwmisoqlkWpHdVsjd8OmQ82qhq1BKakTCPfKVnbnhK3qCyZ/Dd5ei3lRRDIga8zad5VAfr Kn3aPn6i021VxaJeRgkObuMiG362VuA3bAqS2+kYfJlOQRFSdmTTKjwrSi+ys3Lo2rMVPYLgywHo Hu2wo+JV80iJZz1/M4zFzzGQx8oCTEvmrtYqsrC2eDoBGpuQYSV7PR7SjOnEXJsCqBN4ONy1jpSJ JHM1cZwyKpPgIhAntJykMCnueq1PAp+YyFpOk6xDbMCHtIBfxGcBDAyLB+jbqmiC0nZPu2vObk1v yblV1FW/4tScZktP0T9n+uBiloPzlgIYRS4d6ETWfuWDbpfjEQ5gmVH0qx386NFxA/tmTOMbcDU5 mjhPcitxJcG+CQgTR6Ogx0h0d0YRUYeuROKKDk76tcW4hQF912l+4BWGsJNFPe7TmyzgE/H5lpb5 oN1kRr5qI5/eRIYAr7GQrdpZTMa1xzjqLnbj/tWQ8wAZ7Kl7UxzdoW6w0BFlXYvUP5LhI6qedIFW NMQf07pVi4StITQ4+YqPuBfuh7QZc6skrUh921+uu2Nh7EVxORY9BEXfPrJvSYCS7sJsvTt5r42J lcikMZZaRzJbKm8NkipMyIa7F/C/cKJVbOGfOIhx9G3lfauGKbnUoe7mekwGGZwsMcXNbzRnupEY eX7Ms8zWIF8Z4X0GCKf08mmwdleQjZnTLtEtKuOstLXMikCAjcvT37RBPocoE9lbQML5MXMrVlmz ZGmmV7DLvTN0opnZhhosMt7s8RXrEAPup/Lt1UEEWjicDlvg1mxxZC82SHT7SRh+g3+LLHA/qU9V 6e8j9uUCOJfvf8s2flXgr9Prx/Ck4Bs7oqdeTfQ5csHhR9nYC2/q9x4O99D0cRh4MAbZqFSOM4W/ JWGJBFjlmOvWMFQw59dVkdXG5uavHfd8/JqJSsCjBzu/BA9hXbLneApUKuWGLEKi7qH1JKtAYJ+f 0VI+aN492iYT8FNruxHnajKCyPEcfKPxNR71GQlc6jvVe7fT6UYKCWBtF0exQyVCtgDDj7lYFGQq DvWkU+d/tpNgOYPFPjyFeQka8i/IKSN2ST6s7RkDdXc4mzv4pPWrT6dGzhjcZBQoAr/PyiGZGiga tfi3t672U0SSiHzKnuomAMhm6hm+01nwW5hX98BFFrZd4gc4J3Sn2quGtMexI3rKWPVr+1fJU2GH VSKFy3jKtxEdJtj1DNyyxyQBrospTC5nl6rdisunMkKe3yBciM3AF4C90zg6HZ0VXEk1gKAlUrDW UPPDSY12nhka3DdNLbevY9fT8dfVpsbkhNyoyjkwUYXtm7p7w/1xcEvTuBsgqBJJWZ8qBftWxEIM 5E4aMZZ1d1dis5b4YVopu6z2x3BbrUm14z2w53XTjVO/AtjYbkHOnS3+wVRhNLLLMaXZqN9OrBvp XfOyIg3XBpw+HqYxUeqJGJ623yl2pIKilk/EaJKIsYr3lUbDx+dybxVT1eukUoniHxARVxsJlA3H PL5K4ZEDlIuexsJF9uEyEcgIHAfwzESWo9Km2hLFzRO3FvxOUwwn430AcNIqxZWlsGalrXdTgOfo 4YzKRvTBlyTYys6b1GPc03RN2hsp28xK41h7DbDAkOMgpdISpYhexU0pvNa8FAboRF9GRnPIL058 1Rxx4iJykjTfsMsoIYsfjlspGQotbMteG7hc/qqkovTan5gRObG7uCpv6jLbps9hFvdZbyOj02IP mebOjyNpzdfv2emBwpVYIjnTiGG1avCP9MhnerRS+OBxuSoU44OLhWX9HNbt2Kub0bKel0Utt3ro iqmnD8py8syQyNG/RYl30kvub33/k2K/4TONiq7/fOhA0Si4VzH4dL4A6frHpbNE4ssVcpkywTtW 7mT8gUSsw0XkowznvkVmhc5TExEZ4Qqa/zdsNTDGWbj0fxE6T2ipLE6EJ4KYTikE2kLmenkJcLQA ZN7wYZg6VdLSsSehwOc/QD3H/FT1L8WaH8hPg882UNXldbFT35qZTeARmGBsYusHr7/9BLpv+1wl mKGI8+AITdS0ZE8rcvRJwDWWcUdf0ssj1dddlGhtAkM/2QaykS0vCuxn0X1aPcIiWhuJ/K9wGR8F pgHuOb4IXqrvuNKppIp33YolquCGmC025mMJ4az9PWsexz6gWkRKZ23lwj4tj1FLbmHcjSANM9PA 7LK/r7BWnd9ZtL0nFFWlBI5AxXoUDIHWEgNdIuHMnyr3HhUNzYxKWD7wKuml90F5WjtZWMoYS24m iB0jsqgEZGMo8Czt/up6XJlQ//bNYZCsWUEa+hgk4YbHMXGviVi/TSUIQ1v0XY3nPJ3rXUfY0t+D W4XEXkH8mOIPAz7WypG4agAl0G/VoodLzNrvGHvlsT8IwFjRkXSOAS7dMUohK0Nv7cr1nhR7GUJ2 zhD8hO23MTbvQJnM86txJHGBGFVs0ryfFIA8bXA2pKp1SI8/9iPb3PsCuOd74wgY31yGU/K2x/IK gPDz8EGJq5tCWnTNgV6IHBbrDpqW8xKTMtGPU0tqOeTkHCMyGF25ERzIlF7RcyqVVoFDtxYhsFX5 D1b/o7TNNIjNrN0OArhjGsSDzqTUGz9a19CWdOZbNQFxZKA4jEdmeDWshHAVoOAy+zJgyRwEXxHT C90X9gATrRS7RewDxPlLx3N8+hTHdCav7CdSggwX46iPxXJ8s5XBbhnYQpVQmlQsoxRlPBZKWr6S FtLp0tSMG/uQg3I80nLu4lyTPoyvCxE8ilBY7fkzpCEbTl3F8P2aHIBlF6Hx0pAd6gx4vG0IzXbV 6tc0H2vlMUfiQ95OJzWxWlubeMvG+ukKUVEf0/5WU+sl8eirfMJysAxAc5gwmwml6rs8VfgWHBjb Gi2VGuRDuzfeNzO3XbrrGigIS6zEFS/RhYcrU6fKVNYoRViXpgn1QzXTAWwDSOeT6HUm3HVmNx0l QIdvN04pXi+DP6ZtoejcftJ2vC+xABfAdZ6EhKHxxNsnbKi0f3fKBgcTr+4p2njdrWa140Y7hY0v P5FE9o3Zf5gIHugrp9JV+YRiNlH0Ir4LrcWx8M5MJI/u6tBWeAgmZHA4hQoo3A+nv1WROpkw2lMw 9LLq5Eg/2OZwfWfzhWpbvBBm7ixf7Bfc5HmrbUG1jd9EP5FfyaZ2NBSVejwf9qMPzQPlJJ5B68i4 sIk9Bx1TMsA086Fqi9ulcH6fhKcJS/Xmcr9FW4VLv4rTi/HAXg8Y+ehXUFwIUlFzY4pM0sLrcBar irUkz+0n5d6hNbwOgJcb2HLainlawXPx9Yf2QylrlknhPiEUHIcsmBF9UYePmq0rIlaX7KeGju4a Y2qLfNOK8ouL4PXA1M4y+XxXeItJAD0PuqmjmXp+52RY5M83VeA+oD800pee2EWicgrwwcK9a9hs 0Sgzqnl1zAqTFD8/g9vIldCfToj4tbid51LGcR7tSp1zs1VgGKbMNMSWdcfJ2LTlS1JjNAGzCzk3 t96bLT3Q5WiN+pS4L5QDC6Irvijl+iDPypEtWCn1uwSYu+Ge219ZwoZlly6uMWcHFQOfZLehA2J/ chAbpTCdELu3NQYeMD/S0n8kSZQ/fb/dA2ye+kjjrzNHGtrJ+6q5RIMgA1o9YnJsdAP48GmyADZr iIW4oU8mqaH5Tq//P79XtluH4AHvurRqgBsvU5aHKJRhmLtKdEUkDS1k9vaRKyB/w4w1xPoiQTNK o6yfa0Q7m55ne6wo9Ew/Y1sXrKScoOkEBQhalXdtvbkihrHdcU0uWZT/VYIvx5eOIX1N5lIK/3bV PPg3/JRbn9PfmBU4PiwRxZEodGtYmZ0hzysolcpV0wPu5vZsjewWPnK0HYi9qdQ+x99LS74YfJXn QbwsWez3hYKWvpS7/xhx+oW5r61R4tzHgie3a4Xx7Y45EjRyrJnDIwLownGHADjvCSGitneP5h2W +Ux9YfpLNWdrnf/vL6obV75Av+TjKJ+rm7Z25eEUKZjzT3rtOq8ZXonROepjwUa7QU1ZlKVEieXS 3C5nUv0y7tYOjcZkJn9zMDbLxF5tVcSzPppBcB+kHjB50iUccMBRgSobQf2jcR1afm7RHd44XDxT iMNdE8ET4GiORi2XNUN1Cj7VMhKnLRk5W8cubQRQrwylTyzg9TY6WXWwuTmn8OCrJweIC4yoWNVb MpHimQ194aIFabYwUGwNdkz/IU1sCF0YQct1cCEg8adq+G+Mlx5j3bMXtrPjHRu5+PrYOnfH+7eI yCv79bHfIPDOeA4D2tapd95AG0dIZt3WL8Is4tOcDUHvBVzDjlmp9TJwyX1dYvATMYGCJm0z3sb8 wY0wubHafi/erXDO1Hg0aURmiJyt6CeVRXzIpqdA8+RlhU7ozOlqSsqQuxsKjPRhLd20xvzkGfX+ P36/zsRxwrWKrLfuQ0Pou/0hYijlyVa8fl5Cim8isAW3BIFExeMKy3LqPwDWzRsGZ9/Y7bB5QFHS BOaossUYKiej7Q4jYI/rtsK1kVZlNwxcma6bUZ2xTXEzygIQjMwXgwrXUlos6to10p6p5l7G8B0B gyoJn/QDyCl4suNshAS7gD4JaoRTX1TOu83kSX2wzo1zNXXGCyigYk+8jIlRrSUIi4J8+AXGIy+8 30e2xpRPV1wJ8WThMgHC+mARQTQQwGraW6stWhO/SiXwiuXQPKzudbUdSHfUcPd5Tc/Au6s3MwZ+ nk8yUL0xqfe3/sq2TLIpBDBFe5GaOnbhm8qSsRqX3Hbe1sYtYUE1K8sc1164p+uAihdg9Ux0IqVF DvnJwyMS2m0aab2TEhrCqIm0CK/h2ZyfkZ/HQhNCulHaG+EPNKTYe5f1+zveDGjsOBvVEi32+ElQ 17T2OPCu/bGK8IjItCiBLnWmTawlXJYrtLjU6cGx2F88/G12BPobA2FONzTwjizo2wahuLvf1h5o XSKFfGEH1kpDDWZgeKuNGS9BypVTEtZ+JywvAQBd6CFmtO/UCB+zj5p+OM0VAl6R0lNRmCw9cImJ 0hqpSLOXRocqmV9KrM01G5JsTqsJfIcYSWlY96wO7WBWCVVL8ML/9cA9V4x0Y3SaIV0/GjMOv41p 78ec/K1nPAKMs6YmM98dOSbc8BvRzmBdBLdtXsle5LU3gBvpSMty46iSUsMovLcwi4EDsZb1x+69 lHH7Jd494lSTuvYOxSxqRk/FQUHWUV2oZ80fvlO9M4keZtosFFAKIPD08g9443eIB3iQqcLj4d1M 6eM0nQPHtRU2szd7mi/GDF0kGCj/eZ1gTp3QQlWVLhxM3N6MR+x/GCqNHq+6RtG3JBfFG6YywxB0 YF29S58LSYHAlaApT429Vdkao72BpvlZ5DhW8mpoP0K6QB9zGtDD+5yd91hu4J44IgTpyZJ1xz1x jQ8q2qC/5nFmMMhWe5tORNLg8LeisA/U5ZG0QKN4ZvGrW9SbZ3CIQvJyynyXut1qxfBRbhOG3kvQ 5jXN8xf1fJmaNWbLTx2pGOoHOfmUf4yu0T3Y7cpVIX83ARdozBRB04kEbOLGxKxZAeG6xdcEQHtu giz4cV1lq+Ipex6U8JdoaCyOl45nTnIEvcGAViot00b+ySn9QU7wr0RKWGU4Lq2XL7Sn6dThPN+f M2e7LmYjGzXppHCP9y+WAZh7YUGe9jmF/Uu4MUHju/+/rcQcr1yHNdEzmzor1+/jaLbToPFFwqol xu1oEEFN4T+usfe73DDw+meOrNpWI2rUzYbwB37ueRDWX8viysLY31ScjEbDCHD+cweuMq4loetq eOCRfbP4YpwOI6LU4j+Re5kheG5tIVKydtoewZ3YdaIYRW/99erIc/kv6s2w1su3gvwpweyD+2DH CXCtxLuAse+WPrQqkGGyLVd15agIpjQv1PlaeCZtO1uNHDiJNKsBnFURhYtqqZPP8PElYFlv7SRZ ixOF1ZMkHChDJIc7PU0/KB8CZRJByGJwnS6Ftbrxpv64QDzOJaX7Icg90yHYB7ddoZYE01NIQvRG kxUr4ZOAhlBph59Jt9Sec8m19PRsZN8R1nufeFb2biqSxOjfeyQ4zLb88tRm98HFi71ga9tIoQol f1KKITK+6K2B2I3NKGqdc1bSFmfo+GvZ3lLLgq3thmkanjtjk3qXcEbswQgArSuuTvPPh7cqKL6o NlvwzHIQdt7rfA/TaHKC9+X7vPjbO16qsD+AzMdZKjLSZrs6ghXVc/MrNvP4xevFx/H3cTxmwnXs 92Mswrq1E5FOy/dZNuC8eUnS23P92rNurKHObbsUaed3sQpGFWYExSzI/UGp0usqxC2x6zYKm1d2 cfrQKBjk/c0dP5P6V/zWFBMK+exCrbY7/7gvJjeYnZ/WT2JkKY6BoUcbbXY1K8FPFv+lCmCX3t9u eY1NjlNKIEAN85XP9TqUQMNdQNsW3Up2iHpZwLCwC2FrDBJl+36lJvOrxnnfTBMAHbIksLxZscLm keD31Rbqo7ES2KU7+fxoRfSoUzlkvrVboGvOE/H87mniVlFZAVOL9fsSh+bF9wughxc47L+UQLxD AegLBpO/MhFwmm/8UzPGbuuOUqsUVjg3+9V+O3G0v8ory81vxIlj5Ctdxpn5MPg0+lRT1vqsUNWY FFSbrVYaqlZGdWAiWWTo8Wf3eA42DWDAR6MxA2GmEAoMUBxes9oztOacd5IZJW0x9C/oyFaLTHSI seOwkKqkcHGPDd4bLEAAKIzIcaOkKn9DYZhkntG5kJoFG+05bP6sZzMYBfEyqrBmtY4dkz6gCFvX CAXaPE9JBqHbcFibM4JsTQWYm7ldEc8ulUeEnFN1OW/iZtzmaZezuQLkjsE2axL0WZdVX3n9H8t9 Eizw8tLSVBGuWJDFKHgOfD2TdS1J0x3gFsNLt9ddUPU5i5hMRCICnk87NOUa0i6N63MYKfAIgMCQ tCQYhixaM9zDYfNqtPd1mHTbmQbsX5lN0zmHSwPLhl8MZJbleawn7Bys5giyCpJbbVBAbx+Uxams mHIv335XM7yhnW3Pxl1p//zAISIXoQ3HoWWmUz39bDZEllEY/Xo469l2/CK0wzB1BwBmbmxGZzG8 qqmLxb0y+GhpIwVVT88V04Ao3KXW00SeTHs4btqDBcFims7EDBKhlbAMzOtwGQTRvVG9UvQM+JuC DY3s+k9LZ+56i93sveAjPy6m4E0jGOVo0KgQPmx+hXEr8YI9uAh1jHjfmHDpcuKB3Bgzcj+fJleQ VOg8aRje4hkG5mxBeh4PUaoNZsrW/7kDus7sUKf12K/+gFi0bVAPuhgMX9yC1drE5GsOb6BFsrL0 V2rEOR9JESZQqi+UHER1bd5rHVOrCSHiu9nVrb4m3kRehyeI5gcU4DpoOQeDFniNdtoFQshaEoSP eKG2RXlaUSRwtCyjJ19MigZPrIoEUHrT13i5iM02pm/wwFhRHQvxgQvVc54LIw2a3JAS3klXJjwO DqPRpSp3UFTd5F7+uM7A/QpVvqjAtLlA8oTKmaeZEzYlfzC6FFT2I+QYjD1FR1eEsK+1HHRQ4JvX /ipcrAUTo9wTpzX/KqWyR8GjvlukU2R1ef3uaqPZywR5c7HJ6O2AAA+8kXjSPcn89ZGTKWrBzpm1 t5a3R5TRssCBbDgiYbg8Qu1XjIQO7iwTH6tAnUM9eiX5UxXtVB+9C4HBFBwqfsunUbSC85fKdkjz zFEbLcDK6sTWSqQDrj8OPLuJtko0LcgbPXBGamffi5dwrZz12qNyGVVNy49xbSS12ymJddqKHXQE d2g5Hofhk9a3cAeLZUezO4GmRnhfH67tpK2KJkimsoDq4FAp4nJJaF9Tfzk5cFjpY2PQ1oJudh3O /s9ChbbJ4wggh4lZf42lMjK75fxb9p2LM9CMBd1ge+DuzMPebLNtMu5Khd4YqooKRJWpOfzCUwCH a6kJpmufE3WLrQVxYaV8ruTv1NrMZs00LHdkWRH5uR8ANIbqjieWXPpEf12ZSLKpz71y8sMSaWn5 bIUHjBS5iNu6YkWg3GJCFB3xbBrlOAjDfnd8mSukoJA6KNr7+Nuru94+IZP0hhldaAvzwvfcCEny kw6oykRCqYtqQ7badxbzW726VKg12RuB2miCEmCVPRuwbu9ydsw/b12cTUhmSd4EwlhV+Jy4T84q KLdCIuUAyixBwMCJo8kg48VqPWNWLKTFyWjMbK6KD8YShgB2f9Q3Z/qCvwjRgOddH96uonVZf0NP nA3P7wt2fpurLNYD9koaqyOvliLhI/B+YGv6JwNX9/E5Toz54hh5+8gpulc21gkqoEsrNnRxjIga nsW8JWGyXT/Z0sB5nrlI/6/L/dmBPX0Le9H/cyCXT3zFenjcW+XySB7I1g4sRQG4o3dhc8KwroLk u6sbWWoa+MhVDh7rCcRMQ+YULfjbP/Zr+77nyptXXfBdK9MePjJ2S70vBA+4VyGl60k8qmHlhft2 JREOEZ0IUUmBwgnwTXG1W9Tvx6PKB/ru6blsTSK0Wc+NW1BZKHYeoZIc4+lt4tUwi6zG4yc2FCu+ AKJyES7gzK6TdsSahexJM5II7PS+s+Mcnj7Ispr5PlR2SfqoQ45laaAozsgnzh4t2VfboVvgQ+YN U4X/ch+YKCkGlCZNQZHg7RgtLcjqegQD42F520Xgg6Z1D+M0WnAGaj4oQ4Wxg2VeJzko5M1pz/Ra mND8x5Ftn40KKwGMs+RFgTEiyxMCeFxC31QlVZn+KvZZX5QZYalKRKEp3I5FDnqUV1fiqJ+Li+SV nvsBAXgV4us6dlzI2sW3qnxAqyeO8AhDPowHdYrG0Qzzwxj3xsOIhkWFA9CP45OvL2TKLnjsIINU 5TU9rX+DfvRzdyHJ18Bx4Qk0D2STei/MP5wUKLUHZ1gShCAd316hZs53IjQC4vjdxl2StkzRpPsk /BaYW32HdgXyUGWPpkWjvAwD16Dnzv6xN4cFfmxegy3+5NaTr8fSZIC/jyI66wk0cq/cjuqV2Hdz 8edvMxnKaolR4UYZIm+JaWZaveBxVLzmiBxRfOQkoamKrpGWFMtVSi9c3ThigwGBhA7EAiVd6XGn DYLP3pP5hQ6CGctg2HNZXPCVAF+O8tuHHM4AvwBNbWptet6v0lnF6Fsyg5AxO9Z6NyvjbPDFtc3u Yw84fSqxnBsXPYCQJ1ulpf3+ZsaPSN5qv7xr4pYE3bb0qfwYpAmqgHajLCtZbnolWoW4EcFbjTXk q5a03eKTrrLtXD3q6wvRO2/8hNpjqFbRgFWirtx6d+gMpL1lPo2HB3P4pKuB8oBIUqMbC5XFf6xb 3ObOykDbrVKNJKt+VmZydH7Ebj25V3RZSpa7+J+N3n/0WbwFwvBxTjWkbTZkuWiuALw7glnBosHm YsDQ1lxfTT8V01u1RPPsUtO5WedmkkIw1+so19RJoqNproPJ4r7sNTf3Pm70C3SCB6MEw6wAzLUx YgLRHKqnafk5wYHXUyV7CY4c7kYNmUx2x3V77glfu3MnPdxdgFV8cRbG1zowe9SUdJoV6z1wFY4V Gj2Nzxoa/B06txNV30LEDFEv7jPyhEuN6NmMi9+7ZmV4SV7A0K1B1Raz6fmRexItxN96swSgsFTP h6H92L8aTxc7uEv7ubk0nvyLrJJakGPnl1VOahU/rdAWu23lXRgAcH532KYSZtNwDjurmyb//eqM zzs10y0T90EknfMUsmqaOC9OV1x9ybt4vE/+J6qLYecsMumrPVcbJ3VI0pJM4MCxYrXbYktykiyT h2E4w473SU/TEnwvgNa8KJpadu6dxzhIozbHZwRa2/OKIDTt2+vPg+/WjyUlwqjGAkBh5AMKgeG7 uOQ10foJDGlqPNQV8+NYQ9gx74//BsKY+WsqgQ7XNZ20TsRj+CFenCuLMIgGIR3haVLD/VK4XyNY Po9TiOGZmJLEqplI078YD2kduNbcrEq8CxdxgApZfFB9IjavX+ej3hQ/af4VqPUkjhJC7S4BCmAy qFCRRuMuVbn9L6Uki390MQhpbdqwHXcQJPRkzbAhWXqbrYN56Cta+LEqUeUtRY0JTJByy9ojTwFV G+uTH2r7aYLotZJPEogkk08JsDD/9frW5WjSjXYOicuTaN0ozj09dvI6601jkLvgaUXipe458HM0 RyT1uuHIipfClFNln4z5f0qxHUsYIwAN4cFlj9sp6kqqocMWFDTf5fvj/nszn7C6vPXy6eSkXQAg Fr6WaUWRQIUdeSuz8UUtgu+f5daIMfyn8GyyEL+W5xzjX+moCC1SjizZRg2zLozEdThS1GxUKBlR S4bkdBhPrtkqp49fRO25imXEICri1w9mzR2e8ODene4wCC9sMuDBW0DdxGWC/xkeMmt3ZHQWh/Yh i1vpXfIEWjmJ0R5G/r26lmD95e13GRrIMdXfKWuJ//nkZ2p+5EmZXP+YP1qfWzPjylpY8YQvkWuK LTpmF2+T2lBV1451Dofz2xffXneKGt2HQ74oBnpOUumaLFi8745JLdj10k2TMDttikbGXrFmjNS1 1KrB8vgSBjzyqpNvT61aATpk+zIqntW1pwaTCFoGT8ejs64WW7hfin4LGfo4xGVBs74IEAi1g5DC Bp+xOlYM+z0uvPA4eNyTouZ25SswJQ9lEntESrh8dagsKl/DCkdiD0S7gCUA2oFacIN0DC7AcgSO N0h6Pwt1/1f7c+1FwM4yOsV49j0CatY+WYCsE87I9/guNlf519k1UDCfhogGkb8CHo88++cWTYno lmPxg0bYN5r5YDCedS/95x9Fm4+KkTF7t7UVFD9PmKfCMcNLAB+qZ9wNuDG6aaeqMa859C9wTzHQ ZzMvX0Rg0YzM/Gmu5MgtHjNPnVikp0VYV+k03MqvOGorRhcl4wcSbLemcP5FELZV2dtCVSNA63VV OVSdtHO0uZ6X2FpsDUPzZSCrvLlCCw8mWTvwUnhvcQsvzAYq/hKwP5KNjE6UVkTIrgFYvxJk6ro+ 9vanlVyJy8H9BqsBy66i9UD3oCJYM8l6bfMk5jnkU+bhMrBLti1IpJvFFPB2CEblw+hU3ekBcMIz YfhATeyIstKZMMY1UWHmEMGdG3ns6Ks/iI9l+GL+VPBTSw+VYc5EKXoqNiGn/LQFZDitXKSkJYEY qLF3vKlLnGCMy7GsaRtajvME94eAG5stexTLVBN0ePr15Su2chFZRsywZArGPvTZHv4ATnW39VjD SM9L0toq3jYNzGV11xHeVke+mZYC/ef4r4WH7KKrHt+IMIeKkP1Od7O2G3nc2JfZ/ImaUNmA0tRM kxKj9p7YoPqPpffwSgCiiGOZFc1meh5X+lFkJ9+fLcizuh3OWIeer3bLJgJpDOg8Sr1a5wZ/jkG8 X1I9Npu2+k3FwmsKgXyau1P2wy7K68huvTWLhlV1X3/0vxRuA8rxnUp2T+M5dCKkf7QnnSm87pAC rG3r/s5fSH7UovMTRd6BjcTX5HxzRMaRt1/htPzEKKJzljbkIKyU+IvZi3UCZG6Ga9WSBd6QFnUT z1FHcTWzYK1zRkdNzmdsKruj/Um2Q4WKyfCpOAD0IHfZAt5NMJ6gpxGFm1ExT2S1p97DAeJNq12q dgs0pM17WUfrXzrXeUg0o0U/PQaveAeyXFpmVHO5HgMZgaeTPmbEndnyGeIzzjhzpj6vJgQmlTxT baxtqtWBlbbEz/cr0wNPbldpmGfJOXemK6E0HvPHcOTamszDOaDwJCBZf8mi/f7KXK784gcLsLBs ZwNEEsJpQPnGgt/aJpFN9havC3veKAeSIx/XD1B1SjzwxDopDClKoB4/z9gXS7mWm1isfP5U32ZI soZdXVWcMPyl3ZHnmD24PjFSZWTFTLKAoS2UsgqXDGrTM9nWIkio50FYImjtwRqWo0v30iBXKQtJ 96m+Z5qadF+9mIRq9WXXkCPs5EpVPtvxMCB7qVhBOvvqYroF7InKYiFYNQbrn9kPqohbQLQwgvx/ jjCnOCjHo22delUZH3KS200n25ztjo2vynE0028NUNqmmFq7v5xHQXeW3O5dNmjx9jyTf/3KxGGt boSelZZP388KM0YmHkzd1Xmw4m1+8Hcec6/O9+93s29rantyzOi0qHa8flyYjp6hHqu0XHYsbBod BxTOybulLTh5qzGhrfk3gg8Ux+/IXHKr748S6mgSESD2tGgVU5QiyVbW4RuDC/FhZtcaICPAeP/l muk7b118tIfOlvmy2FjBW5Nhz2Ksa//VBy3arsyNRD+e8Vp8juAtR3lM6f/A4H2jfMGXGRVbr04n 2Y3Zb3idyxt7HGCmtQRiTMSO3qRJdtQpvOtXUJ3aDWmmijzjHit1UFSbm4o48xBqdDdfj/F1Kr7x pB/8GAktNyYmB26uo9zpkXUTgd5uKi9BA0DppI27XAqHlexPy06lA7O35XcajyP7/25E/87FjU2d bxBC+cGHcJvnOcs+/eMV/VoPWWN2o8B3gXPJziYNnjQhzTi2kAFkGg84hKZZ7R2pGrGIy6mv/FuE OGFLQX9xpHEXh+JIIxRoXrAHtT76nO6Hzm+tG0LDpcBscEbU4npLtPJK8EuuIG+aQUJlmyU1S0zm Pv2eeo1ubjP7oRDStQlbvC9LMt0XQMbveXQVywj0gPcpurU0JSzHlP9VaTTOferUKtqUl1EwaNQU YsBFLPhKPvDisQY4rMi9vcxbCRtI+QR3h/jgeZUNh2cMPJ8RNo9/q3DMwEjZxfb9LUKqqF4sS4oa TAkaSqjRW7kPWtRz5gQblqi8H6HiS8HweKKNbhj0SQpJuZUGZXi6Y7R8k2V4uCXnyh2WdaYISWo6 oO+OD5IzMFOWKWGdIbkYwvUKlOO8EAyYSrqKWy8c5Nv6QlVtac+0H/4TC8JucMUyDclNxLssjYu+ TXKtmj4MN4GZQ3Iw/NWfuIqsWZRFXM5Mjiz8N4h8LZ6yQLzF3gI9Ao/s1G7TbdD0j+lVQVSCInf+ 92OrCjHkhuXKEo4BnCf1/iww6ylUOqHz40dVFGTuj13vFou74EMn6j98VOhAoU8tKkOGYooTWwx/ 73P4Ern9OHfsQT1lBvj4fqLasspJFJUIZOFWFqqf/G2SNayyHEgKMfC9TBOsEuEPJZePk++KvE8+ 81N8CwBc6xfYlgl+lnBfondFV3PwtIhY2Tnc2XttEdb5q7XwsKNCuBRio0w4hgdnUk3Tog6G6a74 SdKCZqNwzp6uzUEo5c+vgXvUaTZzAysSlw3LCmzN1P0fWPmSXvry4ljEAtQyL9sRMIQeoYeOu20p c8MUUowYTd9WcdPzlCg/F2FLdueeYCYnRvs3Ne/U0WubOshqsNITnVzqAijxHXlWNx1D/ihonVe5 ssmeCudmD0O4Hga09HDcmkefNSLYdpXxdqkfoIVgRU4CP1Rfzy0o1p3I6f35sDejAYv1KMoi0Deq ruKhwpbSPhMP202nXkVi0PGz9q6lJU49SzLGTOiF7+hi36qwOyeP2NydgZqn/baF6GgoaFTsGuAJ VFDtjfXw5jIUpGbAugRZoAY5ugnjgXbCENPB2xr4tDtMeUCd47UtwWWe0Skk0OjpLyUF+QLlOBaV RObSFtoH9IIU4nnm89Wn64+8h/DHiMsiSFkifs+zUnIpEOV5LT4eaXVSQ45YOwRAqpsSYbNJ98EB qxwsdjYZpDIZJiGRaE3hdwNWbPNaFU6mveOLwp6WMdfXRviWxU/ixJe9yczMOo1SwyJpPtASB3Xc 7b34jMAsAUC/5oguTS1JHbROd0ixry0Fz+QJcWquczIdGd+wlA6/epOhJTRpLdMO6W544GZL6w+y oCxgM1y7h0V5LRjybkc/qFM9gs4fjj6fkOeFMVhsm2BRaa73/BJ6eCWbfFK6QjHHLZJPZYtAfM+c g3E3HJPy2P5+sEW2ticBK3/gGYGCrn4IvOqx6TIVxr74dmP1WoNNFINkvOkM3SzeL+srwMYHy95k hexrcKHbi405QT3GhqEjmuGkMYW4bImqO/LWQh+kY0Oy2kuSIK5TnEkVXa+Q9Q2SBfpo0myPgmoK ULyEHcQVGayuVbB3MQ60nteEIwVYPmwuHBrtkNCQNpfEY2W6G876xljxh1v5c0AFtzIuyUz2EkO8 NF5wRWXDKEVLs1wyHmuJPDahJ2dWDNU1QwAnS6cMVD1RjytaVmnfkBpUlPjbGL4txEygvMTr1nrx TnVEACzbVQWVx53LxXChb4kHCDbMt8DyIEM+Qw112UkPpyeOLw54MvXnrloIlpLwwm6EewOfK21X xlUQj8Mbipq4Kr6SyrwdM0eZhx8ffkDQ/ocprnJ8AJ4SX8a5nhcCUVpJ0+WooOHzT0AxVp7wsOoM rfGT43bmZNw1bP7fxMGj0nHjCW6lMw1JkNAh0c/oeCuzKUyHIKPC1BcKG1+hXNtVoc1rZQ7qdTj7 /uOjh1T+zGc3vRy/NhoxAUwvrUiZApd7JKts12+WLdpPuQe6fQ0xs6jZSq9T2D9T7gKpiN85LbjO 6JcHt+MMOTXcwW9y0k2yzFcoxfu9lN91Ucb7aytQkVYhAkPqZDWHq1nRtdZzq2gNblf2jhyKaj55 CttWHkgzairwe9hiZ2CBxI+SKN700qv/h8DlNNrJO0IawE9xubEEBQH3uFcsiNySJqAYH0enqpKH MD62pd8DGVtx7EiLqUqq02ZiYFCFSXbBAELNjSDPfN23Uy+42Z7rPR4KM5hM8eP8cy2gF+dLUApp OhtN2Qfw4x5w1dslpcFsaujn/LHilLD/BMfBI31VCscTbi7S6Qw0TguERWudrKBZR85kXeOgwAqW wzQZ7bKDaUZtkm/aU7Da/nVsBrm/MBgNkDykUEInBiYSR68YGBiyuKN/2jZ7/lQ7Zv/n33Ce9vBh Zj0+f24K/fdRZT4Y/JGyygKwt/Anzyj8io0/dqev6xs5Hjzrstwb6+8h+QcIT+mcGsMQPmIoVLg9 AmSjK/NCINWEC7+8YM8R0XAYEdmKNAci6uhIJp01+WJTiIH3BvZrI84ha7yjq6kbX04NA2YiAEIL hHv1sUfOxe4KUdy+ICANX6S8+Fs4ij3ROMwqmoAfCSHLffV7oBeXUGNobgLFmaMyJma6gG1gkeCj pNVAYm4i7A43pzhczgYPnqtyHeIN8NofUVhr28RJynUvV0hchxL1h2gx3nWrdvcPDrIiCxf67Z70 j1uhI6TnTOg3dXusgb+o1EwdrUsUqQosPqTWKPnj0PPZMrWgmPL2k6Ot3JJV7nX98XphQ39V3NjN UTauZ64GaE7+fWlErdrSxRcVrXYB27Wk/jVrpjVuAVlnJvcrhOWjV8/cGxYTI2zsTFCuA4nwWTxv XgxFHwYcAk5jrj07sl83Pio2My12wrOvd+j1dMe62PRrkK7GrHo676iBpW5gm+2s5FB20yDUj5PX Hfqa+gzq4kE7CtXYk9o0KgzAo+1jhfwpekCIEFfMoq8YLQNm2AkE/Zjb6b4hKawGTr49S7tR5kRS lfpFnZcdTuGs2r5b8R7JLoNaJt1fXVwETu9qcpPyCP2GdAuuQyYu5vcnXIni0vgV0EdjK3MOCtnC vgjRsti2OgNuyRyeQgbuFG9dJa0aSMY4no6IE1Jn0Cz0yHHkRyRb0+3NYAWYGhuDeQ+96wfQbkUs vZ/H0GA5V6tfdDtPZvJ1E6hn7GL2LSMlNigU8clhbeb4fc2z/UQddsOsgVXYDWGDSzFuqX8A3SHg 89HlWv0D1DXrqSZAbWqjSNf/3a6+o8FhRNCPQq/9tFVjhNVyiyOoQVWY3MAFg3aO9DSRxDdLNlbK 3dK5LuTa5lahBE0WtuCwkaHdrLdChGmbw63J6usIbBlrp6vxOYqU9059VZjmKPigQd+uGUF/q+5L ZgjtG5sqBVk9beDQTJ6fAILNrxh8OY4w64ApMvKdZWg5oZMDMFkAMgLi2wYYo0vPpUBZP0IhWvQ/ CWcIgVTEhOIco6EKQtBKcJ7zCe6MvfEcCERmn9Jh/huQVNsXBe53rOwFGU9qBD64PYcnoZfuBzSk nVbk/IyRk7Xe1sS+q009tiCJFrlelQ7b8cikBYuQGKHfy+VF6FgGffJF3JWxfPowfBoTqzoSL9tE f7CxFb/16txmalNKhZjCflDaTEo5Y/jMzsL/D2zLDxubz4TmV3o35g4af4HQn7kqAI4krt1syPkT tyP8Ovo+AIFakR1UzvdcfeUad0e0tpRPDoMbAoqqPQXsNAP+S4fmcAFdPEaVaJMsIWplv5DCqrw3 NnY9EElk7XFxsp3H9hESZYeHzlnt1Vt8TbQusWJ58YRhJKE7/YwdAALdrlr6L2ZMMV43Rti1iPNF jRM3sgO1Gdr3Q7NX5jVaJXPUf2W0k7QQ1N8REeE6+h6hnBXBpq7Ch+Zybl5pYMeaSpEOq5GbPUnN 5A0EPa+a28KsgKgO8yWcPfQd6+Ps4mUSkMino6N7U1Ys25HE+UWYv82cV92PM54J5xM2j9dwOUy3 fpcwfLpF0vPHCcaL6/jvcztvx63oyAfoMvceaG4oWFNoNWORBe/VmpZKC0ZRz+7sYfTl3wAf3KE0 aeYymR7ljjyJzKy3Tj8lq0E/QQyW9zdKj2NFtcQrRQpId32M1eC8gkXMzUcvlGsssPSzbdXHtEKy 0xf3KBW88CpHk/y3VxqZzPvTWweEB3kWn52T9Ym0bb1xAPcMr+FIlGLg4+8/M+kgse5xqIi5GTHk wm+G4+VJFI6Tp484JV1VpWCLHEffRtjF/4Wta5+KRv8IFgc0wGsvxmfuAr3/KgClEpSAJLJnXcUn 7oSJ0ogs3VcH7eSh+CoFwbFCXR8uO91nxFeVTOq33jb9vs622LCLan3qs3iYSP+pKljbvUqfqULr 2rrK5hq3QFR09M/IIcpL7zZJbFV8BFBpFtNrQ1imzc76RFg/ZdyUi7vTnL4+qIqv6ujL1crQkmwm rPyY3RLdPR9gYQM89yPwbJ9sHZgDBsCRrndewiXhwcs1TvNdimV238ZCIiHPgfkhCdUecTg65IsX ozOu+e//aPzreOcQa60bX/61B5c8gamh5jrpys68KFLYFpYOiDfFqQddMEBWGApdPASo8W7SLjah 4E08CuUP4h/p6KYVtf2wBnFywr5POBJDq7yC5SA268VvRcHjhmM3beNJDijzhG6g3ExB+EwYXDGA q4w+z+awDDuPkEM7RH9VaK9TZa4NZkclWfXW6MkB9H+3HEKUua3OloqByjqAlKGze97nzTfjEPzd m/DCIf6OfJqJScz6AC8tRTLR4hIVGoxJ1GrmB6g6tP1AhLwWOYqJmzrZy6DSxKh1wS7TqsPzdxz+ 9NN4Qkxg/tHjtDatC+491RTvqT5oiDG2UPwZv3ufAAwtevXB+jZDIzPCWxQ6Hi96WOLki43Y34NR SJZKV7rQYSfHqIbNbNfaOonszj1wqY65olnw09l8lAG0qEMIAYD/eSVc2abx7R8rG7/iDMxhV746 g8TXQQfG3T93kg/tORL4UcxoCB3j+uj2jhss2yNKhWz4dAF5IlVJu24Ay/w9tTbfeoWKaIyuLjEs qS304mhvTJ3kowXqc5vvLVRXtJSwMhVp5NfxCefaZE6kOJj+RWDMIZfqtf1DKHLn5VoRorwyVuOR L/qhVHdfjzA6nw3YOSqLdld6YOFKYTgu1FN4S7r9YuY8wrIrrtBAglZ2Kez4EwEHxcB0WU2czpmN 6QTT5B9i5pSrykKpySGtYAsHD9MjsWXGLjuKVjKUNbTfkKdom1eCiLJre1A0dr29/KTIQ1dOtbcb wkmiTXam/C21CejuCHGRclyEcPbSJDnnR8V2pumXIQbOKRki6Ci+ZoAoQFPpWfy0JiDEh59+T5SN kWsXrJGMJw/jRX2cZs/Wzvo1GA2rcXypnfh+dXdWsxQ+U7GQM447D3wBAi2cT9MY4BMlHbu0G5wU upW7EGc64+nmh8qKV+3dmDn5GWLBYYb7I84OB6/szvLgiCcOqbzGIX+MIdXIjCILvsONhyp+vGLc PPjmg3jHE7UobcuX+AMcmbT1bvOehyo8vSrPNxKaJ5ihvObzjSwPcGqWp9tJWsciE0O/mVcq5qG/ 4hWmZv8DF/AZ7WI5JXkS+WztLck8H8sQNs2YFytavSKCND+XhqsXr7mLENGDGcLdZ3lEULSkXHJi gEMOhvXvJEMKpb/tIMRaJoWqOf3b0qwn59KJ3cILcdUj75G/11bnIOP+XI/ZdT/E5u7Is4yNfvCq K/rY15p9wTPJbK5VP2+EqqPvLiJ2ZP+IPGxP7XyA6e1fd0f6JJEaqlz2clxMsFBsUGKCwMrMhqpO 2NVM3KFntW6sUQVc7xwRhktLKNWNEzJ9ha/4dkbLDD/Oc6xwmIxoIwVBN+uPZy2IWCCal8gJlYQb VT07gUTnQGkiJRCa692YM2Na5IIsU2XerOCqXWolWbCJI7f6Eb3qMOd3fPupdWsSU2dqu+5FCP9h E7tI7LgN4KFPbinecaEkTOj9hOCu8aOpKZuGrxje8qsuB0/KvFgwT0HqDS+bxHDXViNgi9Ab+v0M woUAomS3x8x1BO7ysp1aVAkeV/zLSmtF6U+kAqYou3rC2uBLZLORtoM569RjmyI4ZDZwtgv8F2WM zldwCmjYfitj9MsX+rMSvm6vOAm3YI7VqLsoBgqi724EKraflUTBp/QAPKm99+ILlUsHmanvR+3+ nPHGCtjdxnQQsq1ugjHOu2PlfGx5HyeFypx3eW6ckQ9W4qo9GSEhWUzAnMHaq33rR5hNHRa85Cu1 L9MD66SpbB2e3LALoAGcJ46+rbjtRNSroyqKoSLCCZ4mG02r0KKqgBU8rw1fihJLFGeKipj+9UKH V+X0PNQVRAxkeHf20pLWC42Ha0guyBOHq//50Bf2PF39/0C77IykXkjwJzKwGxasrKTBm3w9aHDn p8Ayg1oMSvTTfsH88R2FZqt8ZzXH6QZ0f4gWUB74XtyfHViyjVsN+qxH0s9IwPxjVEHNBaSdtG3g GXF7svlY+XomRiWzM318cyZkAG5nJL7tCWcdJvt3h3p9Styc0YZdtUzrZz65YIBjYlY2DIsQmsZL wd6LwsypasyvjR7LNxFF8oEtdA6EydIKauWD57G8iYvuHub6PaYgBTT6sK3RQ3CRbcBlqvFpTQ3h xKy49yI5DNvjLqbWSg/Lh9WtJBpRV3uChfECqDYjC0Iz9zeSpVplI9uobY6JgEFTzQ9MFjnMhfCu jQ9sSw5IQJy8KzdOvL0AZqzMx0jPQkCQIclUtjpdrfDelnRpsSoGmRtMSGMotLZSYsoO7WYyosDO 7bVw/mOOE9I58/Xfuu++oB9efiCBUxWK1pS3UsZU/RPQbJfTZmduS+HGNYoT9JRoCKyG/DrBf3Ye jext23gnSCYtUVUR6RTd6WZ3UktZHTeHK0a3oMCqWxbRwo3ovi1byH5TTvgT/ujpHuXjj/C2sCH3 p2FvAXigAVG+XMGefDMrAyaPc1PmpUx05tY3bKUI/1B1mPaydNgv/otrmElWMVcgRDGQknU/rrfU BO3RfpjAKMEw8fKGaHUNU8HOPtfYWb9P/pbNOaYvWSHhK50sIFgM+LYqLcovxf/DLnGugXSSsUqT fL3eBc/ftBXb55O4lswN6McLTduawg/LmdzdDspfO/ONtn+a8180jlmFx3orEQ0GcMBmyU5/eoeH 5QmyC0sV247kJfOUO3qTiacoMTOYwa6AJaEvHFwhv1+1ox3XCfXwSpjPzxClrLniDvsJaKr1kWdu IpLvAV3SYToW5Op0uNZ7mMhSWj7o2nDJPwimnRJz6CIz4+U00caW5mHGmU+DutPzQ4FdqTy3mDul WvhntFF5iFvJSYwvJ0zqvtCflxd/Lg2Hy46TG6eC5fQMio4vgXY2q0+sC7G81avCqU++LC4sxptw SnYbS9FDz14XaHouS7EUKp/IdEpl0Pp3wonss7IEkl9uOc3UkE5ykA0fZ8lYsOs334gKYpplAmLQ y0YBQ/7EpVwyTU6S+x+BAETweZF/eBJokZxTfQgvcj5YNWKgHrJxR+fe18a9nx3N7wirFa92bQlO KJLAiB9eEzDaRiWYM7MB7qL7ngMk/K2CYvFmJJzNfc3DODp9ljCnkL69kh+HJhEYa9Kb3x1EHlCC saxTlAzK6MMIrLu5ENfQ6YgYvDaHXEr7QD3aEwLw2VP4yXkaBpaH+ho5GySsbLSKK2p9OpLP9Fmn uTOtCwRMU8yYiBcLBt1pek5ZYMuDl+wxOqx08t/uk+5COKXdP6YLUEgmwlkJgY/PM0PxF05D673J HqgLlnDPAAt66i0iZgoTj+jpLn/JX+qks4T8vQbFBLGrMmHdAQWgwwid6E38RjN7EQNEIg+BSUI4 wRh0zrypMQsbxcFzEKCHiY6e+RDUaijAj8p1D6u8P3NtYpW6WwF6zyEsg5N58oCBGmg+4YxC0zzd 22HuNvFlnwlJobcnrjYJ8y6pcldLFNqNIVDHQvElU/kQkMQBOvG/+a32gCsx71WEvJSqWtykBBeu HLudqZU0sSxydhgeP4tuHePR0XnsfeAej2lkIdadwkCD+KhCan75cqAg6r2pDN0du0Z0Xaz8iI9k vvOhG+X8L9pCYkytEQbGkv/Ow8VB+Lmk269bQujGxbwb6D3QTZWR4vIOz55TOrxJQprqrGYA2Ml9 nRmd8BF4CAXbJ1tkjpAigdanz0eQQBSS4aVyaEfJXf9Ix4GUQVxE/b2ifsoJEtFyhjD/uAFQ92cp n6pqM8EiGV34ULxM7+c/eXE7S+IWalk0AlWKG3+hXdEtAtWe0u6JDi5r+CNYit4mUwn99UuMFaMl 2Xl1ZzMbeVk/UnwrhU6QMxYBDo3Hg0s5+jngdxWp6Lt1nHUarGzWJ0nsEWXgTM5aoLYPr7ES9djb A+yu9u2SLaXP3qkyUxYui9faojt1jDbzKn9jMs3i71g47c74mzS76292h4SuNy4RUcd3EhSsVTC+ jAJTbHV+3ykOiyFH0k+atrgDFzButQUZOoAtMqinQ1igRZNR2pVkLkXQMGCgsu69nzqy7goFZ80P lyHU1v4e065UQHYEzcsvrHgjMLr3mYwjXcGrQSflrMUZt9h0roZJmhb7JPTTq3hw1/INiRIezXBh xzfszaftaODjsGTTahXlxoaksm/1p9b1ENR33kGE2uRl0MX2IrE2PtGVIZOP5+cnG58v/u2XZCGi SU79ieZwi54WAdpg7Cn6e48cRQAQYJ5aRtd2GwfN7v9rg8u+iPF6UH4lBg1jA+Jrt8ODj8nZRTYo SCzVIK29udbC7Ze/J3xq0fhXzgyNky0rt95JUccYAYsvUl+u5ghyByQEjwF0cEKg3HUpBLXFBZ+3 v10znNtKMvaNgovbZ87Br0xAYqd3VDTFfHmXGDt8hAIz08VElYL6Ej+ifWNrRPLEW1q379hpe/EE 83kYhTSWfy6oPZNcBI+5ardupnotEYQWmyL4M3HmUb61EjA/H6LbrMyz4wLuRzSstvLkoLpvxPQs 3qAEdx0Aq24UBLuhcwpFGfNw6ukezigBlFrhPFD5h9ec9G8A91OLdZ9OlI8PErxlN3QnMYLp1GT+ Sktyvk85OcKLup1hEmz5db6Y63AbAS2JKLJ8EBmyfpULJ8JhcKbQXkClBy377AaOd8n14Kt2+6vX 0s4VXZiNcO48z02L1xnoX8jtO+TYb+66At9VqqxnGRF+R5sCBIKUJLb9nKPxDsvjyT9M/OcYC0Z9 Zbs+MoseJaDHlR6u7i8KesE2EJ9fhPiVK4ZWnDcTayBuesfvQMI7lkqVhfsjDDr+50sx2SlxP8E/ JzpXzSL8oZ4cuW6qq2sxa0DZLF0mUQ/TrGVnUV/3PPJkxpm/EAKqSCtAFuCev4doFZjh8OeHuq6V NezUWAPmB1kkNUmBufSf7hE6IfulZ6CmiZfA2aIemglWCvpaF/xtQSxDAn48gLoshfPmN+G0ZK0l tG7tVoj4qyfw6rO7HhpAZZnbYGj42FfvrcuX+JWCkQqjOC5GsRnSXVr3I68yAzWkyp+XmA1SYjpV 09+PRDVvGVwz4qAkhyvDxNqUv14dCOGksmbLyA8kOUPZlAr+dBOX9q3PAZTEfH+fdyntN+OPr+qw C1cxDQ5hkUFsmBJWYnDK4m/GRrKlHSIgntqydhl80RhfGGBxTBQzo+rD1OcKZIwbpPJeHwTzT+6z MYIqsJ/uaC8RTwvgSoeqBoZt/wci1AZzl/7qEnp+Ikj8ignnXcIElwgaDXWG+YcXv7Fr8/4F1Ncc JZp6ZO+I3ReKWrcP0M4C/X+bTI4lT80NCilITar3nn2Y9dxBVh0hChA2zt3yFbI6NuCs3KVC5LbR LpMNq3PVZNSN1xm6WlYZwhZUyAZGp/q66Yw48LRnEVJxHmmueVXyrqmJVD21PqH3PhTq5cBoZlwm XGSHc2H22XVomuqcorLfPybQPi60YXPrK/Tf5kmO8t/ajrxvnB3UrYc4Z2FMBZfzgkKarq775DiR OXBvLvcI5m5zc0U3uvaRHCszsqbDfTqINrJfd0HSM0iky4ukqZw+Yzk+SrWwEgzktRgb542rUbBA pPsBpBlToqwSFEdAaaP55JauknSgCNWDX69YOlW0DYxfUZClYmaDy2qFljVCwK55ibKZVU1xs5GH RNl7t9RtydzTyiBWnNg/+hjKqwxQ2hnPZ64v8Gpgg80xKl9POoqotsdWFAOx5x8zOCgl32EgosL7 YtRq0gAawtZma4JpBaSq2mtCnrWEJ9SgpSsU2zMvY+EkSzlFlju4jPvbc/1OqxSa+z1NFCPvgpU1 8d/18DhIG55N5qtvji4olU6PkeevoFoGTAaqKHxKh0P+NducxgLzB/RaFh8F/k6hJZGF4stLBKOT Fn/xMQxkprUjViErQMDKta0QazJmBlgyhgibIbLLjywCs7GJPZ0kMYnB2XCTxAmKq62qPpMXaRWV vbLvIMW+AtXreWXSTnW7V6Zjey5wlhXfFrC/ycnze+cICGulVO2gRbegKZzs8Y75WF9H2qunjOq8 BoD/Mh/W1vc95nycKadwmxWCjtMLKkiNr++ODkNMATmgfxIWqAB/i3q7yE2VtkOK6aD83Qz73sWU YFeCJovBw5hecKQIVrMl3mQAyDA7s9kncw1tE9jiTnJ/c3vCmNgxvsv8pveRug/dhYQHCDkL2EEq 4bWuP27wfNtw/KBtkTMXiZo3BxBLuK/J0BVI7yJGb6vF39bmb8851mRXjGqZRoloRrr6neniwxbu L8kYSJruss9LXAEVCTI6AwckcZc9PRPxqzXVHAFxbtkUtKWFa7FLyfJsO4hdBsHDvGWSOpmmdGAR enESLKnXSJPiRg+n1SfIrXqfHFfNYeHCxqjr+yfXFQzW1mT7eQWnHAAuQZz7DKLB69bSHsIKsx5A IuEtFIR7H4+phuEz6bXwrmDjubJEoSnHjMvPYqt2ZbC4De1VThTCc2Z8MnU9DbNY3bfsiM3tnYaG 1fSe4dMnapKq1da5nEH2Y6Y4/BIrtUQOhiJCgRkHJbF3aG+uNY/x3lBqglpsKK8d4IBGlRCD+PnA 4jhjaJ+HtYJ6e/00IZopUyI86nL764kg08rwlSZZEIO54lAM208DQg4d3BVPUJkwrc4PCZuWAj7d /tCiGwgyYB9zvTviB029uTTMV7JcJCm7y5vHLnaSBvHXgI5OpXmDONlGtSXbljIRTfjqz+3JqsBu z5nLQDkgGpVqU8qXJ8Lv/QLfe0MWuJsvTvzmEFyRPNBnbDb94VZn9vCy7WnLmjuVoyH0EL4g/lb7 LH4TQhhA2cyeRSH76SkTk4Smjd0079VnTN9QEJvp1G2kkiegq6gBe+B9kzz4xT6VfaiH+6vTHHSg GH31aZchHAgXRnizOJh5jUsMFfxscplf6MEgDX66lyHZY2WUBXML1t3Ph2ukShvXeBMgRQnJ61MG 3nT4QRYoWPDuNlSE1tyxFKR7GSwA9kqQe97GFLfBWCUSKNjjIJkMmHMevmn5CYFluQpHl9FEcaAU ZFywYWWaqjcHUeeLXrWKnzC6+1sxRLobzeN8jt85GB10A7CHw+MoAV/Ly/7cUCayiNdoCgC3dC8y wIN1oG7G6wI9+1z7PV5IDjLMdXTx1vWTEH0wBqDllWIOpy1x7a6riI34rgNpQTf2zFhb5kp2oTwo K0B6H0ejNyaqKMXGd4A1cP2yWik9MbAbDagzDnyDSC9zYlAC6sXH624clAH/myW/64paDoou/e5F tnvnIxterdJhrEutFarfBNdIefh4wC8igig5ieUhFa0disKOspK3Oj2H1Fkap6WFLVC47wFmcmxn jt9MG7mnnPj8BQgBhthRwXXXr7uKQJb5/NQ8nf9UV/Eztv4zuqqsU/KG/xDJzzRcEyFS0gdIeONc kQRVregYLIZCv96bAFhf0oX9Ujd3IMDsCFbfKLj8owVnJ7ax9DFVLcIcU68ElPLv7JiiQjIgU3s0 7sJ9AoHc1RGHyGpvgA0xKAapehGhIE/NCxpCLVjWL4LuIMDb9n+mQVFKGrABOWR+JOaGulasdPvp AFiM8TbgAejM2OWERpUEu+UrVbYJYySwBjRtaVhMQ3t5j2Qs5ueGq7ok6vsVb88rL4YwUPXJQYJ6 k5mCFLUk+AUQd+KBxSxoms+qvhe+svTlKjhuGagQ5G3maOUJDnBBYznROrA0/8yCQX1BfAXlJhLz ozyexAA6RYQG7c7+VxzlosN7k7+udjnYB48alkEV3iJ0iTHpeJ7XrR0fhXk0NKliOweNi7fE476W MB/raaZSHYGqvuKETAIhNr6u2iUClFIKwsl5lFZ/X2qmucinFQqNjptftnBCPH6Vwyz4P4hw3G7C VyWz1SCAprtPvkCaVqYtY6HrBubdbpMFf3BkqQjYyncL0TisPwqP/2v9z6NHUp4vDqEHSkbA9uKa n2ZdqBwFDsjRQMlwUprXtPmL+nSLVLDjN7l7O5/KiV4+FQcxOU9R5jvfiMzHzi9P//WstU9VizDq EqN3Xz2hJITqV1OkSuKS/nHya9YCRk2GCOvZVOxCf+oLV/SLnzUJZEHrjKCAxu0JGbcAIC5Ixh+J yAoGsURi6iphze4Us1FYn2nvSW4kd6ibElpo0untVHkvvrdXlQMb0aZSS4NWHUcpU9RxPdHSqGzd GVkE5jnu6VhgtB2ejVXilrmbU7VgK1yQDrhIIBsG7YnfD8PqAv5dySbOMg0Rf35wyaHVm97+iI39 sZfOXIvv3EqwPqD4cZAvu83YZaVmkc0y926oEgjMhjcFyWZTK7ffoDEs0eEnVNbE6GKB6M2/AJs7 ePYtbvlBoPd38ZRaU2e+XiakYXXi5HywzO3O7ZG/VvzzDFcvdgYrt5wPLKvhJBuxEc5WV3dCVx8k ER5CEyJweQztixQ5nsr0wP5Nm7p4oZOD4ckrHdmkKqwUdIF14Fxcy+++ZZi8OwvcCV6d7YfPDg9Q B9Wei0IuZzSIhs9vPWIm3E/QXD5doMRSTBuHrSvlGjbzU5SCbCKAm7jPM++gpWeezWniID4wwHHq DlgpL+pAWYQv3QzHkry6tDX8vQfGBES9bKeNoZ5gja2HgmBJfAeVPZBbuKqHlmW4tvMTKTcsDLjM No3KsZw7aV1AxaRsJ71qfXPE1Pzv68uuvDGUuxqo5gIg0PbFs79T1aWbRM1nMLGK5twzyrqLuBSF uQwxW64xvzaZJX+vJnB5d1DfFz9oAmtfs6njUeb7MbN5qidWX9PD+Sjx2l1J5vZ8FRiZLIl6jU6M CCrTxHKdadUr6VcDXWdT7A/oq9uCamXR3oKn7QdC3cwt7nhMbxpHPTdDKXq/9YmUO/+h81cO63uP axT9frQWqUygjfO228OhjTe7FEidlsgbGUokK6op145A7csoObvqm2t6fdUOR5lWwrkeDySHmslU Zfucj/2rsC2v4vxw9R733ucNcjIbQT+6DyFXTJ8+sV/LAH3wTlJAoBt3eOBy/8h0uXFyVAMQpsZi Wmc5ArBhpUC5WGCWavUSOmDDXRuEUywwTGAnz5PDzAcyjRo8PqE/SGgzfWyE+4+ErfBCzPWnEsZv qd5Jdj+Nv+70HsRKH4aNLVJkttrf9aidUwa9wq8SOhQ4vEl8VGpO90fi0kKI/aL7eph64N3EeKth cyZpv3EJ+E6naDe+mVHKj+aYB4iMBRbfm0/gSEj7nUkgjYfaIfbMcRCS2Ph/UG9Jq1K+AQmsVFWv ah2oZvzceWP+J9tenday3M/LSH0auikzNd25Cb8Pep0U3wuuIUjzhTnnkn76Amlx5qeyC/0D81x/ vjfUL8d2QH3QR0DcDvFAvIT9NOtvnfvBXsAGoh9QpXI59gx+K83CRG7I0Cf2WNNNmibaYC64sVYN rHPIz3J/6csm8/E6K4kJQiCKZsXW0hIgRQq3LXcxoLFvpdiW3XjkhK7S8M7hP1yEe/cGoZgXCrVa 3PRdcYmJoPQCLiX3DLl5n9zoP0+umKNQyY8nzG77xMkFohLDWwHw2O8wZQysVMALBTCTaVVFa4vI j7nwenjJu3KVr7gHS5ga1wfKEz2srRyJqvCTWg0tAw9htKAEGSoL7uFTnuV7THxfG29t4pid1pq9 0qY7gQkItkhU/67sY5i5tDdGlN4pFtt+ztSx7+QjypDeEg3ZxmqLuyYS9IdnsYvLBexETjBVRZaQ j0xg0HtpNmE4vl0U9V/Pmf33UE56qeAW/SxGPW2VRh+DnyWqQOdCcHf7xmehKuxgC7rR1zXYmO9d Niuk+HuFowDLqCqT5s3SvuvxvlTvQlKimrz/vS2E+cRiaw7pvBa0PmsZKKhOCvAYwcf/vk+ICldm EnuBvv9Zbze/nhCMNIhJY9RWRNYrSp2rxGO4FoXvNFrQgBvVaoLzZjxJWO0B4PVYsrpTnSl8SNpO ZVRbRSGn30XFydeeUGbJ3zhQgVnChRJmo9xBKfh4kz65n7Rb7hxkxc7KC00/+vRNrvahOXKJsx6t p26Na+R5iBRiQDizRfhqkPj6QLxrUlRg6f0s+EIyTzvj4e73eODedDxCn6xJf/2by+RpqbQk2tKT ReYTHeBqx33ZxIZh2ryCngd1QRgxDyGhfybOIUKlY+TzfGG50Jp1pHgXbkjDPqy0OVzBc9wHmHjG U6YizLudNDsdkC61630Pl7sWWPmYZVP97T6LB41201oTrSvy8/l4rw4D0L1BY0tePzyXc60WAuaB xQdmWo2z3SHhLqa+6EGPMGkNLeKeGGAkg3/42TqAVfNIPndfd6n09cL0+xExnvQ5CRR5GNQWEtP/ J7EZMwU+ndr0pXfRtG/1th8WAkZsR5CDQvJG9z2xTN1IfTDU39puYrypQJd8G5BbI0eUJ0sFCGCc QS098u9S2qENu5zoXq6NlXqJArnyHKEfbb/UXx4Ni9PTVzRoet7jaejtvtYhvZsHuhcPDuGEm2Cy XJniSC58YxJ5KLKDLd+5lk06WkKnb6I9iUpHmC+FgHqZ5gegq2N6esuipVZgWHVLLbZT4Uba5z9f mSQ9G5kcZU2JFpzgUhBTjyPspCE8riwMbLr2UEN8aRv0Lv+X3fy+2y4AGJnBw5Rk+HG/ypil2zog 3xTDab+xmZW1ishtIod7Rsv2TQP2KaOeNeUEPaNiJ64N7c6fVotNd3GKsM6Y0TRfD3egQaj3SUa9 SNTuJEqR1wln3j8rxdweeQ7r4ms8OMF9urrFnjrKYdaAD8oqSZ+28BMVTZvAAXxsc7q89iqmJO7S BGarvqf6v15/z50EjuFlMcLbNaa16RDRwDiddfWgZSfqiTe+hATRo3A1gSQuCvqWoZ9emgf+mJHy S+jXsxd3CUeRNZfx7XfUQA7SrelK2P/ie3+QguQzAPh0LA8Pg0UHfaD5br9K1qL7gIoGU3ps9ynv 25eh0fSkgpG/ze8J6BD6o/0ETm7O8QW9kybaSwMJILlSmiYsQPANyx7rf+0zIAQUtix7aHD330yh Hmx8c5b413qpxvsEDj0CUEHwjCN2I5XWUT4snQYgatPBhLBJ88JVPWEiYnrDpcNCtllw6UzFpJvj A5AqecCRmnunrUWi3ag5y0BQ5BAqYdqMvg0sEYoJGk5ACbzMhpGCSlk1tQmTOGRPuil9WLDlRseL YxkBGyV1SE8MVPMmnQK3vWnDZ138bHq8Tm8N2GHFeOymR7fXSor/UUXKJiE9b1uWeNAD/9trUs4Z 7TVUEFf/rLli9I3dpjBz2j/SbSCtCO7DbyvYMst2dsSoSE+p61YZrhhvkrQTHr34VkPHq4ZLlVtq wHKaogqZfoTb9x3W34nZoXodxS8azmiQWJeHXNFbOPjanoOLogSf7GvTYuByqAqFPvFRLUPb55HJ Yv4CEvGDiHMArSOCcz6CaHHw/bhelrh5BbPTroGwqrR8MSq7rlghCZ15/aHwdW4Nts3i/88fDtNT RZXKAiU1bMH+HrL3GTsTGEEKsS2w0Zgqsz2Pba5yWxsaXU8x/zmDQkAzs5Xm4wU9msfDkmOzTS+I bla3dqiC+xImvlge3fY9vMwp7hJVpiYRHzTKkRap2qGYDhfR9iBZk6ImSvKuJsKOtX++ubtcugCO XkAWs/OYScC53oS9rhEtzssW4rYnCllWtHgm0qM3h5xgsk/mnK5/E3I56ecgk7H6FSq3Ngmm/cSB 6ud/sNS7oHn382WEcsOF7QQ117XWdjfmCDTLSr9o+DuA/dvs9ehZekNt1+2kygHkOfAe0rZ1R/mT eQSP9aA/Wr7/NMzbY01EaWqHqf2foxdEDvsRUYKRy7Gog5K0IXsm+cnHjdq5mUcd1V8gGgTrwLVt tnAn8JJhofYIUDUqmsRDOVrF8KpxwM1Uyb5ytlFiJg9AdXHULuhndL3J0paepaV3oIZlW4vzeZmK 7OFyeyCk456VrPimSsh/YKOpkClq+O/yExpUiDiz+gDKwJH2EXCKQoVv2EM4exMMIpz8/EEULape Qv7C1AdWAx/U6t+9kAbSzqbs2/T81sJ967D9Bav6vAtcLNPjSBvKVZ8qZ4Q1pUjiNpUZ9kQZnLxJ FBrZ1FNQUC/m++eC+GCwP1B/+tNNQImid8vgJTdcRwxhiGI9PNncjzKmY/h3BGH033bR7MF2sS6+ ql7TFge6y9SH8tF/tiPLlp/w3rUyspCCMtQmYZDfApN5SJfrH6LyHyiIRSBcOzzAE3nS5/BCPFMi oEj4eQOsdJxHCxNRKwKPhRhMZBjbV6kgm+upIgQe17RDRhwiwSETQpbdo6Dhf16Cb7W55Nsf0+1l y2LPRVeMOVEZe/nq86gosAZu2/pezJDVwo/w2SIpYs+TmGeO/Kn6FIA5pd/do7YS6OGdxwjUS8J8 oq6oc3TeK+6XvUV9aM6Nk2kLQh6hFgi5Z8BAz2ccDjAecrfG99Lp1XqOPWQmXspeC1oQjTvrfKX1 SbDzthvf0ooRePyuN+LmdxDTvGf5pEhAoCD4CwDxxAJnM3dU+nFb5RYmrEGBFGFDXcB9uvQEsNLh eAJu9dTDwoDGwyOTHGIJXSVLEyFC2fOE83RvphTcfq5LYcChEgu/JvZx7FAR57tFDpoM1xw+z0yO Tw34WCfroQPxxmNgYw+9F1s+KrpeoeRYa+DidFR9azFMxzsM3AE5A7yvMOVC4Ce8p39rAp3yKk1c y5lZHk4UMwU8cIKCLhenoHxl6vr3nIdvP4rfzcG37C06mT9x9uG35XcCu3PNQHwBsyOqMQUXwCwQ HTRgsizSTbv6J3UVLm4/qawF0UGqcF3jDGGjsZZkJmEZasUMzyPl48XTEkih38A+e4wKwz0XkiOJ SnVmws5LEKagXomzKeUNEc4MBF3E5k7VxtTKFLtJEiinBqP9hCrNS5jY1XDnRnPuvBKubryUZZEz hRhLVbuNNePwzPX1jV1VNpygCtueSiN+LHokKBtpkI0xh6FDqRl65Y2f8X7F/m3ehSuOQGyusGLR wmO5VgE6d7QNTwQnqSb0RzqWRCd7IAH9O3tATiFwGrKcs2FC0Yfh+qMgJ0bNn2/yquO0XUYEGao2 6g4AwKHNuSu7as1z+XKbUA7ELfg+V142z5Ox3Ab5wesCsA/IQZa/HFCpPhU5fGivuldZ7dY1539t 6Ze8EzaQWDIMomqzaizatfibNHqHH256wrBH33A+WkOP1ScgkhShOxeTMzowHT3kANT30C+ObzNp GkgQPrENPdpD0K1KcDV+NdZR0soDb5NyZtEQ4xEu0YMKrw52o+nIUWo8vRIwmvVzQNPrKJk6v0JD 5jLz+u/YoPXJWaKrCVG5RX3eiwmJJkEaEhO0eNO/06envx85BITLHBpGB8qtfYsz8zbZK9mw3GLQ wztKFNGJ//fO5ahqQqGXnY2yL0T2YP2H0dUl/EPKkYdZqfXXkORoep09XbLIb57K9/Bvw/lYkvgO tMkNTY4J8aVm3tXVegapgXMtGXJzOFAY0d1V5FAOKk4CuMWhN2Vvdz1j84w5A7cUng4u0MKEkjwH GSPaEI8O/FwmyCMA7Ec4xnLsQmpT6eiOC6qUOR7A71eSI25bxgWao/mjN1AVQ2Kc8snhq2C3fWyf AJb+5gHP0lcoOICtKxIOxmcXScn/Pi/g4OKoog1Ci4wjprxNSJ4FIgIagv/evCLOtQ87COLqZ+yA rn8HkBlA34UA/PFXC73ePFPN07oywDifI1ZEdcb+g6T+MDDJKGWMXBXAWPC44V8hi7yEPvnZ6zPM 1l4waS7EjSVFQKx2Ic7FzRR/ZQ8rht7G46YePK95cwR3P5ToccBHOEobIV8Rwh5qopIm7S+sv5zb GZ5sj567ZCN7CQbgKND+Xz5yAp7iqM2dDzgOG7APP0vL7Y8AOH6bJlvcL2rRgmUBETSO/+GgyVks GN/JIFgSivJlmTuMKqEQurq/iA1/iIQEAyHfh0/zbFhd/DDK6UemzQNtYI3xZ/LJGcnZf9soPDE4 hgB43O6BmXXJu+aNAni71FEgX/fT6lfwlR8nnLMndHnok5g9gDwTaftB9e1GMGt1UN+3ZFRh97rn GvAWAe82+rbjr+veObIdD3wpQKhfjCbwix1aWK8VOPIlwbgIRCd5SLaWkogshFCXcCJ4rx7fOBKL OE7J1IxsWet8lzNnItw45NfRJpoDuofw4hi0b4kUb4p1l5Aa97mkMQhCz+KEK8t5bCv88/V+u97c x+AXLozg0cdNzc05KdH+sWkxUC20YYtd9eZL03QdqfMHbx461Tsy6cB4YceDONDH6ft3Ofjj+fte dfkXUFLHqd4lDVNucy7w9I4HDf0/Ppyk/PYusRT9GUamC+L0lJ5LDqBhWngHX1oAWUC1xOjS/DZ2 fK73W9C1NE7FB3KBuTE9QKt17Edfi3ooItpUeeFcCdcXCN59Dw/vPNWo5ATZPcJiiz4r2SWvSJPC PjlfrUwlI+1u6rgILT0NTM4aw4HRVVVvFiE33bZsVtB6jrGz4uZBGWrMF5B6P78+l9bGBQvdWc6i lOgGvPiMLdrmj21h67EFylySJcNPIWZq4JIdC3yTiDvQgr1GGIglDf7JuY/xXTigiGThiBGR33o+ lAAqz58vpGQshfmKAB+puep+ElQeVGjib7KAO4DkEjldZz2KFOsJjBfwX1BCMJS7wIaDiA53qR76 zfrYVs9a6AauQll/NazuG2qOB2bHaPbNHyc0huEnF7qXr3OkhhcsYIUVH84dtXMeoqEH5pb6IBr6 G3ndGiHRrRxratZbvNOzrFjbBHU1GiYbA4e606TkXd6mereh/Jgb6DW/Zw/1iqwj4rVmk/iRhDwl tZjlxZn0xDOQP2dH9/Qe0BgG+dK7UbcQ7xWSdj7rFkI0tQC3BUFaXg3ruAARy4Pm0/VxcVvIYbz5 BJnqSkoG5z53xciKG03angVhlwjuFUKpBKkUu9OZMf9sES6LFCXCWXv3qCVapidMzLt32pbGim5T /KqpYbmNm8wJAiQM0SQO2RytAXGAPc5jviWqt318ySjeYM/5mql7PDz4Nzp4f6McN90za7hH3nmp 5Nv1nGp190ATW7QfTOIz14DmwvJWmmcfvI8mFLIvM8q/2xNsY579f3enmWtSc/eps7XbzVPIwupZ yQOM6CvOwqwvJav8qaeAVnKnufuoA2CGES6GGKk4T6Do3MfuTQ45Vqz3atK/HCExoGPrpSTHP7hG yETYLLSfhCZcz7EO9jQlUgszhhi0trHILXOe5NJ6WJAi2/bWu3qxqhRSfbj5nS1eqcHJnO0qfPg9 rPc6yyON4VoUtUojfm1Y3ik7ayRPdKmJhQSwTF1uE+luRXFeVLw/1HKHmlHTGq3ORD9Xscxc5DOD MklDCEPqighFhr4FuSwq9qZeluOxuwM16lUBhOGjGfCi7hXpieb3zp1/zQMlox1geupQ+13XxCdp inaWqSVhmVB6kGgOI/UZF/dP1xO65iK1nKXsREMyj4U26G4OinaSdUU/lY00SVvp6rNyxHtjmWA2 jyB/QNVMCQlH4RVgox+FEcAQu+IBCUnUmaGaUGktFIeJe3zuV82OP1MWgbfm40eDYPryhM/fC99q XxbqUeZtsaLQzm6+MkxSzCRyGE5DX/r9UrevOTxeIAQ48NL97t6duMMLbV0L/XKQaWHyi3MPra+M Eo1/McNsKIM7vsOb0J34cOGsHf+n5G0X9sTkFfbrXG/ygPbQGeedZApeZ+BR4Z7pm03qw9rCVJTd iXfNq7MTfY5lco0RryujWW/3QMlI6i38jazR0LiCNmQqqAoverzLt2kCYLd/tMKiI7a8m3Is6CNW 1p08zdIdsCFKdpcebg3S55RrwAjUF+7yWI6k0VqqXBG866qo5iNpIdydwgnuBz/6QwcnkuhZg/6p 9lQVW1tKRGkDcu5ETb9aop7QHCXxTH2qmM+gB+mk65Ge9dMRTDd8EWcac5dEr5rhtTYNlfu/j+rq FeHlUls6gGEN7Ecy7KVZHjiAabaZ2Lh78t+FuI5Z2B2+eWOdOIXU1schSfKodd90lvdqRhiF+c+c j10OrVwcprwxRbCkGIIGEkyaAxANtSKGLLA4HnKglIi1VuPMufXQzgCDPqWIhtzFsAZx/K/45Aug eBNX1Ci8YeMSvwT/5zPqrWwDzlpofKtS9wYy1G2rtwJSdm0+Y7KzlC49z+PcGwEkjehK5x7th/Rv /iibUpyR2MF8bSthW1gkM8TXcElUB1aLeTnhklO11VIxqE7dfCiD6Cjfs8E1d65gD3HkTwdCtHHP j8/dDUdcJuKd09dPFbBPzI0xhmz602HVdwISgDEhRniAJe0ItK+xHNdE8rWO6dL9Yc+D7dXYMEtJ bh48KC1FRo4octXpTRxiP7VRUqwloyypvIh297qBExTWgv0WICPTYLimdWo1L5HBCT3KPKGoNRmM lreSETh/Xglqy1ZbSWTaRvt6ysNt7gG6y5dgp6gme0Vm+ZPbfd7FlOLbCwcZhZ2L27mlmGP9anlT zFPxUQDK+mlQAs0O1bYS+snNkDYqdWwZoGnZCQzsOLJIc4PEiSj405uqnJWq/lKzDktGb117MDmr YqaQQzIrh8GerXDupeRsmDYTGD8J6kxO+NvP+JJyGp1gOe1XpnOwzWKAvx2GyfhWKVkzBFAkBIfI zxo4ksczAZ5cJe93xdN6FXvl7vTZZfjp5+sragP+wSxj4yhdV/xKPSdpRczBmq3w5WIaFCWZEXw5 L26M4iEYNSFnMsii9YW2SyLDlESLgrXDJJt0DfkbuyPoa1Cevyn62lfCWLNZfPcrksa3zGfzRygW cbpO6P7m6S3tCGt2eKW6iwPGm6Z7/BWc3ZrT7ejsi/L1u0Bes8Q7/C8myt2lYsI8mra6HVEe8SqB FxvOB/dc0nFcoIwiAXw/R+whx5Ywg17S+TmtMqWEt8qDffIItp8iJY6/A5NKOtNvD0+aT/WObJ1I 6fbIccV3bH5qC81F/9PfJ1XR4elF/gdV6XAMMRuewGKtJuoI1lNNYmGLdgh4pJD8YRF+7EFtOw3e qbviO/BQhMSJAT7LG2AvtprWAC4TcH1Xz2cXiqtFRFYGuAKb8O9QO7LRLEld32rTkTlaIR96RmCy M06gNq4DFXeBS/tD3aQl5OHsnqimGgHMkaExayWC5OR977SuC43I+nos9W8g8RePKVuNIeNI8I4w oAKkaau6NseRlUcYrvcZZee8pobvO6mPvpJWX31E4ef2V9LosyvsImI3sfvZAaxpte8gag5HsjBp ZEEdfNDKdZonCX/KDAWw3j9mprzcr7ev1RVNaQjRhR0koDs75Re5SZ/K4GWjCKm2FM+0dwPWH/vW M4ETT1rTt3RFlBJIh52lAcoTVBg7Q5j3VEKdQJalHf0hwA+vNCLPoRSIdWuUTtaQ0TLcEr85yPmx Dje1YBrN88buqOR4Q5IQ+/KHkC2IZggxg57bwzNRzAGhEVlc4Uu5tL6e52Pgzo+Y4CsnwieTy9FW eyrPA/K/jPa8+I9OH9MkS/bLfFrGj85fRAnTODNtse6qmgtJ6Axg8IhUGUCa57DZEKWi3eD9sbfg N3b1HBWINnsRCqMAYk0YA5Z89g4T+mM6jnPR7ucGdHZCMBBtKuinknrCyiG9TIQ3gb9XspCy2x9B xo9ofKohFRUNnTK6+PAGKTP02OnM7OrFLNPIjR3cFdLIgfk1lL62O9cmyFerZC65N5T7q4zQ6++K T0I0BWueu9TWjCpbkfOamle1ADIls/VWwhyYoTpuAQ/NHfx9ipG0XNLtgp7JAsXxu1aG58xKeBBz cvxMADkdvbH4PzB962zAl/5gSn5tpL+uROL0WTJ58ce4tUhksmT/GIXOEzHkpXZdmDUAMlkLP9w4 xX3Vkl1DbY/gPki2L8Xt/SynpyBd0brjNBlh59SbqruNxJb6/Ic4nHBuBsluY5/QmtpVZjSEDA5H dVxsXG5TUZxKbGWFbn9PiX+sjQpr/ayQBmfwCkqDtvxYYC26f21dLcI5X3azSvrPOLt4gZF5mnyX EpsOHBOGzAON98WnvH4HOnahMeprFLXZYENCmoVvWLfKJA2SiS4AGpV222DBELKHAuwtFf9B0FEr ZbS8+/3cFALGMgmflJkdZiREOD/7bl0SU8D1OAfAUKPXTQ04gjS2rKW9+wM1RSPfjty0wjkfYSvC TwHUgoNmVecvGMrj7CaneTxgNWthHFn1sj6nn4xSQmDQsIZ/eq2Cn/wAqD2H3FdFrR+i1CifWasJ depPUIguc8EhV3ZADJt7fFWR6lXx1k61OkFOipEsqn/9+cgR6v6tzq9ypDqiQq1cy22hwlYmaXfx AVPCT6jz2HS3/dK3/wJ9Bw27Rne1h4c4tbINO69Uz3thxYFvF4rMIbvRE2+ia3RqedELMTIEP6IT WTYEersnRPFnyQQTR1d2dhpq7XGwetcAt2MtgIq8GGfbK4hATXzGi4JC9SMHKWjRkgwZ3Bu85hCT SkXaMgdUZ8w04UxIio1TaJiOuHCPgWUJqDrHmzTW610WNffuWSNwN78DW3VPSBejCpRXVGJ1Q6PI k2j8SmMjiBIn3WYqpGDotsaFiP9zVwtYnncP++g5kKmOtaWcBtH+oRmCyywVDBhNpq2ZdsdCuns2 9MWr2SUFVPh0fp91n08CCoTiogn2/FlCaj3CHXdf1aYELNh4FGbZNRekXoOukrzmiLVlZwurnr4k D8TE33nPxH7oO9yl8EUPQ/gqk/FqVyZ8GwLjOhp2CsjbUeU8ukm60SseumdHhRj+V8t0OVeNVS1I WWN2b+0Hag+0h4C5g3753bJ9x4IbDS0ktn9yCY87c9rXVOb47+HMoj9SM7jI+xhqs6oav/P4xqnG feDhhD5tFtbwSvvv26Tn7d/Kk2++TJzP7Lrt+iT2EOQDMDSlcdzxMKRIhuuumGL5TbsCPts82Itr p0pr274misvAmTsSC+8CYQplGwVBLWonq/HU5uO+ZTygj1pUBQKnNDiLsSNdAZE6zXG9n30a5Hxl KD66UhBQCpmUOsg/dsot4TLAVwSqOdrEDZCluVun/RMflD288hayyVZ5m55zA+oVNjyujbtZFbC1 hv3CQ4OuJIFy2xbaCHhsbOUCNAi9PTrKPuWJewp2l6exTfZw02JixVIpfCTtlUf5/pGdqBBPtuGK m42jdRLQq/IbqCcVTlbwDWV3eI48wniQxn6A18c/PeY4NGHX68SKcLW+bBpthHlGYqnBSf5V70Hq uZ2aGtkKSvgKUrIWenoAWA1cA1LIVd+Mw03ba9OMDM1hobzUGoxWSEUMr4htFIqDamfM3X2AtXOg bhXr8p337UTMbDzSoHAC+K0/vAyfpk/3uM1kHln+7ugyUzS7NI4586c1lCISrAEkztVR6ONPXrUY Jf6BhmwAbMZg/i+4KBHJF3S0uOlw7cChFmjv0WnOgYeeGxs3FzKBJqUxucdXIs1Pc/t0g2gYgZQ3 6zPI+jmYvEHgQI02RLUXV5doPkgcl0K6R3VRZDMYASVg8NQn+49LpEkxMbrREcV8BorK8zAFhTu8 buLSSmSxWowOXTMicwmxZ1HyIYoDF8qbKNUJKusLWKWxd+pWXxfZF4gnCtfvEPUkaq+eL/DQlMho cxIPY3S6lFMd+h/RvGX+ez7Utj3URygRo+Z4lzFtOMwNOX9gXaNWXkGgNmeOUH/tYnzXYF2KZJwt QgJGCpdkZF4Sul3QeST3nWdf84yi/W5iOAKy2kmxjGnPCuSPTcnH/GndxavMXi7+pVAClGmNaUrr AwSoCPWlqLDTNrw4mZQWNRGJQfL0OF+XSoS02Y0HYmLjjXF1unR5wUltcYujo/UaITDQNL/TxRRn LIJSmnFHwTaYhen+u0CeD757mDswpcWGbhzQ0Agc7j9mb/H/XF47OHKy8h/GSQoi7IBb9O2Brh3Y KT0Zjj+RZBmQEVJeD31QSTpI+5t4RLkzol/BV5i1WmwN35eq30gnAAYopkf5IzNv8q0+/DT5cPNh w1TDtWbCnCCafLzJ4E3lh30UN8GdnXdrOOCMYfr0K9zfM9XuRLJyHnn7+bvo3ryb9KjvEc5yEn7z ky0euphWPaFBqnc9rGYsIHC2SVbnfkh6EnFOquxQUmsePUHiojqH1QZmyvYYeCHaDFsP2iIlI0xl AZQDyZzEPl4ABnOFWewo+o0WgjJ1e/b0QJf77gO4NOW6/MgojzTiAqEsX6VERfZQgYpp1yN363Yv /cgyvNDJOy+xWPZA9m7L0GWTBg7YKa+9oeW6stjlWHWSxLsfbcfCtZJ2xae4rcZ9khpuy7vn15/b xj8l2ZojDRFnlSxpw7v1hmfCbJ41EjsGxfV+B2W4NypIBsxmIiqo3g67DC2Hoe3c9UqZTxfcYTSY CIoWDDAm8MfoX2NvyuTa6nD22brGNcdwUam1iZHbXBKmXFEHyplTxdpwm1DPikytKBrRKPZrAfQy gP55ZJypiECxiadXtyUas+XfRj/5See9Cr5XleQwMcOVoSlt5AagGBkqxv+GbKnbCIEfmeFqHSaC 7nIAGpoqqSSgllFQsrM8LodvV5g9Y0u1godIfZF65MzDYayTIz0NcUP7EcVzad5hiZj8lGlfvPpX JtgsyVuWgTwtrw1FvFeLfndL2Vvm/2xb0lz5+DKUop3qRM54WQG6Ny1dkCY8939sWBf/cbKXymF5 EEmfy9AqZEXYjld5zKbIF9z4vc8nfDnWGILgDxEOAEfSubCblrotojEqdPWfsas69MxXQlKhP8XW qJmg25/FqiNepqQr9JYH6gcodAwv5tzcd0mZeO1Z/qEHXGOhmMy6NdC7/mqQWdNAU5GW4FKzIPjP tpqkVduqREM2ga+fSUHYmqrBadYtRLRq+EBHAvw7+68vcLy43cc/vTpyRjUDf8r2uOr7LD/F1Tuk T/nJ66RxZXevSSEVROnZ6wWMJGOomHl0BMISdNbJPcscdn+nU6WhE3yl2LJAQ6wPDVRf+0fRfgYo ev1MVXnza7jUIxqZ/yWAz4taVAWRNqbFQRw9th4ZySKWhlPLOlkbA5Inss/I5Qogfc43HBA7D7Vc uOQkHGPjuk95/CpPsl11YheUoh+VYHALU/JSCEeJSB+POcmYQY2yTw2asyXdaFywPWBrV9XxQdTR 1EXI+uuEc7HnGloBRjolQ5qE/il2idrT/2OHzzIa2H1t6XtQVswF9kFNmV5/FQrWfZjXAcPz4ECy 5p4ZK9FZp944nZ195zHH6MMayuUgJInoZXkihNuYbn+C6y2OH0E97OvDYhCxWZv8gyVFNLGOpicu DrdPjWEH0TMfykwbLywW3Ej+viuNys2X4pXcKIAfup6hxpPLT9S3WNo0nH+MfaZmUSOjm0QG5Zn5 W/V+Ib1xxZ+0/ugrxk+meUT/UIkixZDFNwaJ09EU+Nhts8Lu88beHsdyYBhA2JvsH1dj3J5UP/xp qWGr1x5WGtBdQTsHPiCiDIs4A/3ta6juSb1hAZGuEVuJ/4vpJwFXl7DPQz3/Yf5S0Ej4OurodYJi g5Ilv5RzihjbPvmpDl7e2q70NYw9fPVx8nlHWoM7pJOAtqLAjYI+aZBj/wvGLflFU0e9XHs0IArB WeO9dYteV3vo1UY92h9QXbCw7NQ+ywlPwxoyhqZodP12EnHvGAKNaLJa/jBXQtpjbNgpatkriYkM Y0CWS9KtxHVh6TjQYxWmkPC7tjKoMUTDivj+6XBPtCiLw/4DPsTOESi/h9GOfXDqJ9sohYnUw384 3GNv+4Zu0zKlZpEJNjZtdEzNMpYulvoDUfh7PpuYOA7Lkm3bhYpSfX7XEQwOTj2IRHC/mKvLBFCB VV3r6ZITwqervl/zDCiHHihfC1/9l9UOZ6k122cmVue08nA39M8H9Ydiw3Y24Ae1ztT4BcHTNnL0 Pfipf3mY9yeYNePcpTM6sQlgtO8biN0WH0AlmVZtFsTAmcVKUR0GeTcZUSq3T04t4Am5yxHDSf/3 J2Lqq/44tMrj/VpSeqNFKPIlIywb21nFDW1PlzbYpMB073ah1X+A3fuwAZs7BbKvCencyb6PB5jf CFeibanuWR7UFBfDfJXhuPPiFMNzu2O6z+bHbJvctu4Vcqb9Lyf+aKq/67E8PheK73hWPS5R91VT MVvY02b4mYJxkO/Q+bZe22PaEmlhm4XWOYvEI1hVCrOdd3CA5eOztfD3YjbLGqvjXpgHFCluaIDK 4KNdMpRjFbmm1vbiTchQ7XNadP7OPXK826efvajKmDf0pTY1KfJQual/rfEXaOC+tUchR/4HsHbs Y4eI1HZ6VWPYET3HrrFyNoj7nXwsynWZzZsw7qHCEi1vx5bCJxSUAD9SVwZOGyvtCtIRNxx4o2Rx bhLqhXMOPSKHsN5KjJPoPDuFyRp08IUwwG+X4RQYzco4GDU1Nk0EuJ7Fh3idQoSKZY0hU5MthWH8 ryt/gJS36q5JUlHU+xjyhnu4Uq0aEnA9A7m9vPJ5bT3Wk7H1HjrLeOhKK46nq4zxmJ+SiDGbHyY2 5jNf3zaPfahQKfBxpcZfDufPi+10pVt0On3KsuxO1Z7aE65f5GAHMVLKCE36hVsj2SOMEWTT6YsE /oxn2FoIvshrDLovluSHdQioojiwlmEOKYfM+0+84lopfxJIn7zSBMh2wNh+z0mLghf4zWmgHJqC QpdN8H67eL5mTZwzDY2vapsWreExcHeV2pA25B3eC8kiU232kMqlToXaZTLJNQFUZz0c4eZNUcBS HiJTdR+JGL+5LD+7+ojr1sWXeWcEOUwFtYkTyzk6CV3Z2V8gDyeX4gb2kcZdXATDMpoQs5YYLjvO ksDvcfz5Vd6IoIk7AkjCh4yxLzQa9vqjpPS6M6gFrS99E0hDqvr3Q4oqMpHsYVPuNbc8X7DaSZ+A FJRRR44RHciqD7zl4SlIAIQI3AIM0zW5ubntMPIXKTfVQXRH9gbCn8a9gWPJXYf6ebn0eIFK2IUn LicxVL/bxX+nyhwGLethA4zkO9ZQ5ULWg0/Lk9ZPFXUFYNQw3cycjHbHQ035aDbTaitFJkd4T/GV k4bw0eokPD98MOoFZlDabCVR5TJftxvSvr4ymMw8TNcZI+pT/kRLUqIPHKujULhFfXYvLQjqpwqj OMLhXGOuy31iVH2I+85ziz3Ch6cD8aDILjtHT6++hdBXQ7u8lEdnBN4/9tJMpX9Ek4PHt0laNkIq uRIWh1B/ANheXaR8CZl3kLTaQcTpNW7UjpA553tjyCnOesPHTKnE0ex9ceLjhrT4KGvKJ4gu16Z+ puKKN8PmkYUsNOi2XweYvJ+GPEIrvs5Z+nU/RSd1uDUHLjkU1Zf6xAXygs3kZZOhHxdpU8QnxsZa cbFC2QS2Fv0rm5fa0jjwLKOuaerL3CfbqgF4Md1w+C2Jfs1McKwYR+ZiFMxTANVUg8jXxmddNLim BYFNlaxcinPTSB9BrEECtEiaTaQxVYvyghRU3yZL2YW/WGht3FtuXElSRe+GxIqzyo8cdQsyfrvV XhWehsKnGozmPYsItPgQeVKIS0pSPbMAF2UkXqGJ/kdB5lojjsbm70hByXKZBiAb/59hN+lNHy9U anOzYECpeSoCru/wgm/fHa1Pw+O9ppgdj2SDToMBtCg6rsZwQ8qPqiKjy771BU20oTOc7RtKmD34 /H8LG5yA9kkYxlBLyPhGT/15ykCN2o8V2+/FcMJt2L+/hvRC4Eqlc9EHzAdasBQfAo6f2b+c/dRy objAwrLfIV6pEnQQwwBh8E9RU0jsWM7Rpb6gYpBdK08AxE+eYMt4+dCoNmojYUS9NyQcFiVSLEnx 81jr+iZM3H8S68R3DUQZuUAwyPZ/MXD263MrsbivytiGroCfHWDuxHsdJJjgqlJy4rT+XJikb9M2 d1y/GW+bmI5Hi42n61CaCaggTiJwUkfzdH3Hv+Pi/mlzc9PnD+G/gYZMb5zLF+hW/BJqNppiLlwx bDS7LLlUzHiE0qtS/ySrj1T3HHqXlm9NiSYBZUpIEPtQhsa7SD1PowIm4dyQU7JRxI1n+Uo7AW6G xepDI2u5WpXpYOl5GF+7UN0PBAtgPJyKSVGjCFoCBiBoXIBi0hk12FQ/+ogBmiv9an+x71Cbdsiw hL5ZRM7QuSwgqAt+PfgxvxpB7vm9pZqt7Y24gxLgMgYkbNNbRJiefN9Lf9pX8Hgk2oC3mBX5Bb5Q BfI26vuL1ZUaBPX2cOJp+M005pmX1wYNemUUz6Obz00WkACdQqBs8rDxKu0iO+0sUC27S4AsSn4R znqoNRl+CCUALga2gYsix9KwFIMMm7ty7hhyrjCagjSSxOQEuOxf1pNOyWCMJjH+VwjRIaQbtzbi kvg1Kmv6ZS34sniXFApk1EP0KvQENtqJktRw7/AooWLNs5ztgkTtde22oouAFMyDez5PTpktmQlj 5QjMM9rR2J4dY3iFzdUBf0nnZU7IEpqbTktbVKUjycgjvTqOqmBgEDBY3pMftkh6mmps9GdFKLk9 LMhxBZy5DyX2s1r2pQnqRgbNvRpkzemkf9OElVu3z/8e2D6NaurLLINZSLnoe4qv6+iX8ugJI802 Q3sXZOrK4g007vHXjqqvZE5CmCYC+Iof9F2Ue1XmmT3s1EOXfxhb3AqEt9O2rlx+l+npjIaw7Lv4 5fReyXTLyELebSQCuw9HyBRHk7a1b6ZK3Uldb0mq0rOHBGUbKyR9UP4c6hXKH1ed8jY+8vhcizLC BobRhwteedTmE23Pi14uQZ1AF7jGOfOitGpDevC3bc2w7ZssecvXF6WCmdYsUV3HARuAkzKpUCN9 /RylNCKeJJv7y2tZRlhH3+xsWbIjV7hNNftQh4Siixf4e8R4GtArvOyH82IITMa1Vf1bqyBf2920 tf9RmTww1ra8+om/cb8zF3rK2xRnuV4FEuNNTYtV+4yOt3Y+CbdY3K5Wuv/Rr+Wl0tZbjsmC2U8T Wqa96PolNn4lexUepz4toWOmt2tppNgWGMdwRdbKx08dwhWbpK99WrWFzQIyn4xgR0qPEqRdvzAL s5NvfwMxQxxsJKFkHwVt7fO1OdK4t+g0+yaL07DmxhAWl/81aOTSm2J2pAbrX0TdBP1Jp8JgLuVT EJP8WlDwvNBGqGEbeYrNOqXZB5WQwp5IQ1bvKqS9pDhSHTDtPxB91wi3mr6DMs+wWzIzx27LV5KM 4zi5evBugd2wjkiOwF/p8CKlCj19+XRndLh/KDCcMEQ+iqto/DsOGCL/kHJi2JIV2QjbdGuNWFoc doWsPo7w5LJr44SgUy+Yx5vzYPYV81K9vCOSP8MRX0LYeQKmfI+P/cMCTl+ZFP5E6xCoQBLNJZC9 vhQQLAv8/rv5WVKjuZEDSAed/mPJnMV9JACEYSVp6VprBXQi884JPlRsG7p3WOBh8jAFtHIAQPfi 9F+nO4B/cGjUPs6kZwkPDzH92Y+R3FPvIRl1NJ2SLWqasDu1UUDkpr/Ai3AuPNUAkblR1mvoFYhb miNdhrqCKlQaBxlgieSz9dewKhHIiIuh4PVkKfxeEgPNMzz99hqxWp5UyDLZgvn5u2MxYBNDhlZj cprUIZx6x2gvw1Q6HCqbK3Dz7wBIwQ2c6xIBqGhgXc1cEFSRDQuv2Jz2GD3DEcaFIjg0Q5AYy3BG uy/976Om148pPVPbuxHoVSWL82LyzV9ay6qOX/N8sM9IaeCIJJ4H5E2K1gOFu+yQmfswgwJmkZTc RCWBK5l+QmML7Wm+SmcZZADePurxsJ+Rqw43+Xu2gQHpNuZNGA2AvhZ42wgXPLljhn8Tr8An05xI rMxOx9x+wahInpKkCGaW2RcFpIqanhzjUXKCLw8h8IynOgReg3+utZvyzEneEK6/3TUUn8Jqr3UY f8rBnHD+Wd+2bWklpU8Uuz4PLOeWLKaCV2hbu2bdOL95kghtrsxc0NX0uixMcYLVoFJhDr/aXI2k bVdcyiN4JB0RFvJaK4yx7nyVpO//oJqWk3zcSGdoqQSpgWVcdPIgQYEboBDCCdpnN05v7hqDxjKJ dOAMCpjdlTwZ4a9CTLwV/f+8o+cYhcsiae6prbVNuztBE9McAjybaxRMWcyADtl0SVQ4nEHRI6YY YH3QgIjoJhL5Z/SXeiewr2lcWFjH4dRdTzB5aGe2LnZoLOiHJbPZAOrkBybkMT+t1ohRnsj6Ji1K PJiLofQl0lucP+r24aSrhlY8Jc5y2xAAXFfuIJ6TA2gjcGhKppWYlhgxr7h+F5Dmakvwa1rsiP9E gFODClEiLksM/Thk+w/vKb6kHnJUJpXZk/JnK68b9141pcJ1PoC74CEGpPTc9IaDCcAxIoNOx/Fk umhvFnX7/5icKRPIGWj06y8P5S/gcDJlha1hJNKNTCGvrIzPYYC2wnJBLtBO9G351PtwP3OE7McH 3X8g7SqJKThjedmItmAs4fi3tGAO8fYsVz3mtsKTrmFqVsX63aTi13ENxgZIkGLv0LpWqIWrE1K7 EpJPvp/nYq6RMaWckzo+dnccFSqkZtDo/hRhuWS9KpYp5Xz/t35rpB6uqc9/CQJzDEff77D0ubLI QbpgI/I/CvoGVD437u9Wd2NUV5iIfLPwYDLNPyXSg25SElZbGyi9lwPus8KWPbG1corpk4hElwn/ tlRnqIzkXC/1ffL3qibUr8C1ldm/IFf+GdCps+cg3P12IYNGikJwW3/xRVewwS6hs16zsW3pEQJC ydn+hT2SRZqd6Yk1o16ExrXoPsZx/lZuUj3Nwk69busYds3y1cZ0BsP1wZ8bOFfk3+QB7ZOwMg5m hykAx5Q+TsW75K2lsKtWKA8uZsD0PVTjSK/Z1lrEiJ/Nvy7p1GDqf5UUqVQNtQFUMR6n5KketvSB 3uBFtzWETM6EegEJnpC5p5ovPks1uOJKs2QUPYCN7J16UC3EneD5Ak/MHllWTSMSn945CCGCa3tE H0JORvotyh6p3foZdBqAY8yTd9y9bcW81gf/WV0cc9fP6eKNEKX75YwXhOxS7iC7D93qzbT6Yc9p WcUUYD3C6ASoKlQDrjehSbkZQ/iSHFgnQN0ObDul6T+h/4tIIR/K0tjnEZeSRY5xEKOLXOshyRUE 7bTOHWar7SS/vQ4ASvWzT94qU8rjKBqO7ppFo0ePh33+T0YVxHo3FcQGZYb+unNFDlsy4vByVKOl B8CN6L5B5zhXOREV3blb/ZKzAchN2AqaMRpWTGhJXjz1s7OWudPSqWY6sjR2s18qDxuG8jw98MBy oAhVzAAhQmMG9LczmYtXJ0O1NBrDkqCsQ0BGe5om4/CjCHaqK/93zAnkkkTwQNesVXSE26lcVSB7 ABRn+7YMyWUzZ2+ZXOXRlaeafBxz5oZxH/XwNv/WVZVR+mRZ6VrcWoOfaSAgIUuVEt5CpBiK3H0t ZsPYqNr+nOLdQbsres5yvlaIM1mq8E3Kp7DXe9PFLc9rPbj9XTXQOBNDkzrgbjz7a9PJ87wKU2KN CQRR+YoPejJhIQt3HuIWfovm5E+YKtM3Bh821lF0C5YgV0TbXAV61HvwpX/B3ckmEr/9QVMojTEF 1/lZxeOFTPVNpx695IiVgOcgEZ31UxkW4RTlIyfUSevSL+DP6u2FcoKx9GzOQ0Vr0PnLjznYWBD3 uBA+hI1n5mlj848BBEXoMUSeUOeWFSAukRB+7mnjFMt+BgGwTFTdw/eENB+EyXcTv1hEriqxxj+h bYh6o+KxW6sNxRwMwc0/tAqooBjSFycv4y/fAuwj2MRyw+erhHu6yguNDI9RQ3iMvmY1mF4fHxfn cfSMMctMNXJ8Jz4zUSzwEYStR/YiJzDmAIDzf//pb5yTwh7WYfRIhwjBXI+GIToCK8TykdjBWC2U cbx/3bCi7i07LzidpUNwb4Tiq2QR04zjoJ8jK1S9PQjJaip2lTqMrd1d/ccs+IFtcOF/9ezExP2r sg4bJYFJ71jkSouv1pHCECFSO6b07gWPMS+nOLWQipucG+hjC4lM+z/zeCNVmudk7gUzH8J1+hmv hFxVTc4ZI7oNI0IlTeQ9mEoVorOTG8u+OYdjm4SWT56KENI/wsP0U+5Ir0gCO1mXl/iHGqPzP1Ct 6c4DZb2lS6KDOm3SiM7Y9qP/1k2/IzyaodVVNy13h+Z+zkfwHwdwtAAow/Uf1voQA8rH95Hlf8EL /X1as9PWMrzET+U8JWMSRAaTpLAE1GNfIAUIKzHbPWUFDhwjNVB+LYFA364vhse9ycCfMHZA4HD7 3SiMTXLJDarKEuyFsA+uy0mCOj595eqbsqx7a0Dp2ZezNWmGO1IbAhd5hSzX5QtUeLB5dBfe5Agp EhZ2aH4j6wABUuXiuuY6qIX9qH+yfsnN1bo8hLUSre9Y/YuB7Ojm21wuEt8ZjPechUumjzKfretC 26quc5of+GTISNn5nJSLJ+gK575atiIPgb4oCjiZm0dhjUWLYUKaaRigPpE1/WYNTuOAvSoG1Pi1 sMaeuTpyo4nWOlIXzYc/mRRlaS4T987u2lEzVYbgMXpZSN+o0/Z5bkZIt1QOYfnMaoEW+64olv/i qAKjVOwVLtDTHKFrtBf8MOMd2hEYUM3tyzYNGGI5CvkY7LqxGjr1T/9otFvZ7jj3YGSMdK/I5Wab XB2Hhzpf7OWidaG0FM+xW5gnyEnW6JEAmQ/0k/L93WdD2gmFcVJUSGYt6rqv3wcW+v71RL6uhg1+ JF9sDGob9B8Cs9Z+gMOm3898qKept6I/cbQgcnmuyx6cbhTK8E9moFFJJY6YIzsQbGTdEo+mXQ9I 2nHYT4P5rPMkGhKAvSGGX9mKxxUaLSwtV7iJyQrFkBf3BBnZuA2Wms7/o9hUCQaO6GnWL6P3FoG/ im2AxgMV5H95oHTBw9uT6B9htVsWvCN2Lhx/W+tUeo3cGHwgnxJ0DD+iDpvpMZ8MjCTE/c5KsM9y 8QHyLcUWT4CjMERzHUO5Jws6uPLq9JaZ+IKMs4XP2xiY43kdEyCQcIKtDsuy95kOxLzvZtDvmUVv Hh0T3XDiWiridQxJrwSwl8twTVLmhSRUFBhqPplKvN0o/5uLueh0P/TuJZNRVIWvcyHcxdbLiWqi 50Cp1ZSgGtagOT5BAz7kqnjTFmdhIjRcPoCKZwza8ZN9IkiJnjZH9SwHS9YvDTvZXPg0CJNk20d6 g9fPJ7kKntFyyeJYxwZ4Eg17cmLE24UFoSVtymj3Xb8F96wFlJwtYVmKVAjoOuioknsMf70iKwTs DR+8RUf9x6kRk+LndoGwOKCsP/ySkQBGwNpguNUlJ4hjoru411RNvr3DjOxhyvnYbHYNP7Vda0fX vxO9qJdpSqaGhCCX8MuyDaXhT+C5Rx4sFOU/BXBMj/QMcwHJDz0okaaRhNo4cFFIPRBaCOMijFIV 8xNccZs71j4C4+euads48Wm/oRC8fXg4m1mRDRyQJgaRWg0UDD1ZAXpJ1ml/y1A30jBuMOLkbctZ PvieVJMVV30pKpsMCF33fwhzc492G4S4uB3ArdcJ95p6WVaG1G4WwjGvOCfQ8Q7VhewVOAZh8RGk sOyQw718FOfq7drkgQarYDZZtvLzLT/pZcUSeWnwOZixZBBHcKbGESaUoR5wjWQQRIfcW1aeimd9 Aj2Jz43Gh3CHuOcyMqNxt6Vydbux2cE102nMibaNoJVVXtieX3jk0hv2iKTpM/hS+TbDoKjvzWOg C0lJIRYan3NV5zwwQHcsYCgYDQ8A1qsn3b9y+HOkTaYh26T0x9atInAPMs983pFjYeR9WYsL/ZAk CQ2ItzlW5H4IIN69oJZu3jn58aqmmA8+aio3E3bk9v4zUQZqwRQqIvdXzAVKgn8BfEvNqTPtgere HensQtK8D/ZD4VWQ07rGsGuFSF+QY/+CGaOjtJmuIQ4b4up6eRPaOrCkAUe7LX7DFj/5T3ZVVjoW Hi9mltzFzm8GWmz2/Q31xexS0PmgEIP7IgX+meGoxBd7UFFJK7fjECZ4DnUOfh6K8AdTl4YJXk+1 VC7He3CmKayTReYUdC3rxs1rJrlqr0Rb5OjUmVZ7rqU+rhwJS0nFEgUI/Gd+ApQmmn+vMqXRul5l qDiqFO4d393P/Qa/jpjP+8pxPmRnvJ7mDAMhmUdT/5j2iLjFfhYxc8T1yAEHbkpxS0t0jsSbuXyy Cnx9Z32RxQSuxcB2fRAH185T5tUwe+kR2RkqlefoV3UMj1abemuRKogSWZ2hFWTa1pW+aCugnKyP CHyogSl6eu8n20zFtwN5Iiw70Xtx4Og5bH2ND1yLy5XWoobcOsXfCtf2gCeQAE6AKbVanpTCV9Nx 3DPQkA4lsjluTHao4tnyZBOINjcYEdHEFrDzjZgIx+Ob5ECsWhVfHnQChCgIY+OasZOK9xeyz9oI qnKTsnmlUzxcQNwZ1wTXAWKgEC53xnIPCV2dmZoEL3WTHtaxByjGl93bquDxTCXU0hsP3YVWYg/b 3DVERYOsjf47EAeDPJQqlD0ZxPAOxuqCxcByoK5gP79kbWeb4q7PAJSUDY2XUznRZfJz5uqZHCXl zSinC9ICgCe8he2XTME3oCsbC18FK+pLnolzwq4LENUOM6Zjgmd2VuWNAUEcK4LfK/rJyAwOjPQ4 kojLP+LHZsKs+bAd6BjBtf7rT/21It6fM8j9dAiDhAmXqCnIuHa67buSOlQ7UJcy1lKMeonvtwhR yIPkw7DQkXORpH2c5RNZWaLRU0sLT+1PIxwRBrSlXJbvcxfC/jVZPXRaZctZz2YmiAlCuoLcwCmk i/62H9S2UxxumQr3HEC60vptdiJv5vVkgkkVWUvFD7pdxARymcd0u/hybrFNcFygAokUWm68NEqd fePMAMMmR4xhU1180cpPkp/MoVeKXFqWyBJer/DlHh1TBAHq9FdBcvNSOGwPQtMN9+Ub9dwSY8J4 JTK0OoFoX1tf9zzWUyFuoO54pxzpdV5YeAdcGbPImc7d679hxUelBRIdY9Qa6q6/Ibwd8mqRba3p KBV9eJPgL8kAkoPhJcB2EYsi0GojLdHBfHsTeRpbjOomyVwOdMAqpf2YwTxJGXgk2aTzCUr6DEDk lUKQs9aq/2c3qttZxSh2pSko9HEwqMYmFS8ANKApRY8R1fGxQK2239Dq/HkGeLcOUoznZ376RImB kJ1sQDndiYLUHhBlN8xbFLusKd6h9nUSWFckEq8iG+j/WiMDYBB9aFrC4mcDwZ1sUaQESx+tdmH4 1GwxvG+dkRIqfogIGkjwmlImMTS6/ceEUD+kSGriYNCTAyvqE5hoKnyL/l+tWo4/Xs8fn4dJ9++y V1MQX3sV7t8IZBhupgZYKlpeaYU4cha1N54xl1SWlXPvquLvN239DRNg2izPIGiEDLIW7sC6a2Ti 9aljpchbeZJA1cz+g0hg1rLhRbFy7R0GoQq9aRPPLzsUz2q2ewJDFK5s2f00ffJ7Zsr3WPyrASXv Nda79FBwvqXHnD+2pZ2gZwqS0VfrwLTnq0k6XJbnXuqp6oVNvOJ+oxX8JoWGs6iOCWZDDgBPEgWW hNW7Ty+2RLhfZZgQjyslJlQgL9Jla9HPXMSi9a9qHtFFKnZRnwwdQN9ty8jtY4z6eT2XjoN1PacI dMISGl9UEweh2VXRJmkC7rKN6D1kPzSK5K3oR6o9RVA+lXfcZZWBGGtQr5H0s6ypC8C6pevQx2UR 91g7IrcRnuFrOW/1EbejvrAL4yRXVPMwsL1j5ece6NhCgD8lE+tSsjbxQ9Yiz7ZTl5PYITh/mNTl IQOA2/0NevM91jLP6uPS03/sHE6Ksr4993QYJ7qaUOjVASxy92/beuJS7AKYYJ8AtN1oI4LK6f0f LX900E0QHvVfRMGUQDBdLm8QNMVJW/zW37O0cwwbZ1gvFp7A/5LLMJpoqkm2A7vuY6u9iqPgN6SS 5D/0/yncmZzTXCmkBlRBFD+hzjjiF33r7VB6m36BwxG5oF0CXtbVhoVYQkBZ4kueKlz41JI91SG3 RAYpRJExTXxvHTxj8ets8G081Ttk0G6HlSstEV1UjgWw7bFXEkxCLt4jsvYQ5Ih6Rhd3esLJK+DG PRXz9gvKvl6LxO8Bwsx4qptaSlJoOY+vuXPNY5n4MjxJYFvHgq6Qe9v7KExTbRGQrn/59LJPYOLG S560hk9iBA3PRfdCkiWOaeRgdYRl16q6lpIe6NkkkdGDsQVdzxUVp7XNUdvvYDgKPR8HnhnfUdno nr2PmEq0es6woB2ZlhfrSXBpkqcpUQ2DT5Zb33FlymdwlxR6J0FVMwGU/MbN1noe/+jnrdu0QUZQ G17qAA0bTAklcDOJl5cFrEcGY8nlb6y4eD8KrqjyDtAlLwkNqcdm/lIyXjO82f0iA9FwquE/ndc7 rLASAWbHuOVYTnRXuOrFqAQufYPXbBqbx42If2rBqdsNkxgYj9JiO98I0AXRFpFxpfv1arQth4Bz i3f2A4ssHNFG17n/oYM+iEijXT0wFons91mlwQXFQRkHEl2vcn+wauWRRb6KvqD9lu5hkLyfFLju vvk6dk/YxcCpJlItk1YgG7RKEcjfBJz19uGFFL1DYgR0YTZSy7Ik+ikmRo0wBbU5kKZ10iJ6+cob alYFIz7R+kVWhjGcjc2J3jp9gSBdbddwzgwdfgkT02VYzBW4yfn6Stx4TzLqC8lsPoqu6afbJaPE 7Qw4bB7eYN2sn15qIUUZYaaglfzRPT4POQyqFY6XM4uSDWLEOt3yqSFK/nTlASXjqPjfBfiFLrfP ll2A3lYq9WlP+ETVy1HU5eT6IcMyaIoah2g2+vxes0/tbTAfmpDqmYmTNX2dNYbc3YposYqaP7DA Unp/7LejoicBw1UqZOWcXNUsTeogpdAa5R8jQ8Bomfii3h2uTdvWVT7lUsSCvRG31zQCyZfdLeHY w2y8fCiTU8XhZRCnDvofXkMfjZtmk47asFKemgiktWa1Q+57sqWXUTUBcQSW+RGGC17f1CCpNsGt C+x4gEMI1BiX6QjmmdODPrJxQXSefHDOjIg47WbN547N7QOiLpOhnt+3hO8GvKnip7oSb/GTunyV XFR7HoxPhVOJbMsXRSuMOaoajJDz4RRj58FdHnAwggYU6pmm823u0BLRyJpi4YU0piEy9Iu3dhvB ArGkzyvx0bMeCpW2RQGNjCd3O791AtXlnyChQVAO46TDEjzmT5QKMjEfsC+J8flfF6yWZJxhOrKS qa/JfCGBmn0NEn6mz0w4pwB3YZZBYbE3DhWRyFj9koj7jplo8uE0wdNV31D/WjZ8aHvXBDSWKIr5 EkIqZ00CakB0RyD/nflXfnPQmSrjMidBRbB6fR69nfIcIiVrNYInBqUq9kAvQQrt3nVb+47MDItu AccpVUsG2O676K6DTQN4awNh4K4BH1hyb0bHeeCeou9pYHmtOnlDVyV0rrYpekrdXitlf+MGEPJu ZGALu0xTmt9A3gIC8BzgTc/qSpNgXzYi0vkBPxa/gOy9JBEfQkE1IIH2O1W5JngRKsR7mJVCZvMt l22mMNWTjwYZnnhiI4MlL2APbokw/i3/p5lcHjGhoJK9Ux2Ic1f+difyv3nF16hLwFr2KjBcVzqh al2YEHvd+a39Fz4G1+8Xbr26AZApjhlba/0WI4ptOV+O4fOH6cFdStu8UPEBR0lwLZ6MwIwY1npT 7trBJGqhQ59lZjBe75uqKkuAwOkxhMmnAVegBg+y3QcSJIYm0HyRdkyR2MPMjQmAxQi+6xvoIDXa +loYpvu+izHgScyxrgnUrLzKTcOdToSh49T4+WNUEU6rbsal6twP0gtQUvEM6g1YMPAed+h0ENcX uUNQFilKmfXmDR/U7PYQHlnzWJjKdSDbPNuWz0P5uH/nMC+BDgQoTEaVGyvVeNdEtroe0HEqKwVZ 37JVImmQEN+edxqNOo96Pyhva3n2LcuZlV/dBSwPvKIz/sFNQOMiAO3eKKbWpzoIntkt+mhjWQbP VBOG8kseiL08+zgF/xfhvogCEY9wudiWXPMElmaEaKIQZ4TK4I87WPlLtXCZ7iOTe19wsaO0DWrP nKc4w7T8R5UmMEN0baSQo/7xp0S9cOTAx51SgcCy3+jwRJBemlofrqeRfzqxkes0SBb4eEHcrxUL 3QBWCLRK1tSxdt6lKhX7qK3Sm/AreS3pmLD0tCSQl1JDrSJfBMWvGPOY3K9J/8gLgWJAgCC845/N jf69HsbKkZl9KKUZ8V918iO5v/yRRci7fiH5RTbYC6nHuXGsrc79eSwxjqF7W2h9waaJ+S0GsH0g /+9zjTd3Z9lsjViBBXSZvyt9vOdDOLLTgUV5ZeBwRi2Pki1RprqU+vmmWLXP+lqCq/FX2qj/LL51 rnZUzZAVRNxNhIBoQEZrLRf9Ru8vbNTn1hmR8RAZXw0una+ijOTHI/4mVo3W6OL3F/0JtiJG0dVi 3ZlVrNqZuAUn7XOCL8VjnWvXWNH6IQZktsxXR8Iqp1krnhQNrPefiKUiPz4ytFpPJKaSvHP8Yyia sYj3zy/9LiYlGfZQCNl8oJatYHZA7H9AFzlz70emYubzMb8ww5C1/o+YQ4C5zXDg7DJXuuMNhJIZ ZZwJzOs8mcYumJFhx2tWAsvWcDM5WMypx97g2FuKDMYVa7lD184VSBvAF9Ulw6SSidj8pcpzzqmx w8TWbkDuErl+wsr1KMT2uoY0KgBhAyjHkWNBuzXNyuWjopLkgCTog/QFegiUMigjZXlxVaouSU4/ ezI/HYm+p1K0FsmQVPCJEnCMI19c6xjYPRhqJ2ptd+vqrEtadZDt+1j3aZrI82Npu5Rgo9dvWMzX R3Sqem48BR7c8bwohAhQXZ5nmqOIEje70ET3T6Sg/De7EnMnprYIxyGsY/NvYfKkOTY7LC037aNx O7gNyJ4FRklPuYTj4jBbJWmKCkPtGI8ar94u3qv2esBHZmU2RdDia9AOFmpmlnz5FhwKS6l6btq9 OTdayojuiDc9jH5NQUBkoYWb9XmOex2I4Bx62ZHOYqh+GdHL70qMPG506bGSDS4fpbTHnH/GOfjj zveqUokfGhj2lm+reqxMcet+l4PttEgi26+vT0lNcsofH9f6YcUI5IWP+TNlhDTtDwn0bspFlVrh XSyfafy9sYzsq3QnWjsv9a7fXyF1fop1wtFAhIlb1FWgpsYYDN1DD0FdISgScJFtqjYQCUVDCIg8 x+Vc2f+9zdnRY5wo2Cu0qphKdbltXTOYCkFpl3jyDjUcL9OiuJfN+myMLO1kBcM2L4++hJN6e5u2 9qYY52obRaIwV7xIEBRauvxQLelu4U70hr3H2uDWXh4uaLaWrO4GL45NxUxGTW00Mh4g3FK/NpNu Nly3wVnvLKzZlISmuBiQsaznJDp9V57VX1txLo209MNQmmrgEzYoPu6WrUCRWspMdOfb1c0N0E2y HAnitVbbGFIj0gwyN4wcPzcdsm+mG7ilIOK40hAiVY3kXQkOTZw95uTC8NPubRCTcBUW6wW+aY9W bIPtHl3pIS87446tFWmZmEzXHYp1vAIOfDUhZpl4p6IHUGEGcXvRFq5/r+VoYfDKCCgEWdr/fgBo XDsfzfh401cSh0tpWGqbc5qYOyyrzDU99P6SSAxPbUmO4Y1xGAvRVKD0WVDN1lMBPK/RfriXPo5j 8bVukRyXEdTlVugkPF0m/weUjFZ0UX1C5hlQPyTzR/09yh2tpIM9UQKkPNhohN7q4+p198kksv8R P+u2YhUNvkeQ/FltCW8HU5uRAMJ1xAzT+WYcvl0tXT8G9XFVO4yqYVln3wx7lh21aDpChOjCaMxs GTqF7p2d696u/oDZVs/8AZK/y0K3VjiPT2x2VXL5lswPu/ZjDZNuXv1wKH/qCnKe1HuMKWhcCBZY 4QpLbXFnbt0MwaXN1phDku/MTjaofXJfz7Llgzcd9XVCg5gIkoniQyeEEdDeyHUGnZsjqM/bGatv X48NdjjXE2sufQl09Fszgg/IFvIlWNfUuiZxNtipyZcY0la0ZWtoj2BLoY00X2MmVD8XaqNyoBB6 O1f/8acmk3c9kr96QSkaMrxx6KO+srgwCIt/6NTiZd9WZ+o5JjpNrZ18CMBWP9PRdgJCD2YQaVLl vBbVDvfx98kXa3310oXprXVQE5sSStV/mzs5xYx1Dfe/h/ihLGE5JWkJI58WSl5fGfh3oQm4tLj7 GQKHLxin1u0Pgdw3mYIbxqGdQCDV5i6gNZiwwNVEXheYpVpOEvqy5xZzlc9XtgE5HFbWSwWVSHgt gH+b8i/pOwsr5rVKPxeDhyIf2liC5tZTSrYUpg84G+XdOpO1RFlhJ9Prb15sy+UOFfukYcyIMLUr CopBvOmlKViJ5fZqbh+ObZA7ZMoK6zifPVh5DH9Wr5v5tVf2Fi4b0Sa92GdZUALy4VqOI+guNZyI fPYZm8Pz/lBwvq8ghz6U6V05g8z/Mnjxr5G8xWg3jLgNXuQQMWv3XmZqYfY+1OOr4Xv2yRQnCw+Q NpkxD7QUOfIWs3cE8ZMHVpHkdPkIqmWMkeVA8s7Wm+QkHLS3fA+IH3m+Zox+j6HzUz3TNW7k15Y2 Ejvuy01HnP3vpOeVJDphGdQLRJsPF1iweQ97ru6a61S9r0TxoTRdgoP8F5JJrr8FMmBT2A7mUs95 Y3XKNnMGjI0TzkxTA3zBDEPmxVncTi+stmGs7tSVjhR8Atunvo0vUQIGhvAAGRiS6Ho/Z0KfHL9k 0QUovKlG+iQ63/1dhRX1qbEv+Y6UFkxrMHXBgD6JWzL94q4kVvY4iz5vvVmlDmTREPOzTVumK5ag Sg7gSJN+J3E32FZ3DbBjwoGsk+xfb32jGQrKxFaBuGz+FSnHDMsRvTT2HEpUin3ejUF25G6GieNo WrMdvWU+ujrhCDgQO43zm2dBhUmSpK6ricOWiHZ6P/zdqTf4QoyAP1nT1pM8J5SnzPXaHg58Z8uX OMKk5E1j+Hq3J7Sq9sjcSQqY18VXOF9S/IGYsT+3v/p89GRND3DSDceZUT/hEGby5W67ig99pN44 HsU7CLR8Ngs8BN/HgjNbQjCa+n7vyM1GqSaqcXfpZpGhmynRZ+R/Zk+oZLsH26COlJWGjloUiduS bdx9lO9Qv9Xr43CrYntiMW02NPideGnZw9O1hi2BpztD8leur6aK2ISqJLsPHQZFEKy2Tx7HKq78 bSK2T3LRApt9o8oF+ZOUG6zaBdanXta08blpTPRt8j5Jzd2u5raRIem2LTeDOQsK8cL/Aomh+Hx0 7aPE9K1bfwcr7Vajs9hqdoslvWEatB7eUY2eG6FZaeLIaXSk+nZ5Cv+nfEvgLyUO4FEWdMfGZcTh V8oQiXl/iFSPRoHKNDSmqhjWZA2JYUVyOnAfhNCwjzpexkDX7g3gynVaGwXNafY08tBUvyRD882Z QHq48O6fB8bxRcyw1SZe/atVtXCCM58H9HOFqCxYuRnkOt92vB9kEZiX48eLW63jEqSGXO/qj72q AMRN4pvXvryzwNvQ3SP8jzznRikOLZhkzqFjRs6zVNp9HXI5Y1OOq4j74n7YJu1VBMRXIPjMheDh u/Pv1jrDFbkz58DN5YvD1DKJbxh+tuDrK7V4RXJgZIj5fUaEqWNpFtaZ5vDj14lONHhInkWEI2rp sFugT9RZVY+pdbxTNHQwSVqrlSzTVY3mKvT390hNIGFNVwL/iSPPYyRZViFRlxabHzp0UDKnZTIB sE7aYrX8NkNUTylDooN/OuTfmxYCb9FFkSCZzSxzM4hLcrhrwIRE15liW9sitL3FIYjOoEXOsMUP bRnGD0b4s1IquG87f9Otyf42zOT58SxouO/KyTA8FMW6ummbOwu7+LNeYQa1xwnWEtoSc1+XfHCi rh7iCGv+Xt81+UNkyLxnV/zTK7moo+zK7gCPXUz3KAGUExmtQ6XNV6M4AhdjT02ygzF3MSbivZKq sEcN8hWr/skkLDbBSDF6saypgNi41W8uk2p3gCxcu2mt8mrW2Z9scrx7bDwBwGBU5dhP0S5sxhXb 6erUpOhGev9TIwJv17ooTi8mjSqnZn3ur89LVQuitE6Fjt96u00beVhGbtjlxBMfn7TVkqRJbjmz 9IUKEVlxuiwwMSr02EqWuFkoJx/S+54H7jFubcE6XzfsZQJ+G52jDQzx6e4mDpq0Op7af6mVnbDQ 6GK+s7BPbdKI/p4pKtnjI30l/aR8DqCWxJpz7ak03/rWLsRKX1a5YRFyGYrkbw/wRzWEVTAlQdxv ot7LYMHqkSEwtGMNteH58HjogcnD3RZOMxteJj/SOkTsXXJeLKhQZ1u8QdKPn5f3SDheiCeIYsHT n60GRsDg31KkwkG7YWiuL/2hm2kr9apv1IN0B4/p89OB3sguH8XpG33kJvUJHPkv8T4237crO8FI KLOPZVGsZbYcvufNgmZgjnYCDsJgDgnOf25SWEDvJkrwEnMFBFXlusMLRz9PKRr3XCWTCuVKr2j9 Sh8IZu9WRdIoqB913h4pjYc4/5iGPyOPPmymBKPD0Evr/rWmbNv1JaPwtI30RBIJMeR0eSpYprIY YAo8wbp3gKTQ4EAMOk4N339N2JzKtpxVT05jB/IggtyWC30cR66kVHFfHNeHpeE+DigIemoWTvCm 2snxFE/S872QSh45edzMxrSmvuCXE0HP3Dh4BRrunlkRb1TrqAPa8h8/wBG12NlzFakz4EILN7Qb cosBIuKZfBLtcvoHTHF6KyaoN7gzQ1GbGYqOv5PwjAhIn1TCTXXROvJMxYRZyH1e2TPIeF/02Vc7 BcDzvbqCU/xrNXWO6xFkG4pTXaYoBBJIg8+fK+c8SRzhw/nffvtKQcE35srIQAYgqNtuG6Nnf71z htMXRJNF0SMIkOwhYLh/oPdlEwv/o4oM+8GVIkwT+UlUE6lGQ9EHdV5W6lpW3cppLsKzlp0sttsD hZSMaEJjHPnO1ahB+hJdqivDxYSzBKZIJurWMZuZZaJ4ewTK99k+AiTaforAuk7aD5qfQ7UZJv13 HFa6UrwwI7aESV5Pe2veeah49lnydHcumZgKaPUus37SW7yCDytsKwV4aY/WMhfVgVEzb3yniEfT fEcynH6ilN+0iENFLW1Wl5nnBLudECcDcP2uqqNY+w2myF5zgOh2S4sdTzC+1BGZZig0p3MxOXeC HSG1a53BdqnOPtq6bv7MP5uplj1hVqtwxsPG14oofBxb8n4wlrd/A7wRG+COwdcwxHsVtAlDu25h HJ9RJ7gNj0Rf2GdzVk05jd7o2ttCla7Y+lpffRLUwGkx1uFJoSrRUGwR8Szh1Za46e+AieNXMMmt 5wR8gUd/l9DA1FEXt034tuOrgzms6q4aHINm7NmPbLEbQ1VaEDqoX8sT7DL0RNXI46urEvz/v8xd 9XN6SCIggaBfDt/OOClBdqto4AtZqYZYZGfXJ4nerMBa/249jHhqXTctQkB2v93c413Dx/S7p8GP F18irL+917gbxV7wkdQDB/VvwC5Njez5kfdIf64GueQZbieAF2VuQPRydeCE5ePEOJlum5zgtG4I 1k2rtdpxOEdxTuzELmM56hOpr/h0+r1ESdUiZCwn3H0fMqCumSCysCOeGNX0hcSBPvu/361JAwg5 K8SZ5M8eUda5ZNSkLsnMz8Zl4WwTHZZpVK6RF5VFgM+UYLZ9jeRaaURC+Ll00vfDm4I1oumygk3S tFxpRYBcIOYru12OBE+avgyCgzjvutaO79TwlfcK0T8v+HLSZW9FY3lYHiiVhp9+gaaXuwj1FGNb EA7i1yNOK1r1+VC9viIyBEd+9Bqc/DHdZcTmveY21jr4OUuL9P3YNs+NUCME8/yv9kOAWOR+8n8w sJa4TvcRYvRT///KgFGSq6pDAp8vpoqsGsXMDRZp43y5tFFnOdDMIXm9lvp5RnGp66dwMez0JkCD s5PLFyXb+tVXulxkPspuJvnT/iiTeOXWtFndBHEyaziSfCNjJNvt5NV+ekAeGqE38uT69YUzI4lD Ieu9mNsRmyphB/YMf0IlvDunpuVZU8PUF4FTKCEFU+NNX13eRJLkhdH4QI2iGrQTsDIGMQMOkaqo yUuP+GKY7fyarlEAgfLLOQdaE5HSI+MmNn4xyVVgTRBe60MRLyxmgOuJtGxb73a0/ImZgBFaaPv8 smZXocGqdbNod3zCfX0QzDiv4cKMFx8DTlWhlFvkRGsMqond/BwbM0b2hsPh5xcdGJ76mK8btqqO yHtsyQqbaX4D8MBX6zLPNif2Ci8p81Lx7WFX6Np2w0uFsc/5hv6k5WDnfVD0mqZyGAP6SNk0rUle VbhXb5gi/WGfllYRKAhgzVlLPPJmrm3YC1Z6x0O0t716fBnsfAp6tXz4v6Sg6DzqKKjfZlFXhFpz zWZCH2zP6Pa/zanqmj5aVYt+3+sWcBwkMachizUbLPf88W2U/rc6wcGHp5zMQTAKmGPTm0/gRipp TwrPqwPBX5WRdMLIeaOWwzsNwB0kE+pOrasRGhMaCRNo3yDF0Sf+UC1rrB9iI6/mzu9EwPDFLSrA exBjvYYPgbKGvLnlQPWBO8phZtI4CNEkMKDZHJ+Yf/xGiUlZepOcODKJFUqizwugtDu3M4g97t4v 90n4jvsP4VHPjttuYpKMq4bg+5KqR0cvtqv1HW3zMZhmfz4k7FFodviAqChQQSRvy+nG5vpwFdRM FCnU8p9bm7ptyWhNL17MQy3Ctx64yGQdALUStVI1NxTS5E7xT9iedG9vrDcTGfn0POwp+42+605u hqGlBmX6Sk9DFIvbx+aw7c4/UK+smQ2oTBio2XIyfhwHUDj0ZGfJWvxmmGsl4Y6p5Q5xF7thpdVp E7Cz0c+0WG9/6bI+QaOgzKSHaKWESBhq1pfDrya1uMeFhPOqsDbZ3qzZ5bxxRnFY8RYkr9MUcIYv HgNWN5Az9fQ2TMjGlTaErEBJNYbTyYV1G1c5vBjYqPiihHp+2jGH4zYX6KZsmm/8ayP9SneuWPNd YOQQ4EFaXJnZC/6Gwe8uYG8IaceNpU9UN2LMvNdujtN5wNns2P+EWgLLaOILfZWUCKLb7R4Tl+D9 +k/LltWw4UyTyBBxFjS5+NPnLude694w3iZKy5Malbp73i9JDGMWZL7zRCFa21TgT7sq65AcQOFb fJE24vB54cAcSwrr2n0ZDowPMdqEOdrOfLKCuIsOdeSGb6HLdGBv3bMEU2Nk8ffa9DAUiJNkdiHT nVk1Ea1GFryBzVY/qtwVFNYmYCIYG4SjiBzNq9mM+C+KDpVsgzL9uV426DNByCrrWYpqgRNzUjNO P4uykz+iJMU0ZGozyswB/3nHz7CHWIl0GyfsVr0YEsn00Uj0NFThrLm4ueVM+h0wrq3Na2V57gUt bp0vbJYFLk8ouoxpE3tQSfSe90FWq9wHod/J7JltTTCTxg/Rnx85rv1E9oUadsZO1Ij9TXG7GavC ImQY9Z94vxs3DFladmjK86CZ `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/blk_mem_gen_v8_1/blk_mem_gen_v8_1_pkg.vhd
27
123409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nqqBtmqfflVo0LfdOWD2OeylbTCJPLX6XaSqFQpCXkHX4TF1QAXZspyiDVaQlwRkat06cPZ5E411 bTzbr9/qZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q/X3qbnpTyRXgHhmurX8chlDRL2XjwnbHjo5m2aoqrTNSVAUPYEYGIGJVoJhRP1Bd27KZbGI0BFX fZKfju5H4nz84jXPUC/rcsp76WTu945qoXwdo30XI0Qhi1w21P6EhLXccz1l4c9zfTwlHtVuYV2c xkxHRh0F8KrrR61HDHc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jBFh6UBl2pQmyl/KNdwY4r9ld/Frb+RgwTVitzK9Y6Fp+6xDwrsib4d9Z9Trd2PuW5z5/ot40n86 vR7VZpJnONM8UmDjWgdiB8rXNXaI1rBfme4TQ3jj6RaF803c2cAi4cdZ4qM3X7V29W2B5HXbYsfA +fn+v+caVjEUXZHZm4HMyIR7TNVnvmCWeeLj52d+u3MrD7UjjkqtqnRWdy0ckM9p4TE27eiu/nsz awiAJoiVLZNTMmdaTdZ6vB/sS67SAe0JjX1nTwssfK86UYU1+n0NLZ+SLB4lkqxmhepGPNojfE8p 9hJaPKOTV3d/umJbTV97L90iPloNPMXpGK/m+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cwUUX8orCEMoCaO4wbzIkA5h1G/QOLlup3/J46IxMYEEhFnVuE82RZ46tcCa958uxg+L9/l1SnQ1 1Qa6GFDzaEz3zEcSDS+t0jFMPNI7VUppaIgcalGdkOXBIX9fihrhASeWjqmTDrUSlTt7Vzyo+3TY n3HFHRbTrCchXcVswqs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z1XHzIMnint6AvJuhSJyN/+kraiZwIT5ZFNyZxcRS4ee586ZcCrsBlqjvo3awgeNWb2yZNQKbtJY UBJT2Ww9PtMdwpg4MPuZFMCTECdiBOLjqX7gX0K3iBdA+35RXRVkpnaon7ABi2dY8SU6a03iv3ph ed9P79UVGmdGucbzSQNo8vkiW9pS6ZJElXKmEibSc0C9Vw6VmCNdLosnrss+vUEVkPDu65r8MqDO 9/2zcjIio0kfnpSLOaIDXqGefGNR89nRv/NxKymzLnDjvK13FSfKq6qNfA+cXOtnv8oRuf0tdkh7 e8F12j/LQajA5bXDfmPQ3bNX4Qv06vuQ9+MAAw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 89616) `protect data_block QkNTRdneAbN5BVS8a/eWFD9TPSE/fl6kXawkt+ZIykcCreFP7pQVXgpXfDq48GDt7BVgI2EZdud8 3famRDRPxpZhJdv+8hH0VjWY58or3IECqNmxw/v0zoxk2ds8/gzqXfqxpQieN8bAbDQpqVev4C2w AjMT8/kNEOJLjBQzmbWpTqMCgZfOF4La2WG7wJeAWN0r4AySjkQlz7xI2jdtOc5Sqm9Qqi6fcTKA 1grQPOnYG1otjByyc+q0aDJ7/Dbp6T7QjtrQuOs+lGPgyAzKBIjrkIETcDNlDy76xlOld3GRswTf jJmKggUo0FMwnkLZ7vFwP+FyNZczuPwYzZieDtO7HFhvDdeMnNXe5bA0Hhy9tR7xEOsneBXlip9G s9qW30Z0Ii3tvW27E+W9DcyOeL2OrEBIDCzdhNZz+CHn7uhTFlgjoD6JQpNz7aos/NkMUhB+ZAuS YAbYwmkTZWUMHQxgHE1h5hTpcILymn3GsX75Ji1irId+1/sbksZnaEpfaakdcXfXErBDSrAzCSVP OwX9eoUnHw5O6WM8kqf3F8o0fIglbA7wB9akeCOW0wm5cEAVcbklSO8AeetGVqbmC4FG9UwyTXid RvYiyHwgcvFh4Yz+U6Sney117km0k0nJ3/IJ042BgcO0LUtXYWBGtUeI9DGzXgpXWd+eER01vjUe JrpM4bYBmOVmZHH6FePTpky++bGtp8xLRkIedfDaA3uDHzNoYmaOH/K8HuSfN+AWhmzZeN7TMTUE b278MWKKeINN6D5wXMYCWejf3k6ZSvtToS9s1sYlVZFOi1GRrRilo4sp924fxzI+PseUBmHOwjx/ lWh+l/STrJlWmQDSydAf5XF3eIRvJSzQyOwaI/jjRghdvHcdhGQUvVqmz9+K/7w+HYafR2XcguAM /moXIPIKPI2D6Ygb/A/mcAMQDBVgT7gfuc1bgoOqcUtD2FY9bhXpqS6EGxialO4ns5Bny+jDAw81 zSB3ZLzOPfTeAC6jRwkVfpxxEbgUa3ESi5DQKd0ISDgmW4xyv34V5/xzvO2qcF91j8nOBgfsGYVy sbnQTVMVm6nahiBdy/PPwaKYgER6MyHJiEy265Y8yDzu5IJMteZKViXk4X3NHWRFUvTrMGjk3qeE Gsgg5Ts1lFTuAr869Tfl9LKXML0hP4J6MhKJ5us2O9+b0w90SHSxGq5gFfilHH7eA24xQpwT0vaq S5EBV1Anie45ZcGnWa0Rz/o6WX6nD1p7nSIaeKGbDEo9Zk0LjNMpnLsEMIma9yrFxo0Jw7hXD77Y 3LL0VxDAmMVQhk9QJzr/KzyNihJwukTjVYwjnX+fNsTvs0xQCyEUTUFtPV6q52ik01iEkwL3PrJ+ NKZE3/Uq0zB62QdmeXCa807koBW+tD4JLcG1DHxxrsE8Ey28Tl3xykyYfA3T9oFPCo5FmiDC9JGM K+wBoN3QSGmjrbFsDGTAtP1kFrorlES5jfvNKG6cagJ3FNFAUAZxrldrlw4zLRYyWdZXM6mMqJI0 vzdjXEhewoLla5UZ6csiUkNOcMkqvU2yL0Jfq2nn51veXzSCqxGSaCpaD3+ib5cWU7URV9XDPa2D cyE4ibQCooQoLlrFoenAdrvwmyXXUX8SZOctbisO+/21GWZ7AIVzLOtziuJoeLCpYMYCtHWx19TY ZZA9WKpGqLKeUwCbIDN1ulm+9MvT5lwmcpmqdgPzvq3b3tatEHOFSP94dm6gCsJmdyvfIjHAU3sO o9fFuLBkij4jmroizCYFB0cvSd52DQwqsjvXz3sOxUG5R8HvGbzFMHKBtKbDMQ8e6W12TyuRHyMw amN8gNnDijwFMmZ24gx6VvipCHZC3oxle3k6VcqjC5YtHmd6N91AvSlhLHJqaSegLZWdq9Fo6WZO hYBiodeHnz8iTo7ZohQH4hiYqzTUL25ReRb4xiq7apbm6kwJcXncV0r0WxBZKaawUxZvYEsVfdVV DS2+PxUwqtmuFbHVMfhpL6dOnYzK5iseEQjZ/vBVxSHrbA5wZbcGlgcpv4t6dehb3eXQXZCZHM+O tnmxauBqFySA/bN/FW2Y36zt9gZf0v+78KNQdzzt9jX4CYETv68wOVqs4fATfV3YD88ZjP7yNslf Y4Jpt4TcCOg02hhE5ofcMv+B1vQoCe4YpyAfA/HNCDBc4HFkHcmMyW8Q+xME7GMuF+Ln1yYGavYT 1GnKeFOWo9rTrDjMdTSN6s58tQVxKh9zYeU0sujpiaG6LYTdKmPI2Ar1Spb+WuddQ81W7S1rVkw6 JN6wfFAY8uFm5Dii466jb//ROmTH1vqObVyrWnOujAE5qap8PJfH1XryWCoa/q09V6R/EQjaV2bn WsFT4IOuAYLtyKp3VM/DAk1lJAgoe6gY2kAr3lkHQdo1EpEnCoQKYSITXoRrrtLNBE/fyAL4yn6D Qg5JUR2o/ukcDf1vm12lCRJ3rMdBwqM4zTW1ZLqlT/gc7H7faZHPIoJOFV7bvImIVx122z1rMjx+ P3Ro1h8T/AQwPbAjgqz/o48ZzW1EIqu5HkEuCp3GrejPgTvWdY+RjA5WjhjtnXqIqAliG7uFSnC1 8Nklbr5PMmDEk/ZcAVBPcmDo2oK0Ab0vk0JFT4auKBljKP4OGFEl2OAnvCiqgMwIVH0jLx4QFuYd vRwdgt8JgsUHONPv6CTQUNUn6X0DJ6LHfFpSGJUzwnAp3PF6FZ6HuZyoRecj4AH9a2QH5Ar65yDF nVNCT1OuswsGk5Fsx/PB7P+UEh+tjP5w4BB9b/4Aw1u9JJzfsW0aBrCuuJtO+f2U2W+tcPWggNAj rrRJ5CITsMyPRN+Tity3SdnyHKZGjh/Y1oAuGR9TNq82y648Htqg+VWBkQRBQih4c+J6Z4lZviza h+/nFn7DkHQNF50wQcNcJO6oOiPMgvGvktr9gzIuJHkCNomZBJHsxsYeuGntWPKlpq5Tyon9iGXn 5CSLYnTRUawBpPK22XF6ADOumhRKG3voMav0vQIktfoXbW62wo1Lm3yQPlHx9fkYN4mZ3IS3J774 BZhGJ5X2/wURUv44krR3EORcBFh6f0NgpdrYIyNeOySrcx20HWU1lKNFYIQtR9s8Pd3LeC9aCzfx VoIkJujFb/DhJgZQkGsspSCAgzjdYSlI+LkQ/cqrpfsptlONN0X9PDm+lYb2ZN9phVThgGrZIeOW xAsqCKHmAa1UZT4MtYx9dbqTYHDTnZqeuQ3+n2YekFXAhtc/LZu3DnNoijpN4Bjy65Wl+JB318Il 3DGWkQAeU2bJT48Wh+RfnE4RnIX8qd/VnYFzlT4T+VLG65WyEgldT+E3+8ACfkdp1XU/OysALUIz 1KRcVXEyahdJEwhp2gQcxhivYnZNOyJS7qbgRqiMdLot0bwvxQuyEO3lMl1XvHFz57t34nIJKETt MmU9iVGKloVARjqU9RFS6KgntyeTsrKhbZjkpORtxeZUMjNN0zrvHlz/O8ZMAIDzyFKHPnRfE7VG eA+hm75UY36dgWuGXtNdP4r+KwCY60mOASQrhdDLx21ACQxUVnhfui5Ot3w6VzQu1ho5VnQtvRMf c1i+5AOTwoI+kPUyEBB2LOa+LZGd2fAjz7Ix/Bq/6IClBVUupFtExnhXzogtjIEtIRIg7o2d1FLo kKBlb3y6u1yvmj459tUd/KqD+wNohj7j4owGlcc2OKFaRChjZdJdDFqagCN0sOAVYjRi8D3LrzUI v7QbqZxjUIfITBTpFJDU2q4nBwA2skhbIwQrEDHOkRDRjBKj1iZbHu85CNbW8HjQ2OnG29chpZQf v9VtuffBQP07Gz2dd/1uTtgjSkzPdWzf28bkA9Y7wmgthJd5z4FsaMN/RfgOeuxXoICNB+jUUiAr fTNuRhlwvRN4aIO4ryP/EQ6vMMOF5EHZ4sgzA9nlNxb6/AMO/R4JPkqc70L8662wbB1M4LXmnlf0 uavSeIgjqN1x/P399rXSpTqE2VRP99Jvtx8HkXnARCNUQA1iOdtcnxxpmbhqo+Ogv6OBwRX05dXC JD+ij8tlYOQF7kx8xpbGf0A0epeZ08vdgzSqDEvtz3G7AavwAk/bTSyAZNMb63Lhm83BONUneh2E FoYZMDFbo3SBbVWLtflKt034TB4SqohYhKUGrHFSWLDdk4NQu25JcnqwNJLYD1/ejgdziAUL4p5k YX3Kv27NrhLfV04q/m0g5jvtn4M0SBn4c9Ph89EaEpyA34lKUY38O2klyU/5Q2KURSU9q4vQyo8b ljfTd/9lkPBRGS+cinu0YbUZJ+2766Z36jU55MELGHy+4Qb/SkyrS04kpYG+xT+4YRPtEdiCm0cT lr7jQYQDYC0BwWmRaoXcvSzYqTBQT+nRFUTbV6l30EvsAe7vYn4+i31uUcbbwqBmjQJ9OjuGSAVk ldQZyoF56C2YlA8/ZcFbz144TuMp8YQ+OolBUnGibrJpjAkB7e6XnVIcYW/goTdsUc5x9LXvkV5A JpNFYU0AqcO7OGfWfG17hTBpWb6p4FIFJMaZQDFaXrtsyF66HGA8Y80k9HAFCCWTcwczF2LXwy1f WLwMqKHxceQa4+27BYKIidkoObZVuvryemxFkqgQY+T7K9EwC4AP57dRy5LSiYWS/AFpTj+ZbrKk PzZ0BBWYtoMtoDnsa7uI9gYSeEixkbmSFkw8kiQfWEoPJUdzEQOVzNyqTjJP5HM60+CET4TpyPOs QOrbmYYojkVhedBtUutuGec2CfasoRuV93hsze3bC3gtHWVWWIwW5sTnJ0PED4LxyNuaAR+nE4GO sJHHgj/q1xdGU+DHkrXl1tXTo0zSLfn0oeGRi5FL8jzS0mpIxzUgrfMJpoLZ5h25Ax0i+0vzo2qH f+EtS5vEc1M4Sq91H0aiISX8xyuYlKMgs6KvvfMS66VZRsnanVt5oQaymE0c4gMZ2+shL7+ecP5H WdJDDW0gkOsbpZ6BQV1s6+bcPgTV5duPhtKhxFHUAn+ejE5po/312t1pX1/gF72ura87pr2WSONI FGNLmR8SbSN/fNBoc4l8TyVCYNJr9dAO0buo5LiLMMdjsqX6kzi5VHclw9NgbUNCMPVmaDFaVw0U lOy8OFq7OIAvBs7ey0OxDypRv7DJSaq2Ja8LT/fvvTcsC45N4kGHkAH4OFAP/zRDGbybIeE+rUAA gc7IAIKoywHqYHESvsBT+sdBYpqYic2ZGuxSKcfPwy7YrM2h71eFO9ywyqrjWpwCgtxtxkVpCZZY GpyHMizSpZ32NHlm7n4phL9ajN7hOlHgCf3QLO6GdroG27knmqt/IaCxNMyOMa8i1UpGUjog6bP+ ALepqeadNbKmmrFDAzk2cEd4Jk2Y3AVO2nV67t1BhlNVID5h+ZYokRCbrLjt6q2TnIK1RpdGAsrt fFUxNhMwEJ8uytnHiJVkX5NivW6hRJJ1PO7+8cjGbGkNnVgIAOSrNfT62/OdxTHpGrlC1npKzYPO ofrVc03RTRxo1+8toittQ4yrlMpFXSL89NWyn9URFTRNfsk2sYz+ddUSIsFyebqTGYIIlANL9TPQ h5ksq+JpWbx0Rm2C26320bvXT6bxIpgDch+tGAVMLW71AQhRhd4SY9ZFIHNK/FV6CynJdg8yluYr pDiy/nWsYOs3OmCbyKbleH/NZ2PiPATr/2x1nj/HAd14cWBMSBIk8jGOMJ5MMZ0/7F7W6peJkRqT 2T8f0NmCixf9KyXQLbqGAKeoSpLjMkJRxGgyu0hs+OHYMuHZRa2JTTnmSp4+Z+1xdVcQWavdzQXI Ps53je7NzLb+wiHJHLq25Wk9rfZD1d8u74R6pXH09Y2MwJhNJjBw4JJSJGJ8v3+2bS3LFeA6GbQ7 vKt9NGlDt7/eE3dmv/2X7Z66ilW7QVOHeqPI1UZFHw3WhgQZMImqDe2xvOLy0f1Zwx+SFLY16RC+ oOzePpCwO5Tf9maIBrx5ZeSWAVxpI8TpwXODgCpkneiCbB4trZunTWNFGXBXfaPo8FfAQ4r3H6q4 CVllqzPZyaJhpn7Ye+JGOiImmVf2cv8dChm9ognCZjpEJFM6bRyQvnXNcsvSGf714TMOojVpI1lO f9IDwRlyB8AIVW65LRMaamVUbJcPzVUMFLdAzJYJZNi5nayLBFqpLPelEEYA4W1gblsHEcBvhX0o 4LQmbB0ZBqwSl8ZiuZR6VcgEclgRCkTXBdcrzjlmVgAyuXSqzwPFLJ+Vc5SUSgdd7pGJ/mwG7Vqq FNWZBl6Bclqs83rdvCQ6lPCEBgYCm1AvrsQbKG27xa37ZtXIjhq5dyefcozAckp6YSi8iE8RTgHj eldInQJGqk512+wELXydwrses1xQYRW+Z+KlVTUOt1uAYQEm0pLpGoUIQwsXs1cIGFiNKTvf8XeL NaZkHuec7aXpSYJZcJ58HWy6MLT5Q55moZ0Cn04ljcUOdAUXE/VHO0lRT8ZBovd01r8rqgfy6OWI 8Tg0U8ND0kTwLPKMK43AYUPW0OTmJQY6AViFggvq06+VM2/WnPZjtiQLTYmcxPJXXQ1pqR0sfo03 NLS1YJL10+19VjRIpETIn7LJIr2L0VgVOGjXo3TNpKa430rAfsKVDi/arML5xNTyH7A0cggKxpFW HJ0toiQo0rnk1FvE1QipUBohr7utZDbrV9s0oa1NNSiFdcSdHH6rharZrhzZQeBc1ccBDGPxD7ir Oal5fwEasYfy+lVkV4Ug4UKSv4U/DjYXqQJZgNfQnEVp8sROQHIKTju0r2urlPMWEwn0D5ror6ew B/n48yaHMNMXU2MvlMjb8vNBWjly4of/MoOFCYbD1aV3M7OCvjq0Xqf/1QRsVAvGmNSevcqPU902 VogauhJujvNZ/tnkl6i9rYlt078P1IAunNTiRvBRpv0AyaLuyT93pRCnB1X0QkH68P69AuiR0p0s RTwiSiZSO/bOLvzOX3Ut8v4n9MHsKj6puQTk4LYUem576jj5h9ogUm+Mgqqd1TZkgsDjRsAosALx fcCXE/SVBu8xmAcde+5gcsefh8h0+9Rfu6e1vWOX4XNx0vRRr1q5PRy7YDN1z58G2OrSFuifk//c JNZ6M1laL46gKlv+2XHJA4PjTkbZcxYWXuxlJVEOuaodcQaquyw+FmM4y9nB5xMo1orVlPRxdB9H pEVbCEwcHKSUhaxspXDk4NxMGe0R5mI/rk1kJQjrtkeXwKQFJoXT95LpngapG598rivMAxJaCLLv RMYRfsoHkLYY3zqBqZkQe4Ysx1IGgIJqKTGa4V2Clbn2OvY5XJZxj8DqN++FqL9S+sb4CLFygOqt rJAyY6qD0yegpG30DI6xo0eJ5tNFkv16ISwi2UxmjO36yDLBXUyetw+p1mX/Ii4wROcBM7HVnnas D+U2xhG4EGxUSjk78MVkB5eO4EUlzmy5D4M0ZiGm4JzAk6aR9hAu3YmOCIJvGklqfhg6GHGgxw+/ YGQNZEDN5t9DZ6uwg0rCLBWeuqZsioF0tXX1paN6QVLIvhgIILGLaTdCVJuzw5wV99MH3tzT0m7q uN5aurez9oI60K4TAJM13wyO7HdEeCJeweJEHRAz6GmxXefdk6XbsG0iua9o/mwS73n4J6q7BZYI SREkjTptVvqt4Gkhg6rwcVJp3cHSy65qgVS/u6P8bip0MlLx0SXjXFQy4Hj4NbPxhVAB1D47uUG0 pQYhLcTuMSmip2oS1xpe/rnq885ZrTdn+WyohUbgxxtI+qhW2C/wUapYgCNN57W7hRS0Lg/Rbhtc 3BC7zsJWOwkfaZVXAgJJZiRhOsZ/WDDFoFXnKm/yexqc2Ulmcq03bwmtwworRarWdwdZBYdgEWrs 2HL5Zt6zAHJ/HBb9gy6IUc/yKwK5eJSzPYguL5WroRmD+hB20luz4LnFfmZsz2iuSxwEBgK6d0gN d28cloU+LcrXI+hutXi2g9ti5nDCAz5Ta5tOJXJQXxSRcDiLSFl1aYIIw7a0pINnYn8UyHLMcWl+ fq22Z5wOn/krV6v5y7TJUC584UKt/ZxgWyV4I5wb77g7tpsQKkau0ILIrq0cMSQsWqYTDGfymRVg JTb1v1wN9TgDALs+DP8+cWrbr9DeVMgU4lrYjHbuVszlSrG3lOU987MjBzVVZcICElirPiAYgtkY Jgvd+9Uq+VIV/dMyZE9oOGahq4hdkIOPT4+sdoOibvzd9ztB7m8cCCCZYAqSEGIkqIi2eg6Ap4ap 2YDpz4JTtB4H3BhxEMClIyVwV/WQMS7OqiMkwNcTIUWRqiVK255tQWyhpu4gHs85RSUN9XOzZG1F wlCcXtK3LM9WtnD+FJ2gxQc1jx3OBEFNmrwKoDc3398mBbSjnB1/QJvypW7pJLsYaoZX9OEIQLm0 cU+yy6QD1ceL4SPtzcIazOoluXqULnu5R7UricwHb2aEttTv41i1BqVBpWRU6sPolQ4jxb2cNDd9 /fz+GbSvRJL+guU6xAwNCavc68HWiMjf/HvUW46N8zvUrAVL6HIkV1VDleGNtRWw0abOX4ru5TJX Th0i8cEAK/mQCVBQoaOVczxLwaWjV9Y76jJ5pzLpdP41jj1Dnc8Xfe8w0PY+alyTbUQQmVjZuSa1 KkPP2BV/bVKrv8KKq8YHGUz/SG3l4CwUMrrXr7MaLKeRDVF5Ytlq/B+pqYp8ky7LRWdAZA8+i39g idnK93QXXRu/9f6XgBXvHUz6X8cGZCtPhI2EaSfnrhw+r8nj+ACLZYsxkPuG2qCU4BNCxVrjXh+n DXNHrq7wCTRJ7j3pZTZHUzeFa6r3UHkMIqeJUg9V5C48Ex8W6PllGpqkPYvhXDBH31cfsH/DOOI5 K39evd7yJx0PVGrLtHNZzPfD+BjkpmtohViZVm0Q7ZqeihdYKVtZJ22HG0zvl+/zHYDdviajZM2t wULXzlvsLXto3iL1tQeSKxwtyUeX3KWdd7e/xhehMDkCUbUIy/P7S2hUwscvMDBC7Sl8Ium9vM72 sXK8TeYrqp0FRSKL75BgasTFJSE50jJ0Al3D1S+6HOMWoC/owDuJuMZEjtBMBQI/MVoliHppASNZ EIC0v5S23fiPNVbbvcVpmJLgCxIWkdHzcNuPkrLgkBRfco1Su4/Wbd1P+cpNllIeZNtINKAZ5RVn O8OElgrXq9Bvk+vLGS4t/y8ffqKxdQmLWOTEiEIG3MoUXK8x+K7wknOlKbjSrAIcinH1iJQOQqU9 XTmYm4hOpD/E2WTfoXEv0i6DFDqlQKwDBTtlvixLWvGdoAcp6yjfVK1jY2JaGOC3KK4EAXoSmE0M AFZoPsIjPKM1PGo6fdJypLnZAIOCXdHg9z1WbS1+pqBo6eetlVvVAB7RozieZgFhVPQ97dyQoEGQ AHwLFpgEDMg+As53VUgE10F9h3HsRdnTVQPaj2cyCWHE4Z8QnxRxNyeOFpgfgcVhHkLAluMw3110 CaL/Cv/ynwgo+CT9BEbUBbuknSR2cWp+xlFWi+R6CFDvphPL+4N3rzz4GP+VoJgn61yDnSHecKhj fzBKzIrF2LXh8J/746JljXHFSCEDXxxrp/gBEbxgCupcmaaSSNfpkJPUq6ckNlYVNLcqBvOZAsbs z43Vb8GtFYOR7k4UNbIuQXX/vNuEQd1DLjMHO6KbCZbMqVnoYId97KTv/7f3wWEYfK7WBPxMokvF cgeSXbyDEoCPXRRGCr3oqYcgSjbS+ekvWFQEvdho8AsQGeMtnadwW3vFeWu/Qw/PSgl1jwU4YWHn eo/tBtIhhEWTGyJh5vx81Rqay68TNF3DOr/S0SYAH8Lwx6xYGx1ci5ixjlw+yWM9FJPk86SRlngq Mv1I+BUDE3U6k3q90DLxPbkw4ZiMCEp6bZltYNyHPOgn2hooHMZ6KavRoWEgJDba8LB42NE7u+Rk npmxoIS9t/Qz0NQf2qBrp+nUzxDTXmHI5SVra1voHLMMJ/Hw5UmouQQ/7dL9RQ/PypQ1xWpY6HkY 2LITeRE0BqshhU8lGjQSa1b1NCb2iQ8HFE/L8EDynz3hWsnldPAXxukKiiUVi1sXtas1a5mxdzvG tx06g0oBfu1oT2DSb7fhSPFFkPOjEFyzTbUr5uRBWGKQub/dDV+sUGacdlophwVtxsjHiMcxTbTS xY6VsM9/8t50VsV0Jhed/Keo2aFBLS+ynXfJfiTeDrEdSWo+Z8O2POLimaElCUO3nhVAQpqL8CUm 1KNQAflbFj3jQfA/NKdGI/98uS+XzZm6YWDOj7BL6+XzAnEPLQxQbYoJ1RA1kWUCFyWwbPms1JCo JokADuHcd2BAJh1dIRGc9T+ayEo27Ir72Yjbf4X5PcwQ/Bn/CDnRC8BByh4dM3ow3O+4oS9Yeng6 X2v9paGfae9Jm2/0P7KUej8m0NF2SnKakowU9IXMxqtdbf3hop67RORy71uR+p0J/W8QVgmsOMiN 62q2faQj5SyncLMIWbAxJS1VJL67Qvqb55HLqrqK5zBwDgDDRWHULPRoLo7I1h6vR4WCudRFVAEG gsY++Ugvmm0N711ro8OkgwxT/fzRX7SKaVQE03zym7fUTMUtMdc/aw+dCx/9yjyszpHotD4/Q7V4 s2hODZqYa/EujTG7tbd76K+nbRu9nMFmeq0jnSlFDCk9HH7GZQa24Y+49esHK2Z+ucMJO8PgGgda tNvWfNKJehFnbtnO9iWdEvord3Y/nkdpW9bxx+4an9GjQJTxnlZjCJP/ajq+ologpzK2n/mOcclv vf2pjLHyXCuITH93bngx8XJKau+falxkSqB6c1EAsiQSkQvk4s6tJilIqkijgqtbF0qd9RGubEwf I5M+mpNlKBsWCAnGLHx2SITbs4o9n4f8oslT8+oCnXI4/fETf6SDJXhN9EjG+24ETE086pW4urH6 s20bxaSnTsYiHF7Rw5gVycIeLNgGOsj7PjlBqfiKbAweXUa2G5Elws/kpxkQeRxuli6F69RFUhqt u41NytwolNfh5aDDMD3VUamWvu7T9cpe8vlxQBABPVQNkqc5P9heW7fFu0FNZeqvo8hHBYjsNOEw sbA+rkXRD6Si3Mo0VWWOPJtGOrlmeOaC6nDIEjTazFXdz1EhOxtum5XY5j5H5RR3n/STVzRboneh So2t3KYyL+ZpCppg3ktB4cZVnDtg0jBhH6sq3R0QC06u4A70E+JCM3+TxBtOv/SBdk/8Ngdu0EJJ e+TIxAY3bOlIVNWFNyRVkPXipH1ihRGKdUXyASBX2gAae7QSKbZh6JWeo6N9Z+FNF6cvmbEi4UG3 Tz9f5ZVzYYtrT2Kquq+daa11vcnjLL2A06K4ASwLRuh9ZQX2v2kFT9UjfsMaRo5MpM1PfkFIQ5uZ 2FdJLF9KpB9Er3wI08fBfb3V+8Uy6/UDhHEeLoP9PfG+Ohyws5mFLClXa+BBoYblTwzmha+J/hr4 Ffewb4hGM9BohkTcxFsbn2ag4uXBbaYEf+zv99Jl2Lp5OyGZVyeSO1LN5Jjqw423ovvK76IIDUa+ 1DxVQ30MiepapGamasxJ8sKJSoNk//ZsC+rdDJ5H93hQWNsFpUH6SCmOP460yZ6qFb3FSTdaYDIK 8XTNAXLGv9HjQEit4oMKFomWc2ETilZr0FCcIPoLcZVU/6YGPR5Pk4WOm4xkSSG/AfPwLUn5APiM H+OTKxMLJ5WyZYhArzDwlDFikH+XU2dLNX0AQ7LbKW2mUg1zNZgNzzhp/DaYI1uxGVyIRri5XpXu rw5Ynjk+L6IepaQ+jqfV2IqYbccbR4oxUHBsvsI+yhOdbKDpv3n+X7XBgVrbVw+a/WbO6H99/7/M Lw2NK7+Q490kF8JheZVuQciFS38CphTen9w/iNQ0tv/9aOpq14DBBVHy4BzGYlralRoTB4RZmC+0 2c2+7g9DkgojGIAwl33iHZOfW6pvtQQwK1xDVV2Rhhvi+UhtrIcklxQohai4Lvorv3+Xy3iQL0fF g5++L0HhXeQemAq2dTrGvgvcySnUfA6DugFZvSP8zuyG+3BOxlcEsWR1IE0XJmyCUCKHTtgM02rA d3+8kBTVFZTob9yxyJ6EdAX9lxjHxIfUUoc06z2c+jSff4R0tcwtEFcnKAj8xwBQSrvjbSyVBdPU EMm9/HV59oc4inttC88HkdEXvw3od0JjvJZOlmpR2XxyECsEqYn82bOlDKWoEJ+l+Ib9dTwHmBr+ SnspW/uakOexsLz+TVUmj9ZFwY80mW6V3yps3B9bLgwJCvGjUlmF6U5eCBshcSMiw2gOdYqNAJ3C 3nlmvxwpZGMmnmC1qX47Ve0vmsBnNA8tqyVD582i8zYV/IS32A+18+RH84/wiDw6CYjAdvYQlpTU C5+YPlQ/xYZuN2aA4C/zmX8lweAVpwJ45qIByPd/f4RA/LndfiUNk3dvePe/KNfALtXAQkaawCxK sldBfIvKB1VuVYOP27mNe33kdiyojhX2pO6UZYASfry0XOeTY7oNJD2QO6akHIT5wzbQNBEti6Yy fZ7HjQpRF11lmWbOd0YUOw30Z6sAHm9XTWVfW42bi+Ou6FpREpNUREN2zd/mLsnFB7YenEsKxoXL vvwaCLnKQhtPbUCrWkyWQkUG3tnKoX2TO6GaMDgdEoXhSavgiD3cmt1EMq5bQar3V8TeojkL/oQk 0UINDh4dBw4KqBggJ70PZyWrFAWUcbQzVkGtUWrydfp2OWzfapmEnIqQcxNcF3zio2btvw2SbxBh 5jkDZwsr7vDhEDDACNO1rKbeN13Ixf6f7acUkzSBCa+tO1cR2fD3QKHjskUtKO0rcnde2dbKwetl ADtSzAMzZ4zd2rCYqVv8O7eFy8LyKedj7PQ6pUy4IwmNMvkXuLJ8WoguF690RYHLC34bLVFYnvXZ uAgWoiDMHov1/KstobDhiSwAW7AOQOaF9EwHqxTIPRnRxfDxJPpXrf7eg4EaUez71NOEPO/CozqV +4w7aC1hwBF1eeCuKzzxBp+X6ozQQ75TyxUleOmDjJfDY32O9dNLRqXubTQH1g595DOWlYBG+MlP sjSFZMHEoCCTXK5rCGBkdem1dY/ot5740A3OEDUbOHY7O+GbQEP12sdEg0XGk42HucNUJviiqdTg BIMpqrEYKGZhKwkba78mttTNZ0tr/GEUqbLvadOTlddP7bKGPWv9eqMCEUG9GYvTyjhXtMm8kWpX QqZwmC7YJp7rWuYhHBUnicHilXZD2PhsMs0Wfl0SI2zmKPVr6HnV/Mi91B4WAuqq0rp6ve5w0gUC jX2827wkciHHqD2tigS1Dl+ke75p6oTyPd6f/O6Ifm4uLBym7AXjMoMt5MVCd+aV+ekhfa00ZdIN zEISmHyWQrd9oINc5IRcSqtlqaPvnEY5p63GtD9kDnpLGprXHxLtWdR/KXUzkL9xjOMQxJbDYd8p aQLj/OnHczJgkIVu09MAtyytcn6UehSuqg8xZzvKqmjST5NB7/kpOBuyxqCbjodY98a15Aw8uqA/ g88essydfm2nzXeTefJ5G1uzUCOXaEvG4aRiOTd7RJJiTipr5ylHIIbgzTpzbvHurd9yDWjpaiqN I/tNOwLvPoX4DgMMJ1FfFGI4N5pwxegn7/vy6yUIwBKy7VGynIJ8HiKMKSggKidvrgpJ/JyH2DXh PiHS+MX9vW4w6QFZNVC7JOlINEwK6Cb/+CyxTtpq4Lu6OILZ/G6B8fK/szofIiJTiumpiNOL0YQs edx6v0SMq3/DlUm1nNe8m6685vVmvSPMrYO8TZIkyjXDgSmMdhq0ehbczGwfct9VhpSN+RwRp8kR MjgbcFWqpegSytdcn1lw2K/6zktCObdBKBilzS5A8tmbQYQNWk7S3Zejarrr8WtVtkIA/hP781Vi IBCw0hEktCpleCOiqDcOoeseQtzZstuLxzE91vTKmOUX+HzrFzs0UMa+GgQwrlibqqb7M25aqC0F NRi1FnyrdMJAYZIOStYI+sPx67W2RZuiXFNVz5zb11kzPp7TJikay9pscb0/ee88wSNyw+bPxwje ZYq0eNZXMVGU8ZsXlWKCMUojCnfM3xbQ2ZajCNfZIyfZiwuK9j1ukJVlVZZm/ELmP+sqONH614Im 0nUEmHWCVIGQRBx96bpkqgJ3lKcfZTjXTdGXYt2g1qAy+hCYlglDNRpNMxWnGyg199BPXj2T9X1/ dEiTrPGoF2sIj28tqDj+abB/KKSMZXlijaxc4jHXcLm4thySnnWP+ECinyW88TaOsYk8NO0jVht3 oljTO0y5FHLJ0N2xfzoS68eU4ljVgw4saltgeUOuJGRATv/lkWN9ZCYOJefyBitBCn6LhNwArpyc Pc4KtzvJBJMjG8/cJ28q+2HnPDkA4VvwbK31cIQtwag9DzVuQ6RKDVGGWsj1kGJUsx4PZ2dqgD1I 99G/+OF6E43JQaguQygHCG3AasY32QtnIcB67ZL4NDN96VtsGJ+G5M61BcZqnTRttQdpXNvoSbnU eqDrmepdYwmJH0tV0n5k8sUGUTGsuni1CVItrFHpw+FLRJEam8YKy30DrzkOKb6Z4Tooy8yNBdna 6j0xHEo8TVQb2oRRlidRM/Pg73k3ndsRS2UNM/NHeJ3krsd+oUcuoc9fGd+tRYo1p8yjHIHAt8tv w2+mdnz2jcCWBwczcZTVDb6R9WDf6j5COwZnTi43ZA+RJ9dwrTXgZpwqsxGzWR09zzOTopFwXN6w kGNGdS2KBI+UiEB9AnWo9EdTrGXA2slggOaB3U2htF2Cd2/NxGoaxHKT4f8kNPTQ+st2ZxbSqLjX 63GPT8J+Iugi3+ksgi4nYI5E9XQzbvzcQwNg/RLVIFLg5Xc+jDfHJpu7mWPoqTD7e8jeo06EE+JK wLhPOc+/7tVhUkn6YRGfGRow30qz7CR2pUDmwFmtalf4t33/DiYFo0VLFxNJ26OBQKICs+HvNnvC XvGtqtq4fRlLpNzCKqcZZjjNG2NoGIQ+wUolEvKKQRYLm6M+GIe4mjO7zlEq+SSGenHMevEGtg3U g3VJoWJO+g8veEG6+fT1dz0ADAiWH9H01799YYNSh0hiw/RLH4NGxKZO5CnxPOKb2FXVQLbrHwqE 2YZZpjYzgP4bfQYgcGKJW5/xQoMe64XYsI02ZUcwKUo5jnLwWggnVBFnj2zoYnaXyfg6M7wTxcGu NJUnUQrAAXa/OrHAT9K7ixBkLcDt2YeHFsGr9duWa0gsi4+5gppcp9gjYNTuJ/fzj/VQkdMshxza QbohvhmEoP786gZ53ZuJaTai7nMEPzDcXV9p/HTLaMSioMR2E83q0pnJ1Rjq45D2i0gV2v2VgeS4 zm32r9SlNF2B8pg6Soej5bg35Ph4ZNCh544NE4Kg+bSB701aE8t7/1zhUBJMUUQ3rTV1LFejTZo4 zuVmbwkGZkdo+NFBC2/yqKd35mAxpVjmsOXFBFZq0EwPlxaRHwJM9jmxSj38p/cyA+yOVRtQFMqO 2DuJl2Xr0SlVO87D/IbsTNMLKueYCnCqnofNzgXCzhF3pjzU0OLjN4fcD4CyYWJVSLbkE7+4A2EP RcGiyFZXtQkic3vSJ2utQkfQ9UF6lhzoa9syhdPFVIdKIr+viSPiqiFdewglq3r3usZ9oc10VuW+ NZeZi7i9fMvQuNOJE0XnmAr06t/1XEB7Cq9nuOVxcJnivNgv5bDzzMwdrr8RDLE4J6vY4hSyY/mC u/SjF3zOCFE8MUgSD8ccQrcSvO7z6lLSXawfhZ9VJr+WtXf+W0KTNTL09fz2U6Qjm54hRS5KMtqJ HhKTcW317LGRgC8NukZp/e06nNd0VjtqBtOIp/RVwXGEy6DJ4hFonhMLUqnodVuBvv01IB/HzGoI pf9kOWRf1LccZ+tSVVCvmDUhmz4+bwW9Vpf8raYqVnjamROJ5+izNXf+Z7IU9eI71TITNZzmixFf qD2ybUn9K8x7NCzF7ZUsHHCUyVZzQyAhnFAuqtNaROrH61WzYJHvCPUdm4WSmLiNqSOih17/wMaN GRhhIeO7Ew2jYhKGVT1MYDPdDcmLDkfBepifWDQcP3TPycV/dMOa09FrvI090lxaktEqDht7BS49 3yZiHo72vLpXWMZ12DEJrbkk9amM35bJ3egSNldCO19yCp9zjKO5AP4d0S8mVqXRvtpmYIGH8Dbz B3wGIh4TV5W8dkd9saHW1sckFrY9Fs6i2uZ0bjuNydf9YiXqXgbnrrFr9w27iFcHHOvfYs4gNtRk awAF8PafEwU9icdhFWvtEvf+dJwCnUXK9bqKQs6MoUuDZi6elBabHoqfig0/zzK+ed9x692zOp/B Nxb0tfgnwBEjpNdWV8EacNvPhdafTJbOzloSXuWtNNka6L4yuPyB8LKjxAS0ihqgv8ZBOpTBrGjz xoM6V/tk5fv5XjRedXO+u3zMdSmgAljmJ4I+B2ytUusaaYTc6PT8Th0Ff5Za8pxJ8XF/EL66qCJC 3Ge+rT+V+l5qyb5N7XRhF20wJ1CHYT4F3qI86WaX+yMz6QlxecOul9uN0jBp5yt+k5ZtAZseFqqD ZWBDL/Gxw7rE+a7EGCGaEqE36amx7+j4cuTnecuM1PI4o9FqGacdaZfoAerWByi/40+FdgCwlOEG gCiLRmG6/4KlajD0spGfA7JJ2vzmEXRIE7SSdnGnpF3TNySGxP+ZnZRQydGkzPy7V7ks8rcQljMl QUpCh1I4FmiOtPdmqsqBLXu21rUDaNgEzuRMFb4lV3BBYb8fvo01omr7uPz+De51CfxYGF+cSP4I Onp7RFgAfbSHRyMpLQpNVi/g/H9rtk+TWVzx1axoHdKBJHiRQlhODDduWsZ6iEb3tmeS25rCZUvU y7yu4Vf93qdwo2nncuvdhMLofKk9Ij8002TNL22viY04ybp+qH2A/UUrqRfQcokeuHJGn1lBkync 3BjbEDR7af9pZaiYFuubSvDUQxmvADNNOzrmYfKbl5XetnS8wUx/Eh8JQsr9I/ddhi3TtiK2HCZM fJnr2tWoincOVoVE6ZT8FLIeBOGPiHW36zzc/t5zllCjQSh7cRdu5Zi9vd5cQkyBlNJwxVEBh9Ki bl/Kb2BlDtPBW3abTxFEQPIbQg02JkqkVvJGIJ7O3X5D7mtuICb5rCMqoafqp93hlUP2NIf5ATWy YqK7ZUMX+jlWk5cBespm0M2CiCE+lcJwoCr/MBfMv8HjcSZDLl5mQpy7vrV0B8v90crCJ1GKQqOh tZgNuSzEI1kcat3PkqY+pRbaDeMbCobTXaH7QxCEOV+BKsPmnSDkh2qlUwtrHw3THtZknw73UNvA YtGHHYrEN1R/8OtDEh+/H4kc6u9LxOXaV9fqQjcyJ+zYpUMcMBmLgJtJV0cBRzYnHoqPWHqPsfZL JMsuEJwPqJyRrlvR1J7fxXhk26UlOMu6jCkedjUnxYQgfCNsMwp6Z4eamd/qwyKvvhI2hr2BPJb/ b4Ryc4CIeLHgzmfZlf/AQamPndfhs6I9Zjsb8THh2MoUoiQNiu3EowLrBMbGaub8jeSWwA2890jp DKPmf+pSBtr8tqQFsvWpqGpbD7SE7Dv4FOS4FxFjb5WUh27DK0eMphujhPKHS1y6/SXAgGG73kqx Ewg/114d4iSKAE/fG8Mbm71qQ9z05Pqr3rN/0Gnl3VaVy1AFEtFEAL84boj/YvvyCwsWKsw+w9wR hBBnrI3jj09j+0LeltCIlarAFNKPmKoaqUSX71iMmKdMhIpfgOsPfpbwZ/fQu3Di+qBO/CBvLWpl +yOT66zwgmVhyH6TK9t2JFs37MkcoNG+7ezoZYBmrd4/8KDvEzGKJ0xbhsi88ytXCwPyj9941c2u /4Ur985ErHsrsUfwrkwwPx9tPHS+hj9DxupBbnVsut+PJl9kS3ERJT+49fKfLK44pVnvpTWZkBud kD+JsJ+kDMMvm6cnf707h69pDdGoXsuyfywrQuGZD6n0iyoTXJhD1cx77Y3/7GktLW+9HkCeJgOX Q/ZSuNUbRYRI0dj+45ebV1QmWpN56yEb3797p3S4yjzz+g8ngKd0xAVfgnyf+0KXOqzfu71rd7wT OCqr+5p6pGsyjf3VFh0/FGqGQymCTeA7yTW4A19EPH+ISVVzIVkCtTnQHlP1U9M0TYBhj0WWyfBL kQAP0pnWc+LuAhe1c8o2/hBAYpkwtWS0giYG+YpAMDZO7MrSlp0RRdToTUmA/8mSJMVv3JYvyPg/ c4cU0OgsYJgAMYurDsIU8qndq4csBVnHNThdvWpN9nAfIgNBptbysNeZda2/uqlJ81z9ZlBq3ipn 12ZyYD3HooqCYJx9bF8yd6Y3u4aooZkTpCate8I4aKu1uKJ4YTwx52xKzVGfPa1gucpyt4GMIhB7 dCJbJLbNhjJ+ApCq0BxLmfCYrQyjVzn6BGMGb/JheUW2hKkwlBiGZ0n4rAz4j8FBh+OOyoHSOSbh j0ZTdxijA7WxAPkSz/u8mnHTu44iV2flmn476IBW837xnMrD0fR1O6DtVZy0q+L/sV9vpl8No/ky KpoToNuEbchRg3F6hfqG3iJ7yAKWn4CqyI5qBqZjFbjexQnZp7yJnXnSZtnhK2BtkNGghO5AgzQx 2KztRRF9MXv6rSX3ohnTqW53zfUUWyFUraJ20Zpm4ptFAll+skeuE7GO/i25t/iPNlm1Z6K04LU0 ZkcUNAyN+YEmlnLeefpaIJ6Tza+uH6Qde9xGSELP1jgVtIM0Bb8bZ/5PvVfBWzA4P8M7+Sy8BgVI zIUU4vvl3bjzPWcUj8m+Cxxcm0vm/XI1dllYk+6tXJeZTymf9Lxy60SDQoRa1gvajhS8I3B/AJIf ToUrWjQFEZlN7OLqGECVSVk7AJmcHofp4g61VPYdFWCNH2QNuE/o3pdPQeaiMcoPhPJgHks87SBe 38S3xBNC3fVbuKhb5uzO93MvUHSioWAJQcINTAfMzkzkVqReOwkQVglbCwyiE07nYcB7U0kmBdOq 8bwYdkohZ9fGmB0EmprC+SqAnJtWGLy/815tae0AF0E19ONNiKiRioOVurHXRtz6ryqbRIDVXr+H ou1YHefNFI6bYSx8AMuyVY6y8lA/4tXyR4mgNmsJd/3oe+qwc/5wXQUOOW1I1jQdnPvcFsYaKyLN d6wQou4EeJFaVjBflbuhphbU8BnXRO4530XCfNB6XMUT7a5CbubtCl4+XdBQbAPF+4iIRF4wEjdM 5qNc1ff37qjbJCAbznESfdnlvseT7uJotcCx6VQxZBvmefmRC08oJeiTmorF21NdMZrlhC4EBLgL xL8WhJJiETrn4Mw0Woycv5Lt1+kittadaZrXUF6oPJNeteogKjSrUo0JFZ+9aXlX4n8sd48EVn5I AHL2Yux/PLtiMintiG8tEWzjSv+llCRvTciLwBObFmn+d39u6xooY8MXo/b7FnL8ImhaaTotW011 qefYaNa+3Ddtq+ODLnkE7djwPmnPCMp16ox+bk+/ZJ4rbR94cT25nwXm8sRBIOhe0groVDy6ew/j H2OcD/AaNPSmmNkCVGPFnmfG3GDApnTaCUJ78mgqolzGwB7euS7qZ0I4uxfk/lnNKjsX8gu0icDs yyXHhynzZeHFqy9V8AyPsuC3d4FjZwQrJ2mNg4QN5pu//6NdtMrT8kInP0JaGeTHq4cNOFdZHstS YrtFjmWNWR2b+o73LU1k6YBDGIZ0vOShBkWi2FBQEofgN0cYAyfLWzIdayWDLVOKIL+jHpJX98fE Z85W0kyB/+b8YGVveg83ezwnCoM72s3jdbJ9HP+p2nJx7wYKicNGm1emTXqtX3u2TFHPXqNO740V gO+JnVApgBjVJWG1K+eTrw5lhsW7PhlOmpiLRfLr2kmLfrZohor7R2dxOssyalw5bedI2Fccjh6l 7rMTUPoXnUFZajnGqV7BlndoNjrOipGvDmnkPF1oNG2vdSpCdnH+BL/4MkoKkisUMgfum7J7zPHA crXM64IbqZzvgfRPloc6KRNRzHK2A6VcetwRedecOlu50z+T6QGxHd+qLJHWcAiirrgHfr8NamXF nKomsGXW+ZT06cHid2ZQ6/co+sup37h5b2JDn7bSQSn35ClI+xFZ0IzdHG61j7xWgjzBgBuwG2R4 9XHrmII4a1HeSY9eBJjBrQXt0xOOadouN/1D6Ig4Cr8x3XeYZaClidb3Hx1yyY9qZYnmbXiuRJJi /k2B2JWX6HHkYJ3ELKmUOgMlfxYAV8awcS04KGtn0+Qnz5a6tRC3HaLlzBQG5uah+ZK2dZ1ZuLg7 XKLTaCmElMmxkxSoUysAy3/bX2Q6qACX4o5xkJatL6lZ0Ks6xGTuC47/dmOQ8oFFptk2FMHvJ5Jb 334c4CSOUjFv2LWyOBJWbdeG6sQSWN/OwqL6D7x5gbUHvJssw9KkBPCtNfdJl8EYPLMyxvcDQ7g2 gk7Ht4gq117TXBGExVd+ADqiXT/HU+RQ/YLAw6Wjjq5TOxnip6gnMn9qUsbXvm/96FFRelNNhsIE TDC7DETzfJKac5ZupdA0QU6KZl/O+vSNmtDoU6YQuHofDNQ2vJMXUi7qAAQ5FmzpJQs+ge4wR/lv +ISxGo0AJ4CyruovmqfOnVsWen5UoJ5a4KDWmhrklDHybJ3iwXQdBI37ixePTfus4NN5lJBovKCp eyY0GerW6+mB2/UC3EA1oC+K+z21yTfV7PXfLh+AAp+F69hDK4zHCJv2vl0I6b9n+67rzizzputZ 6yE/A5cpfjAOkDJnQbJFKGB9sgWATR/FphLbN9IL8PkS5pDZgcxowsH2plouTNKSbIVl/w3CAmut xon516XZ20RSYIrKO4w3M/Hs6kSXCFo9Bm+c+1HrVI5dp92adAnplJocOXU4Vg5I8nrhXzA2QOj0 aVck1BD/IsetweXgJ44+FhZK7lQP44KMBA9Tu9LN8wtTLTAiBHCBMNU5tcrSPjcYlDykd64PZifm GkcHyTZMywt4jklA12SJgUVdrM6ce6RKO2KYF6cMD8oYLilrhDumxzChNbyIdfyMQ+amDw/Q4Rx3 noOAaStJciCEyqSUSIrCklUH/e9FgKtDvZ4jyDkt/9K2KkrKffrgBuqe0uvokIqPPASfe14O1C7q +tN6VU3Ngi7WI4BkUTRYajVyepXwfAyZrZwFCiYP3JwxH0RJywkeIOlKf+mGAXVpwjpPaObax4aY Je0eOYsqwCCOKX47GhBSjtnRqXMqvETMCCwk128hf+QwyKixSDRjI1oa1i+VFSl0inraknLGgTpx yi3EzQezNn9LFSgnTSTfnXvd1tP07o4GAvoEZOcPok+vTD+A98YfxEvWVJO4qm1IXYogIQOumKFm Q83V8ICgTkAbOdyIoSIVbnkiTqMpdlnzQFpko9h7CMJFiNI5TQvSPF8qdh23Ld5Yuy1IRPRibXy3 j0o7jddoo+aF9R1ROv1CSp9PGZLWeOjif4DqND0JKAU8MCC/4hUlPcxBHKAqifcxvXZeVAZMrjik A9s/I50DeX2JZYqLUp6SgjK6nCWmGoGCfymTHdNy/bf4+KExZz4QBlCc4nWPFnLeF8v21pRQSq5m f8fPPKDBNLjihZSbXGyZTd/PT4cPXufi4EScKGqGzx2pK3vcvsao8EuCzFspZxxRB3U3usKmAX4y gjtUy9wJg6Vl08so1ULAkpxsPCaqQB9e396dhFNQ1tdL5GSNvhfG9zvuPaSC9PewguKrvXpyA52u oapjDYqVaOEjq8z22wtuumxDB9m+TMf3Mb74e+XS5DmtTZmQgOoN3wWUMpHjLsodPT1noJpVLall LuYRefL/zChD9elQQijC9O9UvRKSN9aEOCPOoThtSc3hM2mji9dkYb8SG29OaYuwWcMwxp7kCUp1 /1kr8z96HnonzdQAGq5lPDBRKf1m/myMqo0LThpkOsjmh2YwtrLHYmAQBuO0lwGcGSKo35zn6HRS IoSfGwU5v0NVHAkDac6rELChqzMspN8Rd7cWVDI9GNxnkiJTJLwBRjHiIDIu/G9SSIO9LutY3r3s CbCCdlvb683CO2hVESHSFjhmjFwpAzL27SQWPSB0XwLdD6d7IeuZVdkBdHY+V1qbWOsS/c9bzFnm xW6M8SiUtAXAicdjmAYEhXzHygVjcGGo5Tw2s0JAjVvkDRGU+BEGXky//E9VjgjQqL6VFpI+TmRP i1JHTu0K4WVN9UNigL8hKcPzLz044SD3U768JLKOvlMh/lY+I6foaaAticwNHI0H70JrPYUD9Z6M cEzDlzfwbM/BumJr0IdW0Bf+/VUuOiz3myYp1G0dNu+xTYr9ELF7XSQl/30GTZBgTeQWVUlgDT7+ 10LE6wRAyVEx6hW50rh7qfbP7Ftw5B70RAb7rGGB15JbrjNSV+MFDFWYHuXiXj1xyMdQNhoBGj55 cgM5gcmqAJv+eY9nnD5pI1etM8odxsel/UqiJSqyfSm0h0hBYZxc3vXQpDS+9leG4aL1byg8m15j G5Zx+x+mgIeHtc/5RlaYyPdNWovpy1jMLeiUkTEIh0NDT4RqH7ku0P7e7Cf+0/wtKJyVx1pN6yev mqTC7ThTqWCnZOI2SWOX4pIC7a6QyRUBgb5ilZvfzB8IJNdzYippnKakR/TBUdi/n8O1i/fl7/zE kUQl0iumh/PyzrxVnHObtjPLv9NeYnLHaaicLZrQ5V3/+eByIK/qErlPjNQrSBN1NIhPr0vmqeBr VR8qdhCHHhdhWHNXHINSRpey6lHVymz6l3TRT2/GLbQ4zXRAAQqAJ7FVqESLgxI+o31ZXK9bId07 e4X4KUsUUK9BoNZox1vLvnSu4ajFhpdELh50L50jjhO91HbJ1uoImKGIk8nwK+effqK3GziI6TUP jjfHoesdg2X1NofN1pJhbX0AxnRbSUTZqUaQz6ojO6XAZL1XkKOlbnPVeiRlUWx1D5DimJLcW94Z ecFE2OYlNmBpRBtSSLH6Avr5IDEGL0omrDbL1SNMPiMfmdgubgj7dXFEWXm5xBSh6vA/9xrkiK7J aggMjmThrhsyhXYF4yvuicoh/z4259ikIr2UjtZtg7RTvFG9TcxtptV2X6NrMXz55bHsRsHoDx7C wnC/1vv9qV+U9D8mvTQk8PUz6f5OE2Xt9s23AuxxiHVgZwtuw4B9g3cliWClwlXNvVQwhpmPQoQ0 9PXqHloWbmT8GBfLWjnELWug4sIXB2nwXx/boen/KirA8mhnxNcInNRziG3yHzExwFD2O1irBt7p 4EM8w5y3uSuB9eDGPomihAMiGXevvF1SZGyxf7fgQ70/CnwyZkXi7lDKb516uIw89NNbNeSmiBQ2 hEraI31w4aGGm/7g+H+kCtSkWdrM+v5N+B6o2iN5+ISqny6ShbOc7va8bH7Am1r6kfFyGXI2pGJV fJmWLKWjDSr3jfj9ZXXMtqPgySb0P8Q8TCnjBPMUPCqM4Zi4gJdmCA1l6jrL1BK/MGsrnll/aX86 N5/PLh5lPxui0mkpqdJ2Uef+dMjzUgPxwBNI8/lkiTNggWgevZ12cVNRvEqYXvPbaP59i/6evmQj ffAIr1qRqykSpTe1p3P/Ku/Up/0hmI4wZkvXaEcocA+BzBvXiszKjxdKyP8xwp02pyNCEAxSuDHr RWTf7i1VEUyrhiP+wvQR9qz4nJngVF/5ZQzvlaxV/lH7y2HawYJuQ+ngBoLkXnumk2yvMEvD6c3K r656aD/ZgSGSdT/gdNVwRn6ZXDDQL0wAWvccwPTQt05akSoj/4xBKx/lJOyqyYui3KquVj+45WgU bd+4hi+ymXO9wxy7AGX0rZz7eiUQt9obsqi7DeOmwhchrRjSpSrf7C8MMt3ggNA/+DC3aZISySiE g5FtI4qqldNdZ8FPIdEhhTgGqAf5HSX8cB7depjSgj0VVSvQdLY1cwg7c7ti2A5iJZNd6FO27DnS LYiNIvZ4xjUdtkqMgB/JI39FdWIwsbWPMMaLIwdSEOvrbXc+iF+GXrcD9NCOJJDP+4KGFPOeuqSD RFIuYMD3217e+QdZOhoHH3Bj6SSocL5vazxa7nKJMlhBa2/s21DlY5iqCl1e77SepZ0AmN/lZF/E b1+0j3y2Yc/PjTfUK8yj2RMY/4fh3jfOilDEIs4DohT6jmzuhWTVGc+aT4ZAy6boH5XaCWMNob7T TxRxKBKyP8iWYtmWUo9uCZDqSTAnbb6uU2AqLzJs1ZTbFXXGXejINSeJiFWMI4KDA9y+Xfn7fY5k n6LOETS3nW9B53aSCVmqE3UnsyNWEQ2tZMicL7NM5mJ8ThS3nd71oy2W23dSmo2IVzi+QxJbdpZo /thKts53GAiWEVoEnxEDOTvDRYXTBOiCrco3QMVJhZ/hE5zIibgt+8BsPWFfro1+XY3gPUlt+Mgg o9uStLkiEB2PJ0u6q5Icjwdl6GYZgqhPQCPzghLf+Oa7VDjsUSI2EgVYUsluOno90cgia4KgBrGe Fs13SRLs2+57ngWvKO+36G5uTbARdQ9ecXs1Cn5dTM5Uh+5sO+NZtovcI0INO/w05+pZMM3t9yEd MUXWoYGq/vFyrKqIVo8+CM4Bgck91GP35/NmqQbFCTWAJms/Xz1CFZ5Nyac3ZFxMNtRTw8yr6b6T 5DT/wVb8uPQAV2La8txsF/Wg4do+PQsuDqr7Ha28/Xv4JEqJl8v7gvacpWNKMPhd15QYGsYTJ7T+ 4dv+8HCgs05+UcAnSKJDtbAL8HkXDLNzSS6/rpSlvRkvgpgkhswi7h+n8D9fgCaWzm9u3Paovybk ltIV3YwkB2ft3rReMCb1fWvvCtunFq6yNuV+EInR6Z2cUE//A4DB3MMixGaSYBFBbhsrYmnCr9Kr bDkyfClmOdlVPPZ8ZykNhADHJzWVL4/qMKK/wkU8pSokQcio3op2jJaxwOmEWFkCJGWtcb5gj6wz lI/2+4VDe56M+XQmrLCygwlhzCdFVQcEm6opCZ4yZa4dhk6MkuAlFbdVy+KhznziOHmvRO27wZLy lCmGkQjgaJ0AGYAusYnxko8jwIdwrmmUDZfLnu7NTzKgFQEUGp0wRcTvNutZUgpNeu1Nn+Z4jTps YRVjzzAzA47xqo3u3UrvteecQh6KR5HzXw4f1OMAnpVxoxApRi2afceGKTGkFfcQyLuj9O3JRwM4 kZcT4cXrzeAxJHSoH5k2AHcOO3tHn+IiEQ6Wb4RGZrzhYmqoAF7ZvgcBJEx/fBFAXPg9gSErzZmH Na7RtQbJ3qyb4DS5ehyVBn12n0zlr7fRgZAf3bwfqD9GTCpboBIHO5Zv9SpS29PzVfalSM/NWIuP 0vWQT2MgNFvqX180EDqU7wl0rBB9buLetMnhCkPz6kUOkYjVoJr0InUF02xLZrx4EPv/D5dAAmgt MUtISA9p7BRDLeQ+6A2RdP+fIbalmyjoffRPxFVOOy2AxUN2cOm6Xh1Stpmi3OLKqhPc6DfHFLIj D9M5uL1M27s3ejXnk220P9VxKId3DAhckz/h5IKIqY+j0jElw+hPM2FxhJeOJkAX76BcHdviuT/a Ii8GNIIdRr2hsiYkmNiggP8cJDErNrzVUdZ/Q4R58VkImnzXyaixlK+TjpVJYFqguYgG24bzzmcA Jwd0FKM8jVjfEHjUq+BrPzOGSaZ4/dSXq2msaCaLgdsfVls0Gf+qzoWMQa3eIMwXQ3p+bchtahtD EcREJeWdSS1jCiXbenNx1Ln9zPB9DS0ygvNz3TUPkwOpfo7OrXsxtH6vs6F9pQmunmrJuogNQuXI Coe20E+BYZ1DmbX4AwD0LJnr9pXa4FQDRa/gEOXU/jfDWNCyC46UzbI2AUwCnULt8u74dzUaRCQX ut0TsRn5fXUL8sMOoXyJH5em1ZGXkUmO8fc0LVLiszLLQFSnh2ObBpH+R/wyVwW8EJkjhk+JuR1b dHnGJpnwDng3MfG+kTQcBZfiinRRYEZxXhrY0JB94BGCcMBq07MZ3KHrgwxiEXcz4tp9fPBL1QKQ wail4gWVGXQ3a5eRNxjB/yLA2X9YKC6Zy/EqMIsSu2NipWyymAQ1J1Hmowu9LYeIhGufOuKziKxS P8GWz6nIp9nY9ogqy/FbbugSCW0hkt58rNgkMN37qUpR7e1WPiuMJrN8Jwk2JgTfebRRC5HL3pgi JTHRbXVHZ4ADA3lU3SRjg7QVl2jt4WJfUU+Uy9JdwOcc01LKa5HRr3CqLIWULTx9WaYFXktOrqC/ gioDu9esOYDoB9yxjaZr7noLPhl2JG8qJauotUjJZXC9muourdIhoG2qFqlpkKVjhghXd5gXQ210 YGZeRUB/6QUxp+EyuXhMLmxWIl7hUKW7/akmGa5qE6QXkGalo8YkAtfYXBWXYi0gbQ2ENwH+l7z3 y16qBEvCL6IJlmtXRVMSB+kcee44+xhu5j19MR9mxvO2T8xbdJdjyQWdR4dXjmazDvEga2/28xb9 tTnRuvhJSTWHXFKk4xzZX+kmOmAlmuY91jUxo92B9UB+dYN9dGR550LKR3c4Dd/LeK/1vF7Jzikc aPdOOLZZPooqfLy6w7ugepRZn/joOGA981u3t+Q5PCf0sgf3uvFWK0dk72H5nvJfGRSQSGrwbgRq Ntg4qomn4TC3Hx17InL+qjMh3mrz0OPL5EiNpwmRhrj+nIk0dXkL64MOk/hR/2BEhBrpEpYxRAMt 3gtvYhEb/eXjZBGEixHlE6vT8z0pDKasboFCusDg3eSowJvG4MhmDFRV/nyvpQvX7Nlb6H2vy6VH 4L8xRDlOCNrgWW+XFCzmMYCgSFoMcvias6MEZCZYfc9nmmQiPjsgQzWL8W15scxKDPsjQCFuPAaz M3X6FAGBTG1qfCznSYabDMumDfGZouBckJu3JZiRo/CuAIN97YcUxPdh5bIDhKkJRvCaq7efMgxH rvm7oigdXJBJbfeZeXkvOAmOnRO02oKvWeIy4luS8fVj0qWBvJXjiV5IephVrSbMqjc4lsVQkJ3l htVLo/n/ZcRdL+KpTFTRyOvResaztA+/vBMzI0UjHZZkyYZ+0jdzYK07uEFXTxC0JdqAjlv9trVK 3K88jjMCxgzvIpillo6HXhmYKLk9Xbz7sUemGMxknM2ouImt4va0MDIcVYlsfSq086afL34zc+dS +AF9NHJxlqk1stfGbMg7oarT/qLh7fb9508fLc31Wpt4Rq80nuwF7iIiUDhS1wYBvsRwUtmr9Vew nUBMS//fSkfM31KB6EXx8eLyH/9e7lK2ezyTMo1eXwfEfszffKg5HGZprtt6KEa7RYH3ovfvxIBH Hba4DvBDCtJlVh4zVJeemcOrCSfeg9WjlC0vazl5jxXrGj+RjdhP1UxxHaLgbAGyGlf+jpzTMKiw pny5DXM0PY7uBtG/EjLuaBwzWewKFNSprt24oF12IxW2V/f8AaFFJL4s7zobd7F4Z5XXPJRLe27r dSq8mMizyYPNkqvjqHrZCl0mImJ+ns2gnSD77XZYT5xyCxhttu46r41JWmEM7bUwny3tSWjMjQH7 ojQmNEle70Yfm/lpbVOkeBZBXCMMK4lEy0k/dMUv9DojrwTZAYQkr35UqrzdkVtrfgMS/yoRettH Z3NewOSJDuaeF7UOGORY1xgt0zndBj7ToTX0aCRJqPaZK+dc+boj9OSZRN+oCVx0RiCgcGpv1B3d VE9iEHbxgg0GNdGS5y/yajKRLHiUnfFwxf0SdNW+kj4QkmrebNm5vdGIptmne4MD6oTGkmbIthaP S8hM7Olc8ZgZxoti/CKuW7P/Lv+zx+ccQX5gs3YxpZNwGJHCqwhyDREPugWlcxxF/3jIB+pdsyGI FOvnYTKrM59wEX5QVQH5BPdbnQk92ZSNR1vmC3HB7dfK7PfpCZeQTKJTQXcsCoiuhOhgUzE2hKFa oGy7O1+bweYQNyVT34v+HzmfgJHKsCjAjZWLUxYjocz+zfiI5+HlSyTSHJjK3a/FS/QY8NdWgZYo tA9PssKZ8a0UrQ/V1BTsHY3OfOZZhI9S+dEzV7xOjz2scgfFbsZ55Am/iNR8ZAOcvsB49/UO/Ply zVNWqi9fCdmpdbwvM7So0fuQdmG1eMF3aWAH851L/0n7DS3zCjvvtmO93ezuN8i5WEF5bmgldHMR LtdPlaFDZ/K5pZJfGJFrnraUP4ub1hu7nMk4M3+S3jmBSqLoJQBbhWWYZG2BUp0C5CfQ7tLuSQ+J AFu1hTmLslJT2p8MKdDzpc6crQ20Fw9A/kP42dp8FeZKd9seQlaZr9yY3LpQpJUk4YQEKz5ewFyo m+JUQdJSECIRtXO6BsdHCFasNvRcPSM6oK1YygBPkpWKgWOoaAcA1nlnCBjUdLeikXX9A2+dRznh 1Q3qkimvnNMbEvKlTTZEl7kIh+AH8Z3fg5qzFYnZTKxb0mRcfc8y79WnG4jHdKcaXskUsBtNG0lG W8259tByg4q2bizASNNJs5q4jSqRgp+fkMJJS/gXSsOQUWeWBZy2v7i1cFGDjgdwFo+yaiK7y7Tf TRS2Sl5UZG3iIsLPoWJvxQ+qZCKJYAot1vzJEYzBdYRi7AiD5bXOGt5pK3Tqe0WvR1Fh29vF2qLa L7lRz5hOo7hT+n6QlOoCflryk3VLjdxj23hHFFbxOpuB2meiWRXbYHAZwm87qd7OJBC3Apn3qEIt DV4nO46COp4VHYA7EvI29abcx6Jb0+SRee0OM1xp55UIEnPQLrHsO3rICUXEM6BTDWZZ0Hy63hbE /MvNvNep9Tki2Givc/SnhVRomNxyurTPjS9sFMpuHyGr12CY1HImWCh8KxG9PRT5Za2RjdeEPrCW z29FaOZMtnjPPNB8s8FSZlQeV1DRkXCzsv0yLfe1LSCbyhJH1Fn0DbVDYdo42RM8StLfsUOGujS/ FstEBEmY5HhDRdpe7UaUaQugbb1FjLfxd2+y+N2ASseQuIszEnpXzdYZ3dopY559vwu739YqSAtk 0yrLAF6ds4vxfM5blPotO3OWez8Zko3foklBVkssawP0ABK2xjFTAvknUGHYuXzX8qamwEYVRFk1 Xg0lSO5wDM0zi12m1KGBoGiZvZ70s4u92Du6iNEgs33+fQe6C4OR+4nplb/4yS1pqJcbqXS8tKuK wgPrsFF02S6yMK0tEpItz1MbImEzyHAeiitua1Cyqha33sVn+JueSVXg/hGv9vn/B/tjZzDnAzOP FAafizlB11zSenh4TdEh0q+jBPEltaiodDU/wIgHC1c/zylL9Lty8LBznz2Ps9oSd1e2ntV1f3Rt hG5qyUOeu2HI+RkCcg7d6xuY9lf37mOniJh+CSzD2izqJ8EJhvGGQ1ZTEosO47aqxCiEJX2Uw0Z5 BLKelPLm+TKaifT7YxOyvpaxwrDoe827sfTnM+7zz3ZwUL+K8KdwGMNWbPTSyLP84o7XcAdlN8fR WMENOKtDuVf7XDFVZ9Rdlary3ucItPoR2/7udwFJ/BmJ28JpudzpO7+z4stTghm7+JT63tE8kabS tbAwz+mXgJwYk8AI9TFBjt0OIUydNJol9wF2ORhRAWrL8vFqTcA2RX07fiHcwoqzfxP8cxDk+KDq +is5V9WDXfVhlVvwDyn554wWAxj7aU90lkCk1JqCPDyaZLEXLeiBB2l+tbf/bcJHi4TtyFy4N7fG y/CLw9qaUxgdvyRZPBNhUL8d7It8TQO5j6zcAGETamwGSd/Roo3Uq5Ywx6wFlDXtVGliPHsPChRs i0cwcbCoLsBqesIv4PZFyIjX3/Yc3YIuQRld6ig1RzXqu+ocbqNEHFTUBmCSf9/76iBWy9Pj4Xfg DBnkImxmKg7b/RlQ964oQkhDpOF/+pgrRj9bx8WGD7iQsjlJzfbRImIdTBjKywu4tS12VMVWHoi6 8Dq5tmiB09lArQBEUyTwCEHclRQl02HAK0UAVs+uYfLkq9YEtCSCYApnmJmbkmZXaliId5/c8FIW Ze/2/26dsdOoz9q5c0CvFDw9xJHO3UDIGVhgW0gQe7Lp+KAgh6zt9Hdfpga3DJ2bYvWCpLOfTaE1 3UOueEQ3AMn/5+fC3p12ZcEe2ZxMYB2JrAzTWR2wugMOCB9jXFLDS1HlxJRuHJ6CF+PGdDpXQaIx lYVRZECsPYIhz7UcNb8bWrzA8v9vPGFdRzU0YwxqEJ7LfqRUpYYoQqEzlEqfjnYOwImheUybeGel 4tRErSnORtqRvzAIrjTfOzBBeCElBC6ps+EH5HRUYKGHifeXTpYCpyW6u+6irwBzC6hMCNKLgTMy EyW/37K5TgKPe2Vbcf43+pvQ9ehRyiVSuLjOMneY+jyUk9sJGli//GHXJ09XfMTucDuTaQ3X51iW 2++tmDo8L7ugC9QuupWV5ekjuHeW0L5H7ZuDN9qks7M8zb4aDXKlQlBizVZW9ztRSfp9WrBLVgUZ H+cD7mwzENXTgDZEVHvCx2LaGP8aQotRO6y8wuLgZcQyR3QzHNqzI2DqWjcVp0fAohONmnQcR644 w/tVN1RVZIwCCtqQpdsTNVcjb4npesGxnWs+5RB0pYwqsglT7BTlbaJLGArri6WhaBdGfIo2VSJD 7M9S7FJPdaS6gco6jo6XH7NV9oHvXkhNH8/nOUXfvunhgJN2io+WRuuD89hm+M2PEX4me1JsFliO kW4gSM+WKBl+q9JWJE/kgL0oufoCqESDJ1KIs1uxPy5kNQ7XN2UUKfvGhZhO+We7V58JNiYSirXf rpPdBw5cnuMS1zR7qwXH5fANj7VY9PHtI157dbJncpprgMUXzerPGPpBfZpXnbZp6AQwz5Fhakcs i7qBSzEohXZ7f92YrQsEoedS2s60wBUiRz80i2vb64DUTzAja26YHlamCCq5lvIo1vOlMGlTBHb5 zunN/n7XE7nqnO4bFRV3gi+Uuh5AmJGMSxsp+MZAMNcsvTZ5HIUar0+0B8FTXfyeApv4CgoK0fRS 22SlkyDjbU4UGI9yc5IV3km+nxhYG8goZGRaUXckqQlTOgobsFMnCzCZXlIsWubU8xPgu1NpSVe9 4rKlAxCZVBdAUA7SU+LOfdpvodm5q8HwDJPtc91DaTIWNgTypERNVrS3HXJKYy45kCdKsu0HwFj+ FnVqjizOq88rYApxMpexdolK6oosCkNexcNa8REVglTD5PCnrElXvKwqNwLgeh6veZQ89c8bcf8W ctTD9nutYjYAG2rf6kTfj1d73IAas7IF9h1v8JoXurIE78IKqUKgRNBsTa352m1zHCKDBzPFKlnE BinwoRHElwULP3mbVr+UXtPD8s1c5oNZvP+C+MelXtTX94vgWAOOL2Bty6swyeAbGjVzSFs4+apI 6lhunu++IfQYjH2ufLV9MMz0S0U8WDGFgWp17TYYgR9fnbV4PFIzIHSflROo4xfrSOGCWv6gVkg1 mqWzS2+38/jTRvKMsQEyKGrUpwEkqGNceWtnoP6FjaABrv7gVfE07MQdCHLyXDp9Oy9I5HsIFGgf hpHHwdzzG2waa/8mPMag7M/fCdjQLJxYdr0ywCTqNUuRuIbofNU8ITJneAvX0aa72bO3HmY+66dX 5InyWfPzO5slhfZ4zpx060FDTwm+ZpTkhO/hjMl6cJYUu8REG1Ogr8kcFWsV/4g3MqVi/lhOTB0o vZhbSxyEQXg49CkUk4kHLhkCX8U1Wk0S2Hg8Ei6L0uAm4lTK4xB4qq+VEFQhsCLPku2OF5xsxY9w VxBsOnGuUhyPWVBquSGjqw63DQp3YU5KIJ+Ixug0GFcch/DUkD8hzgctG+6giGGbKbVJJf2IkSHH FcTLATp+fOPdJJR7ePTrOxudP9SCT6oITJlRkSP1a4dArgRx0hwOzEOXSJamIv1Betf/ygagtqhq +M6KvQvQt/iCtiv/zC/GShF0pVUvW3ki9eVb0d39+FFzWBT6sfKrtcRl7JURuHTR8NmeTrieBngy EBxH5zKTgz+MKdBI2MLGBtJYjhg3OFsZ6lAl9VdYj6xkE/TLNb2Wj5Kj/9T3mrO+EibcsZ7qwWs5 7jFm97ALfxktw5Sd9jctCeUZ6C3eoER5YLCK+zauitnKJ0KjQeI88cU6uSxSO/IVFQSdZNN98mcv P3++vJCveyLBcDf5BVl8I+G9AoZflCfZ79Ji2juhS6XVRertUpaF4O+5Flekd5J0MDny2m/QTLD5 OjxmkcHL1ZbB7skWRTl2rVv+rMRqiaR4WZwTT9b8FIffZ9GhMiV53rQZydum1P13JnEItfTHYmoW pshfh5ojDYoIyg94is5s6ZI/5xHPoiX08o/wD25gL23dO8JETGrlrAS1DO+LxRiHPtGUJkDBIpln X9fI9qpuLL74MjLKADjB7FvKUj5F5nbqTI3lVoTAesLOoS9RfLeBHk9qJO94y8j1nuLYJqjxTPER hs41Rl7/cZC3AKyvKS4psEFoGBggfszNAPYjd4Gu9AYsAaM+IQcNnL7lJUXjexW9e9hH2iJgMCBH lNYLoP6k0F9eTEEXRo2TWWofHvpTe+rP9sQnQFI/1CtrZKsqDPWDfackKuDE9Xj+AvJquxcAA7Iy YipDGxGtM/wPmtpsU5ND12NmfUc6VANkaGmLTI5n86zj1GeK8NhvtXKFrhFxQGrCzDWbhfBbsj7P cIojt9y3upPQIFBwHQFn7Dox2Dyf15bzNocxYmCQ00AWNu4CrkDuHGkiDGnR3S8XgN2cvbaccr82 4IMxnR+mi/7vrWZYc14DJ7CeWT0BRinHFFH3p14ezSZg2D0zSqG4/FapdFdt3e6OsOc9d7q4uis/ ZsZiBpyTG+bF7zkE0Al/g8bOwzhmiwCukwDfdyjne1SVEj17PB55yXdLGYi3ZztkyKudqiTyNtT3 mmCC1hrYP7AlSqnJTY3tqEWvhwpjiHMYlCqZ944i12y6uv0Xupm/TE45HZzwPk0TqysjBEikpLaI OCUVznUp8if3CLgaiOqlwteB8v0gd1vpRn49s6HuszUVHy1reI17pmIW2OIeI3WplS8ReuMB9Jje M4K33BW/oQdmZJjy/xJAfi5qgMd5jP7/0kXE/1b6mTyIq7EJTBpEtiMph4vgp/eLBah7WmB8s79L 3/oAoSRIDGdgceDXj+ddnIQGrN5PukQvf+V8E0RuvNYWzGt25wtyPRDYXRRWgLFrGPYSaLgdRuyT 6vTuPiT3BpJMjEBOLoFQ3ckGuT6R7ucwOTef4prHCV4gu3RghFH/tbhOY5ll8rrwUmn61RrTc0kT emEMBNKgLObkRCZb6sI+683XHeh3WqiUUIso2mNbql7LF6aNg1SWc2YlHtuvsDudATSCwAALwLEf e/M0XN5oBXz77q5cy/8F52GxaqQECrg4I7l8O0wgONfEc1owNIDSpeum6ImZ8Mxx0OsR/T505DdK oOGySeKMgC5uzn7uxFsFLP6HanUBcIASubioF0LnL+uXGwysqEQ6U/4Ht13G/h7jA6zj0kdOFuBX JGGmO0UKfka27LDlH2lGZ2wHZD29EhQbEyI0b/vv2vcgTA5g8q8e59cZA1cULDeJJGjluFcpCdAg T9n2tlRznQQ0n+20POsfe1f5ishvp17WdPef3C8fSaiXPkN2e4FUqcTKhIazjdi6LWMgSx83lFaJ yA9n5de581xKX4FVOOwQB3MWh0B05fTQF/BIhW7dxaKmnEPtWo97l3KyHDZpPbRDCI4on5F+Ydju CdSAtU9jZKertvfBjDEsm0YflX724mqW+Op4jPIo5otlPTh86uiOEyyqOWRwXN8liYAbgZYwiv1m f6JknYTk1F1wRE5pMwm+aO4dj0Jn3TBb3swr8ghAIE4Qytz/r246a6L7Uvt9x19uLOLGJtwK0+GA 4+KWHHAbOCOhWjsu6AM15/pDUkJp9Dg03iIIlvF6TohnJM6jZouPSSaaVk7zHxbnC2UAANP1y6Su eJhJBfwmnqW7p0ElzWcXyLj8licwCMajLg6ysY84sDRcsE2blOrNzC9seAiql+/H7YpRzcsL+ibo 3117hqWsvUBNk4dILs/dgyU1mHyoKNGvZWDQKfOgqR9a5iWbvqkwhZ6AMxDli9qttfDlkN5ADaDm MulLNoA1kLwBNAVJJeB/Wk8WrzP+Lr6qnXFyF0fL7bfJtthEv2ZHKRidOh5wrKz6VfkijkOvr922 jP5q5skWMeRQpt1ycxEv+PEPrmKzhOevEVrjEkgu8LQY4ipZq80B9cRzE6u+6nfojKY4LreA56jf MgxBVgtPNc7dzieKQ5CjXCa+s7dmHmZ6OI8lNl6JP/g6pxbOWL6lt3NaJRzqXJdgmUDLOXIUIcgr UN9fUqxxbZ4b1TJn2pVII+qo33sz01f5UGARCWXZ9uAakrw09vxg9D7Qab/As7GWqh0bkJ/TZE+Q RW0F56vAarEYkF37nIyjG+eKrBr7IQZ94kssPxEbPnOWtYV26aLdaDuDBqS50/Nhk4E3yo/usAOK 1LYDWDC888WKLzN18jhEBu7qCR01QRUzn8ioYAyqxIJz6pskpIYCR09aAxDYjfO5zo+JOQ9C0a5q JaFYCyaaPsZzynBp2ZaeQeQ5ohwzKiethx0UVgPfAxvUgj6ep8k9LNvcVZQ6JqO1tiegnZHcvCzN dX4EDrmKNJgjUhHVfBXk9wHCmsciFgWQOOTnomw25nC5uNmue0XqgLjhp8+moUrFVxWdZxnjvi4i gZVrxYz+JMa+HM/BP5QzHqnsubnCBeFYuvgGCnep7JLoCMLye3p9yIjRPTnxxCXfoyMhVCW0tJZa vZnysWObyj4Vfqz1wIwrHOfnzpgl2Y46Cf6Df8xKLywR0Me/GFAcqe/ftoDJMMaoHvyM6xUkAMTo h1a8SeZWdyoFMZag86yGWUixESSGP+e/GA/RDAtRxZQ0uU72pKFw2exz6Ka6JAmmzH55THzHrbLE G4+3bc96T8WgD1Br33gEQU+HbKvwltUmw22n4qbSHIVCBufj8kQYI4RHFzdrJOh8fQGF+dsUqKun mbyAkPifkhbVLEF2DCsTE0kKoLe8NVnZaWGnnZ5qNumWoHyLjMeXQRp+qQjZoYJ6GAfHBTX+N2wa /Eb2rXDYNUfaqDrjD52z8GTaZpy6qGzxNe2+9iAxRMQ2hstpKbT4hoKcMdxunkLArLHU2YfE2t4e oF0SCk/u646rRGjjZWv3pYtAzfvou1g+KTfw/GzF8yrFchfjrFYD7APS/I+Rq0iXaAEfFgD5V4K/ amDB0WGal/l5Ksl8jZNC1MuABG6LAd6PHVdwNsvmN5dg7yyNrms51ozrgEdhhGEVJhDUaNlD08tg fPLTfYoZNwpUjStcuQ/HNIZe6b2FmDC4o6gKaEbrWeLd9zFXFsK2AbEbWGNAtYTy4p5lgclrX/LX DFm9bU9UTZQHol+53bV6XPqSLFF2xS/Tc4PM+4QKu61uN496y9tfN8WdMGaioGHB6I8wXzeLUzIC AUqbf2+gca+aPp8NENuAKYqepMwrzEvFsCH/ccVx/rSXE1tbei7fYPPNWP8396XbCoFyzHLf6sNo ddpUvrvKMQQxfb3qTYSrmNhjcW0/+Ixu/3r6mEUoy+cN+HkJ8OvGGBGY6lVPID/ijxGhZCFg3F8m 85ENVXAM1Fq8DFWkItHWlWRjIIqvR8HKGdVVXvnDvroFJ0oekHDJj+YkYjfscpMY9KYAaaH4RqzI eeI6syjPwqeZa+9QVZkKN/NFmC1mamTlyVzIAg1p0ubf7SJ8r98v2KgXE34NgS9Ztp+2R/cqKi0B +f1I1HaAJEfAfJNDq5+I+ezVbZLBFSnbiWCSLFm1ougQaVrryVG3bF65JivmOJ9c85qN45zj8TaO QQaHj1hS843Sk7C/z97qufLuPvRh0o8powI6H83mYSngmQnq5Tf81ipLACGYura5DKs7mO6LkGdu PpA+NepYW1IOGxiXDIRdBnJqsPsY51mYyIi41hutZb6jRp8vQAWGu9U3bCa98ol3ATktKFHdk5xv Qju2U2y1bxvcV7yb0vMdaEeuHuuA+8hZKcRLVvDVM0FGZEavLbjhxKlt7LmEcqNjijGmtwnWMshh PT8l6lpv89EOhEwEh4Az6BuiK0hu0TRZB6xHbmuT497vWKFDKGOolvoKWkZK/tj1l1TISkdRzOOb YGgmgXxbdreny3Bn3LOab6AIM21OBYMH7+pIk/gQnilWFVysgZKGRPOK1llolDS7p3B7dU+lFBFU 0qb5QzE2th+cLbHoZ0H3DH0ULT47QoJEgy/dj7Ere6X0RZVuR8zjr7w7wp7/GaOA8phohs2OqoLO R+FBmizW/sAhoV9wcFcKJWe7b4hcNa/a5FWCaGcQQNgsrA1/YOYdbqemZYq2nEAHP8YvEGTLfz84 LsEyku+r9IWUZlKK2T9Ps9B89Fkxj2Sow2AX2l0lMuXx9ViBXLurPOuOo+9kosSoLZ+DF6ixJZ/J 3oEXcWBr0gFzP3izJn3/K5T9Gt2FgqZPkzEfDH86qta70zbutcJc8JgeiVjI2FmFrHFtkWTVHhJ2 TtdryCqwFMm4XjahB7pJJtqsaoOqfMqy4huPsIYKF9XC+LtRQFCSwcxIewk3CorOEQcWUV/9r8A2 n7HSh/kXHV4QKWqhwj3CXMowqBstsH8PZoyLA44hUBP0lywGbZ09vypAVBPegsHhYUkg+HqtxWjr NoEO2CkqSaLefu6qFHpPqqB0jxdhxnTNazaocSMP23AOKM2UDXXXEyS4uWs4AvsgyAf3XdIy/RCB 3RrwgyT9jbsHVjn2hQY/5oqhuEOWLbA5tZIlN6VLfqAKJNXYHgerjd6zg5GTtNoGq32oV3qFJzMb UHLIFBvNAjH/KXmVql8nIkzowL7OC26JR82F5GcxEak6htU4Fvj/eFo1ehi/+3xSj3V/LaIa4VAE z+bofECZktJckg3ZV77zfzRqDqt4U6JbQn3WsN1IWfBQpuL/trZg/z9vTJbZw/OcuczS+I9WGvL1 XptwCFwLmSkV6UVtTiiKYMme5Q79GUG40etxHwE6oegs1kZxqWrvWNgFV0DUncB26cKd4SvvAJTZ 2kjzWtCAdaIhzP7pcCXqeWxI6S6i7/Guq3tt561r/TbY5U9roPaqqyOlMyroZHoS2fgIdCmdmEFy 23dWe7AF2hLwkbALuJUiHL7OMApxKn0fZVttkkWFDoMZtZXwQzIZQRgso+GIhxuE6hzSxRIspokI P4oiNbFwddsrlaG3S3Z5TTEXZsou+Bj2Xc4whBeP3I7O5TdYi6AdInwx02frE5abkIpeIGpw2laG AZSEVDB52FlPGCRTsRIrBlkXIW+snxeja3Ul3O6aEu9ZDSYjRu/CjraRIriYTuoDzL4M89PybuA0 BXfQhOGX4kjUN98wo9T7BEoxLIW11dgEHzVHjH1P2670ly6gU9suWJi2N/Awunr1itq2EgQCZKZz fL1cgc5lP+PfbehQ3iHvOjES4Gy416z3VEOZtRmC/DE1WQo3uAluUi38vxIqXi2jBeVwx++WdJyI T8Fa6VLRjGZ+qWxlKoTAXLI7t2iU3lBCnDtilhtoqXS/iyyJabO/cvN6THLvDdlwHUi283VuTtIf YUgEIN3xuN0S74lG8XJPJjh7q5IVR16NVLDBEA+HDUWcvNHVGcKpQ3pqPZJ8zyZfKyM1zW1ZgitI o5FXmSRYHxqxVS6IlxkeGaO49im+AVPpgpEoqq2wV2KMbfyNjbjBPZcTKT7q1PpoQ+MetG9RncHi +2VfED4rknyzHFbrvFtliJjHqvtPLTDlOaay5E5IrBODYKYRlKl9Q+AZF8NcoLXyE66ZFtAgJ6+m HaDFsG8rby++YK9V4E51fqEraye8OD2FV3pyYOCGKNQmwBLgpz2LhkQLjo2weeazQVdGnNLSUE/T Xl4iPp/xwgcBqoD3OCUCYgQRPbpxulrxbAjNSdiauSuImS+0M2NsUmXBk6WxFfV4R66ZerxSBy8d uosfPtWQmJ7YtPkeJEzoqmR0T3fYPdbQfy2PTAUEv8Qx3akA+UgYwks4aPv3HlHjQICDke/sT92J PoZs9w2tTKQqujOfWVgJ9ZY6zTAhZ9BKYYryCqWoxwCa9i6bEgEzaZlt7KLElukGAwZpWI/byXXH cB4xIbN6Lb9GEapfn9l3Azf4UJK2A/aJCVSXStg/5W2Cc6VNkwToM6yuNDEch6vzuUPTYboK0wTY z/KQ3lz133zL/VhTwwr9k/j9z1KimYfl6Sihr3i7N6w+ylM9LaSoesXRbAUmQH28Y7BH2NfdPEh5 xNmHQi3a6RGu5TrIY/LQydb9zT+UXuJjWS6l7c6i34JZW9QvzcZaPEk3TSfs+C3xO8WzsfzNa9O5 E9MCHn08MHrOflBYdsoY/KF2mBhRexfcMM9JRqRoBaUgr8IMutkjlvTdkGe9YZaGGFR36Bxr5Bgp nOuob0ebCawK+lTTPFuQNloEIMz+zkAxyVll2t5UDVd6qrj+gMoegG/pv8kvcdyVNU6nPhf1k5BR jHXCEqNb51P7wiy9GFO32MNUEJwuqCegaKxYJElgXHGRzEJTm6wiZERKQQZAkSiZuFzWjMk6OFEE p4lOmc959W1JlZKE2xqVxvVKZ0+pVjuDXkcvNVmmE7FbTerdp6HwPzaDDs15IjWxrJL/dPPQ7Fbq Ze17VQOIlDAJg3ilWFY7Cw/K6F9cEfs2Nw89HrnjlYds29OGMYWKjcakczY4BHlCRigFs4QyhRuf WiycFlpSXIvklPHq9csozqw9jxoKQpKnQLc9JtJZp0guca2DVb72oGfg85lXfhM6AdEGPILF5xzO g27xiD5NAmyuFa31ZeR6nQgSE804u87drurgIri0Ul6+9q9GLGTKz4makL/tmdOLwzTTRhtunlKc bJaEtZXm1lTN9P7abMioq6qsJrv43bzfeMEQt419M07jPQXTkNmvepOJlnKXRj3ssukjP6vx1zcX vjGoEadspmVe0d5f14sP8yd5fqjMbXdaECTqzaUfcJzAdJ5CeFgaNtRQ2xhABkhAr1MVwMYyTwaV XribvAqRXx7TJ66NXhLVvfqAJnwaiFHrCpeIVEfkpg85B5qik7jm7p6fckBG7NK4cx9nkgJzI37Y Me9LTOVdLbruWyXAbnGI/SCOPGtRwb08Fa7seNYChvx5Z+FBKmZwxskYvYSWMF0w3VuT1qrK7aWN 7bVPXCfk7Yp8QB792UA3U99Fxk9O3I/uVsmdURCRHFQs2n+8EfhtCsCzOzSygRYDR8cSZTu0qyUO WtkcG94NwBjgHerMljcPAOYUgBC2SONpKvkeevb4eyydurHU0RWnP1vsLMG/PMrDN8Hzf8Msm7lG 7u6enmhBSVylPj3u0tqikk85QS0RH1wNwglpoIYmxOriLcL2EzRxoq/UeFORdjqjcbXCe7SeZcby kpRdPEc24D01/DYi9b5IDTXnmLCFPZEq2MMx+2x4kAteH7JMPgPl+PDJt9NNErWj90XfWpdZ1L5C ZspY0rH9M0YaXENDfikp9VJLM04ivNYFDaBTo9M+VqrkUCPNXjI35HFWd5LEr6KOjNGXXvm9Wt7k ZOFzz6P/hqCwUnkMVZumCMuKIU5scspGAajrzDew2NTSSRr3loMQXQD6CCtDFOMWq+9YjmjV7q05 uPffmWCX5PNzfuBXyyzXDZrE1fdgl72Yr+TVY9gbkA2+afcUWxvVwK2LPHucm17B5si3hcGO+V4A JEycXDAZe0lFHVXJI1ska4JVVf9uEccdhBcA1b8lVFQS+u0eR9Ijk9Jx/GoxcksffXTUBs5/7Ix+ GjXpMocUK9X63iIFo02oNcqBedvcDGZcq9UT4JBmKSB/eYjANYGhbaJlVdCljWtJtF/fNYBJ98mb P04HYQEW1YoN6cNG0LexhLAagLlmsg1dTF6brAO23PJT0F0moRIHzqaP/3/gWg9As30q+P+UN9QM 4DSOTWutQOFu0GqjlNahaYfUFMl/17Nves5Ja9KDlM/T/oUKWTZJuy2Xs+vziuR1uWQQUfUXIJa7 3gZOI7fu+eUIZ+BZ0b+2mFThCzN1CVTPeHQvPP+kwF+8nwmdaT2P7AlO8CTorjm58GF0sxjOv6UQ fpQyv0vVuZbO1oT+2RcvpCwBikTEAtDd/R8oxh5nQF4wrZCBQp2s3CIP4irdhxp6a8WARjwjGfQY IwmtZNBHD9ZZugb0kNSWpsn73VDrE5ieVf2YGHkv3lfIrhjuURLFPyRF+dbTjIXDQ5ZVP9K8bXrv n29KpQSqdHQ+95V/OFcZehvEMe6tG20rZAh16OTgTsTfuKT4E5phqt0MFote+sV9As/ZV1HznclF E59+Lc6krkvA7fjQZgyhL04S6aL/3fjrunGL9NZRqDYDG2BC+w8lAFLkb68aVv1HfOXie096gNCF u03RzALz24cZ8tSk+LwcAYXivQ5hR69pkCxadQ9d28BEcaWq9YxJS7mYEUlMf46OhAsYwkCMxA9u ltG8logh8HjPnOr4khPAfTDH/IRapqeC+7SVDh4ZrKxnJxc9XlhfgSt0JQfKbrB2cJRukFQSEzmA j/VI5zw4KOp5LbE9C6P5U0kxwBAdS4KEd8zYmiaalOecFEc66RGzyDGHR30/EsS0wURwynA5O5Gy xg2cXW51ImzYIlz/j9g7pbplETeOuece9akkHpi3Rw5ztqmCzR/Jgvr+b+uV3DKH9jPI2BJvvOui lzstkj6V6NlEFKB57Q1Fj0s3PitkbC8FsaOPaySeK8L94cBe49fJ6OsJHI1sDrZzqpJwC2m+5Air ZO2JYzbfmZiybDmgYgYaOMa3v9plZJQKnW2ndGfQukMnLJ8ATQMPG05YyS/TybuNKvCmoyEi6f/r 36S+mkspaHl/IqW0x9C98oFdeTkhLVu6+05QchyCiDbeen5J8F8njP3IAKH6SGNp7/EPGsgwTrWz BEQ7QWAqw0bovH/zymPazkLJeGYav+/DrYNmzJ/HZqTQYL3LFFzfegywvDqdvA/OxYFt0MzrLJQX sK3Jw6Ee86SB5gjfm/TL8Og8plTwIC2EGj1caoQ2FlPwgXYwTKIukV0CvVlnxG1js/t/mhsqEgve weySpaJk2W0dU+Dd7XYK2nBJDbHyaM0480v52zYO6noZ6Ca8rZbaA5nsfJkVD7uXVsIGZhxtV6by GCLQ4fK6j3t7DyiNEQVKItloAHHEwAXO6b9njuoUhCRMH5Z8cpd3G4xylJn/vb5c1m4As/f4k9pp yy04ZjhEzvLRDLVu82unR7SY+JfQj9MToGDEof7zuTrC+WbAB/hyOjU9uZmHcZu7jw8OWXeCICTJ BHmltC51nbbU3/Bth0o3DF9l7Gui7CNc5ldvzT5hQGNMewjHZTMBCykx+J+SS+EkMBGaSRctEycM MeNTUC2VlhNMhwOz5xQMRU+ZHbIkeRlVYdaim1lnXvSEse/3+DKlQJfoautKmZRa8NlygBLmgmak KQonqxx8jA4RIHYge9riN644InPJuaPXRve9ExiyGHb3x+hw/1WnZANiFolkZGdKw/fwg5NQWY3P OJUAadMLFSDyd83mO3ItHNI2TUKGBR5mU3ExeXojQvvowNvceUUmbI/i75Xp1bGfPNLPmrdfNtMc 9piTuTyhJ//3b/gfJzyJmIdsA0LUj7jXH0djL1LvNccsgB5t/qCJj+f0xOmoUS+MU1nWKWhoD7Ml Az/lbQvFAb7Yo/i1zAG+qkSuh8cGNN7XuClm69Ghg0a+rzWroNtRwIs8+wRcVuSEinPxwfaAaPll edk8rpRh47pJabDpOSsn/mKB5UVnjC3sgtFBztlWCm2M392lA8LDoja+Fpp5u4FQ/RFul6jGLSX1 s8KHGSq9+ye44KxY95rW+qSRGOZkgj7x9p4AhuzRr/ex/5bfzZmy6Gb6EnZjD12qWw9yDkN/MV5H WlwmlbmtlDMq9053mMdtSroT8G26GR6qeFLaWe62jCBAoY0oUvPio8B/b1db/Dcda1u96BOT5q36 u4pyZ7w8G5rod6GEAtp78vAr9IEJjZ019A9LmY9igcKtdGBP7OpDTj2VZMBYhzPRQ+RTvvmZ8dfO JcY5IlTbLtaI8WDIK02ls/T0s8QdczhPvTrwSEcblcFl6cZvyJypMqy/pQeRP1xCUT8R6mbUZcfM MwDca+vbhHUbjcF3r5Kb+sjS01fa+5KG/J0b4s9uZMeoxJxR1dy2jLI9bZJC7ZVwjgM86MiTr5Nq pOSzMyh+cEJy1chjIYJiaIwK0JYctA+f8cG5pQZbyHo9hZ6bwba3VKmSgfrzRKUOZp/JlUTqUd8A 6PULQfl9/EvGOt+w1hg1P4jsWpVGgpYi0GcT81UcQLgngPGZvTvDTbG+VjB2XyQrnjm0HPvZ89Ka +Qrk4LNuiHXKSrVOS/HpQDxjQUNz77XMVdp/nz4Dhcy1x70lI7Zy7ejlsivZ2NiEME3FUD3OMfv+ h5a30A8ka1mTLyfjxJi8vO5vjXfKbe8PeosDYeTF+WamQrk4/A8G/cVhXa4lO3DwAGwn4QxYY09D fkvYaqEP3RLgxvQAd6U7bUOksZqSaGhl+yOzzUdMyPDODMm4Tz8b4yt1USbZ+KuaWxj9kvIjj2yf +o7QW5VBiFA1DG0ZVqkW4B5y0z4ptkLhZbLMyvWpCHfqXWZxusJ4UNG+oKLpF/H35kcDpGxm04xN OiPM7U5G3FB/aMDsRtC+m/d78AmKJ5Z+tsrz9w+ltFlMeBnnjl20Bq20HH8sIhDDqu4869RjgVxR im8O9Bb0FfKO7XWMh2d/EYoQDgo0Osbiy14GfxUUiQoHlmC/Qx+niV0R3IbjYQuqjreazzSdS5EI zBKkINb6Bl9GnxB+hzlPE8fN+rA/VL6rMkZNm0Hv/IHkt3nFOxO9TTX24hoCDFM5AGtbd8Qal/nU FqdLhepF9m3rcK0tA0EnmqKnlh2SwbQyNYJsk4jWBMztUXOfKsYYDAJ+8VfY5TI3NPEWU9kxgptj PkQDocDIvt+2ejAnL07jC6Yy7LIq0XV1fI2XbvaU+oxF0h06aYq/x/DFQOcAx44YCBIntFxG5HeP ZcEVBpfD6Q4t2mJmbgzlIYytpvkLZiTvk0gAeQEVOm5UB/YJXDAUirz4ht2c3TccU+fK4BYR3G0t LH0VhmEKdCkkEj27r8tl6ZBACOGk1q6c1xL5hBM8dQcfZ2m2HsVPlfFlPLGwmKBNrGZl87rPXflx hA+au3RTHO3TlGk+kiMplFMKvewuR9kdoM30EcpPI8iHGWmOu8vjSDiZRY81nesa9ns87Fa1pae/ NNR6z93r4DNigywEaGWa65zcJydNOcos8UDaauBoGh6eY3tToQWBBpRTDphlytf1v9iojEvRu/oE tAZw7M2fWhSK+axEAFCXDztncEuUGS8137L7UQ0dmPdEZcfAqmmUbPj9zXZVuQoJ5mnvNP02+1LT lMMgVv6V++W28sf4WQcnGbunG0oZP+iLXNdeO5ZR4dPC3T2wP3K1BONu5OvFKqixOHboQObMA7pm dR43wCOU+5rk3VMROY+zdR0Xmye7S11VMUppOCSxW1MBoR4mmJNTN+s0Yl3wikGbNnq6jlvETxxY 63zShw7WC7WlYhpT+9rdnL/RHJVCeWtiFnf/eITXaDAG7S+sg3Q3eLKuOdNt3vYd/pvXNKLkiDmx Ks8rSi6OXcc/ZKEd0ATX/Dq07+ekliYeeik5Rt62NWohus80jVI+gdjNgys9SuIfBS6y/LX5ytjE ewMq0HF5nMjXFpu8ZzzLK2w8Ec44oewV+DQB99B7hygPcs6nzgBBDk7aYt3RrYD5sfuIQK3tFKgE zLOZRemy7PbQgqCW9DkXrWgodFf36v2PQKty0L/NFqXTVjUPH9spc9q42jjjqXNL34AYgQpr6QQ7 g/oFmrJBsWqzf8USnbOBqvorspR5oSSps2GTVJe57OarrFU3nDf0lmYz8o2CEq9oQj0dhs156w6o bqdwEgp1Fy00sMtovM8SSY5ioefNXefpCz2fxmsf3IVRPvzg24j2GBrNnud0Cbp715IS748LXTGq ce4bqU8a3uDLw8doE+MHULVzIqSHrwT74M64HwsHC7qcipkHusUq2jfYOmO7bHYQ10gR/4DOqu8M Ojw16qDs4RdHjqSKXGd0/Uhj8/IWEfeF9r8MZNuvRQWJ4kxvmuFIWZNm358gwk2ldgGYJu3iqwfn gVxuT03p1galX7JC1WtQxQJzHqnJRA2NgtMax1fKq3wRdHEsabYctd9Qq8PLVvwFqHM2K1eNZsC+ OFmzlOAA8rkQ72WeUEs4nyUEjZiOTqN+d9YYswGlVPCQhgTif5bwClDRBY/zDFmEBwPI4cB44fpH KbZXV0b1tyMA3K2GzUdzDsp/O3Sg6mpXhTBTQcrOYcW4m82yNXvCbFqj0v+MFtAWsZvKq2ryi2/k wAIf8DN49/BLyP9NphSD9FSwhhR/ptvP7gacaYIwGSyVQI99FU2GptaBtg+VL1+zzM1cIwCD1Tgh lw/NTi2tviEu/vDxSoLC9qcd0eQTS0WW7paBRKk6O8GAzPGPa2Vs/rOTJiY0NDo3a7gi2wbnKSR9 /5Lcd53/diJUuwnthc+hZHmzEcCj/+XiCsaLvfHV9kLt4dwWLPHyjgSSxx5IcgPFalAvlKvQa8u0 38PbNd97I4D4pXjXma8eMkTKPbUdHSnbjQDjJocBw4mxLBC7PPGqkeXrUX+F5Uhh2msADjH8+/tr v3sw5qmIjfJdQBK7CTew5IDy6LICvFg+yjVcCpHvIc8WRnasVJDFKYa1Y3ibqDNQg4X5UeXUVacB QGWST15ODfDi0x7SahtM0DflfmjrBAwZo1B6fcYSR5A922K5NZ76FBUpr0eYpOEi1MhYFMAZAJV5 jyLWtia8B+mwHV5G4CVgrnITD6oQgezaROzJa5rDvG3CR3DOhkk2S6XWQyQ6Mp6GoNMh/vllyGKF ILvaTHSlRVQLQFBZdnMAtLY2/aJRaM9YzThPujLQ/QCBrkxUfrxTvMqrw/YO41uyHe0sz4oroIkk iF80gY1cSqpEOPawoaPjf4ejbqQ5qpv7rQ0qMfCK75nvJSGdlZWCd8sItAa0fQKMR2XewLKcG3hm KoY/Q5OiRzOJ9b2deVl5GoMb5AHUyfhAHwHk8JFRw64dcBNt46gbVdkY3DFDJop6BeJeQZ/vuZbk 7VAd22eStiyCf9L30IHBcUsrYlg2c5pbNO91lCFNiILL10kSSQuaImjPmTe43d/nipSnRTRIYw8Y 6dvfngMRNPbfyeyn+m6IgDHBJlX6jR6uapcMrNhmqAwDI1JhMMScSl2vtnKM+OpWlQUZ2VTTrqbL YHcczP2Irg44L1bCX8Nd1rJmVRJHiRiDt+4EoensZlM3eNS3ELpL2kAAZ5Hf+gCcm1SlVNsSEhph qZSM5XezB7D9MWKFBD6Wi7Gd6MDFqLStwUvtelJstQoRbxg6ev3Ag4iWt4qvWQT+N9UNIIUxZTDa FbvKhGFytomKAWHhYum09f7mTg044vHrPIBk0HkAej7G6XlhR1FVGrw0TVsdnImY2/94y2KbqLKX aIeXxUMZYz8vyblmIaljEofemabeod5YL8ynDBumY5kxYOrGQFnIfB0x92RjXXzZb3qB3gLvWY+Q na5SWqHtbGgthwoY/6FZYueQjtaO+prN98wmZhunPtZ5TkP1XvGk4qTBnObT3Gy6Y5K6YrvDfrZ8 UMInvKyZuglPxrHUa1XHjgSGjvwgKNyH9BHtS+NI2zFyou4M1KxsJz+Bfe0WWhVvkAb0GknKB3i2 8Vy1gbEsKnYYZEKeTQfb6onxBXhBTSqS1shZ6lanJ66edap98wLfy72FEFTznsNyawbAaeZhd3kQ 9kxwkAPfI9888OMOpCTt4O47sAvWGpqKPx2wnpZB/bz1qElysouMBExDi1rarDDlAlqE0+lmm9n8 XdBaIdwm+YI/1JPzV4Xv7Ny0QO/QuyPqtr4cxWeN/mlUgmSTn/ikZLJK1rkDWcSRfcFgJtUKv7IH KmKBos4zCIUUib77koh8jwdLq378QxCbq7oOrS/ypj/DR7a3sSrbRs3whjgLYC3bIiZ36P/VTXEc CSF83G31YIbTRNGmAT2G9lULLO81x7PCbxgfh57pU61zIzQ4gtj9y5yb6iq9KSRr0HKV1eDpRVTB q0rEHHTw9GmtLuDeRNZMJBF9/nX7ofho+Idxj6cLvzI89RFoSBzTX39D2f9nBHQjriBdPJ6kwvJn o4PFoMC8BAnRy1zPMBbyyJ4ffAfdrlXjnNRNmUgd9p5SDuZh+tpiMmeSCRO3oZy+4MKDpAu1flCP XWCS9iA90whKOeEMYCtbczm9oWfz2/bzwupPo/4SYKomQck0bKthdhLVcmUCzQcp/wySpvrdLrvE myjaJuEvOb5d7IugspHVBUHt4YI7bICwB9+yDI59oUlC8PttwOMiM0t4EhY+YBChq8+w/iuo8yj4 qSDpC8VQg7BDGFi7oLCumc2eBghKY+AXj6NE3v4BuQca9S9xpu432BoDk6ZSIJABsVaSYeH9/T1H bnICEG1Z5C+YtnCg+wqpaiXl4H6jignwGYnNNSHZXGyHDLuTMkHXKF8t41wHCEstfrQ3Jnc2K0gh QUwk/35zTyG10XZZpUdjHaimhWfFlX48O81IpE6SS4rCRmQuHQSVAGB+/c7oXIbIkMH6UMBvCsSH raOREdeEVbbbaswSaugXPgj8yukzyWBkjfWFIHCT+q1ATTGj2qb5klwBzdC6Yvk5FRcJs0MoFEym Lz2ZIlsMHpZ8DQeSadXpPQEkdEjdPZdq8ixMzF/N5XQNZ/M+I6+MPfVuzsSD7DisWo5nnM1ddb79 W1r4hoHI9DO4R46kSI5FA24wLQBzxncIpGVkjoqqJ1cBPu/KNcSvF9pJ6ZFd+Nig5x2o6VhJX2+u f9MCP2sUk+VVN0JciIuL5/eeNK8oeoAgK0WDy5a5BgKJqECkPqC4DhE7tno3DiRMguuJBf0yOEr3 I20jKZHmafc8uyIu7ikLmh/wt1FLTGU3V4iEhPpBMiz0q+MY9//1+3OV75FybIQHH9jJI/UOvJ5f NB9h3Uv2aePH3UeMN98z83kHsRkC6OM+VhWRukTwgfwPf5xoOXtRRcvrOULcds7lnHV7AZLSDY1M lJPln4yCWM6fAgdi6XInlw0IGLCxo/v31r8e7DltT91mYwgCqQQRZd1szdGtGYebQDifS+zQKO1b mYS2WD3xTaazvq3iNycQirbr2r4VpvZuiSPA8UctzIKqXoCUHH4I/tbc/61bTKEBVUJbja80OTLZ cZuD2ow9ftuqI0+aO/C7Ng2t7tka2rY+zy/0JVD//0CG3DpNEiRUjTkbWU6k4xY5XCj5Ejprdg4M sDvKahraJXRgFS0uQNqPWNO8rj+gg2ZflOZOQOjkyGkg2JLd10VCVctABUC8ZlA/yMx3fhsrFt88 LlpTKv/53HxzRWMddPs8/yhIKgV8kfQ4mX7YKKWGEx5t/52r+MKquYbP6b9dGZcdmn5l+BYQFAau fBGgB8ayJ60t3ONy63BugXC2YqEHCzV1vE08MGZ0LgPBODgmayV4kSDNuB95BBhb88Fu+GUoaXZA UCfWlJSDbpfXyIlqv+xrSJaOLlEBCADnHTNnUji9OGpBonrvG2cxc9whIdS+3rFsT94bKfwVOTLB qXLat5HM2R14aT+4m4OAxtbGDYNmVUWA7jR6W+f/o/5sMneQuIRbTwXOmorjuE7Vh2s0dor3N8b8 dpO7oM58P2c3qnW3zQUagpepsiKOIAkH+/HYZyU1eFqVfZkk34bT+eHanQtY/YmFRqWVwCron1ku 0y4maHs0F0wSDMRK/PIuMN1PJv5id1hYbIgRsZIBr4oAEfJDX1u9n8SEqB5SBQOsd7Zq++yqhO8z EBu15QW9lz8Se39fTEsdfregU3ml33TZPNmqgUO9W6zuRz3E2/Z44kjbJYdVV+dlQA1C8thOqZag Nf5EUdCi66jQIEkfcmEbk3k+vometQUbxVxj3hQGRLUs02eMw2WhaTu5+dz2jh0PPzwsmHXGHUK3 i/oMMiT2S+CCHwxVwQbBQJjuuyMuKWvkonpN7245apsJn9p5NOMC+v0MrT/ypjMETbYTab9ay4V/ kf8GC6Soyzyf/ryVuH4Sr8pZ2slafPhbTD8asmbJrOWsDuE7+uqDTgH1tlFUg7KjekfdsWSUmThm FEjm6lRLc1r9lhBTh/rWDaFuMY+P83ND2sqmLMt+JsI7hvOYsdBTPPVQoPaXaDmV39Ybf9S98NV9 lgryRMXkn7uXaGmSWmQ/JW3SQs+joSM98iwfGR10B11Gtp+Ju6AoSxoT/jcQg95HDQzMxEZ9ljcI 7ZUoFjhW0YtUmQV25InXJerZ4dqqf3bVj+zLh6OHLacq70k3EYZs9wUR2f0cWhIM+HJ4BcxxibGM UgKHUn+Q3/ZC3g6V7ADue7fYgHOJAqf/QuvfOgUl5WnSwacWz4tnlIgrkJkMu3Gu8klEa+j1sKVu HaA2KOdsft+oNxWb7BvEayQPe5Oi+5E7Jz21XVYa8UAmofalofngIqHZbCqxA9pKdqhwTt+shKdS 4M3owZ3fwV79lwixaV5K2CrJAEYmgeZuETUTLVHrXeM+zm4vdLG9Djf4aDkWK2R9iMlpptzXGwqp yKQBpjK+kGSB9TItoqiCqSGDccP26Wd8klUvcCHJc347imq4+519OOPlphtTxIrnCy7yIgF2ZZ1T vmVq5p1qa7SCUpD7qY4eay/iTJjjiI/pTnhQlHNBCK13hpjuMjsFCdh12Sw+yarJqtJK6gVqzU1g pdKtxizNx2RkNVR+HSZ/7CMKWycll0M0bdvuTHucRR4atTwgME2EWMG4bQGBmzJQ35kZ3gQM3VBL LrupE6wqq+dOAR/yct25UrGLFEYqMvj95Eq24p0ZZ6MYM9bCP5dq0n8CPKQqC1JlHT5BV/6WaSyF TyCWxf7CU1yH0c7fTlHe3+gDpeTAlWMnlK9grhwgkmYbl3HPfncTC+BeKJbWZw3fLyZHRAjMhTAl Z8w1irY8e3SYLaiSJYcQhefa1laNqjhmgSFc4el4FNx1/7tN5dbo43acG1YW0Sn5au2xdnRh9vOW VQ9gw+KVH1FS38OP0yiKOQ++8eWW7RyUzRblafyp2+mk2h/6Qhxoo0Qux2Cpwu1ammXsGXocS9tt HUQd3Wj8rvbC7t9QCj+oHmOwzpFC0RdWRYO+sMlupcJB21T6Ccn9zFsBVUYtOjAW97LpmdmkfuVM fGhQgbEgiOql3N49JasiMvzA4mUq67qbsyk9pME8W+DCpDDTwAOEr9PxQ89T6Y2/R1Oiqfnhk0nt mPz9Z9T517xxMSga3yWO5Yvdh03NawVqZMcEunw+bZYWM3hXPjuoOkh3ZVPd1nYD0xEI2p2vlhul YP2xjVmLap+IxMXk0JoEwQQS+3/hiNflmvEliKc5w3YhmXibOYWH27Gc+G7gXIJKJ6UuTkmED05L 7P+ykiOhl+EZCBiUloLX1b6Iws2i2El7QlaSC0nIQTbMn2s9aP9r5tLa5LRzleeyyXmdleYrAgwS tNy71Zg4pM7zJ9kjrynjFSu3s4cJKZ0+AUqc7Y8nfKTgBblxsFaK1x83yucPou+EPBUoxbG1nKF0 A871xGLgKHjCI/o5KbNx7J1l7y/GRZmK/jrU9krJW6cjDLTYUWnWfIq/3HBwHE1Zs77e/rYrayM4 QUtibR7ibdFy7Wpa8b8SqY2q1Dp6KiWQVeGjG0QXK5tB+ZMhQXiNRLvgA3lFos3ZOOYxGG+p6hRW lUED4rcNZX6ImUo7Jib2HglE92vbA5z6nSg5CR5tCOfCWf0Z/glKeRx2LmjFzhNxIH/8plTODdsR cPxLwtqxKF7vQ++J41kBcG+BFiXI5csmgalRKfzU2zn0TbLmdWPK5WV6G1tpguxt/8jaNCrA8bro 1WJz9e4yEkNmPcAFijpTUnHAiLwFO9V+lQIw0KxDxKl22mlFT1zmX2bN+/zybn1yO6dAqBzs7Hq7 kJUH+vxfi1dq7BrSF1G2bn14HFzmaD/mqAwpqVt1WkFRgmAXDK3ji55c+9Xpw+qZLL5DYKasF8sM 56BzVZw23WHxHRaNrytM/lSnFZT3eWHQ3GMDVfYkPi0bxW5Ktacb3bzcDpUXgRqGUMUgvH0VxTdD 4GdV/fM3QDiiO2vuKHowhvoZR7grqWa1elHLVdW+3gZaA6VaW8nakk9lzslgsl/D8eCUmNtwpSXe z9wEe4RJ1PtSP/2rAdRuweTCUnNCZrH1ZwcriGM1DmJ+4LUcj0Yuyy4Y/ZgSEwsE3bD0qQkDDnVS MsqAXfdB3NQUHGd7QZFWfeE+lo+twatasZzRDDQzaVCpCC9/VH5eGYUxXeALyZcgTUGIvU9Yc8FS mD9ypLVYZO1rN42Cd2DdGd/A6wvCqY498OwVyaEu8IPXSw7kxnbyAPO9tttv2e+/1PwLSCtNVqPC GZSyHfmeF/HrtCmgWV5hgQ4ETqP6OKcC9/P7llNAofpU3TZah17zBaY5rouL9khOlyvOUP+zwfzp ryzMblp70At0RNvQH+9WsF0IURPdqt+zjeB9SIrYxkfvAkHli6/GeTsbKO/eu0pfb9WBRFC8eKGM iJ2bjjdg+ZuX/sO/XvQV0VFL5d/lKXv5219dRHJG5saKw3ExBoecJgu03kA9OxD37VaVcN+oZ5pP WJ4k3OJ0ELI8/j4WZIQcxvxp4/Vo2ThZd4SI23AM/5lRPwLMguWvpZzD1oFB2H8GEnLI+rvUNvXI 5mjACJJS/dR4RH+bqUkeQdSBEcGugkS/9SF4z9BhnubtnvxbUmzo2Epr73VlwIy+ySBTTWe6kcfM U9StZlLy1rN/CWZkjFjN4I4yM7zc5U60o6d2zQ57hsjOEuv8w6aCQFHY0kpQ60NWtnmCZeLfJNML mdlkbFJAKwukx97OLEQQNiUlscwqQeoSeyPO3Ox+fhuwLsvBTfsDpuN5glZ+o0uaIrO44n4oxoJO lCBqSDHVMCRF8ua9DZe+l3y+GcnADGjKvGbnqF/P7T4FaUpiErk/ANu2XTUxs9Kkm0yib0zpMFk3 FOXOcFAPDUCI3GEHLi0ChEUjpc9KZ4TOY7k4jtbnHXJX5ep5Rusjv5nVCBQvsGPkHzxGvGhPmMlJ UFB8cn2oiFbwMzo8FSwtJfwMMIe/f+BIVzsnq3x8SKafZebGjY4DWtTHAjE58qfPlC5YdBfZgVdF uM2vX9d2Ys3jd1fOy8BbElawLOYNxGt7sZ4kGiGiXSHZ+4qFkAx8LSAd+SecaAgooLm6pUiGZV/Z uDjKQV11/U6SnEm6zU8sSQQ3w40uaqJsCZ0og5waFyPGPAbm2ey8zx9fNFxD/J1CHqQsFwQ1mW10 szDWG9TG0sXwzbwcE22922iwHW1ysFQxjdyf8GSKxCfpDhra9dtKoXD+GyhSqrhtoSUx813PrzrG arJEDYViuR86m41LcP3Q9rMk1K8BHBzKVZDTEtlJz0VxnGEbumZW+jsF6fU1+G5cs7ubn4Np8F+x Yc+wa9qEzhKjL5hB+GFTV1o9YSwfRlN0+5rzfKR+uPgvRXQSWC36yqsGsvr9B1ZvXloiuylapkdD 1hwiU1LKeWEE6Y4BqyBnXwqC0X5Gnauc42Allh1DSUbgFcrx7RvVnrDgHvqbUnzm/NxCVT5YWQ3K z6+znRwnvUDtbT0r5k1E/uIYamMXpBHw+KaugDd5zuG2WttYJtN38haUBdGSrLt6mGvtOJbIWhjT hfCb3Vr+GD4XF+jbGOpbSw89pL5aevBniVl+xT6UZfO3h3eR//6ZmTywGjb5HIazbSlo8EkCAFdI sEMmwES3WCzy1DrO8C+AlwsEPpYwuEE7q1qB4Ze/EKto2QXbwGCZhBuCZEzHk08iUy2g8q+x06MI rHtMex2zxcVn6HeYRlycvk8rIL7ZnlwI6ypLnWu/6BDLuGtHcyOVArXoEWi8eloNF8zrxAmjuOgf HtTAnix5W56OMAPVQ4thlDO3lMWkk5EwGgAwAllupD/cOmrVUulQ62en5tRAjibxvIYJ6AJmHMXu 8fRxJH9XtyGoldPOqoh1L2G/KUkTlf7maI4bMyMmKydeNr9L6W168PSHsJ1nupKa8S2UjrM+v7Ie fpXe7wa+4Avo4/op/XRqNHNezTq4eib6Ikt85uiOrayPuUD++FszkZM8fKvFJzu7skWA/NC1AKPe U4vZCw3BXR8Q+Ls1ywGewa9QZk8v+E54jo5xO4bux0niVbq5p5SX3rNDCghToNbVwCLOWwLObz1L 3R0cS8GPSeWCDLI+hhnrp9de/ACb6ni+JmRdcdk/3vd3jLGunOQVRtlCy+praJKW+hQQcR+Sl663 VhTM/zNMhYQmo0NadcSM6x8HjLZhfI/ZMgBIwZqHbSpRzvrmtQmPmMlofqkWLJqbzQWHks6Pkf6D gSKcvUmifVNsoxJfyxthn5RNESy+A43dSeCrgcK+0jRhhLZH4v50nhhNXYtsg3I3pWujpBMb8WPc MAeWvXwmETxAiOKIh+bWYpqFAhzf9AeG32bcTcqhs8nA2LVajxEhySOuCAylBx3yTOcHRxcehRle FRFa3FRXeal6JGIZeFRiakgLwhn5/7Q6WSfsM7Df/XHB/7BpfcxdlRO8EZcIlHc7mcFoEc/nArDt 0mOXouYqtwFlyiWQChME2b7aKrB4OoD3Jxij76e/xd3Ujs2TFlem0oV9xn83+x4Y3dKc3X9zrJVy QHYnLu6yGiPuHvKLF0PseZZv9c80LuSyF8N7+Am0TDNYMnHY0/X6UdK3+BkOcVk2unzw6lYLpRAD X2DIWkXANurYZkoeyqwOm91N/fuf1X177LUsCv3Tm0HNhzYf8Nm4wAP086kf6DuGQZsqQ5e12Hxt F1UqbwKFU5R/mO9wh0PY5CK5enn1DwHd4GiDZ7jnlLoCkokL3s+bjJPeJjcFQzl0LzHt74/fsotp MhLChtoMs95XOYN2Ew5RNfiA9aW6QlrjKMJP5IREmKsCa3sGKbojcVPxZZf2lBKwQzcsR1jmUkYw mdO+qLbIrR6IMY+BuW8Jl9OVQX5K6zDjlqBwRZJW04H51LfDYiPreH8yXCoVssCCE83+gV3R33/L R2ed6jAAniK+oWAeTlHfhLCydmtk9K5jedLJL2wTCozUF9QZ1pd8Z401wd0q2TFgNbQcwm9hxCQL rs98+UQL4NCzL1sNJA9c8VmEeFvuL6ctY2+oH9hdpeWnsmOI47S97Q9XWlvLZdvE1h4wHio+DJYc Y9LLBpq8Pqf3n55xWM4Vf3micFwZdkAMBvJ1EHzk37v7FPaBJ6BFJ31apvt7VZt1np6PBKgC1gmo QbsEl8DdHv9OvMurvl5IetvBa/80c/+UYeObZZeBih3REvfBzq4+q55pN1Km5inHkOY26KIWRoEW e4TX8i0zMClV6XKXChhF6ncqfFT1fZ0+cqOT8G9LKm4eIMw+WsQECw8gQU1n5ucxdB/5pZQ+6dSU YI/Gq2bSRWROJWxB+nigatSJ9TnJ9gxPEXjoKf10/5E9ziRO9rkbRBp+iwoAkqAeUWd3JwHWPaJw 4NangCzSxk4LswXBpJn6AAJsjZFciU1BKrdOehDXUmrCjsEk4NgA0cbjJSC2NnkIh1Hdzf5kSRTX j3pFI4jA7Iyu1RkZmhitO3VxQFKhpxsGanRuf4hy5E3X+3ND3iJX0EQlUBo3YMZ9OcUJTYlNClni 9vxfxNhKWtLaqEawmSudtQufrvRDbkoasnKGUYKyUh3FlJD6GnovOqoq7yqDSyMQ6lIYBfSmWdyi 8zVomz7kpP4zyTpCWsTZMticaZFH7SDYM7SOIoiWXVnq+y7Qw7Q1BUmBBRMQr6EFbtdvYZaCvAxA 0odmEZmRXKwUbnROmODeseE1l69ROzXBgc4yM+I9JvGDmIZcAcKP8/E9sH1O5tfFdfSS9Eq3iQ7c ZTQSFpYrzzgszAoluQKYFf8ONJKKQw/eqmxscTUf6N1hs9IdY0OjSVaxzcfEOcVuvLinkm6QdBOU JOJE/J3at5KdT0V9K0jyizhl3lftb8HOEOVhhnc/ewDgDGsA2jbcOAJld5bpBMyJvgr05uJP7IqR nyqDJ7mviB0w+GLrvoul9iMCj+vvlnor8zdlANuhPQY6ivYCwr7vHaRA7RHHnySWXy6ZpcnhzwNk yubjHcZEkIPkG3nF2NTHGh4eWI4O9Q8f+SnNFRKrpJahcyzYM99HDTnecfnnjbnV7Cy+1NS+6Egu pVhuaTVEtyDJjDL31PRxV8EmGEalLRPyveejKZ6Kgqzb46S93rOD9tZYqzGbLNH1zt9pvwL6CzYw kM2fxIIQF305tut92qm3Lbcfh9IMvuRN5VYPWG8I+FAIo+x3A59I+XDTlBAnzL8XJkp8cn7IkEda hhCMzx4PvoCpSRc5qcmbArMpKeHinyhGjJCJ6XG3j4bDtSnd1AGtfZkMQOONi41ZhtVDJlfEXZ6k EtvSKdpqmzrXdyAs5y4a2T6yq7Xh9CaSYHwjWu1qOM6LjVh3NwwjBSoY4IHWliQdJy59iNWktCd0 UXAt94qBexucw90iGExu1yKnPiRkT0qGi/QbtzdAlBrwVSI09p95lIKP/IMXD/89TZMs/bZ/IkZy 0NHCB19cOJKYOP8jmtQGIvoH6ypD/4CLb1aWiMezniW3XskyqQT5auT/r2AbcUn3d6m4ottOEgDW pGxMwqA7BUKr8hZyeBRuUsaYbOWi1zOgxuOljup6NAo41a87XBWKHqHow3OgG813f8Xi1kQ7LiFI wTwT7NgkKO1uQg0Awt3qfKcEOx+Yo6eIVdHg0te8ywpjmz6hKNUlfn3D7dO8NpIFp4vFHnJtY8uF 1gk5FNHaIVPOEIts6PpKl4vcoh3hmf+hTT3qbRNWhmhQnXpmBaS5K8oTqFVHBZS+doVtYMgWu0iK hy5RTYX9HKKjCRgTYIK1vJVHTflzyjCR6h9t7ob1iOIZU0Q4XQypqasytXG+ebchQyehXZKr75Pt ABBcubozA9ZVC9OjzmAjgr/+1TQF79egWJPDcDHev3LG3TOrqFxSvi37BhpFGVAN2BY0DaJ0CLvY 27Qto0RMA0q36EQGcmDs1xksZWCLmXdb904OrYQ+PFj5GX/tELBU6yuBWNt60HqhJjHqxJCt0xI5 lZoi1ZN2ud7RaNKVKurMrIrz7nZoYK+bGo+8NrT5adur+y6Lqt80h3t6nvrIe54tw/g/xsmo+QMk tKN3rNEyAFlYAsHBQmqyNGFId5c9ZCUdi8yFDHHrBycWwlKRaVvXDDbz6WPFY3rTPrLHcj7yw0m2 17hZygJBNis5XaOwiaJDYnSdr4Q7VcXUw9odfbUfp63m6Cqy3+1i/+9xNck2p9fDA+gYRwwRvfPk Zh8WWK+RXEbgDvfwd3r93qvSFxFS5iVCfS+cceJO7XerUoOEH1bcKDwhyeEs6u2D/Mpad5Wrl1p7 Eo/ZPz2G3jTj+Is4fNJC2IPtAZPDfpshcCc1RhL28egi9nH+FrRO2VcYpZnysD/YQRxhinjqGikE W04Jh87kWpf56ZRechx3JuFx1jt+ycMqF8XWb+tXWvpRMh1FJQJffhEZoAd3CsX2Tgc2kROmcQjF jd2zPiMA7uU6NGr3O859Ol8u3QwVZ+1orroAsp30Z7Ayp4oE0JC5KO94NPXFkTv2XZW9jRsku0Qr Mwqe271n6SrfrmLlE6AFUbjkNQisQOama0h2i3aCuZzVr0t0eYyipgCrbobPZnDHYeSG9lW6zTA7 YYg9dBBZ9GayZyKeYfToFiwUJ4z2gREAs9ymwHAplkuxxq+6/QMBMug1LPYFVtXGcFrktLO/5ORT hwaS1cnwmGNDBfa9tW+Gat7sWsCCFF51pHtsIiLycfwKltk5j5MnKLAMxExz6uLWFCrmv0Qq/6hM gqdILg1OjYcqRQ5unImrf/lHVP8UISYPCNr+0dHllfT59Vqi0ixmysWfnOpDwsqV9TPqCusXaLwW CFSo5P+JP2BnGbKCWH23Dt1E79pBU2aL2nht8Dri7+GzwPz93kTRcAEYcmDPjeKL7N/YonQNxg7R Qg5A5ejGydhzz+AAov3McYpuwh49n4IFsMgPIOXJjPAvFM0iN2twZKSRwHWs9HkkgEpN6nn8GMI/ ejszzYMkW+LsAHEHd6Gs+UjR+K6RwPQ2Lb/J4RH0JgVlA8idk/z5I7oFAJZTtzXGTToNbVJ5KYSy EzDZenaPrAAsawA3DYQn6Osuursqs1kVPwa9J9tG/NRlKeuNlYNDXex5/NxKRwNemYWN9CNPVEAf yfryGU47FQSrmEXtsU/vkJSJCNX4fU6YsEciPoZF4SIc9eHFOr8VLsIA1sizLBbjo7+5j6f8xCBM Zn9A6VauDzWWsM8oPA3mOFQ1dxrAMjdLVNxEefR+pCx8Ofs82tjnna+ZzGHfixa1sVHHOu7YpXPq uSH4DZDFFEx4+VIC8st+WzrakaWa8f+jccq9WX5I+luMYwSfRtDlVNYJlicL/UX86nddFQJG5h/l aemLJgZL5UFFEU+hGYbdZuxlZZ18dMGxsa9Fv2QcXlwWrUJyG8Kw9+GFm7PoHscJjU8G1eQVpYon lfTLfDEyhRxmn29iteoT4Kr1Tj50Wo/WS/nqbNQulz3zdQB9A1+QX8PTiiUk5wl/0CnoSD9kQth+ o40KGfXgKNhvkzDi0CqGb6KKGyJ+RlC0xlRqPR2ya1NaLTLZm66slvOkb6vgM6yftCtqL466D1Zu TJ19dBXR+pgSEw/19zDCgHpVvOiBYAL6ZRBZQ0yuVKKnqgYkH/eNZ8D9YIh7eXH7am4W4y6Cy6Fe 4VA34jkFJjQ9yVJgBo3KZdMIvVzHhRzvuRzX0DsWyCLZzL/FSpS6OM7y8AluvvJQVQYIvwTPo4Gm L2EMQNE0I6jHnYb5aDk/rfVfLF2s8V4GArX6baApH1XxOTRMrOT/047SaFXlI43zRYJHvnJwKSYR kyABKQ07+o+0C8ALQ10P7+1PKUat7Aakn0dpgcSCIu5aSO6plnLE83g2Fi/YOWodt/wl5F1Na5YB NSk5YjyGLpLfCRA4xKkNA2CQZgCBqr+2JR03mi8eVjqYvpOM06Q38B3ot3Iwmllwd0qIyS4J+Shq PkUAfFJxsNVK4tbC5JZyDwD2yhAhXF7T+6fhuUx0SuM0C80ltRe8jSOCoCBJXby15kzZaqrwlT0v 08g+RhSmNL1XIadHGsjFt1//YyZPx2P1t37tBteDvyRt70+tzrrpIlvlAC//MtsG1kfBhIa1V6Jt 4hjTCPgIcBRa8KPKcwsjAYb1uan0Pz+S+L+aNh0x8VaI31TPCJwc1JOf+HvH9y0vuFDQQe0rhf66 xFaixDvuF3wvarukm6D7dpLsTQzAENM4f9rOjASFVVubIDwtz71RRFDFXgZVUa1yh8MAROotPKoy UdAQMQ7wo/BipSK8/vbpVVrY2K6xfolPnVN22OsGwHwuUWILZOhBduU+6C3+PuYGhFAAOTZSAEcV +YviMhxkuCh65GN/5y0bB/HVOlJHn06ww8YYZl4rwcYTEzs8EHcLXtNr3vwgm3v1sSRQDMRhw2oW IVcLH8/F69iCQf7KIx7aQUQQZlOGRbnFhiIsZB+pj3QJfO4IloiOP3Hd7F5lwECCUVW7Y+ZgBnTi 3CajPmT5zxkA1B7X8/Nlw+UWStfoyaCPycovoaQAql1Zru1GAIRhJEkiMECTE9A06SHFKVBjJ6/S qCCH3yByrQ9RREXv/Sq08K8evVRvsjXUxLsEO+xd5KNsOBwQ0c1WOygBc6VBzgxLLPKLtOEM1svz 22h+FSDqvrtZgG5aPjeFET870YQVijEUvG+nUroQVFQebjR9uWiVkRH94mpQXchCHx0RU6nsJuse 0p8F6SqaoHEKWcRPm7jzeEycPU9YTXIP5F1FMdsQjHxsSolb42ZVV1AsT8Pj2m0in/VBprIQUb3U c05uYzwjZ+ypOaY3cNjrknZvzd/NRoJuwDIxNP95TKguP4wtVUZaJx8WFh+ECJaVhZFLp04dZCRE ADGge1vmQKzATLHp2Me4ghogXPlXudwHDmf49sl5jXW2UfvaEyqgWKxuh94ITf2gzMuk7/HhpJFX n9nhFRifsnW/kl87KP4ofy0aHRsPL/QzqVAf5CgnYLCg1tXShvT7Ca/H6ozpjumsO0DbNeTqeYPQ 6vcE3wxm+PZJTpDR+WShvEANgcVEbFpsHTs5779SikWZBn1vXS+Yy97Spmi9FLY/sjasVG6/meD7 3Fhqlc0KQWNByyIeAxGOWqD9n9d+ErnUJb2/DegXL2yOMCsvkAU/2M2ONRJmJ42FA/6ODtaaHjZ0 w9Zwc0/H/m0pxsCoME7u42waJIMYs+hqZK5slOE1gEQi8+7BUHKuW3VNZBFllpfgrZmMXDL1DZqv NV85wM0ghLI1nkiI7fdLIWGrSlq9m8K8SROMn2Tc7MSZL/AYn01rxAKT7QEyuKfQiVA3ceXs0eKB IyBZXlka7Vy6w2aGBFffKSsLDfCwI8BmlAoQrwgszss2s1FRd7MRb0ujVcUAFHITadUGvKkajXRZ N2BCQVlErau9j6Xnfib/1jpRVS+wyWlTgVbIK0pVdxzmjegR+8qai5oAMbEnFhI7+/am3famSoD4 78ZNfho9Wo/LZGJGrQ/cGXdZN5lNKbJWuZmiuBrxmsWnsTLnQHlHJccreLO4Dz2amP2rjga29E1h /2yP77ddNkgwRGPMaHLpGNlw6qAk6k4p85wVFROXgK8S9HGdVU1nYq1L/G/zBjhpKJXQxrQc4N6s 1Yd87iA8b/MmiqiVmo5PfHN5oy1+Yk7KP5tNOkEP0a+ylBXctsa0krVJbZXqeFfLVLSa9PWBRFK7 Fn4OCCbBRGOED99CdVpY2EdzP414ITeQ8qs7tOw0uSCZ1P5eI5DRINAXbuRwNLUDLz0q27L/I8YV RvcsqcucG/2P3sNTYKJyOWMfd8J/r9/AkE750pTC4HPAbCNpL36auYesgcY3Cb73ZGHRZxNWNeo4 D3vNohHTKkS+XitH50SmxB0GGT3Iwg+Ip/6roWz/CpllhSAHtgjMaCwD+PtCXMRg5YXruivhbuwn icxYGOxjGIz+X+GhrFIFaY7yhR2hPcTKf7JJGh7fUKypiczFhGrQhETRbUCr/pdcjp0eyhvML1wV 8uq8QC5ZojuP4iRty80KrhB5DMCCpaqN5M01zHcY5Ydr5Ph3usI2jMhiYqyEnChOYHGxnmrLgasY sNsT0bMWXuYZvCJYpztywawjXaJ0jJgYxtrli/liUqj9cfyV9FvWl+RyfOSwTIHk7IKawwzxpgom YRxFIbzrwD/40klpLwU7E+FyKc02Y3Y7ylM+0VvIvvgtASb1DujSDLPfPlSWJULk/BChPU7XqM9a mKA8+V2oKMIivkRiwTTaYWp4vJmEthIfgLozJJGEdMRW3Wflc/JIVi6uOrAa3KQOBscNZhZfYMOX xXBqqnrOJxcc50kzNdMgTf/fyqCM5i32yxzpL5C+l+Cx5O8RmkXuKP6zdyRbwmsF2GcvLK1f0htj XUKLBv9nL3EeygnODezpQ6bUFel4GHuXO1RvoYwZzN4Lmfun8Vbg3kJ3MauE8mux1WZrnb5wUfcg 6fz7vnl9CcLXe+YDMwP4WWJY2kJkGJlU0PNRzQxDA/+eE3J8H2dh8tiynaVP6glTU/ZLGEoRXGGH 0IDVoeiZk5peuFGm0RJKeUTepvYuRn04nPVbLq0anbr1vYF6IZkZ97fvHy9bwg3ArnrQVo2K/v2/ 33aLhMPYxvzpExMvZKFv+BeqfSWC5YwbUKz4Sz/A2bbt05Pr+nszlLMYYdL2BwR92afZNA9aGwK+ Wvtr+Fnfjr4APV7Q9jtlcB1rZNt2GtxFTmdqcybWwWrvExF3QaYFOjlw6AjXvrdqJIjNgls5/yR0 5tZcMFgceygGgjAmRV/CDjeMjJJwBQapEPhVusBj2TBJGEZLxxbnCK1WH/ex/pNqaNWXgXYqJPCx fjhg9VjrmQwvT7k6IDyXQ07KLKRGTS7T2GLSqsUasYpS/IkBkxenBpaYBpXoD2AFekkzUKrUtMGG NtAST3Wml3u53PQhjU7YGmh8yD3tMF8r0K+/tLpx0y0Wk0rjWPvK0if1/5b1/6MeUf8uS84kU6y+ WqnH0ZbMB5DYP8Bt6noON5bWZrGoQLNRK+Yovv1Uy6eqQY7RSJn2GZU5l+SU9kKB5xKweSsEtuQT extlECqQY1QCYyf4df5zV2Ph73cjV9QWM8UGT1ea1wMnx2giBJ6Z2tj5rL0G4FiUSa/LNw2xLsSJ g+e6Cy+BR3U2Hls5Qhm43Bdf4Ek80frQCzFe/klLAngSRr4tOBV9VKAYAd45yaHw45EdSCOG9U66 BJMCRtWktsUfwH71DRC8Jm371UM+b8bZD/n9l0nqfvDUx7dlPo94iZJfIfXWkn12pUZ6rkE9PrFh R5pbTMrcER8tQrQyFi9oGWqm/uPcjtJNVPRf92L0/PDo3Dy5fGEW9XVOFLCM13ci53bWb1KbGhh9 FQONF/qA+KJA0r6Gj6xfEMnc8wrKpJ/U/KFacxgVUYSxKiaWO/8ZvovK8UUVFMcyJ5uwJcagT8zi G/pGQslh7Vr32pzDW/C9q3P/lFZ+n+AOMfoIhYIYL1BQMVsVvIiSMgmoKLvdd0ANdYNXgXwFaepj H6KxfYPapoPdLU6jOd9Gpw9hc6BhrOT4H7EOYNpWy0PLgdJsd/oxdKVkH/Saq9CHyrv4QDRICe6P oWgXTNRC53eE2xDJM589KRYxT5pQFF0zYSHAPlUDyagguJuhpCxMQ5X6F/tyB0k26S7m5Xzw4Lke dHQ6q+rXzAaCZNqMcvyqWplyuoMLJLGHthaKX+33X2DYwXDKR17zVPOPEtDuCtPhHE4uW7eFYXqK gX4AH268gzYde4PWOCLBjkF2FSZPIV5fVvyTH8x6CbMsIMR+mghrT3KQfxfjjfY7XlSOkpdBNIw1 +xrG/TYHEGYdOw4VwRdAZjY+oBeRdwTBQsi3AgcJdr8bFGh12q0OVrQAAn0/giToC+n8ED9QWIiF Wu+lueVyeSyF5h4LmWT4C8gU2QdoEJjERgCbS4KnasknWUIkxnWtfwx6vOdu+4frqSmjgP05rAl/ 3Uj2hfwLSmO2UJr8X8GW9T7EFHusoR4hzQp07DO3/s563XhlgwbgJFE4/yg6Ug9cw5B1A4OcHj9y +ahmwA/v8lTdT78hhqjwVVq5NzrERXNAgTcyT9YX3B5lUuB6DqmeGbyMn8pJnO/Uu4+KloUZGE09 IP7pF6RiLoDh14WWD3SpU+UnKkrRx9M8xWEFvbI04I8r/o+vgNI9IJ2sRqRYRm4lqYPF7D1VmR1Q Y2gpzhkxqCq2Ap8BqVEeiJQmZlFhzxNFPkT2ZE1iF5KIcfadJsj2ROJJNp7aDsK0IXN7VgtXxT/B F1oGv2/bA+77p+7nSpl8072Lk2vyUCJOxsFd63O0eDIELo4c/j9AjudkkEyVYHliM4v4j8mMuWla HYTCgHXgALmITt558XegvaS7oDPGl3YTl1d9Dtdx5SoZoKFXkGtDnogioxkJsBQAVdIa7S9q52Bg zPI63tgwDa3cKQAoBgXQfDtEshKbLiOOywm87FzXBvkPtBwVnXBOK1zZ2H2Iqt6jV2cqfdSKkXtD P6ZzvxGuzgyWld6LQ+ruhH8z7POBX5D9T+1k9JpXgjBsfzMUm1K7GZPXNIuZ25N3MaVsSaluSgQk e5wumKZ7kgFBsLRSQCt6TeW3gFT/4xKYdPa8dT2IjbkTR+MP/4wEfLJ4yGLd2d1A0CV8R+vIaKKv J8Cm1tUFc/m3bCBNgIdG07J6KZTbhn5SlLVCg1618eNUo8yOkwJjbKQTei6jUMcY5PufQP5VJfu4 TZPRYpbGiA9DU3YY5igvZ6Zm8IwzxVFmhBeUdb3X1LWjsFYoZV2NnnoOsdmg3vqiAv/JZxB8tVil uWok7iwzOB4e7Unn2KLlyd+uN9J0rrOC30Wyulte4Mvog5EMvs+cd+pfB3CAnfYTJVx18WetWXpF INfx5gBjCNtjghdCFWfA3pcUFULVMpZC0wepudL+YG1fIuEtZmejQBValB2tH9PrXpLSd0gkki3c 4I7OPaRyc51vUrJMpUTdJlpukXKf7tw3gjPKHcw2zfsO6I0s1Oaim0enAIY528YV2KfrABdcojJs JGYa91pcGGWpV/LK3rF5dtVj9ODckVqnTt3sObMI4ajZjKibcupRwfv6IG5iu/OIby24byDzomdO IEnEqbMh/d7cNyithkQlO2EdFCQtkAUa9UC7o3lzSENn5c9ExtzpX5Wzx2A4mGJI1IWrp7Fx/ulj AryUUNql0jvBEmz4kUt/gYLHos0yJhf/cqyuCzygECIq5E+WiulNcs3NNJwb6CmSmHNZ8z/t7K0+ ijI6QaSgZ1CIDggs3APPKTV8bM8KeevNvRagXdQXCWpScyOij5Oh8ygXFRWv1cah0VHrmtwAKg/M UXZwu427FijPrGuDWxFHBnYIYoMIrPKIjulq9DDNhnFdqn+IbLmr7UjPCB42BpAolqkwSl9FsN1r l9lHPGLUYDw6a6j76znOG5sSGAEw1tgtbe7deoxOvCsX9Pk/5zauQNhovvDMU8khPT1P/ZdP39fp nYB1MxYJCHzK3Vtfq+GmKEd3ZPQaIJW/ANksHxJ/Va/EDpDSGAvbuv4RFUtwlagdKZe9bteQacV/ IjD3FEcT7flZvS+vaBRyfOAbqwxMkCSrI9v5vTO+zUkK0REUZHIQRz/RP7OZHYyK92y3HjGvJ04v a6RQonjk7l9alat4ifeXd4qAxamPoX7TRtgF7qrrJz3GoqVHyHy0HPcUyHSCq3EkBiuKLt1RK/Zt G0IleNE6fy7bkB5p1WrQQpRHr9Cai8tvRPvNOarjY4/GicZPFIoV4V4qGOi8RvROd2Hw0v1HWBGi sVHAsk8PQnBl2TioebCVAoFeOZUYH/j42ifHml/qUSBE57FB4SqlVQC0EBOFQXFEgiXihWOAE8gi URlNl46PVKhRtamk0WGlV5RJswtVM7b4BVomsXJsYJn5Vl8tQiAltGsFITcqDX9Qi9cLohzopulr A4nIS5GS/h2Zg/99VEIJ+qJkAs6SvMlJhAqJE12U66v607D3uJt2UiP4xLo7W9ZiDzH+pwek+Yuf 3JPxZMk+FN45o69m0by0xPLhwMBerkxO4r+EmOb7bfI5AYtUhI3aDV2eSPstEYEnRr18m0njV960 odujFNQ+Jn+T829QAUhXFN0ja5YGB85f7vD1Z+FxMxhYpy70OTRxCByrBmrw+9/BJoA8ftS4hosl 0mILDR8JpSe/2cdzQxP17lWzjLh1bIf/jxSkmOT7facnePb0RyMkiO1U/RkRusL0t1/IS2oV/Ak/ Zq0ppTNk4wNreMIrKnOzJNUQOY+bp7JxttwgFbJef9wnSrMYYe3rCxnFSKxggjpsWjF0wJrycRKU SjwphHpzDZ2X4P2/fOnojpoXz0JbKllL35q7TaMQppyuvk6vrDM7Xp4ibWd4p1zZeguBRAZpW1+0 H2tpTwOop06UJj6mIh8cUAcnoLhubbsPoeUFJR5+9Vo0h37XFm37B5We3Dv7GU+2n+4uD5ESUWOr GvB5z0mzXMEWm3utwFCqBsrbm68hDftdFCZi1J2A1kChIGJkYKWTpLxYGjqc/1lp7heYKIKQd1RI 2ecd9NfltaNOTAnpyxMwGNNVA/j5v5D67v5piBMbWAdFklVUGk4ZotChGF1OlubTN9NYDM2wCxW8 FiTIiOPsrYRoo35gurJTLsyN/RiGgWKPRDnlsGCasOfG1Z9pc4O34/7eDWDwGHcT9HVTpKYwWu5w 8JjQPF369hBp2MFle12VkcKlLk7N17q8nNEP53yeXyoMxmMdQGMYLxDjRTETNk9vkqB9ZF4zBHhy ln8lq1pimZu3AiriWUiXCtYf0OLivG2cCaocOMyntySNb5xqw5r6/urc4jAr8n1X7NHsVDkjsmAd N5no0TzCE6xfs2F6LBNiLQTwEgYOgOy7YDB7CNUnhzg3SUkl4w/WvWI/C6jqib4fUwCTobyl5n4e l7cEnbr/S4WEg+Z+3uT23wvNMT4Rh8unUpGMu3021LqhvalDmjWeRust0zv8lnUdaSfu4PWH+BkK TVkaX/2q9Wah5cXjKEVmsGk0ypeXHnsY7h3lv+GkzwpgDGS8gK94CZSkU9cnF3D79cipl3HF/oqH ULHEmBd0qqF0Fj9vgF0pgRojupq1qKRwWGjgX+OJTzaggk9GHs4IkiviRo+o4HXm45CLsw/t6iqn QB2p8In5v+6PXpavGU8RWit18y4fC9+UKRcCqRMjam9Fnhds93Id98pL6zmxvIYAiIBfMyuJXJU7 naM8IuiWey5WfOXt6h/O7VWn91JgEOKhxlAQcEKBpri+vTCGEgQYcM7jZTOtId8/uPq/RDVeRoRn L/G4MhY/pf+/pLn23F/sBXNkz62zZkaYbn8c+/KQ3aFruX3YULzLXUY9SI2O1KnMHWkWvPtA9qSn MrRf0IX3HStVt4eyMg8u2bu/Ess0YU2QObzpNzmVa1D8z8kxR2R+iqqHHNoyliuBoQtHYDWhf8w5 7qFZTiMF5sNpQ4o4+9b3HlgJsbAn9r4F5j95UFbkW12VOatLZ6OtvPbBX8BFq2pixwtPLJbk0xSb ADab5kNDiBGZf/ML3TM1m+RpiTCHFMf3vOQ15gbclQAf8HFo7Kdkbd1OI6Hn9mjotMB4qA7lO2Jj okVLw5I0vuv80bIZy4dfLZUAT8PDDmFF5h8XfmPuOAiwPd1lGT4qRmESaB4CIERdnib2KFmF7TjW SwC3F3zviFoFr8bB354SGIMY/QdRgh6utqD4nDL4SVgULiXWuykWtjPJy7X23SAHQLdcHxIbxlWn c8tKenU06j7owjTtVhKA+18LaSVIUGbTjksW6JuVj5BY/HLnSL+eaqKUS2MxJckeP1wNeEoKXYap ApXuL3kvldHXhXyBRJN0joKraUHp/pq8u2Fbl00Z/kWok0uERZe7kQKLdfuhRPLrPe7mJyD98+gS QFNNfZCAfBUxZkXGoEW9QviOdJ68j3wT1Mj2OaQ9yNaInolVAk8NF3fkfXoR6usCvzOBR10nYQ3C x7AK8owdGq6+fmAYZTsteyH7K5xBHC7kMxEgnPbo61eI0vhZLV5ZNaZ7h84Xm8z0x4IDmSxdo6gV 39NU0O53XW3+kRu2l/yI+oX8aYw4n7wJgc+HgwNz4D6iG55OxmdsONAYP08IKTH+rRUEPOJHAy3x EraNxf/7XRtAbuHTWjY7HP5JbrLPyCZI0fvjCnn4QP3pM71O4mejfIxtKlN/9IpPiZzY/glDLRm/ 81vvZCin6hsNSYhsVTXB003pycANrKCWcb8CMHCb68HkpCsngxMQCTTEHLrBkjBz3hoaRyrtjscA VGWESjaJffC0sCrybczyc2zTbjCL2UaKhTv0KYFz/QLqy6iuubvvH3udNrMl0Mke8359/byVIczI aPaF6GC17qKcUzyTWlUxN9pSoIW1LBCUmGMABIazynJealFI7zPYwXuhofyQ/dE4A2Lt+iwuKwBH swIoViCgkpnxVo0jHgzCppnAgi9db9uWzkvruld8RVxaZiYsi56NFF9Hfj6t8XPiIeKjiQYPepIy qi7/KV5JKAsonFCV8G6c1bfzndhGm8i9OZ8zkRCmhMx42yc8OwDpFjMSR4nS6LrDuq53YrJR0pCk Xvh3g16nrXAuNHyKwtE+yEQleXJTutPp2Ca8sFsJ5+DgYtgPFOPw+zpiaELHYoH09dvYLlbvnCdt UinLZb1bzTrrxu3/reeLWe2YpvE2jFzm+fVEdJFOnUBNZYZUdENUdsNmftJIPeQd1YCYNy1WiUSl 4P57vN1m+XdA1Qylmg0UtlkAi6t1bu+IaS/V6vNsfxxiUxi9zr4v9tpUWpT/JqwnK0DzkS1ObHrN wR5INWd3zR6TTYC4jbDbobYIp1KWT6hUv5bfP6HN84DWKZR47M4+dsbX2tNCHkonHGjsKyssjmE+ i9jNMdTNsnG8s+LOD8bxhQymY2+KxPQ1wraOOI8O/MtaFds9VIyTSEnvnivp4R7dLP/4U8seEALR dc7fZbDdoj2L5MGH5Kni0YpNHNAuRYO7rMzx9ewUr1iCBKPQ5p749EYsACBqzlB7/tRavh+qComn b/M1Hi1Ehl00yuWu7wLpjWZIZDnDESEgiTsYCildc/dMj9oEVwtPHmyInHYckWpAtmMBq7UTFujX XQRQyKp3O+RHTGqGAk4bNWuPqcg5Q4QubrCXdp1bayTEa48kNNwGCik8subEPDGEp0mT+mIItGo3 laMG8UtULFbghU5Xk9SIe2kDkuZqfCH24r5xvq9EIHlRwMiEAh+bbkhlr4MMIgemHQx73/PGeM+5 n/DinpMGOou3lDZpR3U46/WL8iLKWemU0HS5zBEp6ts4oFpzXBpmFyxi6fuXHy0ZmJm6TdPjw7X4 RQtrH+QwcX25FK9fU0jLlemwSxddwksebr2ds6z1PKC2DwrGXiyTi84h6Vpvuw2XZChdgYh4xVAl uIDwZf0da3Xv8x8Pq+lFnQBfc69Nk2LOKHafKMLQcFSrLPfC/t2FNSzL1MnTtLKHQZ5NSeR0/2sR r8M1533AxSX3JGxmCeQgE68claR2bdfCLy0qrQyb7WiRgqibr9M3TjcI9w6qiQ5/hi9Jb3S4SK5S GGPeMYNYrtJuQxEPlBpFUlwlDk0tAdpyM5DljKeSLYQSYvJUQ5dHafbN5U9qzTmyvcCG1bzyjO/H 9vphk/Xab/m3rDDgumgE6im2qpM+N/vCSS67+KsyVNPLCjUALbi9k6xkYyTR90zdEfgRSBqEukak PChQT6CAMz0qDktFSjOA/MikrXQzquTpU8sa7N1f4QZzyraKW6meCd0m/mLlBh38kTiozFiikcfY aGnwEsDp9tOAPmv68EdoNbu8TFVGdS0LIfZrJWhJwcSxZU5g/8WbOSkVZfKruY5mJQCyww06Nc7w Xnu2Jb37OHRJqRIpFbE20aqpBq4J8Nw3J5V3c+W1S2UoNDX+UG7v0HUbFLTuxlq/iay9blpMFi+3 eUFUQ89x7SH5zymYCHDh3UoTu+QHUqJX6Mv6ClmfT9I9MFMETXQdgHOnCKQmtIHBZLT4NGcwLQtR Nvel400XxulHinsD++ZZvUuvYSTaFmWzdoivFgH3I0i4fmmEa1of6VNJySrxsidzCU874CTYg92R ESL+PVUbx2Q7zPQK/gZIkC2gL6ywvDYWQXG3S/Kl25hpqT/Y5YPY4BXSs1Uk6APcH+94/MlK59jb hfxL0ukO8S+GLHusAwlrlTG43zlDFZoQLULUgHn2pG8FLkekHKwXxX7GfCBu+v093Aq7DfRgm0+L n/PDPxL+iSh3u81V9I7C8rcotYl7udlLzRbF2OWcZZM7tCG6cWgn1kLxpxO34kzcBj237OryNe4K Zhe8m60JS3GVxtyOoEtXaZ/6+XPfHuPESbePf2TeTiBGyc3uzCdj5rg8LvOPWtxn0IsAH2IkUYMS wMc9CESb/3aByfr9MFFmFegpmnvHFWufsHw5NxrHU/wl8ri42lZ9oc4lGBdld66f6y4BWQ1z9x55 C0ri5Gl4PzrxZNluZTYZlQHDCe6m0tgWVwVnJXGs8cM96zpxDA+O1jT2aLFLRLf4pInClnfBmd0A hf+83/XXoz+XNrolanMv9ukY0K0auw6Gh8dLf7Nhf9PxNiK8jUjb6LT2g4Rp73pWz5PLIN3FHCw1 xE/HUzvI34E00AOvtEQufvDYGQjY5clE9hl+s2QhY074qUSc81PBwpD96fl+shrJMcydC+vaYcFW 5tlD65ainKHzyJ3SlcxTQSn+K8hceZFz6I7K0LIEHSiUlDjMwS9rKBcldCNO7phjnd7Y5WHshb+F QYKDaHD50Xs+04+nO6BO3JH53yztGbvlkB/S/BVuR4CksBG2L7XNc7LzjwkqMmmBnaIHJuc0RMmT 8IL55XYIPsMT5SIdnTlsiIu+0Z+0zmewax6YbxgcI7N1V422MV+4FzU38O98pwk2tUDb10bIbUtY 9QvODS/hOEF4EK5WVc1GuJhZoPgLxdY93QboV+9x4OnAXipyTrhGVC8BlFjXpJlpuHXXLpvWNDoS u+sAtyBwY7myto0R0qgKKm6yag6xB0CBF+pS+wkt/5FXy6v6gXnCviiC6LJKdEnlyvGQB3LW6tqu 9rFn/v+bHi9GhsfVGOOFvhukcmlOpko2MdGqX1iBY8jr5k3D1dQ4vB/4MZyJWUEn3iJ5TfQV4unq PW+Uy3IBnvUHVfqKMXRDMN6y65NvMdrLemMqlMw7bkx1RQZt+/JyGhrshVjtzpmUrz6f2RAM1dPA IyOyWNLliwmvmrPZHqii/oMdbqh8JbS6B2Q7i9Ox8nY/trvJI61PlP/IyA+kg0DJgZviYRujBbcR Hxlwby9v8O0R9v9vT+fZZmfqlBqowV1yRSCNKxodu7wbJSLBhEfrZqMledPBnZlyT+rwI3YkIHUX NpKo4ifD7NfTFCHUKqalPeWVRLWeICmVQUBKWD86TFTerSn3hoOSF0VcBiVPzMnzDa7IriwUlDkK kz4o97GPc8dAWQ1uiwdoH/etWuNCxPlSXYzCwhiX664nNegfPPQSc7J178h9LtHHIUFVCC5E2SHs R2l6N6VxN4iGmOYkKLiunJxRsPk5vdPz0/qY1LPQ3ZGOUANLTphbTrbNDspgoxWG2GmWdvYGBSzR FxVs2bvpxyrLlgd0EwSwsO/GjcmFdqX0IDzOfaMOOTmIViTffUz595JcMRNyKnOyEKSDC1Ssox0n TIC6X8namebLWzbwDupQRnmjaLF+GqLSrtdqDq++soxdydXPQEio23HXQehtp/X/mmQa9GyFyEcz Rhe8EXuIQt81nnzCQ9fMyitnxlDq25+kygIRzlcSzUfjXZqvsp2Tr0bH2BaELRsXoXktxojcVE2m 4huZESuc6V33a7ezKt3g6qtJ7kB4hTpeSYo/e0V+egfkl0cj3cx5JBPDUk9dp2zvDg3nsLRKtX+s RdKKDoiMajvaWuLJUIaONRzsCt0M2XmR2Z7bOMGiz5eC5gvi4Iy7iQjkOff1DiJfgfuCfusQ+kLf mUC+YfnpbDmYvxjXHeufxf1woGeGc7a1q6MBZPcu2zY/9RNe3R3NBigT3AYYI9+fSUnJfFLqjL0x x+orDbzSiAKUUWhuBulXiOgCZqwVAEEU+R3P4RUJTM23zdWod1Ojt+lS2kxBE7ZqCeftWsP21G3q HcjEZb7akjO+SzFg3ye6xqsW40OgPdvW9jtJ0ySziNZa8M6IIXQkM6WHbjpJ9liVTu+kHdsRgkDv wHoPbs0Y9UpFKDCFD/Mu+oqCsZNdlpvkq8N+bVM5Zkse0SUCpGaAQWYqK8D6iv6qhou89XPEhsNw T1968PoByvly6Hj89SeKnPOKBdkJXmWDihmeWAAVsStKkSxSwZoXY7zGM24KwKBbU2WMj7wfhVpH lgrIjhuE4jI/yJLtCEk7Ouh8YlVOnFMwcB1HOXXx0fDHB5aQi1o9TlYQ1rh9lsYfgcqJUjAZBHss NKugN2j5azxfgYgsegzn3Ty8rU1maUaA/fWQh/EM/kvlNXiB8qEEO1D0bGLcUM7Ei8T+vY4KtTc0 evtyliNfvof9yJKiu0mWvtrxxxpBYxXtG26j9JVNulr60WjpaJ3sWCwK/DuVdtDLAq/ISf8xkXcS REv6BqKKwVHswAC0sDzA6eA1FLwbxrvd5jxSfEf3zDwj7TFSN1l+fssS0Yhh8mQ9ef/ePk/5zNIL ic5W7I3gZB5aS4Onlr7n85QRJKFO+7RRfMTDJ095rFx6KvwlCA9jMVEpDQ88auR32wfjuZevN+a4 EHK5HltLNcZNb2vIQkaH0Pevneik14eMUm+C1CCuZsvt59mSdvqGsuR8LiRy7F8gCsTvZPS3W+d5 4DSCNwbNmDmLaU+gBvyBizfRQtC+win6OnNkCJuct65XvEJEaRQPdTwi7KG/sBVFTuzNqSZ7QFdw jXCCzTM0nGNN9WhwHK5b0NjSsGCoUTG1gSzuZxUJx2q9vMI5FaubgDPUctFGPyk+PFFz94oaw5he X9AhmDXQVtHCFE1kHSkqr3+kdcF9wq8soD8EL0TcWfei9vfpVPMZWB1u81OjciX7Xvlrw9gFMwTa cOFGF80+xu5A4csWPa34crHWvXS8ruPV2IPkf+j6CqwvDQggjV3F4EwjbRwoqGnPl6RVa7jAgnWp w+nuzO0K6K1LMzBf17ADZzzAyz2RuZ6vvMdtAk3K3G4zhSUGtIrkqRiZEUlKv5rsGSVF+ds7vF0O VF11JAmVrPTRCP+4ENg0U7GBgrUEPEqAjLOnGfpXiNbo18hRZnQRyPf1dKPq05461ryVxIwP/Wzc AF9NvMkMfjONbl2yBLoQlCwc+chHiGI/6mZAcmM+ifCZeQw6Ij+Z+HQpbgNRaIobUVoSl94X60eR GtaQy1HKlICuhVkvPrQHREInpY70a7gdWKwlpfulzKD4QBCwIqZnb0BEU8f4EgHPTi2VO3+mW9x3 apKKsOW5vCyDBdS3oBEdQ5imOOlcWBDymoNn+peNZv0oyQ7FxPKqNuF2fXtOxLlNyAxmS5yl3IoE BJIiWNIKtIlthOaepDIw/oAARtSenAs2XULjChTRgq/ojnkbUhLuDNOJCX8M078OTFtZGSYHWF2r qYt58PchB5MfLJU/MdeAqXSfS4tq207evbbLW3bIlW3LlueyeNEEfSMiSPghigIB07GxjrNXBomK WvXKzcueIomt5RRUB6vSZVncwznauFfTVxJiLHM0JBzKcS+VRjblXbscXXGp203yn8TRVh4nxP5o mhRg0I7KPMXdyJTZaylf5j6lrI+Qq75/YKGCDeTpz0Z3nQLHOMP3Z0CtOnMjyoAC1AsGQMaag6hc SHSL31jM6hQ6GcfbqUFf/EG4irc5BjGE4l0HXC3fX/z+BY8fbjiF5MfrFd5RExpIuEYjbrYmWen5 t9DkJvRZENCnIIQfTjQtHRokBHvnSMKJq9tcAF42LxwuqKeSTIO4LAUYnN6FqdZSBrpCiCkY3BZk K8DBLSO1ft96x99eZhMPJ5x3EUo6jHsKzE10Xny6FtJ0XsysnOlXUcLOo/l54k3KIb6sXIcDAAbk 9v/TuMYEJ/XOjmk5h4Fe6HEDiGd1SZ3xVIt/BA0CLhmOYP+tWyzM+J2UTTiW9+7/Qy/d4/KLlqTX nj9/55neUoQLdXhG0Pntrx2Tt1GVdCeEJBgKyzh9Qj641+xVcSDdE8isPu44iZDhRy/3hWQSFCNK A33B16k4fxhfxi4KipKpubTyVhK09vBt9/3Vg6hQqIHVamhcm9e2+2G7EmlNGqomy4+t04kKYokx 3Ru1IYlcg0gOcv5wsmLi9WnHeAXjFxbGW14ARNq+U17WFsbHUzdc8puVxHKVxMnirRUpOEJ0HuF9 GczD4eoGT1VXfezQJiEl8AWSU4R/kgPZZPm2j17hrvYNYsOVTsSQuBc4hCDZQVPLRqKLqJI9ctGc bo426X1kgwIyNNbpCp/dvwadsoRJgSkwOdFh/O3bBIUAxyslNHM9JZhdZigGdINa3YwbTy45vL7E IXyjksnnJNLpitbVDyjAlgtCtW91pfA2rrtUGzOPwEUSISDkkjQZLx+2VpSrdXuu2mGLXUYr/FsB XRpTe+I0tO7MxiN7VCVYlAFgsJ8w/zN+RClghut4KXkHyGJNt+1nlkKtbVRb7XiG0zYugro6jPip c0cmSaM5xSGBYZffKGwobvafoiNCRycdVKC3N7YFnVth5y9AEX88eqO69wBl+opXAOW4/Or8pLUY NVEF5dRmwyZtqRxoUiNYIdWV1OVLBVsh0Lzf3EFRZh0Ovi5XtzWWyDaDJurepkXBRVOXrqhZj4/C Jfq0XaLxQztAH/XwVIc/UIgnBPi0KZjVIUsSIptYv5p1N82nsUDvkYQTw6wYVfPBd7UjH0+KQKDx jIrmmQ6SX8H8NeYrtSoA8MEcnLLj0Axl7nMBb0Wj5exZ4qbJNZui8R16Q8RJBTjhPpkGQ7Lquwpu 4XU2BA2JZevLgjp+VlkE1RdeuaprD/eyqNE9ciJDr9a8a6acGDUGNa7IolRkHO4HKl0dbdxh61cT cxH4ZtUAKiI7p/QkrQm4a6qUm5rslZ6DE58pVkKH6gjCymIA/dNPdTrzdRA830Gb40+z0J+Ivphf 4pOnPBYTHpPcxzJfFvbBJ27l9EXDLKooJ4SrxEFSFkXqYbKsMzTVuUemgLFT6nKzcEHi6SPW97kq mexCnttZVKHshq736klhZcvnNfanVJS3p0F/kpVNC+VMObzAMcZPcADsSGChNAC2Baz/7FOcWRKE YNE69iq1eQhgV12SAKvHhG2eoSI8DLudVSQzFqzeDt2CrIpv6/lMWotftI5z3Ni+HG0+Fta/5cqc 7FfbwCJBfD4ds33H79RN2dhdY0syvaHmNyXG3SK+4fdRkWT1e7CeoZJm1NZ7rY6cnUf/4srlq2h6 dnu8oxDiRFxd9g53RsLiq1bmOiFHNOGQJ1JRqzISSwWx4PjFP0L7DYKQMEiaSDj4hCiNivvoLA5B 9EFeKxw0hugyKi3irdrkw6p6h3oq+iRZuTznpYXZaEY+2oyiKj1+t+8ztWTxLKqXTG2RHjBjyzCB EeLHuwdtKGnBQoyL5ppP4j8lgXcwtqgBCwrCXllAFKq3C5KFUB6bFJNgvHfI+OTJ9OoDPfuuOI96 5a6KNvAQD0B4u7bT8aqQDixCVtu4hqF26wisPCby1mdrA+tVQMTW481+BX/Z7zBnnTOFFH7JxPvN wfecUxQG3V844wPsHx+NYzQR7b+2xEDLc19j87DrkMiQJnukIu53Ira4SAUorYECC80hzjnCBKMT RkqHzOISmbSzD7QJnB1ifR3V8lEZuxF/t+koRU5IXNkbyXrGO+LFja9OGYBde2V2UPOT3483BFBB VJmgL9UcjEpPdl7BlByCINTFIWngg39nzjuCmSZ6v7bNzREOXAdijPuaMWscfzuKfMf9UTQQDVH/ Zuy6qu9UHIDdpmZ5nx7qVSMDc8RAnbgAMrkEYFcpHm1G4iQZSEb+zZTSd6inAhWwDqGFOvsSv2h2 /bv0jZbQYaBtj+xgj1z4sfK8xKvb0bubN5904nfbnuoUaPh9v/UuwCtmnZtmQ5NA6pe73BsvQT9G 0y9kDAA+nhGeehiQbd9XG7DOZNOyU+2PKsLauRHIVe6dG4domiPnxq8f0tnqStqbfJoBk+MI8LAF 9V4UDB6kLkeQlUcIqEtTwMiW6pH4nSJgW7w7GD08lZlXWQ+lzRhNWIjsp5RRVxTrL7ZXtylVAVvw RVMeRVSkxW0LJGYKQ+WWPOecsP/pveZe8pNnNjOWbubohVL0NU7TuZ/54gHSgBcIvsut3fqOtoVr aap/jHuXCRDuOMHlPYUOGo2B/IrTE7zk30FobVoXMO+zuCznZH9aflPZ0nOX3he4OZeS8olYymT8 +WCX9lpTn8XCp/vybcj7R+YV6Ej85gHU6ruUg5cTHW+EIZLiDhX/t9+t897gW57yYDSIdQu+ZTh2 a7AL3aWM7zXPsLbPjRxl5OE8L3FG3dFRUBZIB29MRmQ6AerIU052+/M+/UKWTnYdotDz1vdzWJQf 2DtnHqdQ+pxUb4SHbkUvQ9gaQ9oZtkBLWs4dxGOfIZYRHXZ41nZ6rF2QdJel1QjmqZJz+JhW1/mM ina+URcwaLqZJErnwQ103UHCW61iiyxr+PWZNn8+qF8elGWTxPPGqrLjV/6MVP2Xug0suW62rUpu aJZDGMzvAmPhorC2cjgHLxVCppGLSDsIk0Yro3QrJVernqx/c5+LwWbeX1s7Ivy7dId6nCUItJP3 hbYzgge4Lnu3Id+m+jLfoepJTMD0Dmp+HJBIaVhN6maJjcEOTMZmn1C8T9zvdmF+g7us+mdRNjDj rKTctz6RFynWr+e0Qmaju+WP4mw6koyfua0h0xNmG2R3aZpvp+WKxBHORl+HToOrhttnBx2sHVEM QJ8pEt3maf95XyzuqsFRj0cuJwF3GJs66jVZxKRENdmq/nEVQO//TDYAaqDBlzwdCpdrnq6kaS3H mzTnyQuDdG6YNeebWgPtEkI61hrezYmYf4xqhX8lqJ7+za+aJVbjEqsLRZCGYJJk01d+sZSazPsj ZRPx2qIm/LSrbLVur3txFnqPRboC0Q7taTuMiQ6oNsJpWFX6CMUrW0UuZPSXjQAooYUMQgd8bdE/ NaVsB5RAxj4VSQemV4OEXjhHObrHeOnuvIU5H9kNAl7vq0jcLsIjhMJZDebh7DLIKna5OpnkPAjx 8bjIbKVPdmTAjcJGGAXo3kluFc0wZuODQfFkOFx8IdxFCZrFUehjoamCMtfE+Uu5buvuO0mKHfF7 q4sAOOXACYtTpEW41S5KDb+uy2Ki99P+TtZBuITrKTFW2Swrj4xwyS36CmGR25HCXt78v+cZ+s2z pY65lCCYbsV14SeZ2iLozTxoJlCoPB54YxKWxyz+olEj78eqh4+qg10O6Yucdkp56iPujyp+mcdU K0P3mszayzelIQXSw1t6dl0vAxo6Cu/xdfXGbv83jfOifxYoCeL1MeAvTLq7x92urgC+aDqoWEy1 81Rac99y/wrj0Jm3qsOgOg+ksaLh6NleelVETjLIlVtUovWLvz8KlNOaWmFVbKYzAOiBaAY21tAZ Q5uIBX49CJufJ02nPUThK1ba0nqNW8Enppj4egkbpPqjdnun+Z3O16AfoAuZVq0tIUqhjORADE4T 7GD1fuWtNTXiFGumLH+tsO8Im4qBqyDYeEcRm58BPkSMB3G1J+pS9a3+MJqYKtFU/dwPjWqNAABC QCZG3aAlWAzuQLeUoBfnLJ3JOVwRq/ddIukAjqHDpE+Nfhv24YZSbn/ANyNpvytC62Hg2WquxuS5 I0T6U14aWoQ0nA2rogm3vLmc2C2o+erktgIUU4M1ajN4eQUcXovbVvkIXB4izkjmqWp3qX2d5Sn1 He1TqBtYL/7y5H12z1RKyzJiYPycrJLis+ycUzEdXRU58NQFjRqltGcWmGeZb37yLb4IOmOC9qk7 lZw5I+K/iMiP/mPaeQE3wbQ1kH5exzGfNRUO1XbGKvgrC79F5EBe0HHEMqcPDv1pfx0BYV4U1loW bOkmGe+TCHUffSStg8UrC2sfPh8QQ007Yck4H51uyibZUFIh5tEHXr2+T1P4PsEK1COzF7sH7yln +tcknk7vWO3HEfuGfPj157jfwM4KwMymVchRN6IhQETjH1j0aeBPlTUaGQUWUu545mqWMV/ukBn/ Cz2yRXl8JGviLk3z5zUTatVe8BcRiM/uQoDHBcj52NtrPyqAa7HrSYc4p39SMmpbzz/hfxJCgwJW kgZV34f0LkOvIOyAKaDmNW9cMLFlVDZHON+Xhui94p55Um1sbRGwdlRKPslABpMowprd6NeK3P8r Fc6IozCm6/LrKqGMY3Oj8OM6uvXpfH/JcUrEGQPxFqNWt7k5B/QZieyedvRrBrUY39VgLwyU3oug Islsae5h5qKtX7imtPiH2/AeHNjr3rC5v8mec89jA7g9FsuMDnif/7rLcktxxY3rjlCzsnkjcUgv h4jmM7TiKauZCUe/CuP7qYK3G18rFK/+lSCVd8/AS9ECfAqcMIkctTmvkGWMAuhuh14/I9wgH9Ei 97mGthbOjSaTVFSlNoatnCVutfekhY1ERu1F0FC8j+VoMUzXfk9NcymJdAswnUotKclgtLW1wbnV zS1tB7KqrHceMNuIm4IM0VDAkQVZ/DyfzwJk7tRg+ModUA469mvjoJL2LbjEgatdbsDh5YXmlSv8 33Kgxk2932TF5X9w1dhUTphOtlvBxKt9KwDHrLkwCGiFckKByywNAMQ2DiyPb3m4qwVCiKxaQfuu wtmpYIXOKdeNeC/wEzuFXnU3LhFYLObRUHvZffWW4CMs6M7zHq+kc4FAPsGkQihan8SeUGJtg7vP +OevdrrD3+9VnLqTP4q26Mf9xwLCZyya/qpdviYMwb2WeqNv2oMe/0HX1l3038o8s5xrUudQgf1A ck2kOLR/O0Kofg++5zHDjVO0qZp3I+LwnS8+duIn3UAGlGINxgggrXH/1VoQTgClSNZfmGJ7uewA AIyYcg8peXWRSMBBLi7KG5uF6QZv2n7JoBqRd+DpcM8eTJvt9J4qkEZElNEqlCv8CHt09tpUOgdy N8iTE9R445PHQIQmsAFJCPnGdBal00KAGM5C5kZ5gyFhGJP1TFqG3DWI+j1CBWHu7vdTiqLhRYny IZai33hxGg/kg1Y54AN8syZOTbfciC4B8UNsTli7qWLF4RzJ0XH8cuWmjeHk138gkXE2e2CfxXAW cdA3r0AY9jyCQSUA9YV8D5NOIVN2KKd+tC/CZqP/Z2HaAynwoyDwsHjXLmvv5uz9smdbqIPbhPED YO/nb480WFx5LWK4mSCyrki+aQrEma62PpAw4dGsgQaazEQ2og3Fhf2g++ZVSffKhE025mQqtOqS stIyxObvoL2VlXrr6gtJd5gYDnKt3dOIpwDKQ1oT0TyR1Nh1I1UOMyw8ehb3FAsqsSuGfLkvXQ0a bt8S229dv4w415kjc72UvUTpmrat+wR41EOy+m9NrN8rXSK9zqPnXVK1xsvxxGjNiK+FqFqWAThm NqjCMOnz7xOE4Efotidk/nE0qTFNF5vFCSaQ/W7eM7dj0lr8vT5FhK/8K7+T/hrWpyhOQvNzw6cn 8hjAXbgTJL4kciiiZBtXUUN3gqd6bw9JHPJ7SebiErpS8MTbwzKgVXeUGDlMwcr/Ai5z3/JRyGal CXJQKPoYDGf0iUhipQWlepyFHXBudjm4VuegXzd7Rha7V6PD5nbY41VMSG/cQ0OhXqVMKGAA269U TLo1+VTCtPlGie6K2p7UK77md7Omo5PvFY1TAq2+xXtbWKXw0+tDNbgzJ982mIfu74BA50OrIQz6 xJhdg0fY+4is7uN7So7xapmBJhR8BTFImDd4pwLw3k8HkkFmxNfZmqAbJSgU873JU69ukQO4Mg/c 9vxT2gjNwXhLsOYeXQucMm5DjH3H/2SujQtwWDIBeQpyE363dRrEsamQze//dog8nGJNN84xz/vl tswdUdIrI9uHLD2T4yF2FXhnOR+rI7ePExwDAjvXVm+agqg5jtr17UJ01nNoO8lqYaW5Q8iFFklA 3g/mIn3joU7NnYr5Qx4WiLBR5TZGTYGhiwEh59kFtiihdPsUzRCJj5RHYH8q5M4BtH+IlCFZ5oGp XjocbVaXFvn9EarFS79TgiaUTUHhtg8/tZwvF5WmNe3sAmX7a7d0D2e0ZCx45g3dD1FhwpzB0VvN 2S92zyJHFSmz/egPtcVu9OPrNLwbQrJEfGgf7KWt/AnK3ejgaPER/GXnlZW91hCfar0E+Y0Sa9EC 9WTo8VzCYBSc50RxH+k3m2zT3Mh1MaUCdbmPj+F090VKbcs5zyff6KwcoBVPp99vhiImLEC/0Dm+ PHXbivSmnyuE+LSx6xCLwNflBMahIuWMJBbfiGGCy29xwhdf6Xw3D6XMXqzawi5vq43oSJy+QyfT 9Q5N5TtPoK5NKUtozzmqHwFHLcq0FsO7+uwN4JA7YqfgeTswMEM5ltYU3K8GTnjsVTSF1DazcmU0 sq3lB3ZYgli/hqG7RGD17qaPaZ9tbeHlionM0BrYZ1gM0yBfwCZ9wTnLCFIO+OXLM2kOlxpzWf3T 8R8oxINP+x/xr1BumFs/o04QxQmhkdtjvr0mBA3l7bSvd2Af+fbzEi3DZY8W0SDIS+NYWioqa1gX 1taFBJ86lvC+AuSP8umgNyw/8nDXkeqN66arQ1L3q8lb2mwcs7VnrYPL0+CcOFjeQGoRaR6IUWL4 a2HRHJsl0JAkvRq9zwTMRMxp7Gizgd+eSNOZ3uKmIpcFbVCdabS8nPkKp9nWm+VVra+eS0CI/fXS 8evjEIGk5Yc6Rnnk7j13096dyqL7fSnIypqIjexGSksmnS4S2VU96oJntRT5uOR8X3Z62fKQcddQ siy8LVlGySmDJcREtX91IDhabsEjHD+EUvNWDwqnv7+1K/HJOIiFOosov2SGmfZXeywU34Wx5/ON erNkZJ2+W8d24Atf0COVj0LpL2tkuIt/TTrdYoi4+IzMmZ2NvB9+jLLIZt2mQw38ihFFJ2e9bxz8 cBKf6L43YGUcFvigTAnV4kImqRwZonxD4kfSewySyw1gjfecSplSYWqgN3SiKxHuzIrBofmmeRw7 OeHNJphFIFJwzwi4jkb2rHAYb774DLQx4Nc+cjLHM5iZDqqcYXB77LVKWYz4gbpXhEkCn8We15WK DEcs0NB/Qgl2qguohpY5/0r//kw9AgmbJfHOY90u1cHj/D0iJzzpdlrs1VwqU+GfH4ovczZsU9fN u0YMp4mhyUMfpyPwU9EJJDMKhBMbB6TgMpbqXxz/7tF16p50MR6JFSh2+1aT+EJJ6KBqKBe7YuYk 3bR5Av8aEstp2O19GubYiDd0r0sl/8ig9DYcp0wXpwd27NefKQ+zcRPVwoP8XDEPNQQcpBw7Bss6 XdwfRgN0ar68FHhJOCPSz5ZZIvkTbk4xvscRQ8H7EBhHNd2Drv6gv4DgPrXlKsl3TYbu43zVPwV4 3QvejOsoPNj5WUSVEWazJTtTdanX81s/v0nI4X3P63TRVS3euNjanIgWI15uxni8UAhkebVgdWEr 1gEAWPbpZRy4bE6YVzesCC9YnyUcYKWAP1MqTCvWVqUC/rgKigU/EvxHcn01CLDRJoGe6r1G/ZiB E7M2cgjRB1RFbDRe1vS4vrz4++G+bS8vGJ7rXJ6m9gmwrYLSwD2/BfVE3s2opEigD4P18B1aCkjq 9afe/1ENgL/7+/arWbQhuXhJmb1N+prra1KlKzsvQI3H8C+3PzvUVHWftSuE8kmWV4R+e+28mVnV JZIXvydZS3XPCeOOlekqza8Vh8NGETK9/rtsSuYJWwcbQqdUcqFgje/DjMmUTXPDSegnQBVUwpHs v6BkhHOkaJhWK92lO4z0K+Sp9wsACFxOzu6HjssNI2kPqsDvW1HUZOFld9Ou/jvrJT6r51yiJ7rq A9FJuYTiHe/eIDDRDA9GH22v9HhZ0AlADeMzYtqWdxqw34mguVg0tKqNQF+CPUEtO4d0wsV7LrS+ uEc/tWogy9LlJRLSApP4z/cccBLH4OO/sDPUSKGsIg/RG7kZb2rQkjXpV2NSSqleMnkpxYF2V5fb lhauBRlPwRqGyBw7VWS+ahpYGHcwKhFJFFcbbucX/8Zj8bL1bX81nfygQ4v0DdYNbOUSgyg/NFHV NiPyekP97LgWn0CBVigpgnirszHsBKOkVguvrWUjOgUeBanw/1zniD7JQZwEzFb6Qgu5terAOVz5 YrAnvQ4NUneizc50W25wAydWzWYM4U76tUITOTPDSg2u6qMmxxvGlOgpwPpig8wTukTbqHe9gWaL Z58KtQImUjNglR/iZuZHfQgVyy6jKt1JVv7QAgP3trHLjjwm0Pa66FY0w9bSKXp3ityHntlosKXy D3Mxmzc+UhVEaEGRunUUvByFlU17M0qaonBYjBWLjtuErpdYnfMJJSOLLAtVJVZsNl+Jz1LW4tzM t7bxfOPc6Q/EuJssZPSK3VAHdWkGyjcpPxeyqLWwCbJch/nUtOuQFI/dzGJlwPvXhSdYHa9zJnfL zwqadB9GCU/2359yO7CnvVtRKDN9cW2TjI9WfDe4nrfL1FMhLib1W/5Xs1dnZIpV1BD/J9kKvSj0 qBxsjf9Co+Mm4nzey723g7QVQIuyhonTLF+v82Jd22qtqQWpufJl2Q/eXi8pJOfcokeTxDZxKmNW 64ihuaHg9CMWCkqOYL/s/Oj7jTMCSPOCjGPT3Qua9XsR3gqgrf2OHfEjifPIucp4X8wUIFtUA+SF uURPhpRVhc31GnhxxLIRw+LJFJ0QaGUmJiUx7YIwvIR7AOzFMKHjI76R5PvtWVEeMOkW5DD3pnul TOnvolFpQ7Gi5kKf60wDypy/J/GJz4Ltl39+6acnp+w50Whz6W3jw40R2sE7vERm7FspL1NSOodt CATnT+xTV3/pY34pxCviw/QV+LVZYVCD/H/KYGZ7f+Jt/tuHL6cQy2viF8GRNHAN7iKVOHPzXX/I 8X+YJr1W4EFoXHQdBRWwIF5aG2wLBVO9sWzOj6udSTOggOxQ9ATD8MqSXggEuvN0rZGBW6oXFpum zKIn5HbOxxa7WUza5Wfm0IiDy0YRPN4ckpB0TGFPUwf4ujn2XxjDeqy/pSDfHvicIKY0IRz8BPrK sXyWUIHz/TV8irtTobv3YwM6PtV0CzChV31cLMoDMKkQpFjLwa/KbHptt9BrSBZvZo0nKs2AW3yj 0MDSnZRrqYpQuj6wLMrUJE6Oy1vUSBgwzF4ct2gNV7EgpQEPF6n3m5k3In8b0ghhMwRBZaCjbmup MvA1MPvOBR1icVtnL62qsRZEj3iOH2YkUwRsSae8WTH2PpctXHGLmo+6+xoIy+UfjfEQWeklsYia rUG31RhMc8dev48ZBojDVGD2WFOkslwf+3jGk2Yk3F7RUj8qyvxA5GVeocT0aQl52FV2En68CLNh jLxm0E1g2i/PRI4MGl6hwgEL54dbBF9LDzIoB+hNvzmkm3/aBjDJkaH3LO2xLm9vZ5tUkgEP/BFr 7PuikPtaEmX0AL7mD0snZjC+17PjYj7Z9BQLY3zuZxC1NmzK7vNFsaT1gioOpLT7kBWrq1M5wz4e V8LMZFDRhDe4Tjls1OlxR0odV/FCeSSyb66DfeR2jfOuhZwPf1hwzBzlteKj7J1pTc69uEHZTQ+a Cj/+4PiDcHU2h8QXe/Vh1ij0DNojGBvNQk/qZkHqrUpe6sZ2PhIdjFIHr8YJnGTfnEWDocQjE3Ds tsd88CKv5x8RzBodF3yay2RvkhJ+oo93frUB9p1wvpReARlAY/XyOzhnN39FiilX1W1b7IszlH2e P8DikWRT/U+yFGYXhydgEZ1hmYqYY5PMQQGzlxm7MkrXo3Qu+nfCB5Dl8Rz6JqbR8gNbs8lhDf6N 23sSD2IKxhHdgkq82k3ffrOIQ4+JGCeVX1aQ3c9ny0Zh7mquZOR0ve1pPcEjB5CNptWrRovhtMTh HcWgKX/KJpyJ+Ec2JYGoxLAFsSGJjNawUVUCPY7QKZ07sRQOcvLkEL/855OcZ8+ugj2KBRceoewX bp0Pop9xFIq60d/sMV+YrPmy6OY5MkpI03fzL1TVuePO11mpJ5E37nHNcrag6W8OrfoYFu8mFO63 sD6YDy6Z/hGwP3962xd2TNBOCpVSa4BRPSqx+IN2+lUSRW22E3Z6blYUsqC0YccIGV8+yFJ455LB 7WBpnclDs6kQeUFzZMwa+Ox5FNbF/6YIBH1S4CPJyvbqG44K2fpYocjf1HK6JKbq8bpYgGv55V2j LQZUQlSoUgRk+3x+lP/RwaF+KJFThnvnmsJegxvdJTMWTK8Djl6xDgxQMFWnFIcRpiG4XvFh0YIk ppR0tMGWfOdSwCEUjBItB0Xfbo056vXCBxPqCqYo8D5w3vee3G0vlK/3A+cMnxu1M9yxuNW4Wlvh c8r7nvZNPZUlqF8SSXBBLQkUVfjMyPgB5fnNffbPYDx4krlTyj6tez4xlj6DvpO25PYE/UHdy184 oxJ4mxbvQAfrpQTCOSo1T1uA7s1wYwFQoaqT0An2FdZoVTTgb2mcFMMKlgs+2F+7mdfGvu4LOueD 1HkaTtka7f81tk6/rmM+C/kCagvY8ABrdT5QBFtuojYeeuNR5rF5D3Zt3FB1TKVbpaWKwMsNipeC ggdcbPxeMV8A24ZRYPZ+1sy47/BDeKDYeLv2IiD6ub1n75km5N927OhEFAHIrxJ7oVTZiSgZF+Gr DOSqsKYGUpiUenKN56tyDMje3f63RVWZfjBya7gEqlDo3O4XdRSBSXo9SjrkMQvBrKf8SVODr93h kS+Rd7eWeWr/iEotXQ5AJeONWT7T/IRPZVX88G8sOkbZhDEwAEDhe689bY3PaoyGOmzsHXzTD+MK +YYQ0aZy3NsYWTg256Nu2i/0+6Cy3fQbOxpQfr9ytiKI4/x6AUQnYSgZUxm+Uhf4vNqw4EzMBehE O8eYcAzQXfgqmy4qamKa/MaJ8kBsOAXqcdOiqSZHHOL/Z/iKPQC9NCuQTCjWODUGvyTRw/pwFCOG UyO76J22w1r0KahGkm3qF6CMPZ3jHxBYiI2N1u2s4zjcRhezVEX7R97us1V++yB13KVDh8en0dEm VENF9qDwLjbr7gGWwIvCYZRoWJTvQqcsjhEdp9gezU5vyPSPVB7iUICnuJdNgGRa4Cm74n57dDc2 eLy2g+GgTeMrgsPDX8le0rT0BSlYA2+AQHkcQ7Fxl4Q4cf/V3sOA7D7E3woS3NJXwHI+/qBg6ggi kOphhJmEjtQYA4Oup75ex+AShii8b8sU19p3zUVqb/NYDhctBmBjTOQqzk0sIskXfTqz8ms9GsH5 +I5QweB6u5T0kYAjxqBDtoZyhVcEXIz2DCqBMoMp4i7g0r7FZJPFZc7rKOMJCyGybho2CBSOv0yD bChXoya1qRfc+w91lEEguPj9dH4I0dfDCPpmXpHpUg8llPzzxj4tFBhrm/hxmMLjzfxEXCxFeHs1 ADrkWx4IY2wyGxyzeTZAdFzOtsEpBrUUlcxuvWBIXhRPgIK4qeFPanu7d5nwixkVs4vGla+F70Sk Z+GVMh52Asc4qqMkkZFhEQS3q0LQbzG/574u1jISftbw9WvjvpL/lQg1eJ8vM5IaMOnEj31oaOsv hBDPkDOAReOoTMo6ezF/DM0Co6gVWWbYX3PgsYqD9Btm07cC2HgxYZVD1sezIngvyeKbyYHd2TFC BpITMMaO0pqeC70fGSysf1haIs2VOGQfN78OLB6YgqpscfJ15LoCOyti7jN3ZsoqcN3Ne8bOVnlJ 4Ix6maSffJEdNrDYtThGwZYPGIM3w2TJY+xLgbgdrB91cnYRyq9I2oN4U3dZHENeHpYI8gi74xVE nTui1HLNJa1s0bA3/x8YVeTKngrxBSFwWDC0R4xsmP6DnETSzKZ2GaY5TvmpvsZFQUiBrpkpkbwx 5lu/o5VT6PFIIlGxsABGZpvVz3qw57QE1Ny9CJ7iqYOhWvGZqtHIr6A4LmH0C1JerdhKpisxZgHH 1f4IbX1CLBiK9oRjmCuPDIl/CWgIJ0eCFho6MYf5/C4uDZdztb8ZBwGbgMMNnoeTgI4wx7zGKaG2 uWROvup2Y7U7jIrbK9bhzMgid9MGII57jBP2YhcYRG1PbET4AjZx1KlnI6eKu8x5uHtGgPj2D9x/ prkEdTlPNLd82ZicciDkCXL0e4qXVYvLbeeAU/Ia3+eZehGHad86nZK4JvbWo+LQbf+i3Me5/Ltt 0yD0kQ1T7jUsziSZlc6l7m2vBLLm2w4BY+x1qJ+95r+fxbqZ4iqEj2n1wog+pLQooj0cFBbAenXv +pd3e9Su31Q6bvjBVhuhYdgDLJ9Z8HKa+O7DTvMJorjnhqBB7r6o+lUOz9GebDKtuSsu5CLukbt/ /OPhk81Ua38LWM8g5S0o7QOC6DPzv2ZzfEVqwl8yuds14Hz8SqFi6w44Ixgg+ZIC0FH8nmT343CH U/VIS0ihJZ4O3c+7YTLIV+53bVV27Z3bbdcsJUIcpLMqj+qLnzfQAVtzcvvZXcXwXXRQaPnYxsqL KslhETer0yXeTrUw/LAilkfMZB36Ifv1KCEaWzIDpzYUVUnlCL1fPzV8LhRnax2vg6f1bZlVjdGm 76nGIl1EWkN+PLMrO9vJTYi6ImBh0JVTQijXy6ntdFGEHUissB0s8NQ2yzPtheQxTbe2IR1Ri45R 2nybsZiTnyi1spoL9+St8mU1fxpOuijb3+bFxUi+33+vk2zRG/rTSChKi4Q6DYUWkeR8XmH7Ewis hNs9pGJKIrh9zD9yx3kARpYbo+7KDQQ32+rcWoInox3Q09MviApRR0CGCetV7EyUFpxamV20fLsX PosO4j81WjUEmWmG8sdcXv6d6DRq/Zq1nCui/6CioxfBcy1c+w37sZsq9yEojAvx2fRuDHITVUlt HB1CbL3Cf4iSC3zdYNo0OW2p74hTtH2l2A28nPkV+WemeYTDUodiYdU8IYuuq9+sbTG+eU7UcDmb iYzQaPf59kuvR9ypgDguLwBMCCkoLycTO0Usgms+ZCk35bJH1J+uIlBsGxCr2YdxVFaw3yFvK512 7qGgpw10dwLTtoHPFqut+3RfDntRUBCTIe6jqAV+KVOK7b3lmFcgbLQgi/ZCcZL3ZuQP/6PJ42OT XxW81njUgUCXWi/xwRLN5x2Wdo9qGw35uYlk/0sQEwPPaeZTrWEloEAVOP947WNMJrYSBg8M6WL4 F/8DIJOp+ZCLQWnpWhON9Xv0cgHdsIPjodS1EYhN+aFXcdNJmtA7EDWRVmakZEtQ0UQewJiK8AXx xLG7h6rcG/bzqelzd01ZO/XToMmkaTRra7ZMiwJgXlkXWfNgRQx+w4DU1dm9BY4DHCkus78Kzhj7 ChhSXWlU8iTLANWDiH2wSGlps1++6XZ8tEPE9qC3tpTxBVPVLdz/aDd7I3udgYHDyxOwuL1/9n5V ThoE/VBzPd3+G+4tBkTADOnn2X1phTw4kJL2yCkEP0/HspZ3Bofete8yuKdFNVNSCPC3iblDmMJn CYpyIEtt0Ke0nVQBixzSaQJArDgoaqmbhNRAkyCwvY5bFwPxFijwQV/mpCkr/+mYOucJDYmeTcxu 8qojawD/tFAjl/We0zQJQ9m7wAjyaisLTquITnwfY78U1FtZoUxC0rvh0cWVQNt7NO2W9xRlNLxa cISUtQ9yxEIIOLkoCxTsdOHKKvfX/DVSOtOHqceQStFrzfidfNVrmVHWkyN4Y6dzFf9NPMLCvAU0 yZ0o6VH1XS3HanaDmNLCviHkvOMgocro2cmOoFZBZLMHoI8lhPOjRsNHfVmtJ764YOk5V6G1TBym dvjyKtr6BLSySyX0QwzxFZz53wY/1VTvuQIiByUadUdvl9JUPcVAWXiIjEepHx5+z127NB3Ax3tk 98NlXNKS961JETRs5ZuUzOm2GgoBHpwPdlK/xeQELlqOotIdxwll1izCtb813CyouWRCaCxpda/D aM9g0oTcn4ldzFkCl1DP5klvxViFpQ3G+tak6WXVXUZUDi5COOtaFU8W0jiMt6KYezHf08EalGhI a1cE868hbMuEUoI7lzMpvmVBM1mc6WIcLaxBj3U1HiGHKHig2vQK2HmgPcioi8ojihIW3eiRVh+M 1f5C56Hp25BSC+EqE77nP3Xd9rswVlBqDTWew4snOSMS1h4pFamgRq4vg08l7+UDLIH0rVulBGUR wucqEiuyX0kgoirbPjp9K1M+61DeQm336tP8oJ2v8iUwcD3Vr/patQvWPHWl/Husvou7tbEQWBk1 J2Zh2XvpZLCX9CcJ43zH88R74gKfaS5I/2/d1BkRSSYNr8oOhVOb9hPJK8PBupe0RYkXML8B8/ib lXPfnGyozXNn4NbAXAOZP14Rd5QsIjxugI5Enc+FzjOT/ziy0BEoZSpd7RTM3d+1WltceNgCUd+0 pIJot7ph+koyZBRSuY2VRDXpvz4RMAyvOnN0W4K7JNbyQgq5mlw2cEpZoyjApn6qgHCpWVf7AI09 cnEM57Cd8FqgeA+rLGjS1hkFqB8yOseXkaQxsWVTRFHEqwDRB2n836k9Ji2+Krlhglr0pzOWDPSq 5kebhDz/pbYyfJj/5CnfBQvTDQgCvM6JsCaOpYgHJwt1ZKGLDi3pSdv74DmkG6uL8Cd58GtNo7Aa esi70eL+QOg3s1L6E+GDRhXreynKPFfwC76ZaaTQXlfKq53PsuvoS7sz9TKMfxDNteC758OWDNhf /Usp9PiLndoOSG0ZpQHNSoFpJvByPmmdG5zdPNnScS0USL/FX5uDy6XIG69BPTnY0zFY0CWPAtkK he09aEgh23dWD9KLZb70PkB0p71qt3VGDud7z5cMze1PL+J/lGmalqE7rUmhduXPsSp4v11G8NKC jswUg9VvemfXwvGoGX4EKx1sCd/IWDh8BBV+aUfChEdazKSnmqJMr6azEgWl9Ba0PpGmDUO4kDwY iKouBHfoxhclYvjtJ+FKSBtPZrCutmVAYMtPEJ+azt16OVNrbx3zKCKh/gn00yZST/YgMZpK1u5E 4m9jy/qCYgBqmLh31R6r3lKr5U25++MyfkrpHpjzqT+39FiJP+oosPg+UK1tzWWgIMDK4Of7Hyrk YAhOl4mSzC1VXCqjQguaiCPU3naZHwFyMEq0/YxvUQgcRdDvIVfi2svfysAfNXgPM6BsY2uWCzXq uryB4clCbri8F6gWB3m7vxLurvv7NPu/8yF8ysc/mAkw0Zw7KCzJZjLOWsLqyO1jLSx++1KDJGP1 GBJdf9o3WhjDMhLQwCS6fYrClemcaGXwU6WCAFlRUmco41kax0unmEet5tIvtMriqoj36+/+c8l9 OfMm+ejWxOcO51Pzdvn7SMmBrbkkXHHQKuW9G8GDX6Uwy/pP8re89s3xh9zc9kIar8VAwPx9xbGB ziJ8ZnKIK2e9+5vu+dhxA0OcDbWynE7Jlrs0n+C5pmGWszvYhXUaPxY1EJ5FduBZjUT/2/7DeRzN Ks/LbYzQz1ScOVPBHdYv3rJtBv3WJM9/bQ8E9yrhgOKxQ/ZUNY8/btJcQKP9iCyOajOGlJL/e6qV EH7l/MKM839rQRFMYOB3Y45WI81xKtoE7ehe+4Wqqk4AalyIeMT7TdzCRUJ24KPqpMZnkH2d3su7 E9V5t8iu5LlbTjeWW7pdgEcIVlUnC7BzFJ77leuHN1j0oeQqW7uaBVxgmzvGI3o+EDc3EK68Wtpd j0CZWcGVz9Z4V6Zi08RuXHrBp6R1SJKHDFFY83DA161gHQzQhdW1bV+RsJvdTaXtWwHVDKQpPMuG Qe7TbmHx30enl15G06o9U9YHdd3hsxxgy+sTMr6oG3Y4JDDtTdQDG1/XiTia1JF/a+bF6xWD/5ZQ U4O5WNchlZ1uYQZ8P+X87rWWP9Kd2wtVyyL0wvG/LniIfWcvDetoLDEYhBQy6jrMbLmmPG2sJNOC F/upHeR1uTVhq0TVE1ZwnnFkfOFUvz99xdRpk4Y5mciyJwnesIWna46w7vVc30jZtWH2WypEBmHl PkajBVTMono72et9Xqjg5MZl2q9jLuPTZEdOcmEgkKUhKCG6sNL+qjzArb+bi9Urw79867Z3p4gz McxRX2GYVYngb/yCiAVmxzYhPI+qLqG+x4dLyqOkvzgQ+k90m5k/yHkVk7qtrasfauMix8QjmvYH Tgq4JGSCdlvGIWspcTN5vje+1UEjipfaIF6UKeZDh7FqEAfEHWHPrjtKjTjzpRpo+WJrzIkdvftq KOoObAiwsnLHoae3OSCN+X3osT+A+aM8UEOy5eiwutuIMgeNtg3abJHREoxgCvZh4lSmdoilha0O 55XFn2Q9+1FJCPiL8g1FEUqha5Ok4AoF1DdyHKDs0uMdbSN7+o48tiXDjbtgGo653O6EnWY623Sh 50Kk63kVpo/MQRI/mn5QBb8lYAuH+pcmfNboJ6OY0ht/29sHOSMjqOD0vJDM82XRsKg/+fGgWhix 70bAPVnLpeS4rf4XcJqY/jRdV9NC55ECkZ5b/JM1DJotSpVcBlj4EPsqi3GVs8mrZ1beLqF4hIJo ANNShzbRg1A2SPDz58Z2IycAkC30Z73dljhMFS6Aa/DJtDvGw+5QKyK0D4bBIWoz6i4T10l3rJqe WXRnju87UrhuTPrNDXb6ticMcxP6UGXSx/2CI2/MRv93dqtuBgc6XQsvW9THjOGqPOxwJo8x8Hym 2+aj+CorBPZ5T5+ASlKaqJdKd3XgpkpDPOje8HJz/1edC2wnIMn2ZoMHsvyVtbDQoE5OI5RxQjNC o9wJDT/VpkEL8Sa9iT5veJS9WOQXOMxyo7KwOHg7p27nl8pZulS/zhKXTEnuYSmoRBvQRsGzQZRv 28Ujjmxm49SsxvuiDPBY0O63SbdL615uStJkcrMW9/dMyD21vmbT/PTJLO7Q8xX1/yD71TXHub+2 F9ym73TpCDJ9mhUYkbGFABaoVk2axqTCyBi8Brehzi/kZlCrTyUs2eh557gWW1ldslC+kgPSJA2p fkI4tjN7zmjMt7IlAlaogeSD64uEW1suvOrHCXQrZqP/fiwUxYKZ4zL/yqwpRoyFVFvvBCUv8rx0 VJjWn/uIXF+nOjig7T3LI2S3cIsQr1A3r7KWAObXjE2x0tmjXoFUW+DFTO2ytV7iNlQeIGQUj2tJ 6az/60CIMsE5X1ufVES5bCpvr8aFJO9kiYKm3rJUT4iaiIpflazQTdCigJvB67rCTKuV2KVuapD6 DmyEBBtwigsAgK+qz5Egb8VKa+bviAKmnh9ZBfQMaervuGTNgHlVcbTwLL+357On/Z+eniOlS7Yd sSPYPbH/LQWs9+IVSWV/Dv/J41SIkddKregRiNLFs//XbIWuWuIBsU7jfmFxqK+ss0A6al+Bmfjb Z48uWUALmo22yJdxWoMOJXAKSYecnBOD6FZFIa1AVIz3kCptAvwiBnVe1XT3uY7BhQeJx7Wlw+jL QM/l938QD2yvIpobbh6fGD6iO0XiX76zhWaeAMDvtJjr1+xDD78+AsBBdcl/hf5vccna5ja2mOCG 02jXuUpb1e58stArYHo99KuIbl8+ojwsWPepwxw4/JLConDzUtpFvh600SOIe7x7NLVO4tple1pV 72WzRdGHe3hKA8DeRjFkn1L46/9M56LXnd3qZBXhSukZhU1KsiX2/vTHz0dePpLKi5tDkY+kP/9L w6NhzxNVYMD2+DX42Ize2TTw0flInZTG6M/UZbIsofStDFwyUasfdGxwrP8afSvgdjaFqRfd3/Oa oeMi57z53YkSZpE2vosrm+DfeZnHaMPogMceXHiqxOB56GsOgUKWnIOVja6hrRMKbjQgAFG+jmGg xE3dAUv7Y0Fc6bUpOTOChp1CTZjPbMf9FOtuG0RlyQrGaNfLferJd2ynHwjKHq0X3VF3GgqnoGhC b01/EN1+KA7wVjSvQyWKcslvkOU8ix5S+H4adKhKEuYPLNSw+Sh6lzRznXKMh8lzQBNNGSL7Lzq3 BeKYnU99ZbjopBCclp53pUhXMegR35qdkAXTjqRY+0y/GTRHqDBvhX3HbGY+529QWL+v13SByu3s h+aEEBISE34va3zZq1bWihTztDYTk0gOdAOyphl0AH7rAbko5r9iYwNXNz4f/bbhcCqKd1biwbRu kLqT1aI+B5H9V46MZBtTeaY2XYaFuohS82Jku7cOfps26z82dDC2jv97XRhaGn3GoFX5yK19wV5Z uL9QMGPwOc1BXbqoNi1ZV5QNEX6/c2rr1j2PLsN3ULEot2i/joXUTElRx3YG+kNfqRZiBO5our8H GxsKNA270+aJKIKpn5NIOqFKCGz1vxqepeo7wmUuxk91CQt1bqD0cEQUsIaRO1HsstvQtnkQ4ETN A19gI+8lc8Qvkzp1QWINZXibRFxuHkTCOz5IWAuFA2D/7CFpLc50rODf8oqxv087kWc2t7NAuY9W mNTuCA2gM+L+DMxw2xYJQEc9Uumml2YoOQXEnN06xHVGIJKVdc+0Xsr27fU9lM5myChdcu1g0Wbv HpLp75F1IfpVEtlwUlhXslwxVXVtuNZCRBvvv6hagu7mZbkMLYcz3BmRJjIf+XWdtLCXZZU2WB3e W1+eObBS7HCshaPA/oQ+cwdfFJ7RfeRm7VXq/0WRiS7cHeTs/Nn2UMqdYWwL9PJyLOWh7k3nwGRj jTOy571ZvRxRs+UkaXg6gFN4LMe6mMc3DUmEj+zOAeYFgIeDsCFfPvnRkmZEyYtIkd87KdOaukLL LfU9T3iEuOBNyfCetqeG38FcUs2C4Zt94x5O6ktFBKAsZM28WmDyIlJQ4OIyPDU07sOBJvmCLDg3 24WkCcIqNudwvz2/Quk6gerf6jAmrEvAp/nK2Ug8E7f84em7LlGVKQbclX39VTPJ/IdT+Rq8zs2P pY6C3rTKEztDqdSKueKDmddPp+EkuSJ2KjWVdexwjGnde+WTY0NlVCghrZeysBNMTGHhGiK2alhc kjtEyqIpLc48x2Y8akjNIpF7DCpbHUbUTnlSEyS1AlRLSi7vfXRmuJ6lQ8N5inncNnNwVUM7VvoB AHUokhgB5IfbIHfZDQW3+ByRvaemD/hNbJ7JUTyXmT8tJsctSr5deX3c9qplOInnkQQGMcD53jta GgnZPRwvwQYsYntLRl1AokngQtfH3pm5O/FOTVKbGIHUQtkeKyrVTtRFS9X/7jhKS8Pxlu17rsOn uxiqCaGrleeKymn/QU+TVMOGHeGUH4sbC+/MF94L843xCBenqTST49IkFqTje6JtTsGeyg6R0gPZ sq/uuFdNa+mqwrDjmsoe+n9xB+3g6iV87lpEd0eddn91emMNL92IP1hRv5+4xZPS8CVhTKmcMFU/ 5+7gnkIghT7Lizm7EhUTtLxZwm5TE+F7GJi2iamIYbooCNDCm57gnfZVDHcPzZGJa9GoZLMovAzT c74wStJQa8vFPfFSNcAb0QndSXQLI2O1R8+xHSE62o8wexLZI4ljXiTCn2tzhTjg+XORqHbGjNF7 YhVkvfpDDFGH41m7bgzOT3mTr+dV+z6vN1D/A8iV/hFH+IFPfxlgyPa89rL06PWmqHeZBofILKga aReJ7FJOZ9fSgw8FFBTTYuOw/CcZCHC3pAUYIkum/x0D63c3KgXtQ3oI3fzhGEN6dUl62lCX6iXr jbquk14S6JTop1VdzaWJ+Htu2mePKXGVQovYTy9oLosi2cc53pGbOdQx/55alMytKMq73EvENFdQ JYvJg1EOAARaGihezBfhxxeUc7nT2zySuV5saF0jhf8wuIkOAIPlrFdd3uT4iZsOTgFehUVhO8z4 dFayvjrabIB6kOdHFbuvd5Pz+gLRB2uMRhQWY4BDCHwFWa7MKlbYxNVQ6KgPHACBIaDJVzLxTdMe /VdOyJI5+/+oi8h3NXVA4Bmd8HIWcIN5fmtcBLvuojwSeYrHFKvND6QVGe2BEC1Um/eGHQuOAZoG gNZXciJsj/yPvPgj4meASRX9W51Jykail+NmDN7LGFgStm7Z5Qgo0KtXWBrxxueEnamAj6C8jWIB iYkzB69Z7CqXMB9Y8w8GZm/VkqDvWjK9gqHnKo/vbDPGEbVeuTSrTLcny77HDS/bJhgJFKpVyMLd uUpo6QgO7ynEkCgoudaV0UCJqCXAhF2EiDp4zfx34NlAoTMsXwB/rhQ1TGobzhyarTw6pMghGJKO jqzWt80/6OpETehk6TUntNySeuAMITDHrsAvk2cPknrFBsf2ygKG6MwHCdRkUo8eVjHIkbkeDrSE GM53lgFfteQy6QyAjKNwSOGdHzzXqABbTpLfW5EjkZ7NtxIGr9tzNtvUJcauWoPmcihkNKZAY02a JoaMGP21GiHV5xIPSUL18okwO1vnYRXS8SUwTd19MJXX9rgJV/AutfrhSUfKnsK9JbES6gdoj3uC HyAHkfaSJziqpPNiQQbON3NLT4qA20wX5ZjUHJdu07yf63lHjkAv4ybuQ1hveqvFXyx0RP+yLohT /r/MS6htUzeeExttR1SvxUuwj3k6O1/3wxjb91VXD6TPKjwJejHKb+mrfg6qD3X+2MVKy+bkjzuw lZu1niHBSuroNvQ8YD0OnJBXzueoodzQgKh6/OvbFojKI1xT5+Fr8QGBxmex93OnZ7NzaPjb/6wE 4VtiWdxEoPDdcoqhWHDNlIQJQrZza1+kZQVq6cQb0QCjplIwWkeQuO+aos1CdXYaGChCJY3bpIF4 ImsVf1VNGeKB/jvHzZQM/fsO+p5IGm2CUYuaXy6MqK1GQMkqzPBh5Vzb6tUiil9m1itZEol+PIUT ft1mOPNa4zZ4TP8w6AiQvIbCkB/sV8Sw2OFWejzJ7WYitTfL8uQ1+Jc6Z97f6PPq/NbhHllgxx/h /OXDNNinfuB4TyAgXqwSji3cnQ7fzG+Tea/WTIrFJb4szMKRQqtQL236TBdPWyrVHiTW9RNu+FWe pfN0tC2Iy2hM32WIUzdMqqNlfFDazIUJOpW5Q2gtw1mqGrokxjkr1FmZ0ppm+ny6dinJYD5P/MIh CwXrhXSGGySxpoOy4xmlAl9oXz/cFROAFRpmMH09u0RWeOHUWsHhhVGARNYkMxADZrMs0PKiSH4v qlT/W+H2TCk/mhFfu7zDewhK69yqXlfnk/1Elece797WLLLowE2tmD82Stpkvg+l1cCWtHSB/XQw BGrsGZb/++Tq1lLEd9UU41Gf+bUa4o1ZnJTGSQ+my2LXENK28IKZ1lHhPWRI1vysb/zyc3PbPd0e LrUR05Eci97FIHMTHaKI+vv3Ss4l3wwcQ6PNLew+jq9QyTamsZ2q5G4g1IEzl1jntyCm3lgQD4HL ZiGdvPnJrS6m9+jJ4wbrSp4qfCLO/NZFpbGuHbIsfmu1YI93jGV3fLZWEh4o4RXh0nLPro4Y9ddw uXNuZbp5GZggmBUHsZX8vvMbZLlj11w+PZRhVzK2TOXcNqnHMPG6vWE12l9CmUEwDyrfy7Ve5Uso rv244MdA72BWrugWDbfXFrpvuYseOz5KjXcBuHDPtPNro1DsmmXb4QgWT7BFfwPKwOeHssSVM18o 7hGHGjIhyB8M8PmMhqqbuK0Ww9ufk7uUg3OeqevBBhN0ZVYEmV6c/OYfXKKCIR9RxrPgbetSHlIT qU+VZPTtukLnCksxtEnOgouAXw+fqcbTjx3yDXPu+B/HrJKLc84b+yt0rEr+/70WO9L/wIbDwx+m ERCP/gvISaggUIV6wL3j/C/38FdUCcXQ5X4BFMGJfe70NqXSj+o6Wi9+lU7+LTMM1007CwwMEyuq ONSG29PThGTxN7NSmCYp9oTk7emGt6OvmuiIK3orIHkauoi0U5Fz5i3jqpNvEtzXl4Ax4RY4xYLv 90Unhx2Yhuev9iGl1Ov1crkVClD18UX3M2J9JwuQj6ouyXIbtnme1LS/d7jD3VKQyt4hYUkBV5kJ Aca/Mj5DIvdQe6JHWCYqodVdFNGkWQK7zN0kLj9DR9Abd8PhA7zBFkwBfnmNoDSZ4Ppymq92sfb/ rc0JJhdlD8I8U3Ll698JWL8gotfJCkXlIES1cZcrlpUSqDKdpDyGTErRvp/sSeUBI+fhlhXIkUw2 HcFUufMR2/PrcIEOe6hTgibXxSD1V2Ow/YNtSfC2W9xuwKFQ3ibo7zTqFxRQiS2ze3SzkH7GitgS oAsWGhQnJEYSknDlrKBKvY0cU8LIVdDaMS8teA5TKVvGgp+Qu9yro7s5vunqvanvwcZrcOXdODIh 4rTGr9+whKcIv5a8v1xLOfxTXTzXiXRc4MPKWiAzrJjRfS6OFW4Fn/j7+wPpL14ed5J9WbQSHypS Tl8DXYPbdN7pdPb7gxrXbOK3iN1b5rTzc8aGRmEzTp0ZBeGMeDnuUz4hW5HbqSWb4qJR54YPqpTe Bagngu4U5Cm9C/e6KKUPGzQ1Cu0lGEBBRUqMMg7TUqnmU39N4IF7Yj7NmmThePqvpNWymdFL+ney 2tciD/v1HqQTe1tO7pvCQBMLxGvj7NyG5kShTAgfx5zKOuRFBKBfG6RXcKLbUsyDpas/Tht2syAr CrFBK6MHSV6+ygS0UvFkGcZOjd1D+Blja5SHfHXekbRKkaTud0PdPqsI35nlg/jdpQHieEZtDRZO UVDxVC5dbbOQUsbQ+hioESh7y82Bcxl5goytd+dqgdBhqKB40zeTIEX+gCmhp1hJ/Wsd1OSn9+Mz MdmmHOCjcsC0GezHJdMvinVoU1BQK5wmMfBk8plJnUNAfdFFOOCvaKwiWH2MFeqOxtTgR6SfxbKw AGslvvlpPkS54JmWa6ShKdATd/0FJ11WBJgTtblabkJ0CFat+aFmIRnPa4PVZjj7aYjWRnBS1Era /kNZdiKFpi5/pIBsSI4aCmqdka99A2Fvr9bbq8rpnfe2bKs6pkTzvdD2NZsAvE0zoDRD3ueyOTZg atSBk3YEmnOQbhMnChBAl95aAOJApyNtXWJTTLh+9aOqKpgg8/uiczK8wS7pHdtISc7UkwfD5GcA pTq7mQIUNBuy3XBEUPfpC3mAvNVNogLSKHEcje9gbCSnxN+09pzppYd87DA4pG1nSexyoeTmAUsJ EjNFNQ4k6B3Ni8m7CLoWXw5xECK+aYx4HHinEXMpd0ik+3oh8jmWuWI/7qyPekKBpX5zVakHFj8m tjjjIMOEGe3b0lVn4tMqiKHEJOrPXucV+zb4xYaIVWIur7IpXOHX0hOFCKGZC5/soIGUyFXcvZ1C Z7fjOg8dAzfEv4SfZy7e7VUQTmHXwFzEk8TohFLeZNBkA3YwfmZXv9/Ygib/mdY3VEtBB1pvP672 3zWL0Kq3WuxdUWphc3GztRG1w8ttKO/1+3xUaQQPirEqNnWV9279X3M7Ahg1JLqUPVADU8fNQ06l jKZDMiq36Vemj3gHHLrMvLk7bZM6wr3CL+I2V/Nw+TCeFWePJ+pEfBDhuRgIN54s6ZIKXXR0sRIL +vTFmB2cFY6y7aWZxDxSoBTNmunPSRPu4VK4wj19LslXBaz8NU3ag5yTKYCNO5jbPYxr78P1xA/O FHl2FhU++WX30sJOt9oBkopBNHO+ZkLHiwKVDUUimRNKavev7sY3Sx6rgoCCfW+QEHVXlmvFQbkt Zizq7uuRfvjFddwvAW2CyeEZqyhTHXC3Zy4Fq3VdppDaEhnTfsFuJfwLMSyFNDEn/VkFyI1hRngK QdzzFCDnaawxpE6W57IqqALy2K9qNb7GNWeXNIMXt8yb1iZvbvRJEaAdLuacPeRolIzysVzzAkAs GzxrpBJw1WP65h1xUPqVRnugM4JOeweAKhIAsgxNIiTuR1nz0lFHouVDeiS94JOrianTD8TBCbyf GsxwWBqF6V9O2CuJE5vf9vU7CuQPTvDXNDVUddmo+0jpjXHsQxWfuNMTv8+Yp5/XakEUW4EBkvP0 TRzTs2PjduJN6JSAKrH3HqrhZ0c5/d8KrUCN+QjSAbI89HgzRygizQcT7j3Kn5GRfVCOphqcFtbb 5jxOsYtHTiCyD1c37pLZyj43rzg6yB7IDUUKq+GOvfxaUKtAc8bsd+tKfkd1VEcqKaS2sS80Undm 8NW7fBVzADDm+St2VbeCJW1/3Ob0Qn3Bh8XCJgw1B8y4u4+9eOC3oCdukBQvNUrSb87mraGd1tth RrfM7cY10RNtbI1wdBmXaXvD9bzah3faJ74kueXRWdzz32AXJgoWExcGJ3ij0OxCE+9qtSovg0Jj 3JuxeqOzSSjYjZkCHnhGhkJgsf/8Lm3wq+TAXNFkicni9463vPij2kpouPcwy5vVm667fjnL37MN PkY+NWvk54L8ZqyvtNIz0tikbFVWc6y60/mKTlcQKnRcFTlOG/MibeSqSL/YhviKbe0TX9lJkLnS kGTlfcRSXa4vfDTp7oaewzrOsBb6ExMTbuMOQf6jg+HtIRSiGB/CRxgNElz0akVBAQJRjlBwaaOx BulhFZIafoJqJ/ILWDl+eXQqudnAgXFsRba6is8Tuhz+dau0ZSIkQj4nx1/0mP7CDuwKSoA5l6t/ 6UMBjsXwOFqxnJEHQc0bfddZf0cIWSMDVIi7lhqeY7dZloW/CVf+WE58m14Swmu1wt6Xw6odfK/k KAw97FPmNawDjFKNr7hMobxT16q8ddx8h9nt/HAh4V+KTtijwOpA8NQcuIVnyRAjSgGtYrMIGSUD rP5haZnz5VbDE3dBZU1IOB3hDgJ7W67wjZQHL7DefRFwmsrUCYRsu5E1ZiiwyrnWLxEAaXiF+RPY KbNttSZw224rQfkG5vdPjaPsATACWEAq75QTxhSaZEgVhPhELF/0CYBcqA2sMGP3N5TQDLkkvP3u 0YwGvcSqe4uR8VzFeSZoyvYTgkXDYZa/IACkQJuxjcD85x5s9AWGO5WHWuBdEHKy5/JhkHlEL+vZ mAgBHf6mvGK5+qKktvmmwtAcx4S2omtzRBv7aphe/PPXCHs0tXuzElLaZp4Uj3g8Miex3IrWTC35 Tk2HwA8X2jg4v5FOrdF8R5TFeONtITA/b8nPP/b5wXzx/TkJqMHXmeYQMY28e/w2Wl+XLrIJi90f eqBVtW9OsQ7pxKttEQFp8OBED2b1IcTXYYtD9SBGEhOUUoMCM2Qji5CSmwNTw7Ms9tr1k92tbkEk LPZ28NAVdV/W1KBh4JBfpvFWSMlRc3YFdWs8CTP15ZCIDu7xFWYnk51N18nfpvM5WQsCQTCurFi+ Ga9RoLzwOMm8oEuRxkPeru6WJ4mMOgToMn7MQaS5DaMdYsMfMtSPhvNQ8dqeL/8mPTGVsKIqq4Ko uNR7D+3Qe6zqRD1LCeguWAeE1WO75FJhy5pS14ofdt+SrdvShR1AOXKXZT897TjRrPlJhhsOZxSN WYtUMxlFYk4ct/NKnX6n4vOQJfQeiVK9yBNocjlpWqoqEeZylFzhXY/XUdvmgZ8dX/DAmAhQGRWs mWYf+DXgO6eMsazWzXy9GbYy+sV/Ci244zKMP1EeLNAxM5ATMMAWucv2nzioMkcPSSSSFgOjTZe7 PwDt53jmItR9v3dj/Jdh4Tb31bjtx4JyAc3CZli+1blDP5HrGR04in3uJD7DqH2Y26ymTeLwdjE5 /psRO6oiCUfWCqiSPNinc2OqLAQ8AdIWqAauTpos97q7JSaev1vLkG53rsoadSRH+k9dBnLIpdXq Z4aBGiZ4H2z4eAMFwjki71Bsv6ezfPxNdug7jv+EIt4EVRlIm8rp3flcMM5vITseJvy/AlNokLCJ em46O9cZg7IY9tFdNeAmZbAggd4L4wkW9X+4JMzS6bYF83lZ1pZdZKc8BeRAcnwxpvKcRfg+NSD9 o+8lXceb4a1VsWcUudrajF3lIAHBDe/Z9Yy2D3kX3vCQbuopKlQWNKpltLhzFg6yLxluLwScy7cA +Uxi3dQ9YZtAH+eqnJ+g1Muq1xX717oyf511VpkQ8KuLbAfUz2AKEhCYs5K+DAlrzFa4zH1LTkDw Fe1rVPukbUDBmMwk9i/Etr4jYScU7s8Kdh3jMdYHWVzxKMIeuY8BqNwFrVIb5SVMhBhsa5ChxzlH ghq3Wl5RfMte41IoEGMlJUbHbXv8NEF5MJRS29oEtxWdVXdJjZNlhUBUYFH3jWeQxR9GCZCu3R/o OeJ50jBIVT56gYUUsK2IE36X0M9dfiYydraaVpkBtsnBBFb194yIGkVxpQDpblqf+LfkCgDrN0+m 0+H8IjGJV1LgPVtyLqDmcv57Ljv7+YNiunew++s2lHm/s4bBbfU5iZzhA30w7SxmIOqze1otPR8i 4rlx5QoGYYVPUOvTwpCt6oFZVFDN4l736P4YGjzR2juYMwGXVq+N3Kx70mm8qR6FhJ0HVN+ASo31 vGoPVUorEVAxsalpXR4bAoZP7Z0MYZgUzG6JcNzMYBL3O1Dn3QZt3J/cQIKogscgK0X2BlOjBWfD Jo5xnhj4+RYT0dL7tER40K+QVfYek8MnKwvAdiX2yTgmy6oyvmnFUCzBFfKclJw+539nmGKQ2L6X E49FMuaY6IgXrW1tQoU32LIM0AxDQhVPhjmxXhsb2SQhcpsMgK0OI4cZy2ut4yDYsZVeaNAxv1DX qf5EsmBUezhLFFEFe98YJTzBwcCFdBTnixaca0WPwUb+nyZHh7xKb8Vbf9rmpXQn8YqSOox7nbo2 nZsmHjWqGjgo6PVpzgFKzRe+YaV4EDNf4JqHju+yIv//lJBncdzVeK3irs+sblnu1wuw5GxA9vbm cT3WbiP2eB8D6KtzFEwiLAQ5LixTKZPePNl9drqy2+XyWKlw2gpLwCJKlmGGf/ZU4VRFmBxYTIQv bCQ7/vcRl108wQ/1r8iSgPmZJNAw35qCykf5n5SY/IucLB6OGZCxiNb0pc648tkU1e39STLt/x2M KXSPW2Cnl3QzYfwDcsu6J6kluvQLLvRn8LxK8KhqnzIcCwCtAwWN6udZzwVzBqhj+j6fKbYEaLne jymyyLeY3Lf5KXTVTPH/h8q+sqvHBMR5SRHNUy6pDNCwIdZ1tn739OktA2RQpziz1saPmagZOnUU FpmpwSfSPmrZheS/NhCDSo/8mp0RhD7KrRU5Gf0Um9xXg+XZoSTOFrF1UK5OzYCTmaHdEzFONxLp pYf4WQNn8KgIyy+wjeUvcq6sNdyNQpQdDxVVYdsJ1riz8ri2XS2aN6rVFEhJL1DoaZIh5s5c3pw2 kVUHZI1CfeI2NXbdAdhQdR53o2wc5+EVb0wLsiQ7MeQRLGyVIE1WHZpcAQ6bbSQBvcaoxEJb1ChA J5t1wItuyXy+4eDqVgFjL+nKcfZPXkdOTCu8Mjj7e4pl3gqjD41uRBGzC/37S243TGEjzgzEDpE0 LxPgedyQ2zrVD8gTPNTBuMgEr4G8E+XlneRtDCfDequNe8PAf4WvNP8p5thKGtfICVYYhQykLGDI Z0vnNemKWK3u0cO91CQscsKDjvjJif+MgbC6JDnNQ4uFFAax5Jdc0uJFFc2Jv0LW59JjEPiMtm/0 Vn6louY+ppdbsYYhlTCHgkGdb/WZIq82s9FUl0BQXNQQmJFb6MTvBaGVIYngeM0gcL57qt4Nxws+ 93VKkSQbar7jZaPTHCic1yNM3Eg8T2lDWFSkoXzkt7W/1JwO+L9K6/Ue+haoCrAJ2gUnP4MDc38R m8gI6XxHPEPYV6m0TLkNSMqvAs9o+zREoAew30lsqmNkIUdfQyPM3zbif5F4E3LVto+nNBbtYVqs rv49Qx+w3N5p9dwT/fpg4oai3nt6loiZJNkhEtUxpmXsVupc8F32oZNUIiWYS52ymLSlHEBOMDLU JZwKiEVr3kItcgKIe+VQ/9Yvx/xAVOq4mQ+qJGrqD0cQ72bQGc6v40qsnp7WXMQ2VIk51rumIijZ R1dqdNOBqoC2RKDRZ4/lCTFryKdVydzqUwbRjlaIE53bFA/n1ZxMaYgyIfFiHjXmPYrnh8aBgLt7 xl9n98VfcKFa6TG9Ti8qlpTSAPwvhyiGq36Z3N010o5Tps+ti1ZgOQN3n0M3HKsVyHXgJxH+d21L 4u6I4+37tzR0epfTXQ3IDUG7tvNSBRMr0EZ4jqm/LXlA1i8EsGHV/uwntoNzRE4vy7FgjeXJ3QLk Qpr9mpuKAL+tXqUH/m8f2qxHG8T6K5Bp2OZsySt2WxgozBmGZhM7fo3fBtvwYI+kwf8OB9BaRKIg gxW46T5rVIxEicwiKQL53szKh2hhe3/GC4eWQ4UFFojEG1kS2A+OtFL1sZKT72q5Hva/Neo7Smwh m2LXsngOKVYDSkSJMMyndlu+eehh9udZ+/XWpOyitTTozndqtUUawL6ozCznbPUFDE8SMNKawAem iiWU/GdO682zOPVzU8zORpyBsUCTkCOlHoOxcHA6Arznp6zYOnI1wyJpabTvY6oAdiFi1aIL3Aa3 6HWUJgu9p7drPoS13HwQJDh+A9HAXj3RCds6t9kMxl4nJ3rBpPcRUk/q4VlZsWziXVxuU1NmA9to ib8rfXVz636jJ+njWAmX/8Tsp2a1msEsp7qObVMQkr/c6ns9l1vXJpfrNWQoiWz3wx6128nh9u5D g14zTec+9q4rwFktlv9PZjekrtR/ax0ncJQpMVR3k51v2qhXuvc9y77OWZLu3sbrB2D6+p1xu/qF rCbID4FMYHHRKxZPnAZ2TxD2xNb3vE9wO8JWnQxrrLf7n4WN9VUv2liInvWtSeydF5evD70+2YJP 3CCknNUPUCaQ4bQQM8V6dkCgcQ7xYrRVSYAm5R84JWPcktmHWu9aEHCLcSnssTqkGiYZInkhqKVf AZP/+JTlBFoAwPKI/zFtnac/8JYd34UsXhpXbqLww2VTLIIVybejkH9QG3klekI23G3nhtVW1GMo W00zapoDIcZjITtbNeAbJLuGQKEOQAY9JEqxLOBJJbV7lGSjNM5AvFLGfshtciw0NllPciN1HCkM HUfTRIZhwm1dKxT7iDHl6vidz6Bfw+Kv7Nn9SMjFs3qhyDto1yZTuM3fYgJi6tBeFmI6WcpHEzAx 7s0Yw1bnjaS3PY4xaE4IJ0ij1eqsahHrOlIY+gocIOZH7AgsTkP0SORspLe4huaWnygLXgwCn1p6 80x0oGIjS7PygJlrezzVc+jF/WQv91d++mAlsZWnEHeHzRyBRYBxkS0UlEBTrwLV+CMNSMmw+TVU UTF7amn6tsDsl666ckCdBZm+Nd22mhbtjZqyIKy4rn6Z78XOjPKnogEKOp0mJmyEm5EUgesdBwev vyCUjHUNH4jA9m22dN/EWrd7SCFoWtoraYI1lLqBByupmR21mI6Xw1Y52gZx6LCkvUR25a0INVBt ba0vMGPNul1gxf50V7DuWH21FDbRQhFxic/VMEg47TkoiXv+sJg4M0aKwG0R1zGwur1HrnuCsnmi KlNtCZozd0rbkZCkbbFNi8w7xZw6F8yfyJmx7hnj5J0E8ad3wLQKVcrTK9josGhKgEYIcwJ/TPPa P3gq8cRmcNprSIq2dRDZyMSB1WU45kK4s7OdTzF3xhY+WhmzI+rStK1ONF3Q0xtkbPeHehP5Tjz2 lkfbGslnp/M9kHwI/TBjWERdodbbacXKRX9LdFQRajfgDhFF69dM8Sjqpb2qnQQLjSOq8rwStqTi fHUZofpYjeau7mo6gceo1DyxwyM34wzpsYaWknQb1nOKyeYzycws8zTZXrH50vjwgr61iqYxFvMx 5XYnwAjXNXvIuB7aAl2m5lO7GkZDVIs/2o5Ot2MKGiwyeOqtscY80jZISqAhIN/KZPgYwf8a8uKi LbudY+jpXPMRHL3MprbicsKv1Ua6fqXakOCWvqUB4RQwoMGDo2AFYCP2xcERkJM9r6zmev4iww2y DMuCFrvyBEKbyfxuRSy4ZTDYFwNRCAzhYYyG7LmdE7qxi/PWlmO0oLPIXRdIuweOVq7dK4t16wn8 qpgRvis9jGoDcjaeyoyOo9RkVqv1xilLk1ZsUN4CNthwOrfhL3gf1tpHQt8fYaNGVYYmaRc55/SM sjqifeHi/50WpV126cXD3FeSNbsmIuAVChXeh+5cPVOZhAPnhSGNQi1XWPRcTK59cnUTBZP/6fGN zFcHZNddLXWBGE8nox6UZ/pubnIk1OXmDX174LmH/ceejb48Dgh7hk45NCfBSkM/OyhlJVKiF/5B yM6vpwtMn76f/vK64GGOXRJ0vyFV/6WUKwoktl4oLk1HRyfl5IUj+7GkCywiF4u+N0c9VV7DX4Dd aVHvLOBV5wb55uoVD3nNTRyh3DsZef9pd/mYGyHsTtb1XCle4p3KBTQHdpvEjTNTn3P7fmqbkXJC TLe6nRiYB+yTwb0TKYDvUrSs1suoq+h17OA3f3ICx8lsUL2rb8KpsqxxuRRYbAylMJhC8fcqbR/2 A/u7qxBPP0XQaKTSjLmVdpV76PO4pewVw69/aldk8HbgiCdUnUHqCfFTgAp4qI598foUAMkqj2fw +d2cU2aIyhiU+uFAUUg2rZ7TIT1j7gxNnLbosbHsWr5w8MB4R5A2xvs2Ok9pTKKpf6qieRVil6nP lVNvlH7M+SaGgjrOWtncCb0q6JSEkq1mQVeRfBlLEO5JFvZarvQmBPygEdcLgwzGsvm6HtmA0Xaj RCiJ0+rkaMiirmDdfOQ1CyGRoOdl0KCAprzFcZnWbtPJqMukUiyhyhts8393MSYPF0eaBEKXVrwU MgX/LptevNLT1uog1VIerFxGer6z+TmqnNbVyPEiZxTwDbSzE/lCd1dWm5Ot3YKjZJdW/uSZjigk rSSfMpljBkmKWnZPg9xW8vxOrNHC9ihdL40ZmpV3rmV+2wIBcfrZFL17cTNYiG1nzD6popNeQrWe uDiU9Suu3bzHBHWNuW7GlwiW3OcUGh4me1/rOr7j6qoM6g2EaC1tg2N0CX1/6NK9KSPPgJ0yQcuK Z2IYpFkMiumgDsy8gQUXS6hgehL9UpSXnnBuH2ww8180gyr3dFd7usTlOdrBW0ZUrznxx3hfvb3Z f4hxD68u/py/IcNtKdhUVNiB0nqZvdzUjTbddyoR24a/GdBE56FKG9paAbMSip2bhRxUYIm4qdyr +TWv1sL3W9yM/IFVGF8Ds7QgU3eMmz1tHxRinN3bb1fpDJtyXjxHsX/zvzoXpsjhKfJSCK3yGsno QFwQvmSMpdkemPxh93q61s8ZtpScl4j9Zoqj0xCz+Q7yUaxDXSv8uFB1Zy60h1hG2rm+ZzBoDtSf oxb2UbFKgYmSsdNbyk48L943DH5Zs2YO4RKfzCzixQarBdtCCQB4BfdWgl8DV2WjbaWQcoNFM8Ss 8JboSXNfUXa6CuXUoMjFupF325kHrfuT3vnZ5RUhaYAh4C8q/7PVmz/04JHGr+SndYSUhvEYRo7c DG1fagp+G8n5EohxS85fOP2JmbKCuMB7EH7t1WQTYzlAyEZLuv+C9ErtHJy5aPPOa8UnmBhQ6ysc u1eFScuFdiSsxPAIgXE69BEwL+g7rkpnGdVX1VF+WxJJ+1e6dboP46yaXqdWbWTkqKnh9GZ3VhYx QOUARew0jWqojf4Lo1JtKKDSCaLeyXnuv4c0kUk3AzztN0UqMfWeEZu1kqEgjWLJwVtCIBj7ToQX bNW0NF0v7vtIuK/65OohYtUMkpczD/EnkoJsu53i5oW8n9nCm+efHnJxWLtapbnzS78/HNuRmaWP ZZWetUbmKhNM6eFVl99jvTtKz0PPZW3dgv+D/fbWLf3D2qUPGQQdaLWUxrx7Vjj8bBgZNz6YHIIU ZmMJ78xVNqvmUf9DNFDQvYv3uc1D4CxnPXshwFec90IBJhVtkAmvfQR7G2XFwm0nX1Yii2d9XUrW eOic0Vl9V1BeVaLVKp3TdAQv2JD/C/omOwvmcOA6StXXhp5Cc+2LFnt8rPbGKVLKLqfU0B8mLqhX ecDIkkZPUmmV3RJHMXsqTqOOagGQuWyTnuHj5A4AvN/bb5ZH9vhnhDwW8RwBX9cO9tY7ZjXAU6/q kLESRQj61/hpvPXk2RAyLjETJV2K0OBcF5t/d4grxIXGKYQgoV4ZwVXtoa2p/eAlmiZtnwQLAfIS JFVg4Xmwg3iYu8NUvt1i3D3ismTtAe4KrPjWOA/xzQg2VUek8sLb+sKZogccqOxH3ObxTWy2jwEO rTDw2/3QPyBWDiejGzIT0Mdgs4eOkvAwEz18UXch+24yQZAcN10FWyMeTKtte5L+trt99hDqq/mS KPTcaGtxDM91ynxmX7AVmpkWbdciAtphQLULRTfH+c4I/EguNL3A7ozywcwC73iP5gjIYjI4EcCm H/PtsMfDjhweJsrgfmjGVd8yMMGFHgsnDh6IooUpJFQBWjsjc+B2iL8Y3AsyMDA5wnHkbBF+jzre mTSKDvrsO6RM7Jg+iMk8J9zExIXd+KfJWJjUmlNhybFu/eFgJHQI9n+I4tjef5xe17MmhFeaPpH4 LuoRomr4j7HrSTJ+xrbDaG4kJH905wzxJ6bv6XheWxPBGAzVNxIuAFY2yT1Dfg3oRGA2F45a/flS DQK6lXz3GhTq+vkYfmaU7gpb6IL1mI1gGPvhLdvEn+UAPX7qhWe0HYJaKDCP9nqIejXDCyZwHf98 rK58Ut70Ffp1lkRJSsj7YshYeuvNpf1g0moY5PNg7fTTRrZxjtZByMrTnxxDLXDEBdXKH6gGSJLJ qP/7B2kj5DZFxBGcMQl01gZyVS7P2PJboC8sa1T6mIR4ApnAOiIwxvOVkM6N2TgPxSC/3lxhp+wy Sg6ss7o32B982634Yd1tYH749fXkepk7Fz5p0pZX/XkTZsnEGnCA9Y0mvLJPAU1ghzavSAshGvh7 HA0/yYaeHRP0XNBMp2IkabOgeFruKWd9PbqG1aYqPaL0TT57cPIY/t3L2Jh5eRTc5JxHpZTV/MY1 s6tv5molAJkBTHq6z29grQXKvVr/XFkLdofpJIPjZrFhubRgUPp59hGR/wtDds6WqsuSHdqS37EY BM9e4RQl44vz/nkKa1Lm4wvyyYi3+rKo+c6rp4EQ45KS2UMhxdRkGpbS9bU0aemEFqzVQnBCiSFm 2LUlI1ve+q6ieiH62xPoKZ3el6uYYjgrFD+ibO1IuQMpsI6wNEWHhoen6G4VaCIF3t59b/vkv+fR feN0jyxF5Rt6rnSUS9Oz5HYiWNXyHmW/33aUlD6xJUB/Xcg5KfBeKO6QpGi7fPdsruv7MzOBw8rg BCzdbEzq3JOlG8EOAN9ZdHT6i1lDAsGUrdbkkyLBx8PJV61hk3xCp4osFpm9voxohXf7qBFCN+j5 INplBbghoSq9t2NfSyXn15Dxhoh/Qv0S7U+6S9g/eL9ORup2deRxD7iJ2wF/KrbUOpAPRZBw6quk 95dtYQ25tqz+Vi3n2SWMvIpO48mQDy4tQbDOhixhp0BZKHjfK7+X+m6QgfY/Ndxg90OWDw9vYy0u oaWgm+prceTyn0vWNc19cVb3QRWO2grBKtP6uwT5N8j+CTeeHlKZ+NTV2DlOvpCSY3tKxSXIK6C0 k1Bxp7jQYGoNCXCSkBEfgrV5m+TAQz86D0QsWb9vfyDl/OO576sR40x/tKrfmn/UvAdulcOfAhQx gXM7rkF3E5eQLErM/y4IwuF/tDHlAku2kMV2NnXdbelGD0ud7VXveLqXeSl9lpUHsifbyrAAvpZD krIFPz56gs79Nw+2bykxnbgBt3StyAwyQfy8LxrQmHj/F1FCWzANxCZ4kSwgEPE474lyRGwjleAu UkMkpI34HZvbIPmtuIgqKDgGsT8bALaE137kqX6nr9NCwgWxM72G+hsF8LglQIYijUI/fIpyH3fW ytKJgpANZubjZ0eYH3KgMyiv2Z1LBrcRrRKtUMLoUfzo9/8HWUfx/mSNNb69yzwgWCbYBT7o5fQD l7yBgGNUzcWI+MxhBiZsIR6OMTJpa3GplS+rxohs/2J5YU1+Z9hkDb0e7kdWlOznlVms+zVy1lSX Le+RtZCMWnmMfaEHOnMeOGO/Dpl3+Lc539Qa2nYFGht/oBn3VmOqQrWa5u+9O0h5B8oLmw7IMMIQ P+T8HzmKgF3gN4AZEjhlhOuU6tHpALZbauKueqfkSRJtm56Z6tvlBGMMNSIaU+xH1II6Xh9ZvtNQ kHsdyPU1avvTxrnr+gRx47i87MAlURCM59hxluAYXmFNxGf1pgExyjMjWBmyYxbXj3IXuuaqtkii uHXXjZVmleOp4JlfyOOF1ru0iLu8WbGg7VHafLZit0Soi3Grq9fZ5FrMU2VMhSMn8pZuIzPs/oTU oZxcp7x07y21w3kYjighKjCWrkdbHWgA5nYij70jRK0o2IHiFG8DinIJ8pUG8zmDTxvNivTlxIPH shQISWzmVdR95+hfbwbaUA7eRfyMXyriwEEwE5OLwEahoT5b6wU6WmU/7oMiGybvy/Hr4q0llDcF 3zPBFlLTwLNKfrSbQhRC/7zag3wiCseowNZnodVemD3QWmjWaK+nZK+T4UI3r50f/2wK48NonY0l RtAvqFye7O93gRW7FBMC4kpZ9gTS+jhx9uJ8MfiOB0kxU75GrrS3qgnY4HnnboEp4Ls3W5FCSDSI llBT4agdt/9gDjhbQDhGvJYs28bkQFiNW8tmoFayiQ+dsex64sbssV+8l5GzaOmw+9vnAyNnqpgb fWEx94+1efRQGm2FREH8EqItLWiAAPjE4/rMsfJDoDJkaLsax8D2gYtBykkEkO8LBEOZXCgAC3Fe aACe0JCs6Tyl4LDmMbehnjYudO5jjace2wNhuNVMM1ktLd7nTW33VQkBdp+VRTe3PJ870knYuVEL hFbAQSsWd7L6p+EAREyf+t+K0Z6vWmSnNWL/jTwqG9SlpV5L6/eAwUJ/8ldO9KwgVlZdAYZLtuS9 UUhlD4rcbO6hvuY+Cg9eC00zO8+sq1f2W7hurFFvB6OXXmwr0x92WeapWBBS6MwGHI+j893DKj6L dW8Uag1ReSkNG/aWf2oOj+edIhpb+IkSjKhWYzGUi6RClR1HT0YphLAtDE9RbTrpRxxDFIxTRtO/ RNReAjzax/fQE9+fMddaGAaxj4CQ/35u0edt14VT+Nh8KXTzYbKIHqTpVDBr9/M/gxbOF9Ucvpe3 irfAOrY8xZFmndj1o+SdR7oKx8PfPyroaPRRFSNhoa6thrLajgbU9pvhbGKwkEF44/L5viuEq84n hK9Fb5BukBKlKUPMucNZ0p3e3Z5EfQVUC4vGp8x5/TnWmWpY4UGCSEfyxr7jG1daZS6yIXoR0UTR zxu1z61kdQpk4iYl1BIpJOFKbt9crWDdn18VAotohUKy2/KxYJ9WjRvv5AbUqZXNyrMAPhTBkC36 rVTKxYVI7Guyh+Twme4L24eSKdnejWMBVhzoymbcTqEjnWsGauBTlpYMUpPJOHnnftEMXRA/jfMk YtWOZVSgeGzsMaDqfGGJx2Q7FiiZorFWsyDzO1QwE6/Tctnm557ewonJ0sKUdhM+p79pzApOo9d+ LHh6D/GOgNNBIbSN5tXgtIcq7m6CYERREa/8THnWulKWyrapaIXsuDDXJQs6bzBewYtwGNjNpa3Q M89iShcfjR/hjnoiPoB1wE2ZvHtC/veJF+A7y3XSNS5HNQBMxpjFa2Jq+PYM7vIEevp4QQ87Go/5 xaW1I8Kc9RSWoPMw7L+u28xsRkJe21KPyHaWxNMyS3OAMCC7CkxIA/etpZZD8nNjGPvbme1KAA0p US1lzW2fm0AwfFh5aM9PvNSIzrjBEZfPTYi0F+6gAmxQXh+o3vI5+Cm8TGMg+uk1u+sImxel7Suq +vEaFyiW2BMwcRrokuoH3b4Qy/OVWeEL3B1qa9pmkB36l5WeV5DMdJsxlYAvoEZrZMrL/Dktfe/w opDyylpefIvZ7u2gfCkxxX+ulPhl/iarEfpu+MAlp7AfeIoX/qpXqSXNczB/e4CFUFXAE6ulf2Fw BcXOau/XkkA0hpG6f+gO4jTo1E+4mr4DCgmqniK/8gwHQvvNVcyRZk1CYcxCQaib5GA8nFW9PZw0 EfRsnagnMeXN7rQanC/EtzOj9FTtUgN4AmAGZvF6ppecslq02uM+8xKvl7LXrLe9xo4UgRo02pjm dTnbkZagJRVSLcRQxesMuIkdfhZr96+HG2qIfg/wtKth5XlhOAnzCnmTZ55EBZ9AG62QI3caDThk eror3V77F+C37l75c5Ira+/lrg2gSXSrOc/mxYpt9Rq/6tGKk40mI+vbtjBnDS9Yso55OppTOJtR n5lMNEwwtVPF3qUhjWfJJvZLaz7i3St7PGIMHC4chMKVuKJ2MbN/ur/gOrkhRVHW7Td0cR8pYQ9q s0Rpk6e/kLiS8I+w5JXRWyNJtzxiG9pGWABoOE63ALy8Vi3BfMGFN7QJN02ySPakiDX2eoPZ1fw3 Q/oE+8vZ4LCw69LxPAuiji7BjMhP99zC2UWld/F30JtclfjLngonwrGxtIXuLP8qInJGSsEUzJZu 3zWiVXXSvLWDgj6w79D+koco8cbxnGbkArpwzTSuDJ3DBvyfRoZ1hG6szsfB6EOxSiyqgpG6MnBP mbR20V5YCEA0wTNkUWz0FOuDbha2KA0s/PzWcLoGr6CUUEUzZmF3JOXWGBSFibjD5P8BzAtQufbU bLlJTypJ9grqqE99CKVSXcfkIvwzOzA5WktL9QS8jMgG+OLM340I/w6kn4Ayh0yz2aMRwYNlDM9E IUQc5PqxpLwhePIpNaBfq2xT4HjOlRCNdRaCpln2AALRBADecuhW3Jvmu9CgD1ED4xIrnBR7phcE Kuwxhhyjo1UEBkDLS9RRQOYRAwq1lH8AXtjs00PUGGjPpJ86jWeBoHjzmlWaQmRTtcAYLKgAcBhO pydzBzFubkr2LYV023JUSxNOfDoVlYXwDcnygcoZXt3bpkD2CS6th/A8MALwp/DA7uayzDG1gm/U TNGaLZBd9ICC8gwBr9SGCdOHSk7mc1wWIOb1AOsIm+D6hTRTPpp6g8oymT1uQ2biEyuIxmJ7fWQ/ 8Lh6gpLn/qcZDNzjdXn026meySmv8pd5/WHlsWq2CHn3JS8h+hbhQ5WLO2dIsEOxm27USyi+Fi56 yj9cuuAhGC4dq0YFxHmEIIP7u38pN4SeCWeznntY1SFxIL1LsgkfSVO/oun5ENoAhkbvIk448irT XsQ11cwE+qI3FhwTwfuemVQOKX3S7n6ZpcWzmRfNAs6WheympQ4Ivb9f88++bXqZ2jXmzwZ5bYWk 3o71gQG5jat4KpEvzODHwc+LZ209J9I/yMyWJF6nqyjWcdePD1PhB1gLDo5r+yC9O1yHNapAjPsW H+U7bxy5i6dYSa82dtDNq9+jIRsU8cDIyykvq98lpsxGLsZ1Fx0dFji79WaOJ4ys/ONJ8rLjnYq8 MI1Ddum3lKF/dlOttHlYyTPCBkvTvPyHg4tWf41OFEUr7GDxIRXNXMIoThX18BHzc+klmZHhBxOk Krju5KaoxU77uwX7O1+u5s7IPKfRRocD5RjBuWrKj2W3+yLKVp6ThYT6qYsTlytYoTc6uaJNiq/H rQyLLbrKdtbNkK0yIzGSo680SmGe3cgzdJTD0GAQSDUpns3zIf1ezVNmYebUMubtIzzzrscUbeA4 Rfp1i1iiJSrrWuKn2lLwlxJJd1FoO1WKwSX4XuTv3ZX9NsZXH7I3seLbInzookk40jeC7EAISU6b 9lAokMEzba/pGfEeiQRwojqYkTlwCWgQ6s+Hva5Tm7NSKcemLNZJwfUXDU8PkSkelKVhFySzSSdM 3f62kU5s5JNP64DP1UOJgXUPaU9hIkrNkxpE2E1xMqfiU81IPd1GVhku2Vw5Uzti+m3jjuR2c4EN fPDSVYoIbAJfXJJhyRjfnTbW/1Po7RAoPBqdMnU5303BoPvf8IcT3cocme9Ub+RCIywSikjnKxOT +5nPSjxNpuxuCj/rm7UKCL06xElvSZWwHThMShuJheOls9u9ePN9Nd17CrZNm196nUmiP9qzkrAx TCMlTwtQoxRWWdN5RWSjhmtpRcGLuZomddntWSAPr6VV/YgKmGwNBKUk9U+Arc7SxGBs+2b0VRSw tAtk1dNCIOVmr/TxC84vj4z9Fd2iYeiyRhBcTwqLl0m+Pdb9Ct/7SlExq8Rq4F6yK/jPWLhOLVMq OstDR96BK7EXls0GXLBrLwBBAf1PkFt4jKwLUErQpwMoJbQo6c7yK+oRZY7g5o3sdpGBnQ2l4ek5 srJ5pDfaPwefaGAKhBfqw6kV6bXmh06PlP1GdvUZcIPi16SzPFn8p4EEwfzl9gDJ+1Yx0BanaZ8T dAN1CfLgGvX9/sG0Seg5FgfqyyMLubhHpGTSWU9ldstdztgTNTVTeZvoSeFZtxEju3drIKEXqqY0 WS3mXxOKB8SXliiqkZyKfcgmdHuyhLFQz+qzHB78ZGk8OIIAvRfhdc/riS8IUi7SFaEAvo9lA596 ttOTNaIBV/a4F3GeXrF4v+2ayiuTl68zFgDTyOVFrffDLcWrHJlpTA1+nc6n+7AYVkJm1tVE3ta3 lzNkU1wc0yOg0Hko0KL/XC79gsMbbP9H6GPwdq6gY8sKdUFcr8Kgvt6esvW3cz8QJTCARWhtXi1x yO9+7FDhhcjbB5RBZJzNTY6SknSnxSZ/Yw16cxokO3MA1iSwwuF4UhyRwfVuly2A0yDBjxb4tDYx 45Q8fZZ3rphAd/fOUqhk9YCXd4cNCm+leVuvmc/TNYsVptKgklFYNJXhwf+Gs0ViIxk5qRgS1cWm xBqgj3XkHM+c/Ncxk2+prvFMQlJ5bXJiW72NvNHFsXygHJwAB+RAgISWbM+Z++EP6f1MmV8guI0c +9e48dwBmPr6q0aRsV0vNfckMTriFE7IpZMh9IpuqNhDRg/CPeTxq+01ms4qV3g9s+XYQNqrLi+C jSKUWs4wOM06zmFoRvuSPxyhhwO7KIvqk9GbFtN/fLB1i9RHVl82muJ2v9vvaSSH40reGqCvWExJ ozq5ooI/vqgwaa40r9byjBd/0TIvUlT2SbVOgMio5i9jooGr2MTvKnoUkXKla4uNaOLE1MgYgKbX 8Wxm2TT87Y/KZfrzlCn42QVhzcBsj1wuTX3i0eb1XuaJGEFiHzJvr3uO9HC3ToL/H71s8h2qgGHW 5pQskWUUtB/1pMZHPMTu/9sB6KZXUcfq0RrZ8lJYi3T1pOHAgvDT2grxwVOwMxPUZPCdshKL5S5c VvmMwy8pw5bElJf+MaVQbEh+4hw4eJ2SPbE+iH6koC3/DVFOMuvIcrQ2p4qSzs2sI5x2A59TTLnB MMvijGCm87CMFIHntMRnaf4GQS5V9V5BROnfckQqEM7j0AIYy67XcK74rTRyuxQr/0PwmDme/1p+ 3+RJkqfi/qfxDnMPDzlYaMyZdjm3GyVLMwkXr5fNcI7pEZCuy7N5lkX7svmm3lgI69l3+5fyqHe2 dn8RQFKjX4vbfddgWzH4N0Cm/NAqTKZDtfoPMMNcrawcwnZffdR0aRDFO8JxV92VjFVEttNL80D8 sAZOopQLop6Kpq+5LW3voAyaBb3lEBUWQKQXZh8eDdp3L7Maw4HS96rV3sHwM7j9Vq5VS6yPYBWd t76AV8+BGCqiiXN+5iMW8l5+x1FPWoldzwrK4S9hufW5xiiyg9guhO7PPXoeH5Dt8uyL5JV4Igsa fD25gQhM0ptRrRs+gOg5qH3eZhYUr2g9uLxjZoCQX6UprRBPJQemUT/rZS+NDIgBgBs9BUlyHsip 6wNb0E+172EYeGLwdujTwtmrSPobcu9yCuAM8tKX5VszDoMV6XzK9mbaCpYYzbcjGyHaaIxBlsva w8S+AL8hUUosZjvhmlJFERLxd0//Aq9VE8qDq1sEKFXWtdrDUfnhJH1Es3A7FUm9yfFVMHsLEwGP RvHfaSbkD2+dGRtSfghmdxjqCi9mQeZPQZD07wEBmQGi94stkmGL1SBHq3aJpgdp6Xs6v0mTicrd zWsZ4FWg6zDqQNPZ9gco5sVGmlSQCfMJGEmiaJuhO6SX2ijTw4UfJ5SW4kEjMpYZ1S8XjXXOt6ll 2EdOKin5hxOODtGvpLv8RZYh/8TGHKgSWvgHSI91yvbyV3vXas1LHI0/3kCLdvL3iY7Z11bLz2gl smSi4FzfisKWHjnKRjLT3OwRcuAWSZnG/jKz02tF9FDPvo+sIAT+1iB1YJSbD/Ma6D3ewigcngB/ KL6o+VLqZ+ICpP1SQL9ZOeHB+gpAsXcXmnHjaS9/ZaVpqsvgIVX3b2dgWhYlKwolDb2wEfm3yIil GiLSYBfpdQc4iUnQ31b9GDihZotdM2xSqEV6tpK0mIDKyL01mi77G7M6hEzA04kDtaXR6k5gk2A/ E3rxKyhl6XEccGfPE+fSdyhKl7Nbt7Pd+4eldtG/fWSuNo2IZDPNAYmM66TN96aEHltVdB0r8bLc +lfq2RfN0DQoGt0jPn9xDERWW4DLDiTuHR7tC6/mS5WjzPZEgw527VI3EaY+HsE2vIXSz+B8Kzb8 I2xva2XrZwTH+tO4qKn9vYS027j96M/EsFaju58K+XVTTvziUOlSiTc/I6s8M0lRfCwjHSgIMERC DIi3DAv9hhYu0Uwo/PXaAFuCcAumyWJOklNbiHVZKC0IZIbQDKpc5+M0ZRBS74c96/P6v6DzA7+7 6VC7fQwH+hmUdiQgSgUY3nz0lgAB96lXMzT1+DgLMWDmTeLkjiC1n5G8sEtBGBjgum5tFZoOr8zw FuWqmVgb8NB5wGCRN9FVeLdsvJZSII7c3kBaAgngTxa7k1I1/+GPZoFfa2+uWfmolcny4yUmosBf ORZ191R02OipkI0knjHhI35MbGAeGyrQcZcwJUkOEZafwQsb7XD8sZ/iCtOabcuKFyXPCRzNi4E5 3cBo4cCUQBci8Gxyua7B9Om7c+DghspFaPLbK5xCLYNoMoDazMA8bdfA+MV783YUrkjBMy73/ogF kio9WfT7W2+7JxZaA3YDGGC4paEyUPvPmIbdeh6UZE+a06A3LzbcsXaobpmjdXjYjm6GIbWxlJ5h k3QRzVP8rP8Kab96d4+qc6rxWnnifqcIp8kezS1asogF8WO7evSO8gpeq2f64fi8GYGFX7Cq4KmA 3fyEzNI7URXmMNExB1sQruEwsO2iQT8Xfwi63zQ6c4Zzk+jzhZXxLolV9HI/AXBEoL5WrqKyxn4A WsFmQXSMbjIxfr5qlNNM8gVNrpdS2AineL5ZzA5gGCjiR2/gr9vQGrGdQ2Dj60q31DiN34n4hcTy sAR8IgEPFd9VFyNu8ivcDDqxHWRSPyTVcw6qhiRs7nn8P5JTGXRHF9+88jS77YH0xXeVOz5aGmVW BELWSy/gq4hGeRNz7NFKdY9qUb+L8hPWIK+HtQRmTL3tpwfJe0V+TNG5vtUIR/dDFAPbIPwInoyP fyF//VszUsx5iM8wCUYmg+QyVS3kLCMDf16Cm0KzLMMdDmqoLm/2l3Wz34yeHuLaljyP7R+JarBD 6LddW1Jrn3i5m1diC5cbbA45nyJ5cdtZ5O7+vf4KFnCLDfJQ+o1T4gp04Em6koN882vvau03ROKA Whul1c8eKxnTdjSXVBphoVOYjSLPYV+ytV98YQS3AhSvKFs9EGW7T3YpDBVI2wIoFWKJ1Eeqw00u 7x2F5ZT8OBNXy9OfaDLNBZ3spHQei6UVqxx7oo3+B/ECMhhfIEBMln1ULRzhZ2pGxJhLhSI+5K8T W/MF9mKmjICCIixshVKE6y4yMOEqIKpRgIUhaLgDW79fYSeOyUUdKH1ifqGL9SX3sSWkeeVda1of 8gMJFiJTC0PagIzzxnRpWdZHhEr8wkLZkh/8FRAPKxihufuCBAdAry3Hhs0qzmEqv/v/gGM7581q ipioRDySVrDWp4zOUSTtnIBs2dNCgwOdbLLFkbZwC3dt484R6rdIXV8R50RGqt53rI2r80jTXRvB 9mxggrB6N5OkXYr8uuizRIedzS7nj9/FGpIxo1HMNIukdtVEzFq9ruKH/hXEWehZnWbM8deB+Mw1 YpavmyWwdPXhbSQ4A/VY4gZwIKpjXfkGMNsqk+Aq7hGAOPglJ2+ksc++MNh8Ishhl6+ewNWJJX6Q zHtriPKiNkjonCN0XxefPqh7Y4qYE1rkq7/HSSUHtLo3u1Cz28hZy8oShmQCGL7HPCN6e70aJdjv C9ukjf6HMO7Lqc1Hh/ftjTQbKS3XFwEqkAtQmBw/m/J5HE9h0q7CNZITcuncSO6cgnngbMfwiO+Y ylBfjM5b56VqtYJDRi846xCVtcawsEjjBlMhEqfMfWa02JrNJZ7MU9EpuO+0n0tjrVVXukkW/44P fil8QMy2Ybs6LFRxq1ofemsvoKS1ZQ16TPe98h88W8PSHdx/rJA8uJa0EwyN1BEbZQAzOAIC+WNY 5utYn5SnMtPtCw039EjJIFrSD/wwsJrAcKdQVN6vc/nmW8cVT3UxL1/OFIXzssFfNcH4McWHpPgZ tMZBkLu4aP+5YWHF80gQKeQpJVho6ZxP7jDIWxbFdXBEVDo1VclfYFOujT71feKFNiYYrxClzyRA Z8tszKkJMraDw5yHKCo2rppHKzd4jjl+uYRrXdpo/vumA9BYg2XoRieaLJY869Wwx+ct6E+RtYRx s7T38iCt4+SsXwh+sQYc4l7raJBItwbIoLEnTFfziInTWPJdaog2HyZhg6Loei6T2DTJjSnDnMzj 2nN6Dw2brojfYXw+qZ3m6WSrbI9yk5t4sljspUaa/ZodffDw362H22ncNVuQN9il1KRMSDPj6fBR lzGflamED0a06T9W/iDa+sj2nHLx2bdmku7qMoFalVqDEMjXYlaf9h+MPAuRwpWGP18WO+/HvCfg tWcFs9RRD9NIUvegPlb5mvfWXvq6L7D/xW65xh7OpEx+hOgCbHRtIZhF2p9dNotDhzl9798NXjKU 6bgoYskF7/IKrk++kVUd3j6S5h9tSyNBwm1nxS7IV+rye1R+BMV0cjzl5lPmSt3vsEngYotbquec ygfmxvhbCjjHpOJJCul6hBFp1R9y0VavZBK7Z5NTAe1KuPJNT7I4v510qUOEynJyuzy7HFApdDyx mwkb/4lCk2/dGyiCX8BSg+uqCGprmtzIvt1u2quel+kctWS0UikCmn4evgq/E3pV4vcioPFnEYd5 LxLDbWukjq5qmIUfd3nBqhPJ6o1t9vOAXaNWBQUFgRRm3meLFqBLfWX1NSxmyvGnsEqJ5FMg+x0s K8EQYXDB5PdEkb5OB5iAxXti7T7Y+gwsH33auyS81Sod+4drk+3sEEcvWLtx48JYd704CZnX2OZd dsa0x/Nk+sJ21BxqA4RPpXjkJqnI/nuHpz3Ge/mT8oDQWniaIoLMzflpJm8z9Q0G4iMEduUQtHSl wbmukieKWBCOFuqhmni5aajVgyqs6w25V0V4uff4g0zNAi8UKE7tI6nd0yDtVTdXYsoUjo4F8JsS dyBh+qx4jMyq2yWKknHt9lTwDu2W3v4fJ8qZZplXrPoIo97Ho/lfdqDlVEbiNJV3PK29MAcet+8e dQAXnq0vmoxn0DTHF3GzC/+JB5TecKsNzlZ+I14EtFP3x7xK5n5931n76/mzYHphGRcMOjE8qb+r jcMhG6IvZ63r3/2UiK4TGTfeibxKXsjZMk3/7NFURnzvz+3I2rIqLSMwgnrkDP80iMOnNezMwLu1 W4akvyOX5cCwv45XwntDqUL6KXDxd7plQL6+i1IhMLDYhOQMfwNqNek71pmvEgWMtyvv3cM3l3nt 0MqWwKu59GCWoI6ijtCXbKeHRvVuHSQYwFdidSuSxKjWNzYw1iit2KZ5JcqUBlgiLL/lT5997ElJ 6IuPQPBP3BiHJpFlBXkHZegbrf7B07JDY2ZbyEoH58SFNVkg6GYsZGSMb/Lu017qVxhaO5fhdhIj 9DV/4icHlbI/RrnoVsOqI/zSPXp+3kCUjhdwaJRJCGmvh9C4HNFfxXG5CQZf6BzH7svV3Nm7gRLa wSbh+7O+FzU+AbLe/sIvb/CNcKn+oNEWza07lCAfc3ryXufSyroPQuI9JOm/aiStxda9ABgVA26v y51hJmeMDos6PdszlLE66WykDsqRiUyj9NjUAMBv6BUk9Y/bQuO6pmiCOq3wSgiNMnVACrdvmrR2 3Yh3M9K29XTdsVp3a+WjBDL1I6tFlaMchjaZOllZZt6QrlLtTEcyqTWJlqHARxMK8qgr8iidBiuM X+V4w1wB4ou8eWurn/cFrfv42BShhfKKLI7Z0aaQcKd6ZPa3A2pKmEA7fM6NcZx7M/6gaTE3jC7d 7GRBhf9fUngKx6Y9sB2bUwTyZ4W0/WjU8/uLiIOUI5nMttgcHOW7FpXbL5No9EA3CbVqHjZfvSeT jhKtnSUjek8TRbtR0cFcoVuoM04ztf7NT5iJXqPnoVlUEFGq2iD4Jw98I3zmIj4wrJI8VqJGsswr Wx5P9iwb0Y0Siyl6OEhqo5XX4A/UHOTE+QQ/rdnBzw+pJvztFCBVz8zxV/5Xikpe0GYM23q+CVE2 TN7zTd2CJwATDk7s5pHqdOUBb1v+vjer1HIN3hdKesKwYi5iGPJRzmpdXSpnz7YKMqtgYptU3Ygb 80lU0+svsqAhxFIhfoB9XwLmKz1cRTGpU8pBJm3J0pAQMsEi2p5Zvis/CAr6ZLXTMS8D3oJMkbCq 0oLRn7NwabRitMkgNKJ4+qAGAPdl8zVOiPq/uWlcbRqCjbZXpCQbAL9hScrDtIOuUzmNaOHleBRG R++U/uKWrZqUraDyEBAZ25IAmnsl2QaAwU3CU2+MuTIVZTmljEG8oZ1KLPCUXsxgajgV+60B8+4v A3cJvBAeAgQ+4pR9uwKAYjJwlBLh2xNLPap1krbXE5grakEkcHZ09hxXa01sRFnyA8LeSa9NVpzI Virum1rczvRu6hN81qOzjIL3QW/qgDJ0P6zZ+oqvrDZxtws92S6IjgA5ZGa4h3xPddx57dyCpfLK 046VgsRjlxtk7Hlj5c32qtitr0GQCPUEsu8cpSLdRimVlmZ/osXqX+9BB4/xwz54V++GtXgiS6Zv IBv03VzlO2hO0mqsn73UtiUFBG7JP3Zmjs0pGuJxg+yAb9JPBX5zwHDBf0o0lHdx563jimNxZ3Sp oZPYQsToF6OEBM2nbAWySUrPSHWhKGvbR3NYdFjplam5ECrXxcuoovhaY9r+DtGYZQ9wMvhx1Ch+ jGGSvr4VUGpHABx84/loZ2n/RWP1HidDfCXOfpLAtNwatyqsxfs60WmJbEmKgFELC955oAeteYJT a09hfKZIUEw4jIY36oMA04y2sdVrjimfqJ4QyRUkA4v25kjUp/2n8UnW1BVFbiMKOPpprX/zRk41 3Yp4sUrLX31ghCSQd3VV4GqJEPy4FdDTpMWeOXvZ6+itfmN3KZ1TxE3b84jJahL0xgzob2iS3etm r6JuJcN5A1BfJn8PFN/IApvjYMvJCgkYdhx/zoa+Bc/cJoL+mPqWgmtjBCravXgru9zU9HoJPVqB Dskociv8ikqExVNP7gQCXl/eFhBqbW3pOIYlce67GpYD7P/3P1738n77EUlPHyGAVC01shpubsqo rGzF0sFC/EfOU+Sd3iwTjHFUHRs54D5/YLoWx5td9+ecjULciIoke94yoAz8ayCSeEv+xTqFMbm2 YzG8FvhsBkDMabXpVXPnhKOPzDMpnSkFExmFO4WLKlQ6hEfgqs9iankZlEJWj64Uh/pdopRpwxD4 G6ApcH7k4FlnaFDkuubt7566LeW+vhrMVF1gy2O/zo2ZozOzYlZbJt7jhGIQ6xocfLNvTjJD41MK JrvYtE5ViOUM+BeAx7XyBsIfhzv6TS0C5VQwIH7G7ZKpqIdy+csPNwVLWgzTTGDYCtsZn01gZzDy Ebp+E8doDB8CJwWD2fVT1HZerwdndOFDof5LuNgv3aIPsaP0ThQtgGZJ8cGtqOyMjSzitOMPPZfD cveIvnHRt/8B25okYFDg+yygix12QMR/tvZRo2avSiLNFGwNPHLdEgP3wvhfBsvpRBrkSKIDS5Id aWecKQ4SM0KfmGIgmBLtXpKNL6WKX1vB4YS15almZeXcs/HptEQKSacef4Wtaa6MXGARl4iZ2viw EwOHj7Qp8UIL6xQujq38zxDMJuzrpf+kTUvofcijYcB0ZJ3niGZWX5t+0FE8MCb6uEwUbGfsW25y gqrMNg1b8hPv7spB5gM1CpphjqtA3nTiqu4UcvtSSNOEO6FUFg2vbvwX1W7uDyB1tkEuR65SIf28 wVhFPeRbPqRetGUvMpV/bm/LcaHmDiM1h2fMEwPkUUEIzkJ/G5qgskjvEypPjovNaHklx2eWLDMn YgQ/a99g5gwZijyRmkcMoLIA3afkL40Ih6cpptRJAA8WQaxh4EaPO6dcZNuc15OD8Ht/YzmosOYh UfdEYDW+1hGC+kJeUFMOUefQB3hJJ+J8P1kxiW5GeiIRA2zJ72WFIhV5Y9j+HWnRCiKlzDHnaVWg vPRvU47w58U1z96hZFu7o4Wtg0mZzFW1GwDdLVHX2xBRAkt/RHDj3YlKgUx5pwOrvtSVPsRtY59R FYLVAO7rZ9ek01vLrK15Ha65vkHd5C80BQWfgLnrWjtuPfa8IHA1j37m8EKX4/i2wgmWjqXFYPqz 0Zwj26/pCw86T5FPLbrng/4o8QLC7So8+KZVwIwggxBPMohh1GMXzPNwy1btuTj0SP1QgBml82nz AuJh6KpEFKh56ZylpR3PsDBegITQPV2GwFBWJYslipLCk8r6BWp5vFtrumZ8j0B5JIfKjpRHZPpn vFX1EFB1a/xp+Bx+2KvGufECwQsECn46NBrtVnHcYymxIfmLQbdyW+wUTrpX72sjqNygTrTfs+Zp 5FF3ixYaDiy9BrOB0f2O8NHrghIG5uwg24zur/ycQyM233BHSR2GZyKAXXuApePi6HhwQ5ntx5L9 YHttGZTVFqUPej4MGc29m1xxq5Dol+gMihjBYfuNv9jwUdBUhRtujNca6cjlVXSAgw0pGm1dns0Y tvShRQjtjYEZhYJ+BJF8Pvp1Uocsk/u93tlf6lYfacDRoxcU+OJ3wtnnFmktIhKbR9BEY1AktyaY HRyn+LnufLdLjvLQQKynd6QZ5uaj3pWRIZaglIQMFj+7kaiXQf4kptZLGy+xTgfrnvZ3P015b1if QCP6fzwyBwNxm0Vgtf4u7thbgAMTrKMQpK+cCJsGBJ/MmvMtRWTh2eQd2MbuVtiv731OKlcQzP92 9L1cuQ2MuqNtmnkyTP6/XcK+aGOnRXHM1MjkImFvKmp5StkxmxUsZKGbGUBXGAb5xMPGNknWs7PT EihmuLZBAPyT3N/AUM3dMtpoNH+IVllpbU+jdXh/DSVdClrsAZgWC8K8f+0WC52g4+miDmrwi7XU 6+QsJL+vTXlhv+pSxLABBCf5jHrGyaUOx5tyedmaj/tVPLuQbIL49Ao5G+dbyMEle6fPU6q+WUWd GzvJ41h/pNNFn4EaO7Yac3D1iOEVq944Y3AxQYaymX9KOBesMOzWOJqWoAd+hgcdKGfQfo7dwS+v V8FbU0C9eEiu5TUe6PBWrOC97Hh1uRI8JfqyZ3+7Fi5ObRvbbeUbYvCn0anW5rxkLO/Qqf9h5x6F t8sFoVwqOs08XJ1ZEmowvAfLYzQknS/LE+HQj1t9zou3c7Xj8jzkQJksyitwdXJgkDuGRjTO+3rt JXVXO1ji9CTDw3+lZt1/JOrD55jYI8kAKF032SVXwyBNfTF0bossFCIJWiobyjq98hZEy2Y0wIsV EHcaIfoZRCt28Ku//Oz0QXXjr3SQfbTtCSZzbNiCah/CYWc8Nc8gh64fOv29BsEIgq9NRY/quD+A /WYfMAqcmWzAt5JcWVobniyd4126OXy0DUK0M6v02SaT/u1zERF1KmRfjUEmYoRc/dZGezgKYmDB 7AiPRXQzBdfsUmgxnCrnst6j75f6Ai9vFmCeK97doehHlzuttuPYA5A8zbE0V4TOloWDvrtn36sT gDW/mC2ibT2fX+Ufm+o03kdOq5N/hE8+pmjDxydRftqv30l1yM3OqCPqxtSvHbEBdcN37hCLNpQV RVzi9ANJ+UbjqJ9mP+Csqf8gBZwjhGnkcxOCcmVj/UroMHXlQbE6F3bZxUS4AqYT6MOJPDavJKJ6 Yf+aQuS1IIEVSmJg `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/blk_mem_gen_v8_1/blk_mem_gen_v8_1_pkg.vhd
27
123409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nqqBtmqfflVo0LfdOWD2OeylbTCJPLX6XaSqFQpCXkHX4TF1QAXZspyiDVaQlwRkat06cPZ5E411 bTzbr9/qZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q/X3qbnpTyRXgHhmurX8chlDRL2XjwnbHjo5m2aoqrTNSVAUPYEYGIGJVoJhRP1Bd27KZbGI0BFX fZKfju5H4nz84jXPUC/rcsp76WTu945qoXwdo30XI0Qhi1w21P6EhLXccz1l4c9zfTwlHtVuYV2c xkxHRh0F8KrrR61HDHc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jBFh6UBl2pQmyl/KNdwY4r9ld/Frb+RgwTVitzK9Y6Fp+6xDwrsib4d9Z9Trd2PuW5z5/ot40n86 vR7VZpJnONM8UmDjWgdiB8rXNXaI1rBfme4TQ3jj6RaF803c2cAi4cdZ4qM3X7V29W2B5HXbYsfA +fn+v+caVjEUXZHZm4HMyIR7TNVnvmCWeeLj52d+u3MrD7UjjkqtqnRWdy0ckM9p4TE27eiu/nsz awiAJoiVLZNTMmdaTdZ6vB/sS67SAe0JjX1nTwssfK86UYU1+n0NLZ+SLB4lkqxmhepGPNojfE8p 9hJaPKOTV3d/umJbTV97L90iPloNPMXpGK/m+A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cwUUX8orCEMoCaO4wbzIkA5h1G/QOLlup3/J46IxMYEEhFnVuE82RZ46tcCa958uxg+L9/l1SnQ1 1Qa6GFDzaEz3zEcSDS+t0jFMPNI7VUppaIgcalGdkOXBIX9fihrhASeWjqmTDrUSlTt7Vzyo+3TY n3HFHRbTrCchXcVswqs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z1XHzIMnint6AvJuhSJyN/+kraiZwIT5ZFNyZxcRS4ee586ZcCrsBlqjvo3awgeNWb2yZNQKbtJY UBJT2Ww9PtMdwpg4MPuZFMCTECdiBOLjqX7gX0K3iBdA+35RXRVkpnaon7ABi2dY8SU6a03iv3ph ed9P79UVGmdGucbzSQNo8vkiW9pS6ZJElXKmEibSc0C9Vw6VmCNdLosnrss+vUEVkPDu65r8MqDO 9/2zcjIio0kfnpSLOaIDXqGefGNR89nRv/NxKymzLnDjvK13FSfKq6qNfA+cXOtnv8oRuf0tdkh7 e8F12j/LQajA5bXDfmPQ3bNX4Qv06vuQ9+MAAw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 89616) `protect data_block QkNTRdneAbN5BVS8a/eWFD9TPSE/fl6kXawkt+ZIykcCreFP7pQVXgpXfDq48GDt7BVgI2EZdud8 3famRDRPxpZhJdv+8hH0VjWY58or3IECqNmxw/v0zoxk2ds8/gzqXfqxpQieN8bAbDQpqVev4C2w AjMT8/kNEOJLjBQzmbWpTqMCgZfOF4La2WG7wJeAWN0r4AySjkQlz7xI2jdtOc5Sqm9Qqi6fcTKA 1grQPOnYG1otjByyc+q0aDJ7/Dbp6T7QjtrQuOs+lGPgyAzKBIjrkIETcDNlDy76xlOld3GRswTf jJmKggUo0FMwnkLZ7vFwP+FyNZczuPwYzZieDtO7HFhvDdeMnNXe5bA0Hhy9tR7xEOsneBXlip9G s9qW30Z0Ii3tvW27E+W9DcyOeL2OrEBIDCzdhNZz+CHn7uhTFlgjoD6JQpNz7aos/NkMUhB+ZAuS YAbYwmkTZWUMHQxgHE1h5hTpcILymn3GsX75Ji1irId+1/sbksZnaEpfaakdcXfXErBDSrAzCSVP OwX9eoUnHw5O6WM8kqf3F8o0fIglbA7wB9akeCOW0wm5cEAVcbklSO8AeetGVqbmC4FG9UwyTXid RvYiyHwgcvFh4Yz+U6Sney117km0k0nJ3/IJ042BgcO0LUtXYWBGtUeI9DGzXgpXWd+eER01vjUe JrpM4bYBmOVmZHH6FePTpky++bGtp8xLRkIedfDaA3uDHzNoYmaOH/K8HuSfN+AWhmzZeN7TMTUE b278MWKKeINN6D5wXMYCWejf3k6ZSvtToS9s1sYlVZFOi1GRrRilo4sp924fxzI+PseUBmHOwjx/ lWh+l/STrJlWmQDSydAf5XF3eIRvJSzQyOwaI/jjRghdvHcdhGQUvVqmz9+K/7w+HYafR2XcguAM /moXIPIKPI2D6Ygb/A/mcAMQDBVgT7gfuc1bgoOqcUtD2FY9bhXpqS6EGxialO4ns5Bny+jDAw81 zSB3ZLzOPfTeAC6jRwkVfpxxEbgUa3ESi5DQKd0ISDgmW4xyv34V5/xzvO2qcF91j8nOBgfsGYVy sbnQTVMVm6nahiBdy/PPwaKYgER6MyHJiEy265Y8yDzu5IJMteZKViXk4X3NHWRFUvTrMGjk3qeE Gsgg5Ts1lFTuAr869Tfl9LKXML0hP4J6MhKJ5us2O9+b0w90SHSxGq5gFfilHH7eA24xQpwT0vaq S5EBV1Anie45ZcGnWa0Rz/o6WX6nD1p7nSIaeKGbDEo9Zk0LjNMpnLsEMIma9yrFxo0Jw7hXD77Y 3LL0VxDAmMVQhk9QJzr/KzyNihJwukTjVYwjnX+fNsTvs0xQCyEUTUFtPV6q52ik01iEkwL3PrJ+ NKZE3/Uq0zB62QdmeXCa807koBW+tD4JLcG1DHxxrsE8Ey28Tl3xykyYfA3T9oFPCo5FmiDC9JGM K+wBoN3QSGmjrbFsDGTAtP1kFrorlES5jfvNKG6cagJ3FNFAUAZxrldrlw4zLRYyWdZXM6mMqJI0 vzdjXEhewoLla5UZ6csiUkNOcMkqvU2yL0Jfq2nn51veXzSCqxGSaCpaD3+ib5cWU7URV9XDPa2D cyE4ibQCooQoLlrFoenAdrvwmyXXUX8SZOctbisO+/21GWZ7AIVzLOtziuJoeLCpYMYCtHWx19TY ZZA9WKpGqLKeUwCbIDN1ulm+9MvT5lwmcpmqdgPzvq3b3tatEHOFSP94dm6gCsJmdyvfIjHAU3sO o9fFuLBkij4jmroizCYFB0cvSd52DQwqsjvXz3sOxUG5R8HvGbzFMHKBtKbDMQ8e6W12TyuRHyMw amN8gNnDijwFMmZ24gx6VvipCHZC3oxle3k6VcqjC5YtHmd6N91AvSlhLHJqaSegLZWdq9Fo6WZO hYBiodeHnz8iTo7ZohQH4hiYqzTUL25ReRb4xiq7apbm6kwJcXncV0r0WxBZKaawUxZvYEsVfdVV DS2+PxUwqtmuFbHVMfhpL6dOnYzK5iseEQjZ/vBVxSHrbA5wZbcGlgcpv4t6dehb3eXQXZCZHM+O tnmxauBqFySA/bN/FW2Y36zt9gZf0v+78KNQdzzt9jX4CYETv68wOVqs4fATfV3YD88ZjP7yNslf Y4Jpt4TcCOg02hhE5ofcMv+B1vQoCe4YpyAfA/HNCDBc4HFkHcmMyW8Q+xME7GMuF+Ln1yYGavYT 1GnKeFOWo9rTrDjMdTSN6s58tQVxKh9zYeU0sujpiaG6LYTdKmPI2Ar1Spb+WuddQ81W7S1rVkw6 JN6wfFAY8uFm5Dii466jb//ROmTH1vqObVyrWnOujAE5qap8PJfH1XryWCoa/q09V6R/EQjaV2bn WsFT4IOuAYLtyKp3VM/DAk1lJAgoe6gY2kAr3lkHQdo1EpEnCoQKYSITXoRrrtLNBE/fyAL4yn6D Qg5JUR2o/ukcDf1vm12lCRJ3rMdBwqM4zTW1ZLqlT/gc7H7faZHPIoJOFV7bvImIVx122z1rMjx+ P3Ro1h8T/AQwPbAjgqz/o48ZzW1EIqu5HkEuCp3GrejPgTvWdY+RjA5WjhjtnXqIqAliG7uFSnC1 8Nklbr5PMmDEk/ZcAVBPcmDo2oK0Ab0vk0JFT4auKBljKP4OGFEl2OAnvCiqgMwIVH0jLx4QFuYd vRwdgt8JgsUHONPv6CTQUNUn6X0DJ6LHfFpSGJUzwnAp3PF6FZ6HuZyoRecj4AH9a2QH5Ar65yDF nVNCT1OuswsGk5Fsx/PB7P+UEh+tjP5w4BB9b/4Aw1u9JJzfsW0aBrCuuJtO+f2U2W+tcPWggNAj rrRJ5CITsMyPRN+Tity3SdnyHKZGjh/Y1oAuGR9TNq82y648Htqg+VWBkQRBQih4c+J6Z4lZviza h+/nFn7DkHQNF50wQcNcJO6oOiPMgvGvktr9gzIuJHkCNomZBJHsxsYeuGntWPKlpq5Tyon9iGXn 5CSLYnTRUawBpPK22XF6ADOumhRKG3voMav0vQIktfoXbW62wo1Lm3yQPlHx9fkYN4mZ3IS3J774 BZhGJ5X2/wURUv44krR3EORcBFh6f0NgpdrYIyNeOySrcx20HWU1lKNFYIQtR9s8Pd3LeC9aCzfx VoIkJujFb/DhJgZQkGsspSCAgzjdYSlI+LkQ/cqrpfsptlONN0X9PDm+lYb2ZN9phVThgGrZIeOW xAsqCKHmAa1UZT4MtYx9dbqTYHDTnZqeuQ3+n2YekFXAhtc/LZu3DnNoijpN4Bjy65Wl+JB318Il 3DGWkQAeU2bJT48Wh+RfnE4RnIX8qd/VnYFzlT4T+VLG65WyEgldT+E3+8ACfkdp1XU/OysALUIz 1KRcVXEyahdJEwhp2gQcxhivYnZNOyJS7qbgRqiMdLot0bwvxQuyEO3lMl1XvHFz57t34nIJKETt MmU9iVGKloVARjqU9RFS6KgntyeTsrKhbZjkpORtxeZUMjNN0zrvHlz/O8ZMAIDzyFKHPnRfE7VG eA+hm75UY36dgWuGXtNdP4r+KwCY60mOASQrhdDLx21ACQxUVnhfui5Ot3w6VzQu1ho5VnQtvRMf c1i+5AOTwoI+kPUyEBB2LOa+LZGd2fAjz7Ix/Bq/6IClBVUupFtExnhXzogtjIEtIRIg7o2d1FLo kKBlb3y6u1yvmj459tUd/KqD+wNohj7j4owGlcc2OKFaRChjZdJdDFqagCN0sOAVYjRi8D3LrzUI v7QbqZxjUIfITBTpFJDU2q4nBwA2skhbIwQrEDHOkRDRjBKj1iZbHu85CNbW8HjQ2OnG29chpZQf v9VtuffBQP07Gz2dd/1uTtgjSkzPdWzf28bkA9Y7wmgthJd5z4FsaMN/RfgOeuxXoICNB+jUUiAr fTNuRhlwvRN4aIO4ryP/EQ6vMMOF5EHZ4sgzA9nlNxb6/AMO/R4JPkqc70L8662wbB1M4LXmnlf0 uavSeIgjqN1x/P399rXSpTqE2VRP99Jvtx8HkXnARCNUQA1iOdtcnxxpmbhqo+Ogv6OBwRX05dXC JD+ij8tlYOQF7kx8xpbGf0A0epeZ08vdgzSqDEvtz3G7AavwAk/bTSyAZNMb63Lhm83BONUneh2E FoYZMDFbo3SBbVWLtflKt034TB4SqohYhKUGrHFSWLDdk4NQu25JcnqwNJLYD1/ejgdziAUL4p5k YX3Kv27NrhLfV04q/m0g5jvtn4M0SBn4c9Ph89EaEpyA34lKUY38O2klyU/5Q2KURSU9q4vQyo8b ljfTd/9lkPBRGS+cinu0YbUZJ+2766Z36jU55MELGHy+4Qb/SkyrS04kpYG+xT+4YRPtEdiCm0cT lr7jQYQDYC0BwWmRaoXcvSzYqTBQT+nRFUTbV6l30EvsAe7vYn4+i31uUcbbwqBmjQJ9OjuGSAVk ldQZyoF56C2YlA8/ZcFbz144TuMp8YQ+OolBUnGibrJpjAkB7e6XnVIcYW/goTdsUc5x9LXvkV5A JpNFYU0AqcO7OGfWfG17hTBpWb6p4FIFJMaZQDFaXrtsyF66HGA8Y80k9HAFCCWTcwczF2LXwy1f WLwMqKHxceQa4+27BYKIidkoObZVuvryemxFkqgQY+T7K9EwC4AP57dRy5LSiYWS/AFpTj+ZbrKk PzZ0BBWYtoMtoDnsa7uI9gYSeEixkbmSFkw8kiQfWEoPJUdzEQOVzNyqTjJP5HM60+CET4TpyPOs QOrbmYYojkVhedBtUutuGec2CfasoRuV93hsze3bC3gtHWVWWIwW5sTnJ0PED4LxyNuaAR+nE4GO sJHHgj/q1xdGU+DHkrXl1tXTo0zSLfn0oeGRi5FL8jzS0mpIxzUgrfMJpoLZ5h25Ax0i+0vzo2qH f+EtS5vEc1M4Sq91H0aiISX8xyuYlKMgs6KvvfMS66VZRsnanVt5oQaymE0c4gMZ2+shL7+ecP5H WdJDDW0gkOsbpZ6BQV1s6+bcPgTV5duPhtKhxFHUAn+ejE5po/312t1pX1/gF72ura87pr2WSONI FGNLmR8SbSN/fNBoc4l8TyVCYNJr9dAO0buo5LiLMMdjsqX6kzi5VHclw9NgbUNCMPVmaDFaVw0U lOy8OFq7OIAvBs7ey0OxDypRv7DJSaq2Ja8LT/fvvTcsC45N4kGHkAH4OFAP/zRDGbybIeE+rUAA gc7IAIKoywHqYHESvsBT+sdBYpqYic2ZGuxSKcfPwy7YrM2h71eFO9ywyqrjWpwCgtxtxkVpCZZY GpyHMizSpZ32NHlm7n4phL9ajN7hOlHgCf3QLO6GdroG27knmqt/IaCxNMyOMa8i1UpGUjog6bP+ ALepqeadNbKmmrFDAzk2cEd4Jk2Y3AVO2nV67t1BhlNVID5h+ZYokRCbrLjt6q2TnIK1RpdGAsrt fFUxNhMwEJ8uytnHiJVkX5NivW6hRJJ1PO7+8cjGbGkNnVgIAOSrNfT62/OdxTHpGrlC1npKzYPO ofrVc03RTRxo1+8toittQ4yrlMpFXSL89NWyn9URFTRNfsk2sYz+ddUSIsFyebqTGYIIlANL9TPQ h5ksq+JpWbx0Rm2C26320bvXT6bxIpgDch+tGAVMLW71AQhRhd4SY9ZFIHNK/FV6CynJdg8yluYr pDiy/nWsYOs3OmCbyKbleH/NZ2PiPATr/2x1nj/HAd14cWBMSBIk8jGOMJ5MMZ0/7F7W6peJkRqT 2T8f0NmCixf9KyXQLbqGAKeoSpLjMkJRxGgyu0hs+OHYMuHZRa2JTTnmSp4+Z+1xdVcQWavdzQXI Ps53je7NzLb+wiHJHLq25Wk9rfZD1d8u74R6pXH09Y2MwJhNJjBw4JJSJGJ8v3+2bS3LFeA6GbQ7 vKt9NGlDt7/eE3dmv/2X7Z66ilW7QVOHeqPI1UZFHw3WhgQZMImqDe2xvOLy0f1Zwx+SFLY16RC+ oOzePpCwO5Tf9maIBrx5ZeSWAVxpI8TpwXODgCpkneiCbB4trZunTWNFGXBXfaPo8FfAQ4r3H6q4 CVllqzPZyaJhpn7Ye+JGOiImmVf2cv8dChm9ognCZjpEJFM6bRyQvnXNcsvSGf714TMOojVpI1lO f9IDwRlyB8AIVW65LRMaamVUbJcPzVUMFLdAzJYJZNi5nayLBFqpLPelEEYA4W1gblsHEcBvhX0o 4LQmbB0ZBqwSl8ZiuZR6VcgEclgRCkTXBdcrzjlmVgAyuXSqzwPFLJ+Vc5SUSgdd7pGJ/mwG7Vqq FNWZBl6Bclqs83rdvCQ6lPCEBgYCm1AvrsQbKG27xa37ZtXIjhq5dyefcozAckp6YSi8iE8RTgHj eldInQJGqk512+wELXydwrses1xQYRW+Z+KlVTUOt1uAYQEm0pLpGoUIQwsXs1cIGFiNKTvf8XeL NaZkHuec7aXpSYJZcJ58HWy6MLT5Q55moZ0Cn04ljcUOdAUXE/VHO0lRT8ZBovd01r8rqgfy6OWI 8Tg0U8ND0kTwLPKMK43AYUPW0OTmJQY6AViFggvq06+VM2/WnPZjtiQLTYmcxPJXXQ1pqR0sfo03 NLS1YJL10+19VjRIpETIn7LJIr2L0VgVOGjXo3TNpKa430rAfsKVDi/arML5xNTyH7A0cggKxpFW HJ0toiQo0rnk1FvE1QipUBohr7utZDbrV9s0oa1NNSiFdcSdHH6rharZrhzZQeBc1ccBDGPxD7ir Oal5fwEasYfy+lVkV4Ug4UKSv4U/DjYXqQJZgNfQnEVp8sROQHIKTju0r2urlPMWEwn0D5ror6ew B/n48yaHMNMXU2MvlMjb8vNBWjly4of/MoOFCYbD1aV3M7OCvjq0Xqf/1QRsVAvGmNSevcqPU902 VogauhJujvNZ/tnkl6i9rYlt078P1IAunNTiRvBRpv0AyaLuyT93pRCnB1X0QkH68P69AuiR0p0s RTwiSiZSO/bOLvzOX3Ut8v4n9MHsKj6puQTk4LYUem576jj5h9ogUm+Mgqqd1TZkgsDjRsAosALx fcCXE/SVBu8xmAcde+5gcsefh8h0+9Rfu6e1vWOX4XNx0vRRr1q5PRy7YDN1z58G2OrSFuifk//c JNZ6M1laL46gKlv+2XHJA4PjTkbZcxYWXuxlJVEOuaodcQaquyw+FmM4y9nB5xMo1orVlPRxdB9H pEVbCEwcHKSUhaxspXDk4NxMGe0R5mI/rk1kJQjrtkeXwKQFJoXT95LpngapG598rivMAxJaCLLv RMYRfsoHkLYY3zqBqZkQe4Ysx1IGgIJqKTGa4V2Clbn2OvY5XJZxj8DqN++FqL9S+sb4CLFygOqt rJAyY6qD0yegpG30DI6xo0eJ5tNFkv16ISwi2UxmjO36yDLBXUyetw+p1mX/Ii4wROcBM7HVnnas D+U2xhG4EGxUSjk78MVkB5eO4EUlzmy5D4M0ZiGm4JzAk6aR9hAu3YmOCIJvGklqfhg6GHGgxw+/ YGQNZEDN5t9DZ6uwg0rCLBWeuqZsioF0tXX1paN6QVLIvhgIILGLaTdCVJuzw5wV99MH3tzT0m7q uN5aurez9oI60K4TAJM13wyO7HdEeCJeweJEHRAz6GmxXefdk6XbsG0iua9o/mwS73n4J6q7BZYI SREkjTptVvqt4Gkhg6rwcVJp3cHSy65qgVS/u6P8bip0MlLx0SXjXFQy4Hj4NbPxhVAB1D47uUG0 pQYhLcTuMSmip2oS1xpe/rnq885ZrTdn+WyohUbgxxtI+qhW2C/wUapYgCNN57W7hRS0Lg/Rbhtc 3BC7zsJWOwkfaZVXAgJJZiRhOsZ/WDDFoFXnKm/yexqc2Ulmcq03bwmtwworRarWdwdZBYdgEWrs 2HL5Zt6zAHJ/HBb9gy6IUc/yKwK5eJSzPYguL5WroRmD+hB20luz4LnFfmZsz2iuSxwEBgK6d0gN d28cloU+LcrXI+hutXi2g9ti5nDCAz5Ta5tOJXJQXxSRcDiLSFl1aYIIw7a0pINnYn8UyHLMcWl+ fq22Z5wOn/krV6v5y7TJUC584UKt/ZxgWyV4I5wb77g7tpsQKkau0ILIrq0cMSQsWqYTDGfymRVg JTb1v1wN9TgDALs+DP8+cWrbr9DeVMgU4lrYjHbuVszlSrG3lOU987MjBzVVZcICElirPiAYgtkY Jgvd+9Uq+VIV/dMyZE9oOGahq4hdkIOPT4+sdoOibvzd9ztB7m8cCCCZYAqSEGIkqIi2eg6Ap4ap 2YDpz4JTtB4H3BhxEMClIyVwV/WQMS7OqiMkwNcTIUWRqiVK255tQWyhpu4gHs85RSUN9XOzZG1F wlCcXtK3LM9WtnD+FJ2gxQc1jx3OBEFNmrwKoDc3398mBbSjnB1/QJvypW7pJLsYaoZX9OEIQLm0 cU+yy6QD1ceL4SPtzcIazOoluXqULnu5R7UricwHb2aEttTv41i1BqVBpWRU6sPolQ4jxb2cNDd9 /fz+GbSvRJL+guU6xAwNCavc68HWiMjf/HvUW46N8zvUrAVL6HIkV1VDleGNtRWw0abOX4ru5TJX Th0i8cEAK/mQCVBQoaOVczxLwaWjV9Y76jJ5pzLpdP41jj1Dnc8Xfe8w0PY+alyTbUQQmVjZuSa1 KkPP2BV/bVKrv8KKq8YHGUz/SG3l4CwUMrrXr7MaLKeRDVF5Ytlq/B+pqYp8ky7LRWdAZA8+i39g idnK93QXXRu/9f6XgBXvHUz6X8cGZCtPhI2EaSfnrhw+r8nj+ACLZYsxkPuG2qCU4BNCxVrjXh+n DXNHrq7wCTRJ7j3pZTZHUzeFa6r3UHkMIqeJUg9V5C48Ex8W6PllGpqkPYvhXDBH31cfsH/DOOI5 K39evd7yJx0PVGrLtHNZzPfD+BjkpmtohViZVm0Q7ZqeihdYKVtZJ22HG0zvl+/zHYDdviajZM2t wULXzlvsLXto3iL1tQeSKxwtyUeX3KWdd7e/xhehMDkCUbUIy/P7S2hUwscvMDBC7Sl8Ium9vM72 sXK8TeYrqp0FRSKL75BgasTFJSE50jJ0Al3D1S+6HOMWoC/owDuJuMZEjtBMBQI/MVoliHppASNZ EIC0v5S23fiPNVbbvcVpmJLgCxIWkdHzcNuPkrLgkBRfco1Su4/Wbd1P+cpNllIeZNtINKAZ5RVn O8OElgrXq9Bvk+vLGS4t/y8ffqKxdQmLWOTEiEIG3MoUXK8x+K7wknOlKbjSrAIcinH1iJQOQqU9 XTmYm4hOpD/E2WTfoXEv0i6DFDqlQKwDBTtlvixLWvGdoAcp6yjfVK1jY2JaGOC3KK4EAXoSmE0M AFZoPsIjPKM1PGo6fdJypLnZAIOCXdHg9z1WbS1+pqBo6eetlVvVAB7RozieZgFhVPQ97dyQoEGQ AHwLFpgEDMg+As53VUgE10F9h3HsRdnTVQPaj2cyCWHE4Z8QnxRxNyeOFpgfgcVhHkLAluMw3110 CaL/Cv/ynwgo+CT9BEbUBbuknSR2cWp+xlFWi+R6CFDvphPL+4N3rzz4GP+VoJgn61yDnSHecKhj fzBKzIrF2LXh8J/746JljXHFSCEDXxxrp/gBEbxgCupcmaaSSNfpkJPUq6ckNlYVNLcqBvOZAsbs z43Vb8GtFYOR7k4UNbIuQXX/vNuEQd1DLjMHO6KbCZbMqVnoYId97KTv/7f3wWEYfK7WBPxMokvF cgeSXbyDEoCPXRRGCr3oqYcgSjbS+ekvWFQEvdho8AsQGeMtnadwW3vFeWu/Qw/PSgl1jwU4YWHn eo/tBtIhhEWTGyJh5vx81Rqay68TNF3DOr/S0SYAH8Lwx6xYGx1ci5ixjlw+yWM9FJPk86SRlngq Mv1I+BUDE3U6k3q90DLxPbkw4ZiMCEp6bZltYNyHPOgn2hooHMZ6KavRoWEgJDba8LB42NE7u+Rk npmxoIS9t/Qz0NQf2qBrp+nUzxDTXmHI5SVra1voHLMMJ/Hw5UmouQQ/7dL9RQ/PypQ1xWpY6HkY 2LITeRE0BqshhU8lGjQSa1b1NCb2iQ8HFE/L8EDynz3hWsnldPAXxukKiiUVi1sXtas1a5mxdzvG tx06g0oBfu1oT2DSb7fhSPFFkPOjEFyzTbUr5uRBWGKQub/dDV+sUGacdlophwVtxsjHiMcxTbTS xY6VsM9/8t50VsV0Jhed/Keo2aFBLS+ynXfJfiTeDrEdSWo+Z8O2POLimaElCUO3nhVAQpqL8CUm 1KNQAflbFj3jQfA/NKdGI/98uS+XzZm6YWDOj7BL6+XzAnEPLQxQbYoJ1RA1kWUCFyWwbPms1JCo JokADuHcd2BAJh1dIRGc9T+ayEo27Ir72Yjbf4X5PcwQ/Bn/CDnRC8BByh4dM3ow3O+4oS9Yeng6 X2v9paGfae9Jm2/0P7KUej8m0NF2SnKakowU9IXMxqtdbf3hop67RORy71uR+p0J/W8QVgmsOMiN 62q2faQj5SyncLMIWbAxJS1VJL67Qvqb55HLqrqK5zBwDgDDRWHULPRoLo7I1h6vR4WCudRFVAEG gsY++Ugvmm0N711ro8OkgwxT/fzRX7SKaVQE03zym7fUTMUtMdc/aw+dCx/9yjyszpHotD4/Q7V4 s2hODZqYa/EujTG7tbd76K+nbRu9nMFmeq0jnSlFDCk9HH7GZQa24Y+49esHK2Z+ucMJO8PgGgda tNvWfNKJehFnbtnO9iWdEvord3Y/nkdpW9bxx+4an9GjQJTxnlZjCJP/ajq+ologpzK2n/mOcclv vf2pjLHyXCuITH93bngx8XJKau+falxkSqB6c1EAsiQSkQvk4s6tJilIqkijgqtbF0qd9RGubEwf I5M+mpNlKBsWCAnGLHx2SITbs4o9n4f8oslT8+oCnXI4/fETf6SDJXhN9EjG+24ETE086pW4urH6 s20bxaSnTsYiHF7Rw5gVycIeLNgGOsj7PjlBqfiKbAweXUa2G5Elws/kpxkQeRxuli6F69RFUhqt u41NytwolNfh5aDDMD3VUamWvu7T9cpe8vlxQBABPVQNkqc5P9heW7fFu0FNZeqvo8hHBYjsNOEw sbA+rkXRD6Si3Mo0VWWOPJtGOrlmeOaC6nDIEjTazFXdz1EhOxtum5XY5j5H5RR3n/STVzRboneh So2t3KYyL+ZpCppg3ktB4cZVnDtg0jBhH6sq3R0QC06u4A70E+JCM3+TxBtOv/SBdk/8Ngdu0EJJ e+TIxAY3bOlIVNWFNyRVkPXipH1ihRGKdUXyASBX2gAae7QSKbZh6JWeo6N9Z+FNF6cvmbEi4UG3 Tz9f5ZVzYYtrT2Kquq+daa11vcnjLL2A06K4ASwLRuh9ZQX2v2kFT9UjfsMaRo5MpM1PfkFIQ5uZ 2FdJLF9KpB9Er3wI08fBfb3V+8Uy6/UDhHEeLoP9PfG+Ohyws5mFLClXa+BBoYblTwzmha+J/hr4 Ffewb4hGM9BohkTcxFsbn2ag4uXBbaYEf+zv99Jl2Lp5OyGZVyeSO1LN5Jjqw423ovvK76IIDUa+ 1DxVQ30MiepapGamasxJ8sKJSoNk//ZsC+rdDJ5H93hQWNsFpUH6SCmOP460yZ6qFb3FSTdaYDIK 8XTNAXLGv9HjQEit4oMKFomWc2ETilZr0FCcIPoLcZVU/6YGPR5Pk4WOm4xkSSG/AfPwLUn5APiM H+OTKxMLJ5WyZYhArzDwlDFikH+XU2dLNX0AQ7LbKW2mUg1zNZgNzzhp/DaYI1uxGVyIRri5XpXu rw5Ynjk+L6IepaQ+jqfV2IqYbccbR4oxUHBsvsI+yhOdbKDpv3n+X7XBgVrbVw+a/WbO6H99/7/M Lw2NK7+Q490kF8JheZVuQciFS38CphTen9w/iNQ0tv/9aOpq14DBBVHy4BzGYlralRoTB4RZmC+0 2c2+7g9DkgojGIAwl33iHZOfW6pvtQQwK1xDVV2Rhhvi+UhtrIcklxQohai4Lvorv3+Xy3iQL0fF g5++L0HhXeQemAq2dTrGvgvcySnUfA6DugFZvSP8zuyG+3BOxlcEsWR1IE0XJmyCUCKHTtgM02rA d3+8kBTVFZTob9yxyJ6EdAX9lxjHxIfUUoc06z2c+jSff4R0tcwtEFcnKAj8xwBQSrvjbSyVBdPU EMm9/HV59oc4inttC88HkdEXvw3od0JjvJZOlmpR2XxyECsEqYn82bOlDKWoEJ+l+Ib9dTwHmBr+ SnspW/uakOexsLz+TVUmj9ZFwY80mW6V3yps3B9bLgwJCvGjUlmF6U5eCBshcSMiw2gOdYqNAJ3C 3nlmvxwpZGMmnmC1qX47Ve0vmsBnNA8tqyVD582i8zYV/IS32A+18+RH84/wiDw6CYjAdvYQlpTU C5+YPlQ/xYZuN2aA4C/zmX8lweAVpwJ45qIByPd/f4RA/LndfiUNk3dvePe/KNfALtXAQkaawCxK sldBfIvKB1VuVYOP27mNe33kdiyojhX2pO6UZYASfry0XOeTY7oNJD2QO6akHIT5wzbQNBEti6Yy fZ7HjQpRF11lmWbOd0YUOw30Z6sAHm9XTWVfW42bi+Ou6FpREpNUREN2zd/mLsnFB7YenEsKxoXL vvwaCLnKQhtPbUCrWkyWQkUG3tnKoX2TO6GaMDgdEoXhSavgiD3cmt1EMq5bQar3V8TeojkL/oQk 0UINDh4dBw4KqBggJ70PZyWrFAWUcbQzVkGtUWrydfp2OWzfapmEnIqQcxNcF3zio2btvw2SbxBh 5jkDZwsr7vDhEDDACNO1rKbeN13Ixf6f7acUkzSBCa+tO1cR2fD3QKHjskUtKO0rcnde2dbKwetl ADtSzAMzZ4zd2rCYqVv8O7eFy8LyKedj7PQ6pUy4IwmNMvkXuLJ8WoguF690RYHLC34bLVFYnvXZ uAgWoiDMHov1/KstobDhiSwAW7AOQOaF9EwHqxTIPRnRxfDxJPpXrf7eg4EaUez71NOEPO/CozqV +4w7aC1hwBF1eeCuKzzxBp+X6ozQQ75TyxUleOmDjJfDY32O9dNLRqXubTQH1g595DOWlYBG+MlP sjSFZMHEoCCTXK5rCGBkdem1dY/ot5740A3OEDUbOHY7O+GbQEP12sdEg0XGk42HucNUJviiqdTg BIMpqrEYKGZhKwkba78mttTNZ0tr/GEUqbLvadOTlddP7bKGPWv9eqMCEUG9GYvTyjhXtMm8kWpX QqZwmC7YJp7rWuYhHBUnicHilXZD2PhsMs0Wfl0SI2zmKPVr6HnV/Mi91B4WAuqq0rp6ve5w0gUC jX2827wkciHHqD2tigS1Dl+ke75p6oTyPd6f/O6Ifm4uLBym7AXjMoMt5MVCd+aV+ekhfa00ZdIN zEISmHyWQrd9oINc5IRcSqtlqaPvnEY5p63GtD9kDnpLGprXHxLtWdR/KXUzkL9xjOMQxJbDYd8p aQLj/OnHczJgkIVu09MAtyytcn6UehSuqg8xZzvKqmjST5NB7/kpOBuyxqCbjodY98a15Aw8uqA/ g88essydfm2nzXeTefJ5G1uzUCOXaEvG4aRiOTd7RJJiTipr5ylHIIbgzTpzbvHurd9yDWjpaiqN I/tNOwLvPoX4DgMMJ1FfFGI4N5pwxegn7/vy6yUIwBKy7VGynIJ8HiKMKSggKidvrgpJ/JyH2DXh PiHS+MX9vW4w6QFZNVC7JOlINEwK6Cb/+CyxTtpq4Lu6OILZ/G6B8fK/szofIiJTiumpiNOL0YQs edx6v0SMq3/DlUm1nNe8m6685vVmvSPMrYO8TZIkyjXDgSmMdhq0ehbczGwfct9VhpSN+RwRp8kR MjgbcFWqpegSytdcn1lw2K/6zktCObdBKBilzS5A8tmbQYQNWk7S3Zejarrr8WtVtkIA/hP781Vi IBCw0hEktCpleCOiqDcOoeseQtzZstuLxzE91vTKmOUX+HzrFzs0UMa+GgQwrlibqqb7M25aqC0F NRi1FnyrdMJAYZIOStYI+sPx67W2RZuiXFNVz5zb11kzPp7TJikay9pscb0/ee88wSNyw+bPxwje ZYq0eNZXMVGU8ZsXlWKCMUojCnfM3xbQ2ZajCNfZIyfZiwuK9j1ukJVlVZZm/ELmP+sqONH614Im 0nUEmHWCVIGQRBx96bpkqgJ3lKcfZTjXTdGXYt2g1qAy+hCYlglDNRpNMxWnGyg199BPXj2T9X1/ dEiTrPGoF2sIj28tqDj+abB/KKSMZXlijaxc4jHXcLm4thySnnWP+ECinyW88TaOsYk8NO0jVht3 oljTO0y5FHLJ0N2xfzoS68eU4ljVgw4saltgeUOuJGRATv/lkWN9ZCYOJefyBitBCn6LhNwArpyc Pc4KtzvJBJMjG8/cJ28q+2HnPDkA4VvwbK31cIQtwag9DzVuQ6RKDVGGWsj1kGJUsx4PZ2dqgD1I 99G/+OF6E43JQaguQygHCG3AasY32QtnIcB67ZL4NDN96VtsGJ+G5M61BcZqnTRttQdpXNvoSbnU eqDrmepdYwmJH0tV0n5k8sUGUTGsuni1CVItrFHpw+FLRJEam8YKy30DrzkOKb6Z4Tooy8yNBdna 6j0xHEo8TVQb2oRRlidRM/Pg73k3ndsRS2UNM/NHeJ3krsd+oUcuoc9fGd+tRYo1p8yjHIHAt8tv w2+mdnz2jcCWBwczcZTVDb6R9WDf6j5COwZnTi43ZA+RJ9dwrTXgZpwqsxGzWR09zzOTopFwXN6w kGNGdS2KBI+UiEB9AnWo9EdTrGXA2slggOaB3U2htF2Cd2/NxGoaxHKT4f8kNPTQ+st2ZxbSqLjX 63GPT8J+Iugi3+ksgi4nYI5E9XQzbvzcQwNg/RLVIFLg5Xc+jDfHJpu7mWPoqTD7e8jeo06EE+JK wLhPOc+/7tVhUkn6YRGfGRow30qz7CR2pUDmwFmtalf4t33/DiYFo0VLFxNJ26OBQKICs+HvNnvC XvGtqtq4fRlLpNzCKqcZZjjNG2NoGIQ+wUolEvKKQRYLm6M+GIe4mjO7zlEq+SSGenHMevEGtg3U g3VJoWJO+g8veEG6+fT1dz0ADAiWH9H01799YYNSh0hiw/RLH4NGxKZO5CnxPOKb2FXVQLbrHwqE 2YZZpjYzgP4bfQYgcGKJW5/xQoMe64XYsI02ZUcwKUo5jnLwWggnVBFnj2zoYnaXyfg6M7wTxcGu NJUnUQrAAXa/OrHAT9K7ixBkLcDt2YeHFsGr9duWa0gsi4+5gppcp9gjYNTuJ/fzj/VQkdMshxza QbohvhmEoP786gZ53ZuJaTai7nMEPzDcXV9p/HTLaMSioMR2E83q0pnJ1Rjq45D2i0gV2v2VgeS4 zm32r9SlNF2B8pg6Soej5bg35Ph4ZNCh544NE4Kg+bSB701aE8t7/1zhUBJMUUQ3rTV1LFejTZo4 zuVmbwkGZkdo+NFBC2/yqKd35mAxpVjmsOXFBFZq0EwPlxaRHwJM9jmxSj38p/cyA+yOVRtQFMqO 2DuJl2Xr0SlVO87D/IbsTNMLKueYCnCqnofNzgXCzhF3pjzU0OLjN4fcD4CyYWJVSLbkE7+4A2EP RcGiyFZXtQkic3vSJ2utQkfQ9UF6lhzoa9syhdPFVIdKIr+viSPiqiFdewglq3r3usZ9oc10VuW+ NZeZi7i9fMvQuNOJE0XnmAr06t/1XEB7Cq9nuOVxcJnivNgv5bDzzMwdrr8RDLE4J6vY4hSyY/mC u/SjF3zOCFE8MUgSD8ccQrcSvO7z6lLSXawfhZ9VJr+WtXf+W0KTNTL09fz2U6Qjm54hRS5KMtqJ HhKTcW317LGRgC8NukZp/e06nNd0VjtqBtOIp/RVwXGEy6DJ4hFonhMLUqnodVuBvv01IB/HzGoI pf9kOWRf1LccZ+tSVVCvmDUhmz4+bwW9Vpf8raYqVnjamROJ5+izNXf+Z7IU9eI71TITNZzmixFf qD2ybUn9K8x7NCzF7ZUsHHCUyVZzQyAhnFAuqtNaROrH61WzYJHvCPUdm4WSmLiNqSOih17/wMaN GRhhIeO7Ew2jYhKGVT1MYDPdDcmLDkfBepifWDQcP3TPycV/dMOa09FrvI090lxaktEqDht7BS49 3yZiHo72vLpXWMZ12DEJrbkk9amM35bJ3egSNldCO19yCp9zjKO5AP4d0S8mVqXRvtpmYIGH8Dbz B3wGIh4TV5W8dkd9saHW1sckFrY9Fs6i2uZ0bjuNydf9YiXqXgbnrrFr9w27iFcHHOvfYs4gNtRk awAF8PafEwU9icdhFWvtEvf+dJwCnUXK9bqKQs6MoUuDZi6elBabHoqfig0/zzK+ed9x692zOp/B Nxb0tfgnwBEjpNdWV8EacNvPhdafTJbOzloSXuWtNNka6L4yuPyB8LKjxAS0ihqgv8ZBOpTBrGjz xoM6V/tk5fv5XjRedXO+u3zMdSmgAljmJ4I+B2ytUusaaYTc6PT8Th0Ff5Za8pxJ8XF/EL66qCJC 3Ge+rT+V+l5qyb5N7XRhF20wJ1CHYT4F3qI86WaX+yMz6QlxecOul9uN0jBp5yt+k5ZtAZseFqqD ZWBDL/Gxw7rE+a7EGCGaEqE36amx7+j4cuTnecuM1PI4o9FqGacdaZfoAerWByi/40+FdgCwlOEG gCiLRmG6/4KlajD0spGfA7JJ2vzmEXRIE7SSdnGnpF3TNySGxP+ZnZRQydGkzPy7V7ks8rcQljMl QUpCh1I4FmiOtPdmqsqBLXu21rUDaNgEzuRMFb4lV3BBYb8fvo01omr7uPz+De51CfxYGF+cSP4I Onp7RFgAfbSHRyMpLQpNVi/g/H9rtk+TWVzx1axoHdKBJHiRQlhODDduWsZ6iEb3tmeS25rCZUvU y7yu4Vf93qdwo2nncuvdhMLofKk9Ij8002TNL22viY04ybp+qH2A/UUrqRfQcokeuHJGn1lBkync 3BjbEDR7af9pZaiYFuubSvDUQxmvADNNOzrmYfKbl5XetnS8wUx/Eh8JQsr9I/ddhi3TtiK2HCZM fJnr2tWoincOVoVE6ZT8FLIeBOGPiHW36zzc/t5zllCjQSh7cRdu5Zi9vd5cQkyBlNJwxVEBh9Ki bl/Kb2BlDtPBW3abTxFEQPIbQg02JkqkVvJGIJ7O3X5D7mtuICb5rCMqoafqp93hlUP2NIf5ATWy YqK7ZUMX+jlWk5cBespm0M2CiCE+lcJwoCr/MBfMv8HjcSZDLl5mQpy7vrV0B8v90crCJ1GKQqOh tZgNuSzEI1kcat3PkqY+pRbaDeMbCobTXaH7QxCEOV+BKsPmnSDkh2qlUwtrHw3THtZknw73UNvA YtGHHYrEN1R/8OtDEh+/H4kc6u9LxOXaV9fqQjcyJ+zYpUMcMBmLgJtJV0cBRzYnHoqPWHqPsfZL JMsuEJwPqJyRrlvR1J7fxXhk26UlOMu6jCkedjUnxYQgfCNsMwp6Z4eamd/qwyKvvhI2hr2BPJb/ b4Ryc4CIeLHgzmfZlf/AQamPndfhs6I9Zjsb8THh2MoUoiQNiu3EowLrBMbGaub8jeSWwA2890jp DKPmf+pSBtr8tqQFsvWpqGpbD7SE7Dv4FOS4FxFjb5WUh27DK0eMphujhPKHS1y6/SXAgGG73kqx Ewg/114d4iSKAE/fG8Mbm71qQ9z05Pqr3rN/0Gnl3VaVy1AFEtFEAL84boj/YvvyCwsWKsw+w9wR hBBnrI3jj09j+0LeltCIlarAFNKPmKoaqUSX71iMmKdMhIpfgOsPfpbwZ/fQu3Di+qBO/CBvLWpl +yOT66zwgmVhyH6TK9t2JFs37MkcoNG+7ezoZYBmrd4/8KDvEzGKJ0xbhsi88ytXCwPyj9941c2u /4Ur985ErHsrsUfwrkwwPx9tPHS+hj9DxupBbnVsut+PJl9kS3ERJT+49fKfLK44pVnvpTWZkBud kD+JsJ+kDMMvm6cnf707h69pDdGoXsuyfywrQuGZD6n0iyoTXJhD1cx77Y3/7GktLW+9HkCeJgOX Q/ZSuNUbRYRI0dj+45ebV1QmWpN56yEb3797p3S4yjzz+g8ngKd0xAVfgnyf+0KXOqzfu71rd7wT OCqr+5p6pGsyjf3VFh0/FGqGQymCTeA7yTW4A19EPH+ISVVzIVkCtTnQHlP1U9M0TYBhj0WWyfBL kQAP0pnWc+LuAhe1c8o2/hBAYpkwtWS0giYG+YpAMDZO7MrSlp0RRdToTUmA/8mSJMVv3JYvyPg/ c4cU0OgsYJgAMYurDsIU8qndq4csBVnHNThdvWpN9nAfIgNBptbysNeZda2/uqlJ81z9ZlBq3ipn 12ZyYD3HooqCYJx9bF8yd6Y3u4aooZkTpCate8I4aKu1uKJ4YTwx52xKzVGfPa1gucpyt4GMIhB7 dCJbJLbNhjJ+ApCq0BxLmfCYrQyjVzn6BGMGb/JheUW2hKkwlBiGZ0n4rAz4j8FBh+OOyoHSOSbh j0ZTdxijA7WxAPkSz/u8mnHTu44iV2flmn476IBW837xnMrD0fR1O6DtVZy0q+L/sV9vpl8No/ky KpoToNuEbchRg3F6hfqG3iJ7yAKWn4CqyI5qBqZjFbjexQnZp7yJnXnSZtnhK2BtkNGghO5AgzQx 2KztRRF9MXv6rSX3ohnTqW53zfUUWyFUraJ20Zpm4ptFAll+skeuE7GO/i25t/iPNlm1Z6K04LU0 ZkcUNAyN+YEmlnLeefpaIJ6Tza+uH6Qde9xGSELP1jgVtIM0Bb8bZ/5PvVfBWzA4P8M7+Sy8BgVI zIUU4vvl3bjzPWcUj8m+Cxxcm0vm/XI1dllYk+6tXJeZTymf9Lxy60SDQoRa1gvajhS8I3B/AJIf ToUrWjQFEZlN7OLqGECVSVk7AJmcHofp4g61VPYdFWCNH2QNuE/o3pdPQeaiMcoPhPJgHks87SBe 38S3xBNC3fVbuKhb5uzO93MvUHSioWAJQcINTAfMzkzkVqReOwkQVglbCwyiE07nYcB7U0kmBdOq 8bwYdkohZ9fGmB0EmprC+SqAnJtWGLy/815tae0AF0E19ONNiKiRioOVurHXRtz6ryqbRIDVXr+H ou1YHefNFI6bYSx8AMuyVY6y8lA/4tXyR4mgNmsJd/3oe+qwc/5wXQUOOW1I1jQdnPvcFsYaKyLN d6wQou4EeJFaVjBflbuhphbU8BnXRO4530XCfNB6XMUT7a5CbubtCl4+XdBQbAPF+4iIRF4wEjdM 5qNc1ff37qjbJCAbznESfdnlvseT7uJotcCx6VQxZBvmefmRC08oJeiTmorF21NdMZrlhC4EBLgL xL8WhJJiETrn4Mw0Woycv5Lt1+kittadaZrXUF6oPJNeteogKjSrUo0JFZ+9aXlX4n8sd48EVn5I AHL2Yux/PLtiMintiG8tEWzjSv+llCRvTciLwBObFmn+d39u6xooY8MXo/b7FnL8ImhaaTotW011 qefYaNa+3Ddtq+ODLnkE7djwPmnPCMp16ox+bk+/ZJ4rbR94cT25nwXm8sRBIOhe0groVDy6ew/j H2OcD/AaNPSmmNkCVGPFnmfG3GDApnTaCUJ78mgqolzGwB7euS7qZ0I4uxfk/lnNKjsX8gu0icDs yyXHhynzZeHFqy9V8AyPsuC3d4FjZwQrJ2mNg4QN5pu//6NdtMrT8kInP0JaGeTHq4cNOFdZHstS YrtFjmWNWR2b+o73LU1k6YBDGIZ0vOShBkWi2FBQEofgN0cYAyfLWzIdayWDLVOKIL+jHpJX98fE Z85W0kyB/+b8YGVveg83ezwnCoM72s3jdbJ9HP+p2nJx7wYKicNGm1emTXqtX3u2TFHPXqNO740V gO+JnVApgBjVJWG1K+eTrw5lhsW7PhlOmpiLRfLr2kmLfrZohor7R2dxOssyalw5bedI2Fccjh6l 7rMTUPoXnUFZajnGqV7BlndoNjrOipGvDmnkPF1oNG2vdSpCdnH+BL/4MkoKkisUMgfum7J7zPHA crXM64IbqZzvgfRPloc6KRNRzHK2A6VcetwRedecOlu50z+T6QGxHd+qLJHWcAiirrgHfr8NamXF nKomsGXW+ZT06cHid2ZQ6/co+sup37h5b2JDn7bSQSn35ClI+xFZ0IzdHG61j7xWgjzBgBuwG2R4 9XHrmII4a1HeSY9eBJjBrQXt0xOOadouN/1D6Ig4Cr8x3XeYZaClidb3Hx1yyY9qZYnmbXiuRJJi /k2B2JWX6HHkYJ3ELKmUOgMlfxYAV8awcS04KGtn0+Qnz5a6tRC3HaLlzBQG5uah+ZK2dZ1ZuLg7 XKLTaCmElMmxkxSoUysAy3/bX2Q6qACX4o5xkJatL6lZ0Ks6xGTuC47/dmOQ8oFFptk2FMHvJ5Jb 334c4CSOUjFv2LWyOBJWbdeG6sQSWN/OwqL6D7x5gbUHvJssw9KkBPCtNfdJl8EYPLMyxvcDQ7g2 gk7Ht4gq117TXBGExVd+ADqiXT/HU+RQ/YLAw6Wjjq5TOxnip6gnMn9qUsbXvm/96FFRelNNhsIE TDC7DETzfJKac5ZupdA0QU6KZl/O+vSNmtDoU6YQuHofDNQ2vJMXUi7qAAQ5FmzpJQs+ge4wR/lv +ISxGo0AJ4CyruovmqfOnVsWen5UoJ5a4KDWmhrklDHybJ3iwXQdBI37ixePTfus4NN5lJBovKCp eyY0GerW6+mB2/UC3EA1oC+K+z21yTfV7PXfLh+AAp+F69hDK4zHCJv2vl0I6b9n+67rzizzputZ 6yE/A5cpfjAOkDJnQbJFKGB9sgWATR/FphLbN9IL8PkS5pDZgcxowsH2plouTNKSbIVl/w3CAmut xon516XZ20RSYIrKO4w3M/Hs6kSXCFo9Bm+c+1HrVI5dp92adAnplJocOXU4Vg5I8nrhXzA2QOj0 aVck1BD/IsetweXgJ44+FhZK7lQP44KMBA9Tu9LN8wtTLTAiBHCBMNU5tcrSPjcYlDykd64PZifm GkcHyTZMywt4jklA12SJgUVdrM6ce6RKO2KYF6cMD8oYLilrhDumxzChNbyIdfyMQ+amDw/Q4Rx3 noOAaStJciCEyqSUSIrCklUH/e9FgKtDvZ4jyDkt/9K2KkrKffrgBuqe0uvokIqPPASfe14O1C7q +tN6VU3Ngi7WI4BkUTRYajVyepXwfAyZrZwFCiYP3JwxH0RJywkeIOlKf+mGAXVpwjpPaObax4aY Je0eOYsqwCCOKX47GhBSjtnRqXMqvETMCCwk128hf+QwyKixSDRjI1oa1i+VFSl0inraknLGgTpx yi3EzQezNn9LFSgnTSTfnXvd1tP07o4GAvoEZOcPok+vTD+A98YfxEvWVJO4qm1IXYogIQOumKFm Q83V8ICgTkAbOdyIoSIVbnkiTqMpdlnzQFpko9h7CMJFiNI5TQvSPF8qdh23Ld5Yuy1IRPRibXy3 j0o7jddoo+aF9R1ROv1CSp9PGZLWeOjif4DqND0JKAU8MCC/4hUlPcxBHKAqifcxvXZeVAZMrjik A9s/I50DeX2JZYqLUp6SgjK6nCWmGoGCfymTHdNy/bf4+KExZz4QBlCc4nWPFnLeF8v21pRQSq5m f8fPPKDBNLjihZSbXGyZTd/PT4cPXufi4EScKGqGzx2pK3vcvsao8EuCzFspZxxRB3U3usKmAX4y gjtUy9wJg6Vl08so1ULAkpxsPCaqQB9e396dhFNQ1tdL5GSNvhfG9zvuPaSC9PewguKrvXpyA52u oapjDYqVaOEjq8z22wtuumxDB9m+TMf3Mb74e+XS5DmtTZmQgOoN3wWUMpHjLsodPT1noJpVLall LuYRefL/zChD9elQQijC9O9UvRKSN9aEOCPOoThtSc3hM2mji9dkYb8SG29OaYuwWcMwxp7kCUp1 /1kr8z96HnonzdQAGq5lPDBRKf1m/myMqo0LThpkOsjmh2YwtrLHYmAQBuO0lwGcGSKo35zn6HRS IoSfGwU5v0NVHAkDac6rELChqzMspN8Rd7cWVDI9GNxnkiJTJLwBRjHiIDIu/G9SSIO9LutY3r3s CbCCdlvb683CO2hVESHSFjhmjFwpAzL27SQWPSB0XwLdD6d7IeuZVdkBdHY+V1qbWOsS/c9bzFnm xW6M8SiUtAXAicdjmAYEhXzHygVjcGGo5Tw2s0JAjVvkDRGU+BEGXky//E9VjgjQqL6VFpI+TmRP i1JHTu0K4WVN9UNigL8hKcPzLz044SD3U768JLKOvlMh/lY+I6foaaAticwNHI0H70JrPYUD9Z6M cEzDlzfwbM/BumJr0IdW0Bf+/VUuOiz3myYp1G0dNu+xTYr9ELF7XSQl/30GTZBgTeQWVUlgDT7+ 10LE6wRAyVEx6hW50rh7qfbP7Ftw5B70RAb7rGGB15JbrjNSV+MFDFWYHuXiXj1xyMdQNhoBGj55 cgM5gcmqAJv+eY9nnD5pI1etM8odxsel/UqiJSqyfSm0h0hBYZxc3vXQpDS+9leG4aL1byg8m15j G5Zx+x+mgIeHtc/5RlaYyPdNWovpy1jMLeiUkTEIh0NDT4RqH7ku0P7e7Cf+0/wtKJyVx1pN6yev mqTC7ThTqWCnZOI2SWOX4pIC7a6QyRUBgb5ilZvfzB8IJNdzYippnKakR/TBUdi/n8O1i/fl7/zE kUQl0iumh/PyzrxVnHObtjPLv9NeYnLHaaicLZrQ5V3/+eByIK/qErlPjNQrSBN1NIhPr0vmqeBr VR8qdhCHHhdhWHNXHINSRpey6lHVymz6l3TRT2/GLbQ4zXRAAQqAJ7FVqESLgxI+o31ZXK9bId07 e4X4KUsUUK9BoNZox1vLvnSu4ajFhpdELh50L50jjhO91HbJ1uoImKGIk8nwK+effqK3GziI6TUP jjfHoesdg2X1NofN1pJhbX0AxnRbSUTZqUaQz6ojO6XAZL1XkKOlbnPVeiRlUWx1D5DimJLcW94Z ecFE2OYlNmBpRBtSSLH6Avr5IDEGL0omrDbL1SNMPiMfmdgubgj7dXFEWXm5xBSh6vA/9xrkiK7J aggMjmThrhsyhXYF4yvuicoh/z4259ikIr2UjtZtg7RTvFG9TcxtptV2X6NrMXz55bHsRsHoDx7C wnC/1vv9qV+U9D8mvTQk8PUz6f5OE2Xt9s23AuxxiHVgZwtuw4B9g3cliWClwlXNvVQwhpmPQoQ0 9PXqHloWbmT8GBfLWjnELWug4sIXB2nwXx/boen/KirA8mhnxNcInNRziG3yHzExwFD2O1irBt7p 4EM8w5y3uSuB9eDGPomihAMiGXevvF1SZGyxf7fgQ70/CnwyZkXi7lDKb516uIw89NNbNeSmiBQ2 hEraI31w4aGGm/7g+H+kCtSkWdrM+v5N+B6o2iN5+ISqny6ShbOc7va8bH7Am1r6kfFyGXI2pGJV fJmWLKWjDSr3jfj9ZXXMtqPgySb0P8Q8TCnjBPMUPCqM4Zi4gJdmCA1l6jrL1BK/MGsrnll/aX86 N5/PLh5lPxui0mkpqdJ2Uef+dMjzUgPxwBNI8/lkiTNggWgevZ12cVNRvEqYXvPbaP59i/6evmQj ffAIr1qRqykSpTe1p3P/Ku/Up/0hmI4wZkvXaEcocA+BzBvXiszKjxdKyP8xwp02pyNCEAxSuDHr RWTf7i1VEUyrhiP+wvQR9qz4nJngVF/5ZQzvlaxV/lH7y2HawYJuQ+ngBoLkXnumk2yvMEvD6c3K r656aD/ZgSGSdT/gdNVwRn6ZXDDQL0wAWvccwPTQt05akSoj/4xBKx/lJOyqyYui3KquVj+45WgU bd+4hi+ymXO9wxy7AGX0rZz7eiUQt9obsqi7DeOmwhchrRjSpSrf7C8MMt3ggNA/+DC3aZISySiE g5FtI4qqldNdZ8FPIdEhhTgGqAf5HSX8cB7depjSgj0VVSvQdLY1cwg7c7ti2A5iJZNd6FO27DnS LYiNIvZ4xjUdtkqMgB/JI39FdWIwsbWPMMaLIwdSEOvrbXc+iF+GXrcD9NCOJJDP+4KGFPOeuqSD RFIuYMD3217e+QdZOhoHH3Bj6SSocL5vazxa7nKJMlhBa2/s21DlY5iqCl1e77SepZ0AmN/lZF/E b1+0j3y2Yc/PjTfUK8yj2RMY/4fh3jfOilDEIs4DohT6jmzuhWTVGc+aT4ZAy6boH5XaCWMNob7T TxRxKBKyP8iWYtmWUo9uCZDqSTAnbb6uU2AqLzJs1ZTbFXXGXejINSeJiFWMI4KDA9y+Xfn7fY5k n6LOETS3nW9B53aSCVmqE3UnsyNWEQ2tZMicL7NM5mJ8ThS3nd71oy2W23dSmo2IVzi+QxJbdpZo /thKts53GAiWEVoEnxEDOTvDRYXTBOiCrco3QMVJhZ/hE5zIibgt+8BsPWFfro1+XY3gPUlt+Mgg o9uStLkiEB2PJ0u6q5Icjwdl6GYZgqhPQCPzghLf+Oa7VDjsUSI2EgVYUsluOno90cgia4KgBrGe Fs13SRLs2+57ngWvKO+36G5uTbARdQ9ecXs1Cn5dTM5Uh+5sO+NZtovcI0INO/w05+pZMM3t9yEd MUXWoYGq/vFyrKqIVo8+CM4Bgck91GP35/NmqQbFCTWAJms/Xz1CFZ5Nyac3ZFxMNtRTw8yr6b6T 5DT/wVb8uPQAV2La8txsF/Wg4do+PQsuDqr7Ha28/Xv4JEqJl8v7gvacpWNKMPhd15QYGsYTJ7T+ 4dv+8HCgs05+UcAnSKJDtbAL8HkXDLNzSS6/rpSlvRkvgpgkhswi7h+n8D9fgCaWzm9u3Paovybk ltIV3YwkB2ft3rReMCb1fWvvCtunFq6yNuV+EInR6Z2cUE//A4DB3MMixGaSYBFBbhsrYmnCr9Kr bDkyfClmOdlVPPZ8ZykNhADHJzWVL4/qMKK/wkU8pSokQcio3op2jJaxwOmEWFkCJGWtcb5gj6wz lI/2+4VDe56M+XQmrLCygwlhzCdFVQcEm6opCZ4yZa4dhk6MkuAlFbdVy+KhznziOHmvRO27wZLy lCmGkQjgaJ0AGYAusYnxko8jwIdwrmmUDZfLnu7NTzKgFQEUGp0wRcTvNutZUgpNeu1Nn+Z4jTps YRVjzzAzA47xqo3u3UrvteecQh6KR5HzXw4f1OMAnpVxoxApRi2afceGKTGkFfcQyLuj9O3JRwM4 kZcT4cXrzeAxJHSoH5k2AHcOO3tHn+IiEQ6Wb4RGZrzhYmqoAF7ZvgcBJEx/fBFAXPg9gSErzZmH Na7RtQbJ3qyb4DS5ehyVBn12n0zlr7fRgZAf3bwfqD9GTCpboBIHO5Zv9SpS29PzVfalSM/NWIuP 0vWQT2MgNFvqX180EDqU7wl0rBB9buLetMnhCkPz6kUOkYjVoJr0InUF02xLZrx4EPv/D5dAAmgt MUtISA9p7BRDLeQ+6A2RdP+fIbalmyjoffRPxFVOOy2AxUN2cOm6Xh1Stpmi3OLKqhPc6DfHFLIj D9M5uL1M27s3ejXnk220P9VxKId3DAhckz/h5IKIqY+j0jElw+hPM2FxhJeOJkAX76BcHdviuT/a Ii8GNIIdRr2hsiYkmNiggP8cJDErNrzVUdZ/Q4R58VkImnzXyaixlK+TjpVJYFqguYgG24bzzmcA Jwd0FKM8jVjfEHjUq+BrPzOGSaZ4/dSXq2msaCaLgdsfVls0Gf+qzoWMQa3eIMwXQ3p+bchtahtD EcREJeWdSS1jCiXbenNx1Ln9zPB9DS0ygvNz3TUPkwOpfo7OrXsxtH6vs6F9pQmunmrJuogNQuXI Coe20E+BYZ1DmbX4AwD0LJnr9pXa4FQDRa/gEOXU/jfDWNCyC46UzbI2AUwCnULt8u74dzUaRCQX ut0TsRn5fXUL8sMOoXyJH5em1ZGXkUmO8fc0LVLiszLLQFSnh2ObBpH+R/wyVwW8EJkjhk+JuR1b dHnGJpnwDng3MfG+kTQcBZfiinRRYEZxXhrY0JB94BGCcMBq07MZ3KHrgwxiEXcz4tp9fPBL1QKQ wail4gWVGXQ3a5eRNxjB/yLA2X9YKC6Zy/EqMIsSu2NipWyymAQ1J1Hmowu9LYeIhGufOuKziKxS P8GWz6nIp9nY9ogqy/FbbugSCW0hkt58rNgkMN37qUpR7e1WPiuMJrN8Jwk2JgTfebRRC5HL3pgi JTHRbXVHZ4ADA3lU3SRjg7QVl2jt4WJfUU+Uy9JdwOcc01LKa5HRr3CqLIWULTx9WaYFXktOrqC/ gioDu9esOYDoB9yxjaZr7noLPhl2JG8qJauotUjJZXC9muourdIhoG2qFqlpkKVjhghXd5gXQ210 YGZeRUB/6QUxp+EyuXhMLmxWIl7hUKW7/akmGa5qE6QXkGalo8YkAtfYXBWXYi0gbQ2ENwH+l7z3 y16qBEvCL6IJlmtXRVMSB+kcee44+xhu5j19MR9mxvO2T8xbdJdjyQWdR4dXjmazDvEga2/28xb9 tTnRuvhJSTWHXFKk4xzZX+kmOmAlmuY91jUxo92B9UB+dYN9dGR550LKR3c4Dd/LeK/1vF7Jzikc aPdOOLZZPooqfLy6w7ugepRZn/joOGA981u3t+Q5PCf0sgf3uvFWK0dk72H5nvJfGRSQSGrwbgRq Ntg4qomn4TC3Hx17InL+qjMh3mrz0OPL5EiNpwmRhrj+nIk0dXkL64MOk/hR/2BEhBrpEpYxRAMt 3gtvYhEb/eXjZBGEixHlE6vT8z0pDKasboFCusDg3eSowJvG4MhmDFRV/nyvpQvX7Nlb6H2vy6VH 4L8xRDlOCNrgWW+XFCzmMYCgSFoMcvias6MEZCZYfc9nmmQiPjsgQzWL8W15scxKDPsjQCFuPAaz M3X6FAGBTG1qfCznSYabDMumDfGZouBckJu3JZiRo/CuAIN97YcUxPdh5bIDhKkJRvCaq7efMgxH rvm7oigdXJBJbfeZeXkvOAmOnRO02oKvWeIy4luS8fVj0qWBvJXjiV5IephVrSbMqjc4lsVQkJ3l htVLo/n/ZcRdL+KpTFTRyOvResaztA+/vBMzI0UjHZZkyYZ+0jdzYK07uEFXTxC0JdqAjlv9trVK 3K88jjMCxgzvIpillo6HXhmYKLk9Xbz7sUemGMxknM2ouImt4va0MDIcVYlsfSq086afL34zc+dS +AF9NHJxlqk1stfGbMg7oarT/qLh7fb9508fLc31Wpt4Rq80nuwF7iIiUDhS1wYBvsRwUtmr9Vew nUBMS//fSkfM31KB6EXx8eLyH/9e7lK2ezyTMo1eXwfEfszffKg5HGZprtt6KEa7RYH3ovfvxIBH Hba4DvBDCtJlVh4zVJeemcOrCSfeg9WjlC0vazl5jxXrGj+RjdhP1UxxHaLgbAGyGlf+jpzTMKiw pny5DXM0PY7uBtG/EjLuaBwzWewKFNSprt24oF12IxW2V/f8AaFFJL4s7zobd7F4Z5XXPJRLe27r dSq8mMizyYPNkqvjqHrZCl0mImJ+ns2gnSD77XZYT5xyCxhttu46r41JWmEM7bUwny3tSWjMjQH7 ojQmNEle70Yfm/lpbVOkeBZBXCMMK4lEy0k/dMUv9DojrwTZAYQkr35UqrzdkVtrfgMS/yoRettH Z3NewOSJDuaeF7UOGORY1xgt0zndBj7ToTX0aCRJqPaZK+dc+boj9OSZRN+oCVx0RiCgcGpv1B3d VE9iEHbxgg0GNdGS5y/yajKRLHiUnfFwxf0SdNW+kj4QkmrebNm5vdGIptmne4MD6oTGkmbIthaP S8hM7Olc8ZgZxoti/CKuW7P/Lv+zx+ccQX5gs3YxpZNwGJHCqwhyDREPugWlcxxF/3jIB+pdsyGI FOvnYTKrM59wEX5QVQH5BPdbnQk92ZSNR1vmC3HB7dfK7PfpCZeQTKJTQXcsCoiuhOhgUzE2hKFa oGy7O1+bweYQNyVT34v+HzmfgJHKsCjAjZWLUxYjocz+zfiI5+HlSyTSHJjK3a/FS/QY8NdWgZYo tA9PssKZ8a0UrQ/V1BTsHY3OfOZZhI9S+dEzV7xOjz2scgfFbsZ55Am/iNR8ZAOcvsB49/UO/Ply zVNWqi9fCdmpdbwvM7So0fuQdmG1eMF3aWAH851L/0n7DS3zCjvvtmO93ezuN8i5WEF5bmgldHMR LtdPlaFDZ/K5pZJfGJFrnraUP4ub1hu7nMk4M3+S3jmBSqLoJQBbhWWYZG2BUp0C5CfQ7tLuSQ+J AFu1hTmLslJT2p8MKdDzpc6crQ20Fw9A/kP42dp8FeZKd9seQlaZr9yY3LpQpJUk4YQEKz5ewFyo m+JUQdJSECIRtXO6BsdHCFasNvRcPSM6oK1YygBPkpWKgWOoaAcA1nlnCBjUdLeikXX9A2+dRznh 1Q3qkimvnNMbEvKlTTZEl7kIh+AH8Z3fg5qzFYnZTKxb0mRcfc8y79WnG4jHdKcaXskUsBtNG0lG W8259tByg4q2bizASNNJs5q4jSqRgp+fkMJJS/gXSsOQUWeWBZy2v7i1cFGDjgdwFo+yaiK7y7Tf TRS2Sl5UZG3iIsLPoWJvxQ+qZCKJYAot1vzJEYzBdYRi7AiD5bXOGt5pK3Tqe0WvR1Fh29vF2qLa L7lRz5hOo7hT+n6QlOoCflryk3VLjdxj23hHFFbxOpuB2meiWRXbYHAZwm87qd7OJBC3Apn3qEIt DV4nO46COp4VHYA7EvI29abcx6Jb0+SRee0OM1xp55UIEnPQLrHsO3rICUXEM6BTDWZZ0Hy63hbE /MvNvNep9Tki2Givc/SnhVRomNxyurTPjS9sFMpuHyGr12CY1HImWCh8KxG9PRT5Za2RjdeEPrCW z29FaOZMtnjPPNB8s8FSZlQeV1DRkXCzsv0yLfe1LSCbyhJH1Fn0DbVDYdo42RM8StLfsUOGujS/ FstEBEmY5HhDRdpe7UaUaQugbb1FjLfxd2+y+N2ASseQuIszEnpXzdYZ3dopY559vwu739YqSAtk 0yrLAF6ds4vxfM5blPotO3OWez8Zko3foklBVkssawP0ABK2xjFTAvknUGHYuXzX8qamwEYVRFk1 Xg0lSO5wDM0zi12m1KGBoGiZvZ70s4u92Du6iNEgs33+fQe6C4OR+4nplb/4yS1pqJcbqXS8tKuK wgPrsFF02S6yMK0tEpItz1MbImEzyHAeiitua1Cyqha33sVn+JueSVXg/hGv9vn/B/tjZzDnAzOP FAafizlB11zSenh4TdEh0q+jBPEltaiodDU/wIgHC1c/zylL9Lty8LBznz2Ps9oSd1e2ntV1f3Rt hG5qyUOeu2HI+RkCcg7d6xuY9lf37mOniJh+CSzD2izqJ8EJhvGGQ1ZTEosO47aqxCiEJX2Uw0Z5 BLKelPLm+TKaifT7YxOyvpaxwrDoe827sfTnM+7zz3ZwUL+K8KdwGMNWbPTSyLP84o7XcAdlN8fR WMENOKtDuVf7XDFVZ9Rdlary3ucItPoR2/7udwFJ/BmJ28JpudzpO7+z4stTghm7+JT63tE8kabS tbAwz+mXgJwYk8AI9TFBjt0OIUydNJol9wF2ORhRAWrL8vFqTcA2RX07fiHcwoqzfxP8cxDk+KDq +is5V9WDXfVhlVvwDyn554wWAxj7aU90lkCk1JqCPDyaZLEXLeiBB2l+tbf/bcJHi4TtyFy4N7fG y/CLw9qaUxgdvyRZPBNhUL8d7It8TQO5j6zcAGETamwGSd/Roo3Uq5Ywx6wFlDXtVGliPHsPChRs i0cwcbCoLsBqesIv4PZFyIjX3/Yc3YIuQRld6ig1RzXqu+ocbqNEHFTUBmCSf9/76iBWy9Pj4Xfg DBnkImxmKg7b/RlQ964oQkhDpOF/+pgrRj9bx8WGD7iQsjlJzfbRImIdTBjKywu4tS12VMVWHoi6 8Dq5tmiB09lArQBEUyTwCEHclRQl02HAK0UAVs+uYfLkq9YEtCSCYApnmJmbkmZXaliId5/c8FIW Ze/2/26dsdOoz9q5c0CvFDw9xJHO3UDIGVhgW0gQe7Lp+KAgh6zt9Hdfpga3DJ2bYvWCpLOfTaE1 3UOueEQ3AMn/5+fC3p12ZcEe2ZxMYB2JrAzTWR2wugMOCB9jXFLDS1HlxJRuHJ6CF+PGdDpXQaIx lYVRZECsPYIhz7UcNb8bWrzA8v9vPGFdRzU0YwxqEJ7LfqRUpYYoQqEzlEqfjnYOwImheUybeGel 4tRErSnORtqRvzAIrjTfOzBBeCElBC6ps+EH5HRUYKGHifeXTpYCpyW6u+6irwBzC6hMCNKLgTMy EyW/37K5TgKPe2Vbcf43+pvQ9ehRyiVSuLjOMneY+jyUk9sJGli//GHXJ09XfMTucDuTaQ3X51iW 2++tmDo8L7ugC9QuupWV5ekjuHeW0L5H7ZuDN9qks7M8zb4aDXKlQlBizVZW9ztRSfp9WrBLVgUZ H+cD7mwzENXTgDZEVHvCx2LaGP8aQotRO6y8wuLgZcQyR3QzHNqzI2DqWjcVp0fAohONmnQcR644 w/tVN1RVZIwCCtqQpdsTNVcjb4npesGxnWs+5RB0pYwqsglT7BTlbaJLGArri6WhaBdGfIo2VSJD 7M9S7FJPdaS6gco6jo6XH7NV9oHvXkhNH8/nOUXfvunhgJN2io+WRuuD89hm+M2PEX4me1JsFliO kW4gSM+WKBl+q9JWJE/kgL0oufoCqESDJ1KIs1uxPy5kNQ7XN2UUKfvGhZhO+We7V58JNiYSirXf rpPdBw5cnuMS1zR7qwXH5fANj7VY9PHtI157dbJncpprgMUXzerPGPpBfZpXnbZp6AQwz5Fhakcs i7qBSzEohXZ7f92YrQsEoedS2s60wBUiRz80i2vb64DUTzAja26YHlamCCq5lvIo1vOlMGlTBHb5 zunN/n7XE7nqnO4bFRV3gi+Uuh5AmJGMSxsp+MZAMNcsvTZ5HIUar0+0B8FTXfyeApv4CgoK0fRS 22SlkyDjbU4UGI9yc5IV3km+nxhYG8goZGRaUXckqQlTOgobsFMnCzCZXlIsWubU8xPgu1NpSVe9 4rKlAxCZVBdAUA7SU+LOfdpvodm5q8HwDJPtc91DaTIWNgTypERNVrS3HXJKYy45kCdKsu0HwFj+ FnVqjizOq88rYApxMpexdolK6oosCkNexcNa8REVglTD5PCnrElXvKwqNwLgeh6veZQ89c8bcf8W ctTD9nutYjYAG2rf6kTfj1d73IAas7IF9h1v8JoXurIE78IKqUKgRNBsTa352m1zHCKDBzPFKlnE BinwoRHElwULP3mbVr+UXtPD8s1c5oNZvP+C+MelXtTX94vgWAOOL2Bty6swyeAbGjVzSFs4+apI 6lhunu++IfQYjH2ufLV9MMz0S0U8WDGFgWp17TYYgR9fnbV4PFIzIHSflROo4xfrSOGCWv6gVkg1 mqWzS2+38/jTRvKMsQEyKGrUpwEkqGNceWtnoP6FjaABrv7gVfE07MQdCHLyXDp9Oy9I5HsIFGgf hpHHwdzzG2waa/8mPMag7M/fCdjQLJxYdr0ywCTqNUuRuIbofNU8ITJneAvX0aa72bO3HmY+66dX 5InyWfPzO5slhfZ4zpx060FDTwm+ZpTkhO/hjMl6cJYUu8REG1Ogr8kcFWsV/4g3MqVi/lhOTB0o vZhbSxyEQXg49CkUk4kHLhkCX8U1Wk0S2Hg8Ei6L0uAm4lTK4xB4qq+VEFQhsCLPku2OF5xsxY9w VxBsOnGuUhyPWVBquSGjqw63DQp3YU5KIJ+Ixug0GFcch/DUkD8hzgctG+6giGGbKbVJJf2IkSHH FcTLATp+fOPdJJR7ePTrOxudP9SCT6oITJlRkSP1a4dArgRx0hwOzEOXSJamIv1Betf/ygagtqhq +M6KvQvQt/iCtiv/zC/GShF0pVUvW3ki9eVb0d39+FFzWBT6sfKrtcRl7JURuHTR8NmeTrieBngy EBxH5zKTgz+MKdBI2MLGBtJYjhg3OFsZ6lAl9VdYj6xkE/TLNb2Wj5Kj/9T3mrO+EibcsZ7qwWs5 7jFm97ALfxktw5Sd9jctCeUZ6C3eoER5YLCK+zauitnKJ0KjQeI88cU6uSxSO/IVFQSdZNN98mcv P3++vJCveyLBcDf5BVl8I+G9AoZflCfZ79Ji2juhS6XVRertUpaF4O+5Flekd5J0MDny2m/QTLD5 OjxmkcHL1ZbB7skWRTl2rVv+rMRqiaR4WZwTT9b8FIffZ9GhMiV53rQZydum1P13JnEItfTHYmoW pshfh5ojDYoIyg94is5s6ZI/5xHPoiX08o/wD25gL23dO8JETGrlrAS1DO+LxRiHPtGUJkDBIpln X9fI9qpuLL74MjLKADjB7FvKUj5F5nbqTI3lVoTAesLOoS9RfLeBHk9qJO94y8j1nuLYJqjxTPER hs41Rl7/cZC3AKyvKS4psEFoGBggfszNAPYjd4Gu9AYsAaM+IQcNnL7lJUXjexW9e9hH2iJgMCBH lNYLoP6k0F9eTEEXRo2TWWofHvpTe+rP9sQnQFI/1CtrZKsqDPWDfackKuDE9Xj+AvJquxcAA7Iy YipDGxGtM/wPmtpsU5ND12NmfUc6VANkaGmLTI5n86zj1GeK8NhvtXKFrhFxQGrCzDWbhfBbsj7P cIojt9y3upPQIFBwHQFn7Dox2Dyf15bzNocxYmCQ00AWNu4CrkDuHGkiDGnR3S8XgN2cvbaccr82 4IMxnR+mi/7vrWZYc14DJ7CeWT0BRinHFFH3p14ezSZg2D0zSqG4/FapdFdt3e6OsOc9d7q4uis/ ZsZiBpyTG+bF7zkE0Al/g8bOwzhmiwCukwDfdyjne1SVEj17PB55yXdLGYi3ZztkyKudqiTyNtT3 mmCC1hrYP7AlSqnJTY3tqEWvhwpjiHMYlCqZ944i12y6uv0Xupm/TE45HZzwPk0TqysjBEikpLaI OCUVznUp8if3CLgaiOqlwteB8v0gd1vpRn49s6HuszUVHy1reI17pmIW2OIeI3WplS8ReuMB9Jje M4K33BW/oQdmZJjy/xJAfi5qgMd5jP7/0kXE/1b6mTyIq7EJTBpEtiMph4vgp/eLBah7WmB8s79L 3/oAoSRIDGdgceDXj+ddnIQGrN5PukQvf+V8E0RuvNYWzGt25wtyPRDYXRRWgLFrGPYSaLgdRuyT 6vTuPiT3BpJMjEBOLoFQ3ckGuT6R7ucwOTef4prHCV4gu3RghFH/tbhOY5ll8rrwUmn61RrTc0kT emEMBNKgLObkRCZb6sI+683XHeh3WqiUUIso2mNbql7LF6aNg1SWc2YlHtuvsDudATSCwAALwLEf e/M0XN5oBXz77q5cy/8F52GxaqQECrg4I7l8O0wgONfEc1owNIDSpeum6ImZ8Mxx0OsR/T505DdK oOGySeKMgC5uzn7uxFsFLP6HanUBcIASubioF0LnL+uXGwysqEQ6U/4Ht13G/h7jA6zj0kdOFuBX JGGmO0UKfka27LDlH2lGZ2wHZD29EhQbEyI0b/vv2vcgTA5g8q8e59cZA1cULDeJJGjluFcpCdAg T9n2tlRznQQ0n+20POsfe1f5ishvp17WdPef3C8fSaiXPkN2e4FUqcTKhIazjdi6LWMgSx83lFaJ yA9n5de581xKX4FVOOwQB3MWh0B05fTQF/BIhW7dxaKmnEPtWo97l3KyHDZpPbRDCI4on5F+Ydju CdSAtU9jZKertvfBjDEsm0YflX724mqW+Op4jPIo5otlPTh86uiOEyyqOWRwXN8liYAbgZYwiv1m f6JknYTk1F1wRE5pMwm+aO4dj0Jn3TBb3swr8ghAIE4Qytz/r246a6L7Uvt9x19uLOLGJtwK0+GA 4+KWHHAbOCOhWjsu6AM15/pDUkJp9Dg03iIIlvF6TohnJM6jZouPSSaaVk7zHxbnC2UAANP1y6Su eJhJBfwmnqW7p0ElzWcXyLj8licwCMajLg6ysY84sDRcsE2blOrNzC9seAiql+/H7YpRzcsL+ibo 3117hqWsvUBNk4dILs/dgyU1mHyoKNGvZWDQKfOgqR9a5iWbvqkwhZ6AMxDli9qttfDlkN5ADaDm MulLNoA1kLwBNAVJJeB/Wk8WrzP+Lr6qnXFyF0fL7bfJtthEv2ZHKRidOh5wrKz6VfkijkOvr922 jP5q5skWMeRQpt1ycxEv+PEPrmKzhOevEVrjEkgu8LQY4ipZq80B9cRzE6u+6nfojKY4LreA56jf MgxBVgtPNc7dzieKQ5CjXCa+s7dmHmZ6OI8lNl6JP/g6pxbOWL6lt3NaJRzqXJdgmUDLOXIUIcgr UN9fUqxxbZ4b1TJn2pVII+qo33sz01f5UGARCWXZ9uAakrw09vxg9D7Qab/As7GWqh0bkJ/TZE+Q RW0F56vAarEYkF37nIyjG+eKrBr7IQZ94kssPxEbPnOWtYV26aLdaDuDBqS50/Nhk4E3yo/usAOK 1LYDWDC888WKLzN18jhEBu7qCR01QRUzn8ioYAyqxIJz6pskpIYCR09aAxDYjfO5zo+JOQ9C0a5q JaFYCyaaPsZzynBp2ZaeQeQ5ohwzKiethx0UVgPfAxvUgj6ep8k9LNvcVZQ6JqO1tiegnZHcvCzN dX4EDrmKNJgjUhHVfBXk9wHCmsciFgWQOOTnomw25nC5uNmue0XqgLjhp8+moUrFVxWdZxnjvi4i gZVrxYz+JMa+HM/BP5QzHqnsubnCBeFYuvgGCnep7JLoCMLye3p9yIjRPTnxxCXfoyMhVCW0tJZa vZnysWObyj4Vfqz1wIwrHOfnzpgl2Y46Cf6Df8xKLywR0Me/GFAcqe/ftoDJMMaoHvyM6xUkAMTo h1a8SeZWdyoFMZag86yGWUixESSGP+e/GA/RDAtRxZQ0uU72pKFw2exz6Ka6JAmmzH55THzHrbLE G4+3bc96T8WgD1Br33gEQU+HbKvwltUmw22n4qbSHIVCBufj8kQYI4RHFzdrJOh8fQGF+dsUqKun mbyAkPifkhbVLEF2DCsTE0kKoLe8NVnZaWGnnZ5qNumWoHyLjMeXQRp+qQjZoYJ6GAfHBTX+N2wa /Eb2rXDYNUfaqDrjD52z8GTaZpy6qGzxNe2+9iAxRMQ2hstpKbT4hoKcMdxunkLArLHU2YfE2t4e oF0SCk/u646rRGjjZWv3pYtAzfvou1g+KTfw/GzF8yrFchfjrFYD7APS/I+Rq0iXaAEfFgD5V4K/ amDB0WGal/l5Ksl8jZNC1MuABG6LAd6PHVdwNsvmN5dg7yyNrms51ozrgEdhhGEVJhDUaNlD08tg fPLTfYoZNwpUjStcuQ/HNIZe6b2FmDC4o6gKaEbrWeLd9zFXFsK2AbEbWGNAtYTy4p5lgclrX/LX DFm9bU9UTZQHol+53bV6XPqSLFF2xS/Tc4PM+4QKu61uN496y9tfN8WdMGaioGHB6I8wXzeLUzIC AUqbf2+gca+aPp8NENuAKYqepMwrzEvFsCH/ccVx/rSXE1tbei7fYPPNWP8396XbCoFyzHLf6sNo ddpUvrvKMQQxfb3qTYSrmNhjcW0/+Ixu/3r6mEUoy+cN+HkJ8OvGGBGY6lVPID/ijxGhZCFg3F8m 85ENVXAM1Fq8DFWkItHWlWRjIIqvR8HKGdVVXvnDvroFJ0oekHDJj+YkYjfscpMY9KYAaaH4RqzI eeI6syjPwqeZa+9QVZkKN/NFmC1mamTlyVzIAg1p0ubf7SJ8r98v2KgXE34NgS9Ztp+2R/cqKi0B +f1I1HaAJEfAfJNDq5+I+ezVbZLBFSnbiWCSLFm1ougQaVrryVG3bF65JivmOJ9c85qN45zj8TaO QQaHj1hS843Sk7C/z97qufLuPvRh0o8powI6H83mYSngmQnq5Tf81ipLACGYura5DKs7mO6LkGdu PpA+NepYW1IOGxiXDIRdBnJqsPsY51mYyIi41hutZb6jRp8vQAWGu9U3bCa98ol3ATktKFHdk5xv Qju2U2y1bxvcV7yb0vMdaEeuHuuA+8hZKcRLVvDVM0FGZEavLbjhxKlt7LmEcqNjijGmtwnWMshh PT8l6lpv89EOhEwEh4Az6BuiK0hu0TRZB6xHbmuT497vWKFDKGOolvoKWkZK/tj1l1TISkdRzOOb YGgmgXxbdreny3Bn3LOab6AIM21OBYMH7+pIk/gQnilWFVysgZKGRPOK1llolDS7p3B7dU+lFBFU 0qb5QzE2th+cLbHoZ0H3DH0ULT47QoJEgy/dj7Ere6X0RZVuR8zjr7w7wp7/GaOA8phohs2OqoLO R+FBmizW/sAhoV9wcFcKJWe7b4hcNa/a5FWCaGcQQNgsrA1/YOYdbqemZYq2nEAHP8YvEGTLfz84 LsEyku+r9IWUZlKK2T9Ps9B89Fkxj2Sow2AX2l0lMuXx9ViBXLurPOuOo+9kosSoLZ+DF6ixJZ/J 3oEXcWBr0gFzP3izJn3/K5T9Gt2FgqZPkzEfDH86qta70zbutcJc8JgeiVjI2FmFrHFtkWTVHhJ2 TtdryCqwFMm4XjahB7pJJtqsaoOqfMqy4huPsIYKF9XC+LtRQFCSwcxIewk3CorOEQcWUV/9r8A2 n7HSh/kXHV4QKWqhwj3CXMowqBstsH8PZoyLA44hUBP0lywGbZ09vypAVBPegsHhYUkg+HqtxWjr NoEO2CkqSaLefu6qFHpPqqB0jxdhxnTNazaocSMP23AOKM2UDXXXEyS4uWs4AvsgyAf3XdIy/RCB 3RrwgyT9jbsHVjn2hQY/5oqhuEOWLbA5tZIlN6VLfqAKJNXYHgerjd6zg5GTtNoGq32oV3qFJzMb UHLIFBvNAjH/KXmVql8nIkzowL7OC26JR82F5GcxEak6htU4Fvj/eFo1ehi/+3xSj3V/LaIa4VAE z+bofECZktJckg3ZV77zfzRqDqt4U6JbQn3WsN1IWfBQpuL/trZg/z9vTJbZw/OcuczS+I9WGvL1 XptwCFwLmSkV6UVtTiiKYMme5Q79GUG40etxHwE6oegs1kZxqWrvWNgFV0DUncB26cKd4SvvAJTZ 2kjzWtCAdaIhzP7pcCXqeWxI6S6i7/Guq3tt561r/TbY5U9roPaqqyOlMyroZHoS2fgIdCmdmEFy 23dWe7AF2hLwkbALuJUiHL7OMApxKn0fZVttkkWFDoMZtZXwQzIZQRgso+GIhxuE6hzSxRIspokI P4oiNbFwddsrlaG3S3Z5TTEXZsou+Bj2Xc4whBeP3I7O5TdYi6AdInwx02frE5abkIpeIGpw2laG AZSEVDB52FlPGCRTsRIrBlkXIW+snxeja3Ul3O6aEu9ZDSYjRu/CjraRIriYTuoDzL4M89PybuA0 BXfQhOGX4kjUN98wo9T7BEoxLIW11dgEHzVHjH1P2670ly6gU9suWJi2N/Awunr1itq2EgQCZKZz fL1cgc5lP+PfbehQ3iHvOjES4Gy416z3VEOZtRmC/DE1WQo3uAluUi38vxIqXi2jBeVwx++WdJyI T8Fa6VLRjGZ+qWxlKoTAXLI7t2iU3lBCnDtilhtoqXS/iyyJabO/cvN6THLvDdlwHUi283VuTtIf YUgEIN3xuN0S74lG8XJPJjh7q5IVR16NVLDBEA+HDUWcvNHVGcKpQ3pqPZJ8zyZfKyM1zW1ZgitI o5FXmSRYHxqxVS6IlxkeGaO49im+AVPpgpEoqq2wV2KMbfyNjbjBPZcTKT7q1PpoQ+MetG9RncHi +2VfED4rknyzHFbrvFtliJjHqvtPLTDlOaay5E5IrBODYKYRlKl9Q+AZF8NcoLXyE66ZFtAgJ6+m HaDFsG8rby++YK9V4E51fqEraye8OD2FV3pyYOCGKNQmwBLgpz2LhkQLjo2weeazQVdGnNLSUE/T Xl4iPp/xwgcBqoD3OCUCYgQRPbpxulrxbAjNSdiauSuImS+0M2NsUmXBk6WxFfV4R66ZerxSBy8d uosfPtWQmJ7YtPkeJEzoqmR0T3fYPdbQfy2PTAUEv8Qx3akA+UgYwks4aPv3HlHjQICDke/sT92J PoZs9w2tTKQqujOfWVgJ9ZY6zTAhZ9BKYYryCqWoxwCa9i6bEgEzaZlt7KLElukGAwZpWI/byXXH cB4xIbN6Lb9GEapfn9l3Azf4UJK2A/aJCVSXStg/5W2Cc6VNkwToM6yuNDEch6vzuUPTYboK0wTY z/KQ3lz133zL/VhTwwr9k/j9z1KimYfl6Sihr3i7N6w+ylM9LaSoesXRbAUmQH28Y7BH2NfdPEh5 xNmHQi3a6RGu5TrIY/LQydb9zT+UXuJjWS6l7c6i34JZW9QvzcZaPEk3TSfs+C3xO8WzsfzNa9O5 E9MCHn08MHrOflBYdsoY/KF2mBhRexfcMM9JRqRoBaUgr8IMutkjlvTdkGe9YZaGGFR36Bxr5Bgp nOuob0ebCawK+lTTPFuQNloEIMz+zkAxyVll2t5UDVd6qrj+gMoegG/pv8kvcdyVNU6nPhf1k5BR jHXCEqNb51P7wiy9GFO32MNUEJwuqCegaKxYJElgXHGRzEJTm6wiZERKQQZAkSiZuFzWjMk6OFEE p4lOmc959W1JlZKE2xqVxvVKZ0+pVjuDXkcvNVmmE7FbTerdp6HwPzaDDs15IjWxrJL/dPPQ7Fbq Ze17VQOIlDAJg3ilWFY7Cw/K6F9cEfs2Nw89HrnjlYds29OGMYWKjcakczY4BHlCRigFs4QyhRuf WiycFlpSXIvklPHq9csozqw9jxoKQpKnQLc9JtJZp0guca2DVb72oGfg85lXfhM6AdEGPILF5xzO g27xiD5NAmyuFa31ZeR6nQgSE804u87drurgIri0Ul6+9q9GLGTKz4makL/tmdOLwzTTRhtunlKc bJaEtZXm1lTN9P7abMioq6qsJrv43bzfeMEQt419M07jPQXTkNmvepOJlnKXRj3ssukjP6vx1zcX vjGoEadspmVe0d5f14sP8yd5fqjMbXdaECTqzaUfcJzAdJ5CeFgaNtRQ2xhABkhAr1MVwMYyTwaV XribvAqRXx7TJ66NXhLVvfqAJnwaiFHrCpeIVEfkpg85B5qik7jm7p6fckBG7NK4cx9nkgJzI37Y Me9LTOVdLbruWyXAbnGI/SCOPGtRwb08Fa7seNYChvx5Z+FBKmZwxskYvYSWMF0w3VuT1qrK7aWN 7bVPXCfk7Yp8QB792UA3U99Fxk9O3I/uVsmdURCRHFQs2n+8EfhtCsCzOzSygRYDR8cSZTu0qyUO WtkcG94NwBjgHerMljcPAOYUgBC2SONpKvkeevb4eyydurHU0RWnP1vsLMG/PMrDN8Hzf8Msm7lG 7u6enmhBSVylPj3u0tqikk85QS0RH1wNwglpoIYmxOriLcL2EzRxoq/UeFORdjqjcbXCe7SeZcby kpRdPEc24D01/DYi9b5IDTXnmLCFPZEq2MMx+2x4kAteH7JMPgPl+PDJt9NNErWj90XfWpdZ1L5C ZspY0rH9M0YaXENDfikp9VJLM04ivNYFDaBTo9M+VqrkUCPNXjI35HFWd5LEr6KOjNGXXvm9Wt7k ZOFzz6P/hqCwUnkMVZumCMuKIU5scspGAajrzDew2NTSSRr3loMQXQD6CCtDFOMWq+9YjmjV7q05 uPffmWCX5PNzfuBXyyzXDZrE1fdgl72Yr+TVY9gbkA2+afcUWxvVwK2LPHucm17B5si3hcGO+V4A JEycXDAZe0lFHVXJI1ska4JVVf9uEccdhBcA1b8lVFQS+u0eR9Ijk9Jx/GoxcksffXTUBs5/7Ix+ GjXpMocUK9X63iIFo02oNcqBedvcDGZcq9UT4JBmKSB/eYjANYGhbaJlVdCljWtJtF/fNYBJ98mb P04HYQEW1YoN6cNG0LexhLAagLlmsg1dTF6brAO23PJT0F0moRIHzqaP/3/gWg9As30q+P+UN9QM 4DSOTWutQOFu0GqjlNahaYfUFMl/17Nves5Ja9KDlM/T/oUKWTZJuy2Xs+vziuR1uWQQUfUXIJa7 3gZOI7fu+eUIZ+BZ0b+2mFThCzN1CVTPeHQvPP+kwF+8nwmdaT2P7AlO8CTorjm58GF0sxjOv6UQ fpQyv0vVuZbO1oT+2RcvpCwBikTEAtDd/R8oxh5nQF4wrZCBQp2s3CIP4irdhxp6a8WARjwjGfQY IwmtZNBHD9ZZugb0kNSWpsn73VDrE5ieVf2YGHkv3lfIrhjuURLFPyRF+dbTjIXDQ5ZVP9K8bXrv n29KpQSqdHQ+95V/OFcZehvEMe6tG20rZAh16OTgTsTfuKT4E5phqt0MFote+sV9As/ZV1HznclF E59+Lc6krkvA7fjQZgyhL04S6aL/3fjrunGL9NZRqDYDG2BC+w8lAFLkb68aVv1HfOXie096gNCF u03RzALz24cZ8tSk+LwcAYXivQ5hR69pkCxadQ9d28BEcaWq9YxJS7mYEUlMf46OhAsYwkCMxA9u ltG8logh8HjPnOr4khPAfTDH/IRapqeC+7SVDh4ZrKxnJxc9XlhfgSt0JQfKbrB2cJRukFQSEzmA j/VI5zw4KOp5LbE9C6P5U0kxwBAdS4KEd8zYmiaalOecFEc66RGzyDGHR30/EsS0wURwynA5O5Gy xg2cXW51ImzYIlz/j9g7pbplETeOuece9akkHpi3Rw5ztqmCzR/Jgvr+b+uV3DKH9jPI2BJvvOui lzstkj6V6NlEFKB57Q1Fj0s3PitkbC8FsaOPaySeK8L94cBe49fJ6OsJHI1sDrZzqpJwC2m+5Air ZO2JYzbfmZiybDmgYgYaOMa3v9plZJQKnW2ndGfQukMnLJ8ATQMPG05YyS/TybuNKvCmoyEi6f/r 36S+mkspaHl/IqW0x9C98oFdeTkhLVu6+05QchyCiDbeen5J8F8njP3IAKH6SGNp7/EPGsgwTrWz BEQ7QWAqw0bovH/zymPazkLJeGYav+/DrYNmzJ/HZqTQYL3LFFzfegywvDqdvA/OxYFt0MzrLJQX sK3Jw6Ee86SB5gjfm/TL8Og8plTwIC2EGj1caoQ2FlPwgXYwTKIukV0CvVlnxG1js/t/mhsqEgve weySpaJk2W0dU+Dd7XYK2nBJDbHyaM0480v52zYO6noZ6Ca8rZbaA5nsfJkVD7uXVsIGZhxtV6by GCLQ4fK6j3t7DyiNEQVKItloAHHEwAXO6b9njuoUhCRMH5Z8cpd3G4xylJn/vb5c1m4As/f4k9pp yy04ZjhEzvLRDLVu82unR7SY+JfQj9MToGDEof7zuTrC+WbAB/hyOjU9uZmHcZu7jw8OWXeCICTJ BHmltC51nbbU3/Bth0o3DF9l7Gui7CNc5ldvzT5hQGNMewjHZTMBCykx+J+SS+EkMBGaSRctEycM MeNTUC2VlhNMhwOz5xQMRU+ZHbIkeRlVYdaim1lnXvSEse/3+DKlQJfoautKmZRa8NlygBLmgmak KQonqxx8jA4RIHYge9riN644InPJuaPXRve9ExiyGHb3x+hw/1WnZANiFolkZGdKw/fwg5NQWY3P OJUAadMLFSDyd83mO3ItHNI2TUKGBR5mU3ExeXojQvvowNvceUUmbI/i75Xp1bGfPNLPmrdfNtMc 9piTuTyhJ//3b/gfJzyJmIdsA0LUj7jXH0djL1LvNccsgB5t/qCJj+f0xOmoUS+MU1nWKWhoD7Ml Az/lbQvFAb7Yo/i1zAG+qkSuh8cGNN7XuClm69Ghg0a+rzWroNtRwIs8+wRcVuSEinPxwfaAaPll edk8rpRh47pJabDpOSsn/mKB5UVnjC3sgtFBztlWCm2M392lA8LDoja+Fpp5u4FQ/RFul6jGLSX1 s8KHGSq9+ye44KxY95rW+qSRGOZkgj7x9p4AhuzRr/ex/5bfzZmy6Gb6EnZjD12qWw9yDkN/MV5H WlwmlbmtlDMq9053mMdtSroT8G26GR6qeFLaWe62jCBAoY0oUvPio8B/b1db/Dcda1u96BOT5q36 u4pyZ7w8G5rod6GEAtp78vAr9IEJjZ019A9LmY9igcKtdGBP7OpDTj2VZMBYhzPRQ+RTvvmZ8dfO JcY5IlTbLtaI8WDIK02ls/T0s8QdczhPvTrwSEcblcFl6cZvyJypMqy/pQeRP1xCUT8R6mbUZcfM MwDca+vbhHUbjcF3r5Kb+sjS01fa+5KG/J0b4s9uZMeoxJxR1dy2jLI9bZJC7ZVwjgM86MiTr5Nq pOSzMyh+cEJy1chjIYJiaIwK0JYctA+f8cG5pQZbyHo9hZ6bwba3VKmSgfrzRKUOZp/JlUTqUd8A 6PULQfl9/EvGOt+w1hg1P4jsWpVGgpYi0GcT81UcQLgngPGZvTvDTbG+VjB2XyQrnjm0HPvZ89Ka +Qrk4LNuiHXKSrVOS/HpQDxjQUNz77XMVdp/nz4Dhcy1x70lI7Zy7ejlsivZ2NiEME3FUD3OMfv+ h5a30A8ka1mTLyfjxJi8vO5vjXfKbe8PeosDYeTF+WamQrk4/A8G/cVhXa4lO3DwAGwn4QxYY09D fkvYaqEP3RLgxvQAd6U7bUOksZqSaGhl+yOzzUdMyPDODMm4Tz8b4yt1USbZ+KuaWxj9kvIjj2yf +o7QW5VBiFA1DG0ZVqkW4B5y0z4ptkLhZbLMyvWpCHfqXWZxusJ4UNG+oKLpF/H35kcDpGxm04xN OiPM7U5G3FB/aMDsRtC+m/d78AmKJ5Z+tsrz9w+ltFlMeBnnjl20Bq20HH8sIhDDqu4869RjgVxR im8O9Bb0FfKO7XWMh2d/EYoQDgo0Osbiy14GfxUUiQoHlmC/Qx+niV0R3IbjYQuqjreazzSdS5EI zBKkINb6Bl9GnxB+hzlPE8fN+rA/VL6rMkZNm0Hv/IHkt3nFOxO9TTX24hoCDFM5AGtbd8Qal/nU FqdLhepF9m3rcK0tA0EnmqKnlh2SwbQyNYJsk4jWBMztUXOfKsYYDAJ+8VfY5TI3NPEWU9kxgptj PkQDocDIvt+2ejAnL07jC6Yy7LIq0XV1fI2XbvaU+oxF0h06aYq/x/DFQOcAx44YCBIntFxG5HeP ZcEVBpfD6Q4t2mJmbgzlIYytpvkLZiTvk0gAeQEVOm5UB/YJXDAUirz4ht2c3TccU+fK4BYR3G0t LH0VhmEKdCkkEj27r8tl6ZBACOGk1q6c1xL5hBM8dQcfZ2m2HsVPlfFlPLGwmKBNrGZl87rPXflx hA+au3RTHO3TlGk+kiMplFMKvewuR9kdoM30EcpPI8iHGWmOu8vjSDiZRY81nesa9ns87Fa1pae/ NNR6z93r4DNigywEaGWa65zcJydNOcos8UDaauBoGh6eY3tToQWBBpRTDphlytf1v9iojEvRu/oE tAZw7M2fWhSK+axEAFCXDztncEuUGS8137L7UQ0dmPdEZcfAqmmUbPj9zXZVuQoJ5mnvNP02+1LT lMMgVv6V++W28sf4WQcnGbunG0oZP+iLXNdeO5ZR4dPC3T2wP3K1BONu5OvFKqixOHboQObMA7pm dR43wCOU+5rk3VMROY+zdR0Xmye7S11VMUppOCSxW1MBoR4mmJNTN+s0Yl3wikGbNnq6jlvETxxY 63zShw7WC7WlYhpT+9rdnL/RHJVCeWtiFnf/eITXaDAG7S+sg3Q3eLKuOdNt3vYd/pvXNKLkiDmx Ks8rSi6OXcc/ZKEd0ATX/Dq07+ekliYeeik5Rt62NWohus80jVI+gdjNgys9SuIfBS6y/LX5ytjE ewMq0HF5nMjXFpu8ZzzLK2w8Ec44oewV+DQB99B7hygPcs6nzgBBDk7aYt3RrYD5sfuIQK3tFKgE zLOZRemy7PbQgqCW9DkXrWgodFf36v2PQKty0L/NFqXTVjUPH9spc9q42jjjqXNL34AYgQpr6QQ7 g/oFmrJBsWqzf8USnbOBqvorspR5oSSps2GTVJe57OarrFU3nDf0lmYz8o2CEq9oQj0dhs156w6o bqdwEgp1Fy00sMtovM8SSY5ioefNXefpCz2fxmsf3IVRPvzg24j2GBrNnud0Cbp715IS748LXTGq ce4bqU8a3uDLw8doE+MHULVzIqSHrwT74M64HwsHC7qcipkHusUq2jfYOmO7bHYQ10gR/4DOqu8M Ojw16qDs4RdHjqSKXGd0/Uhj8/IWEfeF9r8MZNuvRQWJ4kxvmuFIWZNm358gwk2ldgGYJu3iqwfn gVxuT03p1galX7JC1WtQxQJzHqnJRA2NgtMax1fKq3wRdHEsabYctd9Qq8PLVvwFqHM2K1eNZsC+ OFmzlOAA8rkQ72WeUEs4nyUEjZiOTqN+d9YYswGlVPCQhgTif5bwClDRBY/zDFmEBwPI4cB44fpH KbZXV0b1tyMA3K2GzUdzDsp/O3Sg6mpXhTBTQcrOYcW4m82yNXvCbFqj0v+MFtAWsZvKq2ryi2/k wAIf8DN49/BLyP9NphSD9FSwhhR/ptvP7gacaYIwGSyVQI99FU2GptaBtg+VL1+zzM1cIwCD1Tgh lw/NTi2tviEu/vDxSoLC9qcd0eQTS0WW7paBRKk6O8GAzPGPa2Vs/rOTJiY0NDo3a7gi2wbnKSR9 /5Lcd53/diJUuwnthc+hZHmzEcCj/+XiCsaLvfHV9kLt4dwWLPHyjgSSxx5IcgPFalAvlKvQa8u0 38PbNd97I4D4pXjXma8eMkTKPbUdHSnbjQDjJocBw4mxLBC7PPGqkeXrUX+F5Uhh2msADjH8+/tr v3sw5qmIjfJdQBK7CTew5IDy6LICvFg+yjVcCpHvIc8WRnasVJDFKYa1Y3ibqDNQg4X5UeXUVacB QGWST15ODfDi0x7SahtM0DflfmjrBAwZo1B6fcYSR5A922K5NZ76FBUpr0eYpOEi1MhYFMAZAJV5 jyLWtia8B+mwHV5G4CVgrnITD6oQgezaROzJa5rDvG3CR3DOhkk2S6XWQyQ6Mp6GoNMh/vllyGKF ILvaTHSlRVQLQFBZdnMAtLY2/aJRaM9YzThPujLQ/QCBrkxUfrxTvMqrw/YO41uyHe0sz4oroIkk iF80gY1cSqpEOPawoaPjf4ejbqQ5qpv7rQ0qMfCK75nvJSGdlZWCd8sItAa0fQKMR2XewLKcG3hm KoY/Q5OiRzOJ9b2deVl5GoMb5AHUyfhAHwHk8JFRw64dcBNt46gbVdkY3DFDJop6BeJeQZ/vuZbk 7VAd22eStiyCf9L30IHBcUsrYlg2c5pbNO91lCFNiILL10kSSQuaImjPmTe43d/nipSnRTRIYw8Y 6dvfngMRNPbfyeyn+m6IgDHBJlX6jR6uapcMrNhmqAwDI1JhMMScSl2vtnKM+OpWlQUZ2VTTrqbL YHcczP2Irg44L1bCX8Nd1rJmVRJHiRiDt+4EoensZlM3eNS3ELpL2kAAZ5Hf+gCcm1SlVNsSEhph qZSM5XezB7D9MWKFBD6Wi7Gd6MDFqLStwUvtelJstQoRbxg6ev3Ag4iWt4qvWQT+N9UNIIUxZTDa FbvKhGFytomKAWHhYum09f7mTg044vHrPIBk0HkAej7G6XlhR1FVGrw0TVsdnImY2/94y2KbqLKX aIeXxUMZYz8vyblmIaljEofemabeod5YL8ynDBumY5kxYOrGQFnIfB0x92RjXXzZb3qB3gLvWY+Q na5SWqHtbGgthwoY/6FZYueQjtaO+prN98wmZhunPtZ5TkP1XvGk4qTBnObT3Gy6Y5K6YrvDfrZ8 UMInvKyZuglPxrHUa1XHjgSGjvwgKNyH9BHtS+NI2zFyou4M1KxsJz+Bfe0WWhVvkAb0GknKB3i2 8Vy1gbEsKnYYZEKeTQfb6onxBXhBTSqS1shZ6lanJ66edap98wLfy72FEFTznsNyawbAaeZhd3kQ 9kxwkAPfI9888OMOpCTt4O47sAvWGpqKPx2wnpZB/bz1qElysouMBExDi1rarDDlAlqE0+lmm9n8 XdBaIdwm+YI/1JPzV4Xv7Ny0QO/QuyPqtr4cxWeN/mlUgmSTn/ikZLJK1rkDWcSRfcFgJtUKv7IH KmKBos4zCIUUib77koh8jwdLq378QxCbq7oOrS/ypj/DR7a3sSrbRs3whjgLYC3bIiZ36P/VTXEc CSF83G31YIbTRNGmAT2G9lULLO81x7PCbxgfh57pU61zIzQ4gtj9y5yb6iq9KSRr0HKV1eDpRVTB q0rEHHTw9GmtLuDeRNZMJBF9/nX7ofho+Idxj6cLvzI89RFoSBzTX39D2f9nBHQjriBdPJ6kwvJn o4PFoMC8BAnRy1zPMBbyyJ4ffAfdrlXjnNRNmUgd9p5SDuZh+tpiMmeSCRO3oZy+4MKDpAu1flCP XWCS9iA90whKOeEMYCtbczm9oWfz2/bzwupPo/4SYKomQck0bKthdhLVcmUCzQcp/wySpvrdLrvE myjaJuEvOb5d7IugspHVBUHt4YI7bICwB9+yDI59oUlC8PttwOMiM0t4EhY+YBChq8+w/iuo8yj4 qSDpC8VQg7BDGFi7oLCumc2eBghKY+AXj6NE3v4BuQca9S9xpu432BoDk6ZSIJABsVaSYeH9/T1H bnICEG1Z5C+YtnCg+wqpaiXl4H6jignwGYnNNSHZXGyHDLuTMkHXKF8t41wHCEstfrQ3Jnc2K0gh QUwk/35zTyG10XZZpUdjHaimhWfFlX48O81IpE6SS4rCRmQuHQSVAGB+/c7oXIbIkMH6UMBvCsSH raOREdeEVbbbaswSaugXPgj8yukzyWBkjfWFIHCT+q1ATTGj2qb5klwBzdC6Yvk5FRcJs0MoFEym Lz2ZIlsMHpZ8DQeSadXpPQEkdEjdPZdq8ixMzF/N5XQNZ/M+I6+MPfVuzsSD7DisWo5nnM1ddb79 W1r4hoHI9DO4R46kSI5FA24wLQBzxncIpGVkjoqqJ1cBPu/KNcSvF9pJ6ZFd+Nig5x2o6VhJX2+u f9MCP2sUk+VVN0JciIuL5/eeNK8oeoAgK0WDy5a5BgKJqECkPqC4DhE7tno3DiRMguuJBf0yOEr3 I20jKZHmafc8uyIu7ikLmh/wt1FLTGU3V4iEhPpBMiz0q+MY9//1+3OV75FybIQHH9jJI/UOvJ5f NB9h3Uv2aePH3UeMN98z83kHsRkC6OM+VhWRukTwgfwPf5xoOXtRRcvrOULcds7lnHV7AZLSDY1M lJPln4yCWM6fAgdi6XInlw0IGLCxo/v31r8e7DltT91mYwgCqQQRZd1szdGtGYebQDifS+zQKO1b mYS2WD3xTaazvq3iNycQirbr2r4VpvZuiSPA8UctzIKqXoCUHH4I/tbc/61bTKEBVUJbja80OTLZ cZuD2ow9ftuqI0+aO/C7Ng2t7tka2rY+zy/0JVD//0CG3DpNEiRUjTkbWU6k4xY5XCj5Ejprdg4M sDvKahraJXRgFS0uQNqPWNO8rj+gg2ZflOZOQOjkyGkg2JLd10VCVctABUC8ZlA/yMx3fhsrFt88 LlpTKv/53HxzRWMddPs8/yhIKgV8kfQ4mX7YKKWGEx5t/52r+MKquYbP6b9dGZcdmn5l+BYQFAau fBGgB8ayJ60t3ONy63BugXC2YqEHCzV1vE08MGZ0LgPBODgmayV4kSDNuB95BBhb88Fu+GUoaXZA UCfWlJSDbpfXyIlqv+xrSJaOLlEBCADnHTNnUji9OGpBonrvG2cxc9whIdS+3rFsT94bKfwVOTLB qXLat5HM2R14aT+4m4OAxtbGDYNmVUWA7jR6W+f/o/5sMneQuIRbTwXOmorjuE7Vh2s0dor3N8b8 dpO7oM58P2c3qnW3zQUagpepsiKOIAkH+/HYZyU1eFqVfZkk34bT+eHanQtY/YmFRqWVwCron1ku 0y4maHs0F0wSDMRK/PIuMN1PJv5id1hYbIgRsZIBr4oAEfJDX1u9n8SEqB5SBQOsd7Zq++yqhO8z EBu15QW9lz8Se39fTEsdfregU3ml33TZPNmqgUO9W6zuRz3E2/Z44kjbJYdVV+dlQA1C8thOqZag Nf5EUdCi66jQIEkfcmEbk3k+vometQUbxVxj3hQGRLUs02eMw2WhaTu5+dz2jh0PPzwsmHXGHUK3 i/oMMiT2S+CCHwxVwQbBQJjuuyMuKWvkonpN7245apsJn9p5NOMC+v0MrT/ypjMETbYTab9ay4V/ kf8GC6Soyzyf/ryVuH4Sr8pZ2slafPhbTD8asmbJrOWsDuE7+uqDTgH1tlFUg7KjekfdsWSUmThm FEjm6lRLc1r9lhBTh/rWDaFuMY+P83ND2sqmLMt+JsI7hvOYsdBTPPVQoPaXaDmV39Ybf9S98NV9 lgryRMXkn7uXaGmSWmQ/JW3SQs+joSM98iwfGR10B11Gtp+Ju6AoSxoT/jcQg95HDQzMxEZ9ljcI 7ZUoFjhW0YtUmQV25InXJerZ4dqqf3bVj+zLh6OHLacq70k3EYZs9wUR2f0cWhIM+HJ4BcxxibGM UgKHUn+Q3/ZC3g6V7ADue7fYgHOJAqf/QuvfOgUl5WnSwacWz4tnlIgrkJkMu3Gu8klEa+j1sKVu HaA2KOdsft+oNxWb7BvEayQPe5Oi+5E7Jz21XVYa8UAmofalofngIqHZbCqxA9pKdqhwTt+shKdS 4M3owZ3fwV79lwixaV5K2CrJAEYmgeZuETUTLVHrXeM+zm4vdLG9Djf4aDkWK2R9iMlpptzXGwqp yKQBpjK+kGSB9TItoqiCqSGDccP26Wd8klUvcCHJc347imq4+519OOPlphtTxIrnCy7yIgF2ZZ1T vmVq5p1qa7SCUpD7qY4eay/iTJjjiI/pTnhQlHNBCK13hpjuMjsFCdh12Sw+yarJqtJK6gVqzU1g pdKtxizNx2RkNVR+HSZ/7CMKWycll0M0bdvuTHucRR4atTwgME2EWMG4bQGBmzJQ35kZ3gQM3VBL LrupE6wqq+dOAR/yct25UrGLFEYqMvj95Eq24p0ZZ6MYM9bCP5dq0n8CPKQqC1JlHT5BV/6WaSyF TyCWxf7CU1yH0c7fTlHe3+gDpeTAlWMnlK9grhwgkmYbl3HPfncTC+BeKJbWZw3fLyZHRAjMhTAl Z8w1irY8e3SYLaiSJYcQhefa1laNqjhmgSFc4el4FNx1/7tN5dbo43acG1YW0Sn5au2xdnRh9vOW VQ9gw+KVH1FS38OP0yiKOQ++8eWW7RyUzRblafyp2+mk2h/6Qhxoo0Qux2Cpwu1ammXsGXocS9tt HUQd3Wj8rvbC7t9QCj+oHmOwzpFC0RdWRYO+sMlupcJB21T6Ccn9zFsBVUYtOjAW97LpmdmkfuVM fGhQgbEgiOql3N49JasiMvzA4mUq67qbsyk9pME8W+DCpDDTwAOEr9PxQ89T6Y2/R1Oiqfnhk0nt mPz9Z9T517xxMSga3yWO5Yvdh03NawVqZMcEunw+bZYWM3hXPjuoOkh3ZVPd1nYD0xEI2p2vlhul YP2xjVmLap+IxMXk0JoEwQQS+3/hiNflmvEliKc5w3YhmXibOYWH27Gc+G7gXIJKJ6UuTkmED05L 7P+ykiOhl+EZCBiUloLX1b6Iws2i2El7QlaSC0nIQTbMn2s9aP9r5tLa5LRzleeyyXmdleYrAgwS tNy71Zg4pM7zJ9kjrynjFSu3s4cJKZ0+AUqc7Y8nfKTgBblxsFaK1x83yucPou+EPBUoxbG1nKF0 A871xGLgKHjCI/o5KbNx7J1l7y/GRZmK/jrU9krJW6cjDLTYUWnWfIq/3HBwHE1Zs77e/rYrayM4 QUtibR7ibdFy7Wpa8b8SqY2q1Dp6KiWQVeGjG0QXK5tB+ZMhQXiNRLvgA3lFos3ZOOYxGG+p6hRW lUED4rcNZX6ImUo7Jib2HglE92vbA5z6nSg5CR5tCOfCWf0Z/glKeRx2LmjFzhNxIH/8plTODdsR cPxLwtqxKF7vQ++J41kBcG+BFiXI5csmgalRKfzU2zn0TbLmdWPK5WV6G1tpguxt/8jaNCrA8bro 1WJz9e4yEkNmPcAFijpTUnHAiLwFO9V+lQIw0KxDxKl22mlFT1zmX2bN+/zybn1yO6dAqBzs7Hq7 kJUH+vxfi1dq7BrSF1G2bn14HFzmaD/mqAwpqVt1WkFRgmAXDK3ji55c+9Xpw+qZLL5DYKasF8sM 56BzVZw23WHxHRaNrytM/lSnFZT3eWHQ3GMDVfYkPi0bxW5Ktacb3bzcDpUXgRqGUMUgvH0VxTdD 4GdV/fM3QDiiO2vuKHowhvoZR7grqWa1elHLVdW+3gZaA6VaW8nakk9lzslgsl/D8eCUmNtwpSXe z9wEe4RJ1PtSP/2rAdRuweTCUnNCZrH1ZwcriGM1DmJ+4LUcj0Yuyy4Y/ZgSEwsE3bD0qQkDDnVS MsqAXfdB3NQUHGd7QZFWfeE+lo+twatasZzRDDQzaVCpCC9/VH5eGYUxXeALyZcgTUGIvU9Yc8FS mD9ypLVYZO1rN42Cd2DdGd/A6wvCqY498OwVyaEu8IPXSw7kxnbyAPO9tttv2e+/1PwLSCtNVqPC GZSyHfmeF/HrtCmgWV5hgQ4ETqP6OKcC9/P7llNAofpU3TZah17zBaY5rouL9khOlyvOUP+zwfzp ryzMblp70At0RNvQH+9WsF0IURPdqt+zjeB9SIrYxkfvAkHli6/GeTsbKO/eu0pfb9WBRFC8eKGM iJ2bjjdg+ZuX/sO/XvQV0VFL5d/lKXv5219dRHJG5saKw3ExBoecJgu03kA9OxD37VaVcN+oZ5pP WJ4k3OJ0ELI8/j4WZIQcxvxp4/Vo2ThZd4SI23AM/5lRPwLMguWvpZzD1oFB2H8GEnLI+rvUNvXI 5mjACJJS/dR4RH+bqUkeQdSBEcGugkS/9SF4z9BhnubtnvxbUmzo2Epr73VlwIy+ySBTTWe6kcfM U9StZlLy1rN/CWZkjFjN4I4yM7zc5U60o6d2zQ57hsjOEuv8w6aCQFHY0kpQ60NWtnmCZeLfJNML mdlkbFJAKwukx97OLEQQNiUlscwqQeoSeyPO3Ox+fhuwLsvBTfsDpuN5glZ+o0uaIrO44n4oxoJO lCBqSDHVMCRF8ua9DZe+l3y+GcnADGjKvGbnqF/P7T4FaUpiErk/ANu2XTUxs9Kkm0yib0zpMFk3 FOXOcFAPDUCI3GEHLi0ChEUjpc9KZ4TOY7k4jtbnHXJX5ep5Rusjv5nVCBQvsGPkHzxGvGhPmMlJ UFB8cn2oiFbwMzo8FSwtJfwMMIe/f+BIVzsnq3x8SKafZebGjY4DWtTHAjE58qfPlC5YdBfZgVdF uM2vX9d2Ys3jd1fOy8BbElawLOYNxGt7sZ4kGiGiXSHZ+4qFkAx8LSAd+SecaAgooLm6pUiGZV/Z uDjKQV11/U6SnEm6zU8sSQQ3w40uaqJsCZ0og5waFyPGPAbm2ey8zx9fNFxD/J1CHqQsFwQ1mW10 szDWG9TG0sXwzbwcE22922iwHW1ysFQxjdyf8GSKxCfpDhra9dtKoXD+GyhSqrhtoSUx813PrzrG arJEDYViuR86m41LcP3Q9rMk1K8BHBzKVZDTEtlJz0VxnGEbumZW+jsF6fU1+G5cs7ubn4Np8F+x Yc+wa9qEzhKjL5hB+GFTV1o9YSwfRlN0+5rzfKR+uPgvRXQSWC36yqsGsvr9B1ZvXloiuylapkdD 1hwiU1LKeWEE6Y4BqyBnXwqC0X5Gnauc42Allh1DSUbgFcrx7RvVnrDgHvqbUnzm/NxCVT5YWQ3K z6+znRwnvUDtbT0r5k1E/uIYamMXpBHw+KaugDd5zuG2WttYJtN38haUBdGSrLt6mGvtOJbIWhjT hfCb3Vr+GD4XF+jbGOpbSw89pL5aevBniVl+xT6UZfO3h3eR//6ZmTywGjb5HIazbSlo8EkCAFdI sEMmwES3WCzy1DrO8C+AlwsEPpYwuEE7q1qB4Ze/EKto2QXbwGCZhBuCZEzHk08iUy2g8q+x06MI rHtMex2zxcVn6HeYRlycvk8rIL7ZnlwI6ypLnWu/6BDLuGtHcyOVArXoEWi8eloNF8zrxAmjuOgf HtTAnix5W56OMAPVQ4thlDO3lMWkk5EwGgAwAllupD/cOmrVUulQ62en5tRAjibxvIYJ6AJmHMXu 8fRxJH9XtyGoldPOqoh1L2G/KUkTlf7maI4bMyMmKydeNr9L6W168PSHsJ1nupKa8S2UjrM+v7Ie fpXe7wa+4Avo4/op/XRqNHNezTq4eib6Ikt85uiOrayPuUD++FszkZM8fKvFJzu7skWA/NC1AKPe U4vZCw3BXR8Q+Ls1ywGewa9QZk8v+E54jo5xO4bux0niVbq5p5SX3rNDCghToNbVwCLOWwLObz1L 3R0cS8GPSeWCDLI+hhnrp9de/ACb6ni+JmRdcdk/3vd3jLGunOQVRtlCy+praJKW+hQQcR+Sl663 VhTM/zNMhYQmo0NadcSM6x8HjLZhfI/ZMgBIwZqHbSpRzvrmtQmPmMlofqkWLJqbzQWHks6Pkf6D gSKcvUmifVNsoxJfyxthn5RNESy+A43dSeCrgcK+0jRhhLZH4v50nhhNXYtsg3I3pWujpBMb8WPc MAeWvXwmETxAiOKIh+bWYpqFAhzf9AeG32bcTcqhs8nA2LVajxEhySOuCAylBx3yTOcHRxcehRle FRFa3FRXeal6JGIZeFRiakgLwhn5/7Q6WSfsM7Df/XHB/7BpfcxdlRO8EZcIlHc7mcFoEc/nArDt 0mOXouYqtwFlyiWQChME2b7aKrB4OoD3Jxij76e/xd3Ujs2TFlem0oV9xn83+x4Y3dKc3X9zrJVy QHYnLu6yGiPuHvKLF0PseZZv9c80LuSyF8N7+Am0TDNYMnHY0/X6UdK3+BkOcVk2unzw6lYLpRAD X2DIWkXANurYZkoeyqwOm91N/fuf1X177LUsCv3Tm0HNhzYf8Nm4wAP086kf6DuGQZsqQ5e12Hxt F1UqbwKFU5R/mO9wh0PY5CK5enn1DwHd4GiDZ7jnlLoCkokL3s+bjJPeJjcFQzl0LzHt74/fsotp MhLChtoMs95XOYN2Ew5RNfiA9aW6QlrjKMJP5IREmKsCa3sGKbojcVPxZZf2lBKwQzcsR1jmUkYw mdO+qLbIrR6IMY+BuW8Jl9OVQX5K6zDjlqBwRZJW04H51LfDYiPreH8yXCoVssCCE83+gV3R33/L R2ed6jAAniK+oWAeTlHfhLCydmtk9K5jedLJL2wTCozUF9QZ1pd8Z401wd0q2TFgNbQcwm9hxCQL rs98+UQL4NCzL1sNJA9c8VmEeFvuL6ctY2+oH9hdpeWnsmOI47S97Q9XWlvLZdvE1h4wHio+DJYc Y9LLBpq8Pqf3n55xWM4Vf3micFwZdkAMBvJ1EHzk37v7FPaBJ6BFJ31apvt7VZt1np6PBKgC1gmo QbsEl8DdHv9OvMurvl5IetvBa/80c/+UYeObZZeBih3REvfBzq4+q55pN1Km5inHkOY26KIWRoEW e4TX8i0zMClV6XKXChhF6ncqfFT1fZ0+cqOT8G9LKm4eIMw+WsQECw8gQU1n5ucxdB/5pZQ+6dSU YI/Gq2bSRWROJWxB+nigatSJ9TnJ9gxPEXjoKf10/5E9ziRO9rkbRBp+iwoAkqAeUWd3JwHWPaJw 4NangCzSxk4LswXBpJn6AAJsjZFciU1BKrdOehDXUmrCjsEk4NgA0cbjJSC2NnkIh1Hdzf5kSRTX j3pFI4jA7Iyu1RkZmhitO3VxQFKhpxsGanRuf4hy5E3X+3ND3iJX0EQlUBo3YMZ9OcUJTYlNClni 9vxfxNhKWtLaqEawmSudtQufrvRDbkoasnKGUYKyUh3FlJD6GnovOqoq7yqDSyMQ6lIYBfSmWdyi 8zVomz7kpP4zyTpCWsTZMticaZFH7SDYM7SOIoiWXVnq+y7Qw7Q1BUmBBRMQr6EFbtdvYZaCvAxA 0odmEZmRXKwUbnROmODeseE1l69ROzXBgc4yM+I9JvGDmIZcAcKP8/E9sH1O5tfFdfSS9Eq3iQ7c ZTQSFpYrzzgszAoluQKYFf8ONJKKQw/eqmxscTUf6N1hs9IdY0OjSVaxzcfEOcVuvLinkm6QdBOU JOJE/J3at5KdT0V9K0jyizhl3lftb8HOEOVhhnc/ewDgDGsA2jbcOAJld5bpBMyJvgr05uJP7IqR nyqDJ7mviB0w+GLrvoul9iMCj+vvlnor8zdlANuhPQY6ivYCwr7vHaRA7RHHnySWXy6ZpcnhzwNk yubjHcZEkIPkG3nF2NTHGh4eWI4O9Q8f+SnNFRKrpJahcyzYM99HDTnecfnnjbnV7Cy+1NS+6Egu pVhuaTVEtyDJjDL31PRxV8EmGEalLRPyveejKZ6Kgqzb46S93rOD9tZYqzGbLNH1zt9pvwL6CzYw kM2fxIIQF305tut92qm3Lbcfh9IMvuRN5VYPWG8I+FAIo+x3A59I+XDTlBAnzL8XJkp8cn7IkEda hhCMzx4PvoCpSRc5qcmbArMpKeHinyhGjJCJ6XG3j4bDtSnd1AGtfZkMQOONi41ZhtVDJlfEXZ6k EtvSKdpqmzrXdyAs5y4a2T6yq7Xh9CaSYHwjWu1qOM6LjVh3NwwjBSoY4IHWliQdJy59iNWktCd0 UXAt94qBexucw90iGExu1yKnPiRkT0qGi/QbtzdAlBrwVSI09p95lIKP/IMXD/89TZMs/bZ/IkZy 0NHCB19cOJKYOP8jmtQGIvoH6ypD/4CLb1aWiMezniW3XskyqQT5auT/r2AbcUn3d6m4ottOEgDW pGxMwqA7BUKr8hZyeBRuUsaYbOWi1zOgxuOljup6NAo41a87XBWKHqHow3OgG813f8Xi1kQ7LiFI wTwT7NgkKO1uQg0Awt3qfKcEOx+Yo6eIVdHg0te8ywpjmz6hKNUlfn3D7dO8NpIFp4vFHnJtY8uF 1gk5FNHaIVPOEIts6PpKl4vcoh3hmf+hTT3qbRNWhmhQnXpmBaS5K8oTqFVHBZS+doVtYMgWu0iK hy5RTYX9HKKjCRgTYIK1vJVHTflzyjCR6h9t7ob1iOIZU0Q4XQypqasytXG+ebchQyehXZKr75Pt ABBcubozA9ZVC9OjzmAjgr/+1TQF79egWJPDcDHev3LG3TOrqFxSvi37BhpFGVAN2BY0DaJ0CLvY 27Qto0RMA0q36EQGcmDs1xksZWCLmXdb904OrYQ+PFj5GX/tELBU6yuBWNt60HqhJjHqxJCt0xI5 lZoi1ZN2ud7RaNKVKurMrIrz7nZoYK+bGo+8NrT5adur+y6Lqt80h3t6nvrIe54tw/g/xsmo+QMk tKN3rNEyAFlYAsHBQmqyNGFId5c9ZCUdi8yFDHHrBycWwlKRaVvXDDbz6WPFY3rTPrLHcj7yw0m2 17hZygJBNis5XaOwiaJDYnSdr4Q7VcXUw9odfbUfp63m6Cqy3+1i/+9xNck2p9fDA+gYRwwRvfPk Zh8WWK+RXEbgDvfwd3r93qvSFxFS5iVCfS+cceJO7XerUoOEH1bcKDwhyeEs6u2D/Mpad5Wrl1p7 Eo/ZPz2G3jTj+Is4fNJC2IPtAZPDfpshcCc1RhL28egi9nH+FrRO2VcYpZnysD/YQRxhinjqGikE W04Jh87kWpf56ZRechx3JuFx1jt+ycMqF8XWb+tXWvpRMh1FJQJffhEZoAd3CsX2Tgc2kROmcQjF jd2zPiMA7uU6NGr3O859Ol8u3QwVZ+1orroAsp30Z7Ayp4oE0JC5KO94NPXFkTv2XZW9jRsku0Qr Mwqe271n6SrfrmLlE6AFUbjkNQisQOama0h2i3aCuZzVr0t0eYyipgCrbobPZnDHYeSG9lW6zTA7 YYg9dBBZ9GayZyKeYfToFiwUJ4z2gREAs9ymwHAplkuxxq+6/QMBMug1LPYFVtXGcFrktLO/5ORT hwaS1cnwmGNDBfa9tW+Gat7sWsCCFF51pHtsIiLycfwKltk5j5MnKLAMxExz6uLWFCrmv0Qq/6hM gqdILg1OjYcqRQ5unImrf/lHVP8UISYPCNr+0dHllfT59Vqi0ixmysWfnOpDwsqV9TPqCusXaLwW CFSo5P+JP2BnGbKCWH23Dt1E79pBU2aL2nht8Dri7+GzwPz93kTRcAEYcmDPjeKL7N/YonQNxg7R Qg5A5ejGydhzz+AAov3McYpuwh49n4IFsMgPIOXJjPAvFM0iN2twZKSRwHWs9HkkgEpN6nn8GMI/ ejszzYMkW+LsAHEHd6Gs+UjR+K6RwPQ2Lb/J4RH0JgVlA8idk/z5I7oFAJZTtzXGTToNbVJ5KYSy EzDZenaPrAAsawA3DYQn6Osuursqs1kVPwa9J9tG/NRlKeuNlYNDXex5/NxKRwNemYWN9CNPVEAf yfryGU47FQSrmEXtsU/vkJSJCNX4fU6YsEciPoZF4SIc9eHFOr8VLsIA1sizLBbjo7+5j6f8xCBM Zn9A6VauDzWWsM8oPA3mOFQ1dxrAMjdLVNxEefR+pCx8Ofs82tjnna+ZzGHfixa1sVHHOu7YpXPq uSH4DZDFFEx4+VIC8st+WzrakaWa8f+jccq9WX5I+luMYwSfRtDlVNYJlicL/UX86nddFQJG5h/l aemLJgZL5UFFEU+hGYbdZuxlZZ18dMGxsa9Fv2QcXlwWrUJyG8Kw9+GFm7PoHscJjU8G1eQVpYon lfTLfDEyhRxmn29iteoT4Kr1Tj50Wo/WS/nqbNQulz3zdQB9A1+QX8PTiiUk5wl/0CnoSD9kQth+ o40KGfXgKNhvkzDi0CqGb6KKGyJ+RlC0xlRqPR2ya1NaLTLZm66slvOkb6vgM6yftCtqL466D1Zu TJ19dBXR+pgSEw/19zDCgHpVvOiBYAL6ZRBZQ0yuVKKnqgYkH/eNZ8D9YIh7eXH7am4W4y6Cy6Fe 4VA34jkFJjQ9yVJgBo3KZdMIvVzHhRzvuRzX0DsWyCLZzL/FSpS6OM7y8AluvvJQVQYIvwTPo4Gm L2EMQNE0I6jHnYb5aDk/rfVfLF2s8V4GArX6baApH1XxOTRMrOT/047SaFXlI43zRYJHvnJwKSYR kyABKQ07+o+0C8ALQ10P7+1PKUat7Aakn0dpgcSCIu5aSO6plnLE83g2Fi/YOWodt/wl5F1Na5YB NSk5YjyGLpLfCRA4xKkNA2CQZgCBqr+2JR03mi8eVjqYvpOM06Q38B3ot3Iwmllwd0qIyS4J+Shq PkUAfFJxsNVK4tbC5JZyDwD2yhAhXF7T+6fhuUx0SuM0C80ltRe8jSOCoCBJXby15kzZaqrwlT0v 08g+RhSmNL1XIadHGsjFt1//YyZPx2P1t37tBteDvyRt70+tzrrpIlvlAC//MtsG1kfBhIa1V6Jt 4hjTCPgIcBRa8KPKcwsjAYb1uan0Pz+S+L+aNh0x8VaI31TPCJwc1JOf+HvH9y0vuFDQQe0rhf66 xFaixDvuF3wvarukm6D7dpLsTQzAENM4f9rOjASFVVubIDwtz71RRFDFXgZVUa1yh8MAROotPKoy UdAQMQ7wo/BipSK8/vbpVVrY2K6xfolPnVN22OsGwHwuUWILZOhBduU+6C3+PuYGhFAAOTZSAEcV +YviMhxkuCh65GN/5y0bB/HVOlJHn06ww8YYZl4rwcYTEzs8EHcLXtNr3vwgm3v1sSRQDMRhw2oW IVcLH8/F69iCQf7KIx7aQUQQZlOGRbnFhiIsZB+pj3QJfO4IloiOP3Hd7F5lwECCUVW7Y+ZgBnTi 3CajPmT5zxkA1B7X8/Nlw+UWStfoyaCPycovoaQAql1Zru1GAIRhJEkiMECTE9A06SHFKVBjJ6/S qCCH3yByrQ9RREXv/Sq08K8evVRvsjXUxLsEO+xd5KNsOBwQ0c1WOygBc6VBzgxLLPKLtOEM1svz 22h+FSDqvrtZgG5aPjeFET870YQVijEUvG+nUroQVFQebjR9uWiVkRH94mpQXchCHx0RU6nsJuse 0p8F6SqaoHEKWcRPm7jzeEycPU9YTXIP5F1FMdsQjHxsSolb42ZVV1AsT8Pj2m0in/VBprIQUb3U c05uYzwjZ+ypOaY3cNjrknZvzd/NRoJuwDIxNP95TKguP4wtVUZaJx8WFh+ECJaVhZFLp04dZCRE ADGge1vmQKzATLHp2Me4ghogXPlXudwHDmf49sl5jXW2UfvaEyqgWKxuh94ITf2gzMuk7/HhpJFX n9nhFRifsnW/kl87KP4ofy0aHRsPL/QzqVAf5CgnYLCg1tXShvT7Ca/H6ozpjumsO0DbNeTqeYPQ 6vcE3wxm+PZJTpDR+WShvEANgcVEbFpsHTs5779SikWZBn1vXS+Yy97Spmi9FLY/sjasVG6/meD7 3Fhqlc0KQWNByyIeAxGOWqD9n9d+ErnUJb2/DegXL2yOMCsvkAU/2M2ONRJmJ42FA/6ODtaaHjZ0 w9Zwc0/H/m0pxsCoME7u42waJIMYs+hqZK5slOE1gEQi8+7BUHKuW3VNZBFllpfgrZmMXDL1DZqv NV85wM0ghLI1nkiI7fdLIWGrSlq9m8K8SROMn2Tc7MSZL/AYn01rxAKT7QEyuKfQiVA3ceXs0eKB IyBZXlka7Vy6w2aGBFffKSsLDfCwI8BmlAoQrwgszss2s1FRd7MRb0ujVcUAFHITadUGvKkajXRZ N2BCQVlErau9j6Xnfib/1jpRVS+wyWlTgVbIK0pVdxzmjegR+8qai5oAMbEnFhI7+/am3famSoD4 78ZNfho9Wo/LZGJGrQ/cGXdZN5lNKbJWuZmiuBrxmsWnsTLnQHlHJccreLO4Dz2amP2rjga29E1h /2yP77ddNkgwRGPMaHLpGNlw6qAk6k4p85wVFROXgK8S9HGdVU1nYq1L/G/zBjhpKJXQxrQc4N6s 1Yd87iA8b/MmiqiVmo5PfHN5oy1+Yk7KP5tNOkEP0a+ylBXctsa0krVJbZXqeFfLVLSa9PWBRFK7 Fn4OCCbBRGOED99CdVpY2EdzP414ITeQ8qs7tOw0uSCZ1P5eI5DRINAXbuRwNLUDLz0q27L/I8YV RvcsqcucG/2P3sNTYKJyOWMfd8J/r9/AkE750pTC4HPAbCNpL36auYesgcY3Cb73ZGHRZxNWNeo4 D3vNohHTKkS+XitH50SmxB0GGT3Iwg+Ip/6roWz/CpllhSAHtgjMaCwD+PtCXMRg5YXruivhbuwn icxYGOxjGIz+X+GhrFIFaY7yhR2hPcTKf7JJGh7fUKypiczFhGrQhETRbUCr/pdcjp0eyhvML1wV 8uq8QC5ZojuP4iRty80KrhB5DMCCpaqN5M01zHcY5Ydr5Ph3usI2jMhiYqyEnChOYHGxnmrLgasY sNsT0bMWXuYZvCJYpztywawjXaJ0jJgYxtrli/liUqj9cfyV9FvWl+RyfOSwTIHk7IKawwzxpgom YRxFIbzrwD/40klpLwU7E+FyKc02Y3Y7ylM+0VvIvvgtASb1DujSDLPfPlSWJULk/BChPU7XqM9a mKA8+V2oKMIivkRiwTTaYWp4vJmEthIfgLozJJGEdMRW3Wflc/JIVi6uOrAa3KQOBscNZhZfYMOX xXBqqnrOJxcc50kzNdMgTf/fyqCM5i32yxzpL5C+l+Cx5O8RmkXuKP6zdyRbwmsF2GcvLK1f0htj XUKLBv9nL3EeygnODezpQ6bUFel4GHuXO1RvoYwZzN4Lmfun8Vbg3kJ3MauE8mux1WZrnb5wUfcg 6fz7vnl9CcLXe+YDMwP4WWJY2kJkGJlU0PNRzQxDA/+eE3J8H2dh8tiynaVP6glTU/ZLGEoRXGGH 0IDVoeiZk5peuFGm0RJKeUTepvYuRn04nPVbLq0anbr1vYF6IZkZ97fvHy9bwg3ArnrQVo2K/v2/ 33aLhMPYxvzpExMvZKFv+BeqfSWC5YwbUKz4Sz/A2bbt05Pr+nszlLMYYdL2BwR92afZNA9aGwK+ Wvtr+Fnfjr4APV7Q9jtlcB1rZNt2GtxFTmdqcybWwWrvExF3QaYFOjlw6AjXvrdqJIjNgls5/yR0 5tZcMFgceygGgjAmRV/CDjeMjJJwBQapEPhVusBj2TBJGEZLxxbnCK1WH/ex/pNqaNWXgXYqJPCx fjhg9VjrmQwvT7k6IDyXQ07KLKRGTS7T2GLSqsUasYpS/IkBkxenBpaYBpXoD2AFekkzUKrUtMGG NtAST3Wml3u53PQhjU7YGmh8yD3tMF8r0K+/tLpx0y0Wk0rjWPvK0if1/5b1/6MeUf8uS84kU6y+ WqnH0ZbMB5DYP8Bt6noON5bWZrGoQLNRK+Yovv1Uy6eqQY7RSJn2GZU5l+SU9kKB5xKweSsEtuQT extlECqQY1QCYyf4df5zV2Ph73cjV9QWM8UGT1ea1wMnx2giBJ6Z2tj5rL0G4FiUSa/LNw2xLsSJ g+e6Cy+BR3U2Hls5Qhm43Bdf4Ek80frQCzFe/klLAngSRr4tOBV9VKAYAd45yaHw45EdSCOG9U66 BJMCRtWktsUfwH71DRC8Jm371UM+b8bZD/n9l0nqfvDUx7dlPo94iZJfIfXWkn12pUZ6rkE9PrFh R5pbTMrcER8tQrQyFi9oGWqm/uPcjtJNVPRf92L0/PDo3Dy5fGEW9XVOFLCM13ci53bWb1KbGhh9 FQONF/qA+KJA0r6Gj6xfEMnc8wrKpJ/U/KFacxgVUYSxKiaWO/8ZvovK8UUVFMcyJ5uwJcagT8zi G/pGQslh7Vr32pzDW/C9q3P/lFZ+n+AOMfoIhYIYL1BQMVsVvIiSMgmoKLvdd0ANdYNXgXwFaepj H6KxfYPapoPdLU6jOd9Gpw9hc6BhrOT4H7EOYNpWy0PLgdJsd/oxdKVkH/Saq9CHyrv4QDRICe6P oWgXTNRC53eE2xDJM589KRYxT5pQFF0zYSHAPlUDyagguJuhpCxMQ5X6F/tyB0k26S7m5Xzw4Lke dHQ6q+rXzAaCZNqMcvyqWplyuoMLJLGHthaKX+33X2DYwXDKR17zVPOPEtDuCtPhHE4uW7eFYXqK gX4AH268gzYde4PWOCLBjkF2FSZPIV5fVvyTH8x6CbMsIMR+mghrT3KQfxfjjfY7XlSOkpdBNIw1 +xrG/TYHEGYdOw4VwRdAZjY+oBeRdwTBQsi3AgcJdr8bFGh12q0OVrQAAn0/giToC+n8ED9QWIiF Wu+lueVyeSyF5h4LmWT4C8gU2QdoEJjERgCbS4KnasknWUIkxnWtfwx6vOdu+4frqSmjgP05rAl/ 3Uj2hfwLSmO2UJr8X8GW9T7EFHusoR4hzQp07DO3/s563XhlgwbgJFE4/yg6Ug9cw5B1A4OcHj9y +ahmwA/v8lTdT78hhqjwVVq5NzrERXNAgTcyT9YX3B5lUuB6DqmeGbyMn8pJnO/Uu4+KloUZGE09 IP7pF6RiLoDh14WWD3SpU+UnKkrRx9M8xWEFvbI04I8r/o+vgNI9IJ2sRqRYRm4lqYPF7D1VmR1Q Y2gpzhkxqCq2Ap8BqVEeiJQmZlFhzxNFPkT2ZE1iF5KIcfadJsj2ROJJNp7aDsK0IXN7VgtXxT/B F1oGv2/bA+77p+7nSpl8072Lk2vyUCJOxsFd63O0eDIELo4c/j9AjudkkEyVYHliM4v4j8mMuWla HYTCgHXgALmITt558XegvaS7oDPGl3YTl1d9Dtdx5SoZoKFXkGtDnogioxkJsBQAVdIa7S9q52Bg zPI63tgwDa3cKQAoBgXQfDtEshKbLiOOywm87FzXBvkPtBwVnXBOK1zZ2H2Iqt6jV2cqfdSKkXtD P6ZzvxGuzgyWld6LQ+ruhH8z7POBX5D9T+1k9JpXgjBsfzMUm1K7GZPXNIuZ25N3MaVsSaluSgQk e5wumKZ7kgFBsLRSQCt6TeW3gFT/4xKYdPa8dT2IjbkTR+MP/4wEfLJ4yGLd2d1A0CV8R+vIaKKv J8Cm1tUFc/m3bCBNgIdG07J6KZTbhn5SlLVCg1618eNUo8yOkwJjbKQTei6jUMcY5PufQP5VJfu4 TZPRYpbGiA9DU3YY5igvZ6Zm8IwzxVFmhBeUdb3X1LWjsFYoZV2NnnoOsdmg3vqiAv/JZxB8tVil uWok7iwzOB4e7Unn2KLlyd+uN9J0rrOC30Wyulte4Mvog5EMvs+cd+pfB3CAnfYTJVx18WetWXpF INfx5gBjCNtjghdCFWfA3pcUFULVMpZC0wepudL+YG1fIuEtZmejQBValB2tH9PrXpLSd0gkki3c 4I7OPaRyc51vUrJMpUTdJlpukXKf7tw3gjPKHcw2zfsO6I0s1Oaim0enAIY528YV2KfrABdcojJs JGYa91pcGGWpV/LK3rF5dtVj9ODckVqnTt3sObMI4ajZjKibcupRwfv6IG5iu/OIby24byDzomdO IEnEqbMh/d7cNyithkQlO2EdFCQtkAUa9UC7o3lzSENn5c9ExtzpX5Wzx2A4mGJI1IWrp7Fx/ulj AryUUNql0jvBEmz4kUt/gYLHos0yJhf/cqyuCzygECIq5E+WiulNcs3NNJwb6CmSmHNZ8z/t7K0+ ijI6QaSgZ1CIDggs3APPKTV8bM8KeevNvRagXdQXCWpScyOij5Oh8ygXFRWv1cah0VHrmtwAKg/M UXZwu427FijPrGuDWxFHBnYIYoMIrPKIjulq9DDNhnFdqn+IbLmr7UjPCB42BpAolqkwSl9FsN1r l9lHPGLUYDw6a6j76znOG5sSGAEw1tgtbe7deoxOvCsX9Pk/5zauQNhovvDMU8khPT1P/ZdP39fp nYB1MxYJCHzK3Vtfq+GmKEd3ZPQaIJW/ANksHxJ/Va/EDpDSGAvbuv4RFUtwlagdKZe9bteQacV/ IjD3FEcT7flZvS+vaBRyfOAbqwxMkCSrI9v5vTO+zUkK0REUZHIQRz/RP7OZHYyK92y3HjGvJ04v a6RQonjk7l9alat4ifeXd4qAxamPoX7TRtgF7qrrJz3GoqVHyHy0HPcUyHSCq3EkBiuKLt1RK/Zt G0IleNE6fy7bkB5p1WrQQpRHr9Cai8tvRPvNOarjY4/GicZPFIoV4V4qGOi8RvROd2Hw0v1HWBGi sVHAsk8PQnBl2TioebCVAoFeOZUYH/j42ifHml/qUSBE57FB4SqlVQC0EBOFQXFEgiXihWOAE8gi URlNl46PVKhRtamk0WGlV5RJswtVM7b4BVomsXJsYJn5Vl8tQiAltGsFITcqDX9Qi9cLohzopulr A4nIS5GS/h2Zg/99VEIJ+qJkAs6SvMlJhAqJE12U66v607D3uJt2UiP4xLo7W9ZiDzH+pwek+Yuf 3JPxZMk+FN45o69m0by0xPLhwMBerkxO4r+EmOb7bfI5AYtUhI3aDV2eSPstEYEnRr18m0njV960 odujFNQ+Jn+T829QAUhXFN0ja5YGB85f7vD1Z+FxMxhYpy70OTRxCByrBmrw+9/BJoA8ftS4hosl 0mILDR8JpSe/2cdzQxP17lWzjLh1bIf/jxSkmOT7facnePb0RyMkiO1U/RkRusL0t1/IS2oV/Ak/ Zq0ppTNk4wNreMIrKnOzJNUQOY+bp7JxttwgFbJef9wnSrMYYe3rCxnFSKxggjpsWjF0wJrycRKU SjwphHpzDZ2X4P2/fOnojpoXz0JbKllL35q7TaMQppyuvk6vrDM7Xp4ibWd4p1zZeguBRAZpW1+0 H2tpTwOop06UJj6mIh8cUAcnoLhubbsPoeUFJR5+9Vo0h37XFm37B5We3Dv7GU+2n+4uD5ESUWOr GvB5z0mzXMEWm3utwFCqBsrbm68hDftdFCZi1J2A1kChIGJkYKWTpLxYGjqc/1lp7heYKIKQd1RI 2ecd9NfltaNOTAnpyxMwGNNVA/j5v5D67v5piBMbWAdFklVUGk4ZotChGF1OlubTN9NYDM2wCxW8 FiTIiOPsrYRoo35gurJTLsyN/RiGgWKPRDnlsGCasOfG1Z9pc4O34/7eDWDwGHcT9HVTpKYwWu5w 8JjQPF369hBp2MFle12VkcKlLk7N17q8nNEP53yeXyoMxmMdQGMYLxDjRTETNk9vkqB9ZF4zBHhy ln8lq1pimZu3AiriWUiXCtYf0OLivG2cCaocOMyntySNb5xqw5r6/urc4jAr8n1X7NHsVDkjsmAd N5no0TzCE6xfs2F6LBNiLQTwEgYOgOy7YDB7CNUnhzg3SUkl4w/WvWI/C6jqib4fUwCTobyl5n4e l7cEnbr/S4WEg+Z+3uT23wvNMT4Rh8unUpGMu3021LqhvalDmjWeRust0zv8lnUdaSfu4PWH+BkK TVkaX/2q9Wah5cXjKEVmsGk0ypeXHnsY7h3lv+GkzwpgDGS8gK94CZSkU9cnF3D79cipl3HF/oqH ULHEmBd0qqF0Fj9vgF0pgRojupq1qKRwWGjgX+OJTzaggk9GHs4IkiviRo+o4HXm45CLsw/t6iqn QB2p8In5v+6PXpavGU8RWit18y4fC9+UKRcCqRMjam9Fnhds93Id98pL6zmxvIYAiIBfMyuJXJU7 naM8IuiWey5WfOXt6h/O7VWn91JgEOKhxlAQcEKBpri+vTCGEgQYcM7jZTOtId8/uPq/RDVeRoRn L/G4MhY/pf+/pLn23F/sBXNkz62zZkaYbn8c+/KQ3aFruX3YULzLXUY9SI2O1KnMHWkWvPtA9qSn MrRf0IX3HStVt4eyMg8u2bu/Ess0YU2QObzpNzmVa1D8z8kxR2R+iqqHHNoyliuBoQtHYDWhf8w5 7qFZTiMF5sNpQ4o4+9b3HlgJsbAn9r4F5j95UFbkW12VOatLZ6OtvPbBX8BFq2pixwtPLJbk0xSb ADab5kNDiBGZf/ML3TM1m+RpiTCHFMf3vOQ15gbclQAf8HFo7Kdkbd1OI6Hn9mjotMB4qA7lO2Jj okVLw5I0vuv80bIZy4dfLZUAT8PDDmFF5h8XfmPuOAiwPd1lGT4qRmESaB4CIERdnib2KFmF7TjW SwC3F3zviFoFr8bB354SGIMY/QdRgh6utqD4nDL4SVgULiXWuykWtjPJy7X23SAHQLdcHxIbxlWn c8tKenU06j7owjTtVhKA+18LaSVIUGbTjksW6JuVj5BY/HLnSL+eaqKUS2MxJckeP1wNeEoKXYap ApXuL3kvldHXhXyBRJN0joKraUHp/pq8u2Fbl00Z/kWok0uERZe7kQKLdfuhRPLrPe7mJyD98+gS QFNNfZCAfBUxZkXGoEW9QviOdJ68j3wT1Mj2OaQ9yNaInolVAk8NF3fkfXoR6usCvzOBR10nYQ3C x7AK8owdGq6+fmAYZTsteyH7K5xBHC7kMxEgnPbo61eI0vhZLV5ZNaZ7h84Xm8z0x4IDmSxdo6gV 39NU0O53XW3+kRu2l/yI+oX8aYw4n7wJgc+HgwNz4D6iG55OxmdsONAYP08IKTH+rRUEPOJHAy3x EraNxf/7XRtAbuHTWjY7HP5JbrLPyCZI0fvjCnn4QP3pM71O4mejfIxtKlN/9IpPiZzY/glDLRm/ 81vvZCin6hsNSYhsVTXB003pycANrKCWcb8CMHCb68HkpCsngxMQCTTEHLrBkjBz3hoaRyrtjscA VGWESjaJffC0sCrybczyc2zTbjCL2UaKhTv0KYFz/QLqy6iuubvvH3udNrMl0Mke8359/byVIczI aPaF6GC17qKcUzyTWlUxN9pSoIW1LBCUmGMABIazynJealFI7zPYwXuhofyQ/dE4A2Lt+iwuKwBH swIoViCgkpnxVo0jHgzCppnAgi9db9uWzkvruld8RVxaZiYsi56NFF9Hfj6t8XPiIeKjiQYPepIy qi7/KV5JKAsonFCV8G6c1bfzndhGm8i9OZ8zkRCmhMx42yc8OwDpFjMSR4nS6LrDuq53YrJR0pCk Xvh3g16nrXAuNHyKwtE+yEQleXJTutPp2Ca8sFsJ5+DgYtgPFOPw+zpiaELHYoH09dvYLlbvnCdt UinLZb1bzTrrxu3/reeLWe2YpvE2jFzm+fVEdJFOnUBNZYZUdENUdsNmftJIPeQd1YCYNy1WiUSl 4P57vN1m+XdA1Qylmg0UtlkAi6t1bu+IaS/V6vNsfxxiUxi9zr4v9tpUWpT/JqwnK0DzkS1ObHrN wR5INWd3zR6TTYC4jbDbobYIp1KWT6hUv5bfP6HN84DWKZR47M4+dsbX2tNCHkonHGjsKyssjmE+ i9jNMdTNsnG8s+LOD8bxhQymY2+KxPQ1wraOOI8O/MtaFds9VIyTSEnvnivp4R7dLP/4U8seEALR dc7fZbDdoj2L5MGH5Kni0YpNHNAuRYO7rMzx9ewUr1iCBKPQ5p749EYsACBqzlB7/tRavh+qComn b/M1Hi1Ehl00yuWu7wLpjWZIZDnDESEgiTsYCildc/dMj9oEVwtPHmyInHYckWpAtmMBq7UTFujX XQRQyKp3O+RHTGqGAk4bNWuPqcg5Q4QubrCXdp1bayTEa48kNNwGCik8subEPDGEp0mT+mIItGo3 laMG8UtULFbghU5Xk9SIe2kDkuZqfCH24r5xvq9EIHlRwMiEAh+bbkhlr4MMIgemHQx73/PGeM+5 n/DinpMGOou3lDZpR3U46/WL8iLKWemU0HS5zBEp6ts4oFpzXBpmFyxi6fuXHy0ZmJm6TdPjw7X4 RQtrH+QwcX25FK9fU0jLlemwSxddwksebr2ds6z1PKC2DwrGXiyTi84h6Vpvuw2XZChdgYh4xVAl uIDwZf0da3Xv8x8Pq+lFnQBfc69Nk2LOKHafKMLQcFSrLPfC/t2FNSzL1MnTtLKHQZ5NSeR0/2sR r8M1533AxSX3JGxmCeQgE68claR2bdfCLy0qrQyb7WiRgqibr9M3TjcI9w6qiQ5/hi9Jb3S4SK5S GGPeMYNYrtJuQxEPlBpFUlwlDk0tAdpyM5DljKeSLYQSYvJUQ5dHafbN5U9qzTmyvcCG1bzyjO/H 9vphk/Xab/m3rDDgumgE6im2qpM+N/vCSS67+KsyVNPLCjUALbi9k6xkYyTR90zdEfgRSBqEukak PChQT6CAMz0qDktFSjOA/MikrXQzquTpU8sa7N1f4QZzyraKW6meCd0m/mLlBh38kTiozFiikcfY aGnwEsDp9tOAPmv68EdoNbu8TFVGdS0LIfZrJWhJwcSxZU5g/8WbOSkVZfKruY5mJQCyww06Nc7w Xnu2Jb37OHRJqRIpFbE20aqpBq4J8Nw3J5V3c+W1S2UoNDX+UG7v0HUbFLTuxlq/iay9blpMFi+3 eUFUQ89x7SH5zymYCHDh3UoTu+QHUqJX6Mv6ClmfT9I9MFMETXQdgHOnCKQmtIHBZLT4NGcwLQtR Nvel400XxulHinsD++ZZvUuvYSTaFmWzdoivFgH3I0i4fmmEa1of6VNJySrxsidzCU874CTYg92R ESL+PVUbx2Q7zPQK/gZIkC2gL6ywvDYWQXG3S/Kl25hpqT/Y5YPY4BXSs1Uk6APcH+94/MlK59jb hfxL0ukO8S+GLHusAwlrlTG43zlDFZoQLULUgHn2pG8FLkekHKwXxX7GfCBu+v093Aq7DfRgm0+L n/PDPxL+iSh3u81V9I7C8rcotYl7udlLzRbF2OWcZZM7tCG6cWgn1kLxpxO34kzcBj237OryNe4K Zhe8m60JS3GVxtyOoEtXaZ/6+XPfHuPESbePf2TeTiBGyc3uzCdj5rg8LvOPWtxn0IsAH2IkUYMS wMc9CESb/3aByfr9MFFmFegpmnvHFWufsHw5NxrHU/wl8ri42lZ9oc4lGBdld66f6y4BWQ1z9x55 C0ri5Gl4PzrxZNluZTYZlQHDCe6m0tgWVwVnJXGs8cM96zpxDA+O1jT2aLFLRLf4pInClnfBmd0A hf+83/XXoz+XNrolanMv9ukY0K0auw6Gh8dLf7Nhf9PxNiK8jUjb6LT2g4Rp73pWz5PLIN3FHCw1 xE/HUzvI34E00AOvtEQufvDYGQjY5clE9hl+s2QhY074qUSc81PBwpD96fl+shrJMcydC+vaYcFW 5tlD65ainKHzyJ3SlcxTQSn+K8hceZFz6I7K0LIEHSiUlDjMwS9rKBcldCNO7phjnd7Y5WHshb+F QYKDaHD50Xs+04+nO6BO3JH53yztGbvlkB/S/BVuR4CksBG2L7XNc7LzjwkqMmmBnaIHJuc0RMmT 8IL55XYIPsMT5SIdnTlsiIu+0Z+0zmewax6YbxgcI7N1V422MV+4FzU38O98pwk2tUDb10bIbUtY 9QvODS/hOEF4EK5WVc1GuJhZoPgLxdY93QboV+9x4OnAXipyTrhGVC8BlFjXpJlpuHXXLpvWNDoS u+sAtyBwY7myto0R0qgKKm6yag6xB0CBF+pS+wkt/5FXy6v6gXnCviiC6LJKdEnlyvGQB3LW6tqu 9rFn/v+bHi9GhsfVGOOFvhukcmlOpko2MdGqX1iBY8jr5k3D1dQ4vB/4MZyJWUEn3iJ5TfQV4unq PW+Uy3IBnvUHVfqKMXRDMN6y65NvMdrLemMqlMw7bkx1RQZt+/JyGhrshVjtzpmUrz6f2RAM1dPA IyOyWNLliwmvmrPZHqii/oMdbqh8JbS6B2Q7i9Ox8nY/trvJI61PlP/IyA+kg0DJgZviYRujBbcR Hxlwby9v8O0R9v9vT+fZZmfqlBqowV1yRSCNKxodu7wbJSLBhEfrZqMledPBnZlyT+rwI3YkIHUX NpKo4ifD7NfTFCHUKqalPeWVRLWeICmVQUBKWD86TFTerSn3hoOSF0VcBiVPzMnzDa7IriwUlDkK kz4o97GPc8dAWQ1uiwdoH/etWuNCxPlSXYzCwhiX664nNegfPPQSc7J178h9LtHHIUFVCC5E2SHs R2l6N6VxN4iGmOYkKLiunJxRsPk5vdPz0/qY1LPQ3ZGOUANLTphbTrbNDspgoxWG2GmWdvYGBSzR FxVs2bvpxyrLlgd0EwSwsO/GjcmFdqX0IDzOfaMOOTmIViTffUz595JcMRNyKnOyEKSDC1Ssox0n TIC6X8namebLWzbwDupQRnmjaLF+GqLSrtdqDq++soxdydXPQEio23HXQehtp/X/mmQa9GyFyEcz Rhe8EXuIQt81nnzCQ9fMyitnxlDq25+kygIRzlcSzUfjXZqvsp2Tr0bH2BaELRsXoXktxojcVE2m 4huZESuc6V33a7ezKt3g6qtJ7kB4hTpeSYo/e0V+egfkl0cj3cx5JBPDUk9dp2zvDg3nsLRKtX+s RdKKDoiMajvaWuLJUIaONRzsCt0M2XmR2Z7bOMGiz5eC5gvi4Iy7iQjkOff1DiJfgfuCfusQ+kLf mUC+YfnpbDmYvxjXHeufxf1woGeGc7a1q6MBZPcu2zY/9RNe3R3NBigT3AYYI9+fSUnJfFLqjL0x x+orDbzSiAKUUWhuBulXiOgCZqwVAEEU+R3P4RUJTM23zdWod1Ojt+lS2kxBE7ZqCeftWsP21G3q HcjEZb7akjO+SzFg3ye6xqsW40OgPdvW9jtJ0ySziNZa8M6IIXQkM6WHbjpJ9liVTu+kHdsRgkDv wHoPbs0Y9UpFKDCFD/Mu+oqCsZNdlpvkq8N+bVM5Zkse0SUCpGaAQWYqK8D6iv6qhou89XPEhsNw T1968PoByvly6Hj89SeKnPOKBdkJXmWDihmeWAAVsStKkSxSwZoXY7zGM24KwKBbU2WMj7wfhVpH lgrIjhuE4jI/yJLtCEk7Ouh8YlVOnFMwcB1HOXXx0fDHB5aQi1o9TlYQ1rh9lsYfgcqJUjAZBHss NKugN2j5azxfgYgsegzn3Ty8rU1maUaA/fWQh/EM/kvlNXiB8qEEO1D0bGLcUM7Ei8T+vY4KtTc0 evtyliNfvof9yJKiu0mWvtrxxxpBYxXtG26j9JVNulr60WjpaJ3sWCwK/DuVdtDLAq/ISf8xkXcS REv6BqKKwVHswAC0sDzA6eA1FLwbxrvd5jxSfEf3zDwj7TFSN1l+fssS0Yhh8mQ9ef/ePk/5zNIL ic5W7I3gZB5aS4Onlr7n85QRJKFO+7RRfMTDJ095rFx6KvwlCA9jMVEpDQ88auR32wfjuZevN+a4 EHK5HltLNcZNb2vIQkaH0Pevneik14eMUm+C1CCuZsvt59mSdvqGsuR8LiRy7F8gCsTvZPS3W+d5 4DSCNwbNmDmLaU+gBvyBizfRQtC+win6OnNkCJuct65XvEJEaRQPdTwi7KG/sBVFTuzNqSZ7QFdw jXCCzTM0nGNN9WhwHK5b0NjSsGCoUTG1gSzuZxUJx2q9vMI5FaubgDPUctFGPyk+PFFz94oaw5he X9AhmDXQVtHCFE1kHSkqr3+kdcF9wq8soD8EL0TcWfei9vfpVPMZWB1u81OjciX7Xvlrw9gFMwTa cOFGF80+xu5A4csWPa34crHWvXS8ruPV2IPkf+j6CqwvDQggjV3F4EwjbRwoqGnPl6RVa7jAgnWp w+nuzO0K6K1LMzBf17ADZzzAyz2RuZ6vvMdtAk3K3G4zhSUGtIrkqRiZEUlKv5rsGSVF+ds7vF0O VF11JAmVrPTRCP+4ENg0U7GBgrUEPEqAjLOnGfpXiNbo18hRZnQRyPf1dKPq05461ryVxIwP/Wzc AF9NvMkMfjONbl2yBLoQlCwc+chHiGI/6mZAcmM+ifCZeQw6Ij+Z+HQpbgNRaIobUVoSl94X60eR GtaQy1HKlICuhVkvPrQHREInpY70a7gdWKwlpfulzKD4QBCwIqZnb0BEU8f4EgHPTi2VO3+mW9x3 apKKsOW5vCyDBdS3oBEdQ5imOOlcWBDymoNn+peNZv0oyQ7FxPKqNuF2fXtOxLlNyAxmS5yl3IoE BJIiWNIKtIlthOaepDIw/oAARtSenAs2XULjChTRgq/ojnkbUhLuDNOJCX8M078OTFtZGSYHWF2r qYt58PchB5MfLJU/MdeAqXSfS4tq207evbbLW3bIlW3LlueyeNEEfSMiSPghigIB07GxjrNXBomK WvXKzcueIomt5RRUB6vSZVncwznauFfTVxJiLHM0JBzKcS+VRjblXbscXXGp203yn8TRVh4nxP5o mhRg0I7KPMXdyJTZaylf5j6lrI+Qq75/YKGCDeTpz0Z3nQLHOMP3Z0CtOnMjyoAC1AsGQMaag6hc SHSL31jM6hQ6GcfbqUFf/EG4irc5BjGE4l0HXC3fX/z+BY8fbjiF5MfrFd5RExpIuEYjbrYmWen5 t9DkJvRZENCnIIQfTjQtHRokBHvnSMKJq9tcAF42LxwuqKeSTIO4LAUYnN6FqdZSBrpCiCkY3BZk K8DBLSO1ft96x99eZhMPJ5x3EUo6jHsKzE10Xny6FtJ0XsysnOlXUcLOo/l54k3KIb6sXIcDAAbk 9v/TuMYEJ/XOjmk5h4Fe6HEDiGd1SZ3xVIt/BA0CLhmOYP+tWyzM+J2UTTiW9+7/Qy/d4/KLlqTX nj9/55neUoQLdXhG0Pntrx2Tt1GVdCeEJBgKyzh9Qj641+xVcSDdE8isPu44iZDhRy/3hWQSFCNK A33B16k4fxhfxi4KipKpubTyVhK09vBt9/3Vg6hQqIHVamhcm9e2+2G7EmlNGqomy4+t04kKYokx 3Ru1IYlcg0gOcv5wsmLi9WnHeAXjFxbGW14ARNq+U17WFsbHUzdc8puVxHKVxMnirRUpOEJ0HuF9 GczD4eoGT1VXfezQJiEl8AWSU4R/kgPZZPm2j17hrvYNYsOVTsSQuBc4hCDZQVPLRqKLqJI9ctGc bo426X1kgwIyNNbpCp/dvwadsoRJgSkwOdFh/O3bBIUAxyslNHM9JZhdZigGdINa3YwbTy45vL7E IXyjksnnJNLpitbVDyjAlgtCtW91pfA2rrtUGzOPwEUSISDkkjQZLx+2VpSrdXuu2mGLXUYr/FsB XRpTe+I0tO7MxiN7VCVYlAFgsJ8w/zN+RClghut4KXkHyGJNt+1nlkKtbVRb7XiG0zYugro6jPip c0cmSaM5xSGBYZffKGwobvafoiNCRycdVKC3N7YFnVth5y9AEX88eqO69wBl+opXAOW4/Or8pLUY NVEF5dRmwyZtqRxoUiNYIdWV1OVLBVsh0Lzf3EFRZh0Ovi5XtzWWyDaDJurepkXBRVOXrqhZj4/C Jfq0XaLxQztAH/XwVIc/UIgnBPi0KZjVIUsSIptYv5p1N82nsUDvkYQTw6wYVfPBd7UjH0+KQKDx jIrmmQ6SX8H8NeYrtSoA8MEcnLLj0Axl7nMBb0Wj5exZ4qbJNZui8R16Q8RJBTjhPpkGQ7Lquwpu 4XU2BA2JZevLgjp+VlkE1RdeuaprD/eyqNE9ciJDr9a8a6acGDUGNa7IolRkHO4HKl0dbdxh61cT cxH4ZtUAKiI7p/QkrQm4a6qUm5rslZ6DE58pVkKH6gjCymIA/dNPdTrzdRA830Gb40+z0J+Ivphf 4pOnPBYTHpPcxzJfFvbBJ27l9EXDLKooJ4SrxEFSFkXqYbKsMzTVuUemgLFT6nKzcEHi6SPW97kq mexCnttZVKHshq736klhZcvnNfanVJS3p0F/kpVNC+VMObzAMcZPcADsSGChNAC2Baz/7FOcWRKE YNE69iq1eQhgV12SAKvHhG2eoSI8DLudVSQzFqzeDt2CrIpv6/lMWotftI5z3Ni+HG0+Fta/5cqc 7FfbwCJBfD4ds33H79RN2dhdY0syvaHmNyXG3SK+4fdRkWT1e7CeoZJm1NZ7rY6cnUf/4srlq2h6 dnu8oxDiRFxd9g53RsLiq1bmOiFHNOGQJ1JRqzISSwWx4PjFP0L7DYKQMEiaSDj4hCiNivvoLA5B 9EFeKxw0hugyKi3irdrkw6p6h3oq+iRZuTznpYXZaEY+2oyiKj1+t+8ztWTxLKqXTG2RHjBjyzCB EeLHuwdtKGnBQoyL5ppP4j8lgXcwtqgBCwrCXllAFKq3C5KFUB6bFJNgvHfI+OTJ9OoDPfuuOI96 5a6KNvAQD0B4u7bT8aqQDixCVtu4hqF26wisPCby1mdrA+tVQMTW481+BX/Z7zBnnTOFFH7JxPvN wfecUxQG3V844wPsHx+NYzQR7b+2xEDLc19j87DrkMiQJnukIu53Ira4SAUorYECC80hzjnCBKMT RkqHzOISmbSzD7QJnB1ifR3V8lEZuxF/t+koRU5IXNkbyXrGO+LFja9OGYBde2V2UPOT3483BFBB VJmgL9UcjEpPdl7BlByCINTFIWngg39nzjuCmSZ6v7bNzREOXAdijPuaMWscfzuKfMf9UTQQDVH/ Zuy6qu9UHIDdpmZ5nx7qVSMDc8RAnbgAMrkEYFcpHm1G4iQZSEb+zZTSd6inAhWwDqGFOvsSv2h2 /bv0jZbQYaBtj+xgj1z4sfK8xKvb0bubN5904nfbnuoUaPh9v/UuwCtmnZtmQ5NA6pe73BsvQT9G 0y9kDAA+nhGeehiQbd9XG7DOZNOyU+2PKsLauRHIVe6dG4domiPnxq8f0tnqStqbfJoBk+MI8LAF 9V4UDB6kLkeQlUcIqEtTwMiW6pH4nSJgW7w7GD08lZlXWQ+lzRhNWIjsp5RRVxTrL7ZXtylVAVvw RVMeRVSkxW0LJGYKQ+WWPOecsP/pveZe8pNnNjOWbubohVL0NU7TuZ/54gHSgBcIvsut3fqOtoVr aap/jHuXCRDuOMHlPYUOGo2B/IrTE7zk30FobVoXMO+zuCznZH9aflPZ0nOX3he4OZeS8olYymT8 +WCX9lpTn8XCp/vybcj7R+YV6Ej85gHU6ruUg5cTHW+EIZLiDhX/t9+t897gW57yYDSIdQu+ZTh2 a7AL3aWM7zXPsLbPjRxl5OE8L3FG3dFRUBZIB29MRmQ6AerIU052+/M+/UKWTnYdotDz1vdzWJQf 2DtnHqdQ+pxUb4SHbkUvQ9gaQ9oZtkBLWs4dxGOfIZYRHXZ41nZ6rF2QdJel1QjmqZJz+JhW1/mM ina+URcwaLqZJErnwQ103UHCW61iiyxr+PWZNn8+qF8elGWTxPPGqrLjV/6MVP2Xug0suW62rUpu aJZDGMzvAmPhorC2cjgHLxVCppGLSDsIk0Yro3QrJVernqx/c5+LwWbeX1s7Ivy7dId6nCUItJP3 hbYzgge4Lnu3Id+m+jLfoepJTMD0Dmp+HJBIaVhN6maJjcEOTMZmn1C8T9zvdmF+g7us+mdRNjDj rKTctz6RFynWr+e0Qmaju+WP4mw6koyfua0h0xNmG2R3aZpvp+WKxBHORl+HToOrhttnBx2sHVEM QJ8pEt3maf95XyzuqsFRj0cuJwF3GJs66jVZxKRENdmq/nEVQO//TDYAaqDBlzwdCpdrnq6kaS3H mzTnyQuDdG6YNeebWgPtEkI61hrezYmYf4xqhX8lqJ7+za+aJVbjEqsLRZCGYJJk01d+sZSazPsj ZRPx2qIm/LSrbLVur3txFnqPRboC0Q7taTuMiQ6oNsJpWFX6CMUrW0UuZPSXjQAooYUMQgd8bdE/ NaVsB5RAxj4VSQemV4OEXjhHObrHeOnuvIU5H9kNAl7vq0jcLsIjhMJZDebh7DLIKna5OpnkPAjx 8bjIbKVPdmTAjcJGGAXo3kluFc0wZuODQfFkOFx8IdxFCZrFUehjoamCMtfE+Uu5buvuO0mKHfF7 q4sAOOXACYtTpEW41S5KDb+uy2Ki99P+TtZBuITrKTFW2Swrj4xwyS36CmGR25HCXt78v+cZ+s2z pY65lCCYbsV14SeZ2iLozTxoJlCoPB54YxKWxyz+olEj78eqh4+qg10O6Yucdkp56iPujyp+mcdU K0P3mszayzelIQXSw1t6dl0vAxo6Cu/xdfXGbv83jfOifxYoCeL1MeAvTLq7x92urgC+aDqoWEy1 81Rac99y/wrj0Jm3qsOgOg+ksaLh6NleelVETjLIlVtUovWLvz8KlNOaWmFVbKYzAOiBaAY21tAZ Q5uIBX49CJufJ02nPUThK1ba0nqNW8Enppj4egkbpPqjdnun+Z3O16AfoAuZVq0tIUqhjORADE4T 7GD1fuWtNTXiFGumLH+tsO8Im4qBqyDYeEcRm58BPkSMB3G1J+pS9a3+MJqYKtFU/dwPjWqNAABC QCZG3aAlWAzuQLeUoBfnLJ3JOVwRq/ddIukAjqHDpE+Nfhv24YZSbn/ANyNpvytC62Hg2WquxuS5 I0T6U14aWoQ0nA2rogm3vLmc2C2o+erktgIUU4M1ajN4eQUcXovbVvkIXB4izkjmqWp3qX2d5Sn1 He1TqBtYL/7y5H12z1RKyzJiYPycrJLis+ycUzEdXRU58NQFjRqltGcWmGeZb37yLb4IOmOC9qk7 lZw5I+K/iMiP/mPaeQE3wbQ1kH5exzGfNRUO1XbGKvgrC79F5EBe0HHEMqcPDv1pfx0BYV4U1loW bOkmGe+TCHUffSStg8UrC2sfPh8QQ007Yck4H51uyibZUFIh5tEHXr2+T1P4PsEK1COzF7sH7yln +tcknk7vWO3HEfuGfPj157jfwM4KwMymVchRN6IhQETjH1j0aeBPlTUaGQUWUu545mqWMV/ukBn/ Cz2yRXl8JGviLk3z5zUTatVe8BcRiM/uQoDHBcj52NtrPyqAa7HrSYc4p39SMmpbzz/hfxJCgwJW kgZV34f0LkOvIOyAKaDmNW9cMLFlVDZHON+Xhui94p55Um1sbRGwdlRKPslABpMowprd6NeK3P8r Fc6IozCm6/LrKqGMY3Oj8OM6uvXpfH/JcUrEGQPxFqNWt7k5B/QZieyedvRrBrUY39VgLwyU3oug Islsae5h5qKtX7imtPiH2/AeHNjr3rC5v8mec89jA7g9FsuMDnif/7rLcktxxY3rjlCzsnkjcUgv h4jmM7TiKauZCUe/CuP7qYK3G18rFK/+lSCVd8/AS9ECfAqcMIkctTmvkGWMAuhuh14/I9wgH9Ei 97mGthbOjSaTVFSlNoatnCVutfekhY1ERu1F0FC8j+VoMUzXfk9NcymJdAswnUotKclgtLW1wbnV zS1tB7KqrHceMNuIm4IM0VDAkQVZ/DyfzwJk7tRg+ModUA469mvjoJL2LbjEgatdbsDh5YXmlSv8 33Kgxk2932TF5X9w1dhUTphOtlvBxKt9KwDHrLkwCGiFckKByywNAMQ2DiyPb3m4qwVCiKxaQfuu wtmpYIXOKdeNeC/wEzuFXnU3LhFYLObRUHvZffWW4CMs6M7zHq+kc4FAPsGkQihan8SeUGJtg7vP +OevdrrD3+9VnLqTP4q26Mf9xwLCZyya/qpdviYMwb2WeqNv2oMe/0HX1l3038o8s5xrUudQgf1A ck2kOLR/O0Kofg++5zHDjVO0qZp3I+LwnS8+duIn3UAGlGINxgggrXH/1VoQTgClSNZfmGJ7uewA AIyYcg8peXWRSMBBLi7KG5uF6QZv2n7JoBqRd+DpcM8eTJvt9J4qkEZElNEqlCv8CHt09tpUOgdy N8iTE9R445PHQIQmsAFJCPnGdBal00KAGM5C5kZ5gyFhGJP1TFqG3DWI+j1CBWHu7vdTiqLhRYny IZai33hxGg/kg1Y54AN8syZOTbfciC4B8UNsTli7qWLF4RzJ0XH8cuWmjeHk138gkXE2e2CfxXAW cdA3r0AY9jyCQSUA9YV8D5NOIVN2KKd+tC/CZqP/Z2HaAynwoyDwsHjXLmvv5uz9smdbqIPbhPED YO/nb480WFx5LWK4mSCyrki+aQrEma62PpAw4dGsgQaazEQ2og3Fhf2g++ZVSffKhE025mQqtOqS stIyxObvoL2VlXrr6gtJd5gYDnKt3dOIpwDKQ1oT0TyR1Nh1I1UOMyw8ehb3FAsqsSuGfLkvXQ0a bt8S229dv4w415kjc72UvUTpmrat+wR41EOy+m9NrN8rXSK9zqPnXVK1xsvxxGjNiK+FqFqWAThm NqjCMOnz7xOE4Efotidk/nE0qTFNF5vFCSaQ/W7eM7dj0lr8vT5FhK/8K7+T/hrWpyhOQvNzw6cn 8hjAXbgTJL4kciiiZBtXUUN3gqd6bw9JHPJ7SebiErpS8MTbwzKgVXeUGDlMwcr/Ai5z3/JRyGal CXJQKPoYDGf0iUhipQWlepyFHXBudjm4VuegXzd7Rha7V6PD5nbY41VMSG/cQ0OhXqVMKGAA269U TLo1+VTCtPlGie6K2p7UK77md7Omo5PvFY1TAq2+xXtbWKXw0+tDNbgzJ982mIfu74BA50OrIQz6 xJhdg0fY+4is7uN7So7xapmBJhR8BTFImDd4pwLw3k8HkkFmxNfZmqAbJSgU873JU69ukQO4Mg/c 9vxT2gjNwXhLsOYeXQucMm5DjH3H/2SujQtwWDIBeQpyE363dRrEsamQze//dog8nGJNN84xz/vl tswdUdIrI9uHLD2T4yF2FXhnOR+rI7ePExwDAjvXVm+agqg5jtr17UJ01nNoO8lqYaW5Q8iFFklA 3g/mIn3joU7NnYr5Qx4WiLBR5TZGTYGhiwEh59kFtiihdPsUzRCJj5RHYH8q5M4BtH+IlCFZ5oGp XjocbVaXFvn9EarFS79TgiaUTUHhtg8/tZwvF5WmNe3sAmX7a7d0D2e0ZCx45g3dD1FhwpzB0VvN 2S92zyJHFSmz/egPtcVu9OPrNLwbQrJEfGgf7KWt/AnK3ejgaPER/GXnlZW91hCfar0E+Y0Sa9EC 9WTo8VzCYBSc50RxH+k3m2zT3Mh1MaUCdbmPj+F090VKbcs5zyff6KwcoBVPp99vhiImLEC/0Dm+ PHXbivSmnyuE+LSx6xCLwNflBMahIuWMJBbfiGGCy29xwhdf6Xw3D6XMXqzawi5vq43oSJy+QyfT 9Q5N5TtPoK5NKUtozzmqHwFHLcq0FsO7+uwN4JA7YqfgeTswMEM5ltYU3K8GTnjsVTSF1DazcmU0 sq3lB3ZYgli/hqG7RGD17qaPaZ9tbeHlionM0BrYZ1gM0yBfwCZ9wTnLCFIO+OXLM2kOlxpzWf3T 8R8oxINP+x/xr1BumFs/o04QxQmhkdtjvr0mBA3l7bSvd2Af+fbzEi3DZY8W0SDIS+NYWioqa1gX 1taFBJ86lvC+AuSP8umgNyw/8nDXkeqN66arQ1L3q8lb2mwcs7VnrYPL0+CcOFjeQGoRaR6IUWL4 a2HRHJsl0JAkvRq9zwTMRMxp7Gizgd+eSNOZ3uKmIpcFbVCdabS8nPkKp9nWm+VVra+eS0CI/fXS 8evjEIGk5Yc6Rnnk7j13096dyqL7fSnIypqIjexGSksmnS4S2VU96oJntRT5uOR8X3Z62fKQcddQ siy8LVlGySmDJcREtX91IDhabsEjHD+EUvNWDwqnv7+1K/HJOIiFOosov2SGmfZXeywU34Wx5/ON erNkZJ2+W8d24Atf0COVj0LpL2tkuIt/TTrdYoi4+IzMmZ2NvB9+jLLIZt2mQw38ihFFJ2e9bxz8 cBKf6L43YGUcFvigTAnV4kImqRwZonxD4kfSewySyw1gjfecSplSYWqgN3SiKxHuzIrBofmmeRw7 OeHNJphFIFJwzwi4jkb2rHAYb774DLQx4Nc+cjLHM5iZDqqcYXB77LVKWYz4gbpXhEkCn8We15WK DEcs0NB/Qgl2qguohpY5/0r//kw9AgmbJfHOY90u1cHj/D0iJzzpdlrs1VwqU+GfH4ovczZsU9fN u0YMp4mhyUMfpyPwU9EJJDMKhBMbB6TgMpbqXxz/7tF16p50MR6JFSh2+1aT+EJJ6KBqKBe7YuYk 3bR5Av8aEstp2O19GubYiDd0r0sl/8ig9DYcp0wXpwd27NefKQ+zcRPVwoP8XDEPNQQcpBw7Bss6 XdwfRgN0ar68FHhJOCPSz5ZZIvkTbk4xvscRQ8H7EBhHNd2Drv6gv4DgPrXlKsl3TYbu43zVPwV4 3QvejOsoPNj5WUSVEWazJTtTdanX81s/v0nI4X3P63TRVS3euNjanIgWI15uxni8UAhkebVgdWEr 1gEAWPbpZRy4bE6YVzesCC9YnyUcYKWAP1MqTCvWVqUC/rgKigU/EvxHcn01CLDRJoGe6r1G/ZiB E7M2cgjRB1RFbDRe1vS4vrz4++G+bS8vGJ7rXJ6m9gmwrYLSwD2/BfVE3s2opEigD4P18B1aCkjq 9afe/1ENgL/7+/arWbQhuXhJmb1N+prra1KlKzsvQI3H8C+3PzvUVHWftSuE8kmWV4R+e+28mVnV JZIXvydZS3XPCeOOlekqza8Vh8NGETK9/rtsSuYJWwcbQqdUcqFgje/DjMmUTXPDSegnQBVUwpHs v6BkhHOkaJhWK92lO4z0K+Sp9wsACFxOzu6HjssNI2kPqsDvW1HUZOFld9Ou/jvrJT6r51yiJ7rq A9FJuYTiHe/eIDDRDA9GH22v9HhZ0AlADeMzYtqWdxqw34mguVg0tKqNQF+CPUEtO4d0wsV7LrS+ uEc/tWogy9LlJRLSApP4z/cccBLH4OO/sDPUSKGsIg/RG7kZb2rQkjXpV2NSSqleMnkpxYF2V5fb lhauBRlPwRqGyBw7VWS+ahpYGHcwKhFJFFcbbucX/8Zj8bL1bX81nfygQ4v0DdYNbOUSgyg/NFHV NiPyekP97LgWn0CBVigpgnirszHsBKOkVguvrWUjOgUeBanw/1zniD7JQZwEzFb6Qgu5terAOVz5 YrAnvQ4NUneizc50W25wAydWzWYM4U76tUITOTPDSg2u6qMmxxvGlOgpwPpig8wTukTbqHe9gWaL Z58KtQImUjNglR/iZuZHfQgVyy6jKt1JVv7QAgP3trHLjjwm0Pa66FY0w9bSKXp3ityHntlosKXy D3Mxmzc+UhVEaEGRunUUvByFlU17M0qaonBYjBWLjtuErpdYnfMJJSOLLAtVJVZsNl+Jz1LW4tzM t7bxfOPc6Q/EuJssZPSK3VAHdWkGyjcpPxeyqLWwCbJch/nUtOuQFI/dzGJlwPvXhSdYHa9zJnfL zwqadB9GCU/2359yO7CnvVtRKDN9cW2TjI9WfDe4nrfL1FMhLib1W/5Xs1dnZIpV1BD/J9kKvSj0 qBxsjf9Co+Mm4nzey723g7QVQIuyhonTLF+v82Jd22qtqQWpufJl2Q/eXi8pJOfcokeTxDZxKmNW 64ihuaHg9CMWCkqOYL/s/Oj7jTMCSPOCjGPT3Qua9XsR3gqgrf2OHfEjifPIucp4X8wUIFtUA+SF uURPhpRVhc31GnhxxLIRw+LJFJ0QaGUmJiUx7YIwvIR7AOzFMKHjI76R5PvtWVEeMOkW5DD3pnul TOnvolFpQ7Gi5kKf60wDypy/J/GJz4Ltl39+6acnp+w50Whz6W3jw40R2sE7vERm7FspL1NSOodt CATnT+xTV3/pY34pxCviw/QV+LVZYVCD/H/KYGZ7f+Jt/tuHL6cQy2viF8GRNHAN7iKVOHPzXX/I 8X+YJr1W4EFoXHQdBRWwIF5aG2wLBVO9sWzOj6udSTOggOxQ9ATD8MqSXggEuvN0rZGBW6oXFpum zKIn5HbOxxa7WUza5Wfm0IiDy0YRPN4ckpB0TGFPUwf4ujn2XxjDeqy/pSDfHvicIKY0IRz8BPrK sXyWUIHz/TV8irtTobv3YwM6PtV0CzChV31cLMoDMKkQpFjLwa/KbHptt9BrSBZvZo0nKs2AW3yj 0MDSnZRrqYpQuj6wLMrUJE6Oy1vUSBgwzF4ct2gNV7EgpQEPF6n3m5k3In8b0ghhMwRBZaCjbmup MvA1MPvOBR1icVtnL62qsRZEj3iOH2YkUwRsSae8WTH2PpctXHGLmo+6+xoIy+UfjfEQWeklsYia rUG31RhMc8dev48ZBojDVGD2WFOkslwf+3jGk2Yk3F7RUj8qyvxA5GVeocT0aQl52FV2En68CLNh jLxm0E1g2i/PRI4MGl6hwgEL54dbBF9LDzIoB+hNvzmkm3/aBjDJkaH3LO2xLm9vZ5tUkgEP/BFr 7PuikPtaEmX0AL7mD0snZjC+17PjYj7Z9BQLY3zuZxC1NmzK7vNFsaT1gioOpLT7kBWrq1M5wz4e V8LMZFDRhDe4Tjls1OlxR0odV/FCeSSyb66DfeR2jfOuhZwPf1hwzBzlteKj7J1pTc69uEHZTQ+a Cj/+4PiDcHU2h8QXe/Vh1ij0DNojGBvNQk/qZkHqrUpe6sZ2PhIdjFIHr8YJnGTfnEWDocQjE3Ds tsd88CKv5x8RzBodF3yay2RvkhJ+oo93frUB9p1wvpReARlAY/XyOzhnN39FiilX1W1b7IszlH2e P8DikWRT/U+yFGYXhydgEZ1hmYqYY5PMQQGzlxm7MkrXo3Qu+nfCB5Dl8Rz6JqbR8gNbs8lhDf6N 23sSD2IKxhHdgkq82k3ffrOIQ4+JGCeVX1aQ3c9ny0Zh7mquZOR0ve1pPcEjB5CNptWrRovhtMTh HcWgKX/KJpyJ+Ec2JYGoxLAFsSGJjNawUVUCPY7QKZ07sRQOcvLkEL/855OcZ8+ugj2KBRceoewX bp0Pop9xFIq60d/sMV+YrPmy6OY5MkpI03fzL1TVuePO11mpJ5E37nHNcrag6W8OrfoYFu8mFO63 sD6YDy6Z/hGwP3962xd2TNBOCpVSa4BRPSqx+IN2+lUSRW22E3Z6blYUsqC0YccIGV8+yFJ455LB 7WBpnclDs6kQeUFzZMwa+Ox5FNbF/6YIBH1S4CPJyvbqG44K2fpYocjf1HK6JKbq8bpYgGv55V2j LQZUQlSoUgRk+3x+lP/RwaF+KJFThnvnmsJegxvdJTMWTK8Djl6xDgxQMFWnFIcRpiG4XvFh0YIk ppR0tMGWfOdSwCEUjBItB0Xfbo056vXCBxPqCqYo8D5w3vee3G0vlK/3A+cMnxu1M9yxuNW4Wlvh c8r7nvZNPZUlqF8SSXBBLQkUVfjMyPgB5fnNffbPYDx4krlTyj6tez4xlj6DvpO25PYE/UHdy184 oxJ4mxbvQAfrpQTCOSo1T1uA7s1wYwFQoaqT0An2FdZoVTTgb2mcFMMKlgs+2F+7mdfGvu4LOueD 1HkaTtka7f81tk6/rmM+C/kCagvY8ABrdT5QBFtuojYeeuNR5rF5D3Zt3FB1TKVbpaWKwMsNipeC ggdcbPxeMV8A24ZRYPZ+1sy47/BDeKDYeLv2IiD6ub1n75km5N927OhEFAHIrxJ7oVTZiSgZF+Gr DOSqsKYGUpiUenKN56tyDMje3f63RVWZfjBya7gEqlDo3O4XdRSBSXo9SjrkMQvBrKf8SVODr93h kS+Rd7eWeWr/iEotXQ5AJeONWT7T/IRPZVX88G8sOkbZhDEwAEDhe689bY3PaoyGOmzsHXzTD+MK +YYQ0aZy3NsYWTg256Nu2i/0+6Cy3fQbOxpQfr9ytiKI4/x6AUQnYSgZUxm+Uhf4vNqw4EzMBehE O8eYcAzQXfgqmy4qamKa/MaJ8kBsOAXqcdOiqSZHHOL/Z/iKPQC9NCuQTCjWODUGvyTRw/pwFCOG UyO76J22w1r0KahGkm3qF6CMPZ3jHxBYiI2N1u2s4zjcRhezVEX7R97us1V++yB13KVDh8en0dEm VENF9qDwLjbr7gGWwIvCYZRoWJTvQqcsjhEdp9gezU5vyPSPVB7iUICnuJdNgGRa4Cm74n57dDc2 eLy2g+GgTeMrgsPDX8le0rT0BSlYA2+AQHkcQ7Fxl4Q4cf/V3sOA7D7E3woS3NJXwHI+/qBg6ggi kOphhJmEjtQYA4Oup75ex+AShii8b8sU19p3zUVqb/NYDhctBmBjTOQqzk0sIskXfTqz8ms9GsH5 +I5QweB6u5T0kYAjxqBDtoZyhVcEXIz2DCqBMoMp4i7g0r7FZJPFZc7rKOMJCyGybho2CBSOv0yD bChXoya1qRfc+w91lEEguPj9dH4I0dfDCPpmXpHpUg8llPzzxj4tFBhrm/hxmMLjzfxEXCxFeHs1 ADrkWx4IY2wyGxyzeTZAdFzOtsEpBrUUlcxuvWBIXhRPgIK4qeFPanu7d5nwixkVs4vGla+F70Sk Z+GVMh52Asc4qqMkkZFhEQS3q0LQbzG/574u1jISftbw9WvjvpL/lQg1eJ8vM5IaMOnEj31oaOsv hBDPkDOAReOoTMo6ezF/DM0Co6gVWWbYX3PgsYqD9Btm07cC2HgxYZVD1sezIngvyeKbyYHd2TFC BpITMMaO0pqeC70fGSysf1haIs2VOGQfN78OLB6YgqpscfJ15LoCOyti7jN3ZsoqcN3Ne8bOVnlJ 4Ix6maSffJEdNrDYtThGwZYPGIM3w2TJY+xLgbgdrB91cnYRyq9I2oN4U3dZHENeHpYI8gi74xVE nTui1HLNJa1s0bA3/x8YVeTKngrxBSFwWDC0R4xsmP6DnETSzKZ2GaY5TvmpvsZFQUiBrpkpkbwx 5lu/o5VT6PFIIlGxsABGZpvVz3qw57QE1Ny9CJ7iqYOhWvGZqtHIr6A4LmH0C1JerdhKpisxZgHH 1f4IbX1CLBiK9oRjmCuPDIl/CWgIJ0eCFho6MYf5/C4uDZdztb8ZBwGbgMMNnoeTgI4wx7zGKaG2 uWROvup2Y7U7jIrbK9bhzMgid9MGII57jBP2YhcYRG1PbET4AjZx1KlnI6eKu8x5uHtGgPj2D9x/ prkEdTlPNLd82ZicciDkCXL0e4qXVYvLbeeAU/Ia3+eZehGHad86nZK4JvbWo+LQbf+i3Me5/Ltt 0yD0kQ1T7jUsziSZlc6l7m2vBLLm2w4BY+x1qJ+95r+fxbqZ4iqEj2n1wog+pLQooj0cFBbAenXv +pd3e9Su31Q6bvjBVhuhYdgDLJ9Z8HKa+O7DTvMJorjnhqBB7r6o+lUOz9GebDKtuSsu5CLukbt/ /OPhk81Ua38LWM8g5S0o7QOC6DPzv2ZzfEVqwl8yuds14Hz8SqFi6w44Ixgg+ZIC0FH8nmT343CH U/VIS0ihJZ4O3c+7YTLIV+53bVV27Z3bbdcsJUIcpLMqj+qLnzfQAVtzcvvZXcXwXXRQaPnYxsqL KslhETer0yXeTrUw/LAilkfMZB36Ifv1KCEaWzIDpzYUVUnlCL1fPzV8LhRnax2vg6f1bZlVjdGm 76nGIl1EWkN+PLMrO9vJTYi6ImBh0JVTQijXy6ntdFGEHUissB0s8NQ2yzPtheQxTbe2IR1Ri45R 2nybsZiTnyi1spoL9+St8mU1fxpOuijb3+bFxUi+33+vk2zRG/rTSChKi4Q6DYUWkeR8XmH7Ewis hNs9pGJKIrh9zD9yx3kARpYbo+7KDQQ32+rcWoInox3Q09MviApRR0CGCetV7EyUFpxamV20fLsX PosO4j81WjUEmWmG8sdcXv6d6DRq/Zq1nCui/6CioxfBcy1c+w37sZsq9yEojAvx2fRuDHITVUlt HB1CbL3Cf4iSC3zdYNo0OW2p74hTtH2l2A28nPkV+WemeYTDUodiYdU8IYuuq9+sbTG+eU7UcDmb iYzQaPf59kuvR9ypgDguLwBMCCkoLycTO0Usgms+ZCk35bJH1J+uIlBsGxCr2YdxVFaw3yFvK512 7qGgpw10dwLTtoHPFqut+3RfDntRUBCTIe6jqAV+KVOK7b3lmFcgbLQgi/ZCcZL3ZuQP/6PJ42OT XxW81njUgUCXWi/xwRLN5x2Wdo9qGw35uYlk/0sQEwPPaeZTrWEloEAVOP947WNMJrYSBg8M6WL4 F/8DIJOp+ZCLQWnpWhON9Xv0cgHdsIPjodS1EYhN+aFXcdNJmtA7EDWRVmakZEtQ0UQewJiK8AXx xLG7h6rcG/bzqelzd01ZO/XToMmkaTRra7ZMiwJgXlkXWfNgRQx+w4DU1dm9BY4DHCkus78Kzhj7 ChhSXWlU8iTLANWDiH2wSGlps1++6XZ8tEPE9qC3tpTxBVPVLdz/aDd7I3udgYHDyxOwuL1/9n5V ThoE/VBzPd3+G+4tBkTADOnn2X1phTw4kJL2yCkEP0/HspZ3Bofete8yuKdFNVNSCPC3iblDmMJn CYpyIEtt0Ke0nVQBixzSaQJArDgoaqmbhNRAkyCwvY5bFwPxFijwQV/mpCkr/+mYOucJDYmeTcxu 8qojawD/tFAjl/We0zQJQ9m7wAjyaisLTquITnwfY78U1FtZoUxC0rvh0cWVQNt7NO2W9xRlNLxa cISUtQ9yxEIIOLkoCxTsdOHKKvfX/DVSOtOHqceQStFrzfidfNVrmVHWkyN4Y6dzFf9NPMLCvAU0 yZ0o6VH1XS3HanaDmNLCviHkvOMgocro2cmOoFZBZLMHoI8lhPOjRsNHfVmtJ764YOk5V6G1TBym dvjyKtr6BLSySyX0QwzxFZz53wY/1VTvuQIiByUadUdvl9JUPcVAWXiIjEepHx5+z127NB3Ax3tk 98NlXNKS961JETRs5ZuUzOm2GgoBHpwPdlK/xeQELlqOotIdxwll1izCtb813CyouWRCaCxpda/D aM9g0oTcn4ldzFkCl1DP5klvxViFpQ3G+tak6WXVXUZUDi5COOtaFU8W0jiMt6KYezHf08EalGhI a1cE868hbMuEUoI7lzMpvmVBM1mc6WIcLaxBj3U1HiGHKHig2vQK2HmgPcioi8ojihIW3eiRVh+M 1f5C56Hp25BSC+EqE77nP3Xd9rswVlBqDTWew4snOSMS1h4pFamgRq4vg08l7+UDLIH0rVulBGUR wucqEiuyX0kgoirbPjp9K1M+61DeQm336tP8oJ2v8iUwcD3Vr/patQvWPHWl/Husvou7tbEQWBk1 J2Zh2XvpZLCX9CcJ43zH88R74gKfaS5I/2/d1BkRSSYNr8oOhVOb9hPJK8PBupe0RYkXML8B8/ib lXPfnGyozXNn4NbAXAOZP14Rd5QsIjxugI5Enc+FzjOT/ziy0BEoZSpd7RTM3d+1WltceNgCUd+0 pIJot7ph+koyZBRSuY2VRDXpvz4RMAyvOnN0W4K7JNbyQgq5mlw2cEpZoyjApn6qgHCpWVf7AI09 cnEM57Cd8FqgeA+rLGjS1hkFqB8yOseXkaQxsWVTRFHEqwDRB2n836k9Ji2+Krlhglr0pzOWDPSq 5kebhDz/pbYyfJj/5CnfBQvTDQgCvM6JsCaOpYgHJwt1ZKGLDi3pSdv74DmkG6uL8Cd58GtNo7Aa esi70eL+QOg3s1L6E+GDRhXreynKPFfwC76ZaaTQXlfKq53PsuvoS7sz9TKMfxDNteC758OWDNhf /Usp9PiLndoOSG0ZpQHNSoFpJvByPmmdG5zdPNnScS0USL/FX5uDy6XIG69BPTnY0zFY0CWPAtkK he09aEgh23dWD9KLZb70PkB0p71qt3VGDud7z5cMze1PL+J/lGmalqE7rUmhduXPsSp4v11G8NKC jswUg9VvemfXwvGoGX4EKx1sCd/IWDh8BBV+aUfChEdazKSnmqJMr6azEgWl9Ba0PpGmDUO4kDwY iKouBHfoxhclYvjtJ+FKSBtPZrCutmVAYMtPEJ+azt16OVNrbx3zKCKh/gn00yZST/YgMZpK1u5E 4m9jy/qCYgBqmLh31R6r3lKr5U25++MyfkrpHpjzqT+39FiJP+oosPg+UK1tzWWgIMDK4Of7Hyrk YAhOl4mSzC1VXCqjQguaiCPU3naZHwFyMEq0/YxvUQgcRdDvIVfi2svfysAfNXgPM6BsY2uWCzXq uryB4clCbri8F6gWB3m7vxLurvv7NPu/8yF8ysc/mAkw0Zw7KCzJZjLOWsLqyO1jLSx++1KDJGP1 GBJdf9o3WhjDMhLQwCS6fYrClemcaGXwU6WCAFlRUmco41kax0unmEet5tIvtMriqoj36+/+c8l9 OfMm+ejWxOcO51Pzdvn7SMmBrbkkXHHQKuW9G8GDX6Uwy/pP8re89s3xh9zc9kIar8VAwPx9xbGB ziJ8ZnKIK2e9+5vu+dhxA0OcDbWynE7Jlrs0n+C5pmGWszvYhXUaPxY1EJ5FduBZjUT/2/7DeRzN Ks/LbYzQz1ScOVPBHdYv3rJtBv3WJM9/bQ8E9yrhgOKxQ/ZUNY8/btJcQKP9iCyOajOGlJL/e6qV EH7l/MKM839rQRFMYOB3Y45WI81xKtoE7ehe+4Wqqk4AalyIeMT7TdzCRUJ24KPqpMZnkH2d3su7 E9V5t8iu5LlbTjeWW7pdgEcIVlUnC7BzFJ77leuHN1j0oeQqW7uaBVxgmzvGI3o+EDc3EK68Wtpd j0CZWcGVz9Z4V6Zi08RuXHrBp6R1SJKHDFFY83DA161gHQzQhdW1bV+RsJvdTaXtWwHVDKQpPMuG Qe7TbmHx30enl15G06o9U9YHdd3hsxxgy+sTMr6oG3Y4JDDtTdQDG1/XiTia1JF/a+bF6xWD/5ZQ U4O5WNchlZ1uYQZ8P+X87rWWP9Kd2wtVyyL0wvG/LniIfWcvDetoLDEYhBQy6jrMbLmmPG2sJNOC F/upHeR1uTVhq0TVE1ZwnnFkfOFUvz99xdRpk4Y5mciyJwnesIWna46w7vVc30jZtWH2WypEBmHl PkajBVTMono72et9Xqjg5MZl2q9jLuPTZEdOcmEgkKUhKCG6sNL+qjzArb+bi9Urw79867Z3p4gz McxRX2GYVYngb/yCiAVmxzYhPI+qLqG+x4dLyqOkvzgQ+k90m5k/yHkVk7qtrasfauMix8QjmvYH Tgq4JGSCdlvGIWspcTN5vje+1UEjipfaIF6UKeZDh7FqEAfEHWHPrjtKjTjzpRpo+WJrzIkdvftq KOoObAiwsnLHoae3OSCN+X3osT+A+aM8UEOy5eiwutuIMgeNtg3abJHREoxgCvZh4lSmdoilha0O 55XFn2Q9+1FJCPiL8g1FEUqha5Ok4AoF1DdyHKDs0uMdbSN7+o48tiXDjbtgGo653O6EnWY623Sh 50Kk63kVpo/MQRI/mn5QBb8lYAuH+pcmfNboJ6OY0ht/29sHOSMjqOD0vJDM82XRsKg/+fGgWhix 70bAPVnLpeS4rf4XcJqY/jRdV9NC55ECkZ5b/JM1DJotSpVcBlj4EPsqi3GVs8mrZ1beLqF4hIJo ANNShzbRg1A2SPDz58Z2IycAkC30Z73dljhMFS6Aa/DJtDvGw+5QKyK0D4bBIWoz6i4T10l3rJqe WXRnju87UrhuTPrNDXb6ticMcxP6UGXSx/2CI2/MRv93dqtuBgc6XQsvW9THjOGqPOxwJo8x8Hym 2+aj+CorBPZ5T5+ASlKaqJdKd3XgpkpDPOje8HJz/1edC2wnIMn2ZoMHsvyVtbDQoE5OI5RxQjNC o9wJDT/VpkEL8Sa9iT5veJS9WOQXOMxyo7KwOHg7p27nl8pZulS/zhKXTEnuYSmoRBvQRsGzQZRv 28Ujjmxm49SsxvuiDPBY0O63SbdL615uStJkcrMW9/dMyD21vmbT/PTJLO7Q8xX1/yD71TXHub+2 F9ym73TpCDJ9mhUYkbGFABaoVk2axqTCyBi8Brehzi/kZlCrTyUs2eh557gWW1ldslC+kgPSJA2p fkI4tjN7zmjMt7IlAlaogeSD64uEW1suvOrHCXQrZqP/fiwUxYKZ4zL/yqwpRoyFVFvvBCUv8rx0 VJjWn/uIXF+nOjig7T3LI2S3cIsQr1A3r7KWAObXjE2x0tmjXoFUW+DFTO2ytV7iNlQeIGQUj2tJ 6az/60CIMsE5X1ufVES5bCpvr8aFJO9kiYKm3rJUT4iaiIpflazQTdCigJvB67rCTKuV2KVuapD6 DmyEBBtwigsAgK+qz5Egb8VKa+bviAKmnh9ZBfQMaervuGTNgHlVcbTwLL+357On/Z+eniOlS7Yd sSPYPbH/LQWs9+IVSWV/Dv/J41SIkddKregRiNLFs//XbIWuWuIBsU7jfmFxqK+ss0A6al+Bmfjb Z48uWUALmo22yJdxWoMOJXAKSYecnBOD6FZFIa1AVIz3kCptAvwiBnVe1XT3uY7BhQeJx7Wlw+jL QM/l938QD2yvIpobbh6fGD6iO0XiX76zhWaeAMDvtJjr1+xDD78+AsBBdcl/hf5vccna5ja2mOCG 02jXuUpb1e58stArYHo99KuIbl8+ojwsWPepwxw4/JLConDzUtpFvh600SOIe7x7NLVO4tple1pV 72WzRdGHe3hKA8DeRjFkn1L46/9M56LXnd3qZBXhSukZhU1KsiX2/vTHz0dePpLKi5tDkY+kP/9L w6NhzxNVYMD2+DX42Ize2TTw0flInZTG6M/UZbIsofStDFwyUasfdGxwrP8afSvgdjaFqRfd3/Oa oeMi57z53YkSZpE2vosrm+DfeZnHaMPogMceXHiqxOB56GsOgUKWnIOVja6hrRMKbjQgAFG+jmGg xE3dAUv7Y0Fc6bUpOTOChp1CTZjPbMf9FOtuG0RlyQrGaNfLferJd2ynHwjKHq0X3VF3GgqnoGhC b01/EN1+KA7wVjSvQyWKcslvkOU8ix5S+H4adKhKEuYPLNSw+Sh6lzRznXKMh8lzQBNNGSL7Lzq3 BeKYnU99ZbjopBCclp53pUhXMegR35qdkAXTjqRY+0y/GTRHqDBvhX3HbGY+529QWL+v13SByu3s h+aEEBISE34va3zZq1bWihTztDYTk0gOdAOyphl0AH7rAbko5r9iYwNXNz4f/bbhcCqKd1biwbRu kLqT1aI+B5H9V46MZBtTeaY2XYaFuohS82Jku7cOfps26z82dDC2jv97XRhaGn3GoFX5yK19wV5Z uL9QMGPwOc1BXbqoNi1ZV5QNEX6/c2rr1j2PLsN3ULEot2i/joXUTElRx3YG+kNfqRZiBO5our8H GxsKNA270+aJKIKpn5NIOqFKCGz1vxqepeo7wmUuxk91CQt1bqD0cEQUsIaRO1HsstvQtnkQ4ETN A19gI+8lc8Qvkzp1QWINZXibRFxuHkTCOz5IWAuFA2D/7CFpLc50rODf8oqxv087kWc2t7NAuY9W mNTuCA2gM+L+DMxw2xYJQEc9Uumml2YoOQXEnN06xHVGIJKVdc+0Xsr27fU9lM5myChdcu1g0Wbv HpLp75F1IfpVEtlwUlhXslwxVXVtuNZCRBvvv6hagu7mZbkMLYcz3BmRJjIf+XWdtLCXZZU2WB3e W1+eObBS7HCshaPA/oQ+cwdfFJ7RfeRm7VXq/0WRiS7cHeTs/Nn2UMqdYWwL9PJyLOWh7k3nwGRj jTOy571ZvRxRs+UkaXg6gFN4LMe6mMc3DUmEj+zOAeYFgIeDsCFfPvnRkmZEyYtIkd87KdOaukLL LfU9T3iEuOBNyfCetqeG38FcUs2C4Zt94x5O6ktFBKAsZM28WmDyIlJQ4OIyPDU07sOBJvmCLDg3 24WkCcIqNudwvz2/Quk6gerf6jAmrEvAp/nK2Ug8E7f84em7LlGVKQbclX39VTPJ/IdT+Rq8zs2P pY6C3rTKEztDqdSKueKDmddPp+EkuSJ2KjWVdexwjGnde+WTY0NlVCghrZeysBNMTGHhGiK2alhc kjtEyqIpLc48x2Y8akjNIpF7DCpbHUbUTnlSEyS1AlRLSi7vfXRmuJ6lQ8N5inncNnNwVUM7VvoB AHUokhgB5IfbIHfZDQW3+ByRvaemD/hNbJ7JUTyXmT8tJsctSr5deX3c9qplOInnkQQGMcD53jta GgnZPRwvwQYsYntLRl1AokngQtfH3pm5O/FOTVKbGIHUQtkeKyrVTtRFS9X/7jhKS8Pxlu17rsOn uxiqCaGrleeKymn/QU+TVMOGHeGUH4sbC+/MF94L843xCBenqTST49IkFqTje6JtTsGeyg6R0gPZ sq/uuFdNa+mqwrDjmsoe+n9xB+3g6iV87lpEd0eddn91emMNL92IP1hRv5+4xZPS8CVhTKmcMFU/ 5+7gnkIghT7Lizm7EhUTtLxZwm5TE+F7GJi2iamIYbooCNDCm57gnfZVDHcPzZGJa9GoZLMovAzT c74wStJQa8vFPfFSNcAb0QndSXQLI2O1R8+xHSE62o8wexLZI4ljXiTCn2tzhTjg+XORqHbGjNF7 YhVkvfpDDFGH41m7bgzOT3mTr+dV+z6vN1D/A8iV/hFH+IFPfxlgyPa89rL06PWmqHeZBofILKga aReJ7FJOZ9fSgw8FFBTTYuOw/CcZCHC3pAUYIkum/x0D63c3KgXtQ3oI3fzhGEN6dUl62lCX6iXr jbquk14S6JTop1VdzaWJ+Htu2mePKXGVQovYTy9oLosi2cc53pGbOdQx/55alMytKMq73EvENFdQ JYvJg1EOAARaGihezBfhxxeUc7nT2zySuV5saF0jhf8wuIkOAIPlrFdd3uT4iZsOTgFehUVhO8z4 dFayvjrabIB6kOdHFbuvd5Pz+gLRB2uMRhQWY4BDCHwFWa7MKlbYxNVQ6KgPHACBIaDJVzLxTdMe /VdOyJI5+/+oi8h3NXVA4Bmd8HIWcIN5fmtcBLvuojwSeYrHFKvND6QVGe2BEC1Um/eGHQuOAZoG gNZXciJsj/yPvPgj4meASRX9W51Jykail+NmDN7LGFgStm7Z5Qgo0KtXWBrxxueEnamAj6C8jWIB iYkzB69Z7CqXMB9Y8w8GZm/VkqDvWjK9gqHnKo/vbDPGEbVeuTSrTLcny77HDS/bJhgJFKpVyMLd uUpo6QgO7ynEkCgoudaV0UCJqCXAhF2EiDp4zfx34NlAoTMsXwB/rhQ1TGobzhyarTw6pMghGJKO jqzWt80/6OpETehk6TUntNySeuAMITDHrsAvk2cPknrFBsf2ygKG6MwHCdRkUo8eVjHIkbkeDrSE GM53lgFfteQy6QyAjKNwSOGdHzzXqABbTpLfW5EjkZ7NtxIGr9tzNtvUJcauWoPmcihkNKZAY02a JoaMGP21GiHV5xIPSUL18okwO1vnYRXS8SUwTd19MJXX9rgJV/AutfrhSUfKnsK9JbES6gdoj3uC HyAHkfaSJziqpPNiQQbON3NLT4qA20wX5ZjUHJdu07yf63lHjkAv4ybuQ1hveqvFXyx0RP+yLohT /r/MS6htUzeeExttR1SvxUuwj3k6O1/3wxjb91VXD6TPKjwJejHKb+mrfg6qD3X+2MVKy+bkjzuw lZu1niHBSuroNvQ8YD0OnJBXzueoodzQgKh6/OvbFojKI1xT5+Fr8QGBxmex93OnZ7NzaPjb/6wE 4VtiWdxEoPDdcoqhWHDNlIQJQrZza1+kZQVq6cQb0QCjplIwWkeQuO+aos1CdXYaGChCJY3bpIF4 ImsVf1VNGeKB/jvHzZQM/fsO+p5IGm2CUYuaXy6MqK1GQMkqzPBh5Vzb6tUiil9m1itZEol+PIUT ft1mOPNa4zZ4TP8w6AiQvIbCkB/sV8Sw2OFWejzJ7WYitTfL8uQ1+Jc6Z97f6PPq/NbhHllgxx/h /OXDNNinfuB4TyAgXqwSji3cnQ7fzG+Tea/WTIrFJb4szMKRQqtQL236TBdPWyrVHiTW9RNu+FWe pfN0tC2Iy2hM32WIUzdMqqNlfFDazIUJOpW5Q2gtw1mqGrokxjkr1FmZ0ppm+ny6dinJYD5P/MIh CwXrhXSGGySxpoOy4xmlAl9oXz/cFROAFRpmMH09u0RWeOHUWsHhhVGARNYkMxADZrMs0PKiSH4v qlT/W+H2TCk/mhFfu7zDewhK69yqXlfnk/1Elece797WLLLowE2tmD82Stpkvg+l1cCWtHSB/XQw BGrsGZb/++Tq1lLEd9UU41Gf+bUa4o1ZnJTGSQ+my2LXENK28IKZ1lHhPWRI1vysb/zyc3PbPd0e LrUR05Eci97FIHMTHaKI+vv3Ss4l3wwcQ6PNLew+jq9QyTamsZ2q5G4g1IEzl1jntyCm3lgQD4HL ZiGdvPnJrS6m9+jJ4wbrSp4qfCLO/NZFpbGuHbIsfmu1YI93jGV3fLZWEh4o4RXh0nLPro4Y9ddw uXNuZbp5GZggmBUHsZX8vvMbZLlj11w+PZRhVzK2TOXcNqnHMPG6vWE12l9CmUEwDyrfy7Ve5Uso rv244MdA72BWrugWDbfXFrpvuYseOz5KjXcBuHDPtPNro1DsmmXb4QgWT7BFfwPKwOeHssSVM18o 7hGHGjIhyB8M8PmMhqqbuK0Ww9ufk7uUg3OeqevBBhN0ZVYEmV6c/OYfXKKCIR9RxrPgbetSHlIT qU+VZPTtukLnCksxtEnOgouAXw+fqcbTjx3yDXPu+B/HrJKLc84b+yt0rEr+/70WO9L/wIbDwx+m ERCP/gvISaggUIV6wL3j/C/38FdUCcXQ5X4BFMGJfe70NqXSj+o6Wi9+lU7+LTMM1007CwwMEyuq ONSG29PThGTxN7NSmCYp9oTk7emGt6OvmuiIK3orIHkauoi0U5Fz5i3jqpNvEtzXl4Ax4RY4xYLv 90Unhx2Yhuev9iGl1Ov1crkVClD18UX3M2J9JwuQj6ouyXIbtnme1LS/d7jD3VKQyt4hYUkBV5kJ Aca/Mj5DIvdQe6JHWCYqodVdFNGkWQK7zN0kLj9DR9Abd8PhA7zBFkwBfnmNoDSZ4Ppymq92sfb/ rc0JJhdlD8I8U3Ll698JWL8gotfJCkXlIES1cZcrlpUSqDKdpDyGTErRvp/sSeUBI+fhlhXIkUw2 HcFUufMR2/PrcIEOe6hTgibXxSD1V2Ow/YNtSfC2W9xuwKFQ3ibo7zTqFxRQiS2ze3SzkH7GitgS oAsWGhQnJEYSknDlrKBKvY0cU8LIVdDaMS8teA5TKVvGgp+Qu9yro7s5vunqvanvwcZrcOXdODIh 4rTGr9+whKcIv5a8v1xLOfxTXTzXiXRc4MPKWiAzrJjRfS6OFW4Fn/j7+wPpL14ed5J9WbQSHypS Tl8DXYPbdN7pdPb7gxrXbOK3iN1b5rTzc8aGRmEzTp0ZBeGMeDnuUz4hW5HbqSWb4qJR54YPqpTe Bagngu4U5Cm9C/e6KKUPGzQ1Cu0lGEBBRUqMMg7TUqnmU39N4IF7Yj7NmmThePqvpNWymdFL+ney 2tciD/v1HqQTe1tO7pvCQBMLxGvj7NyG5kShTAgfx5zKOuRFBKBfG6RXcKLbUsyDpas/Tht2syAr CrFBK6MHSV6+ygS0UvFkGcZOjd1D+Blja5SHfHXekbRKkaTud0PdPqsI35nlg/jdpQHieEZtDRZO UVDxVC5dbbOQUsbQ+hioESh7y82Bcxl5goytd+dqgdBhqKB40zeTIEX+gCmhp1hJ/Wsd1OSn9+Mz MdmmHOCjcsC0GezHJdMvinVoU1BQK5wmMfBk8plJnUNAfdFFOOCvaKwiWH2MFeqOxtTgR6SfxbKw AGslvvlpPkS54JmWa6ShKdATd/0FJ11WBJgTtblabkJ0CFat+aFmIRnPa4PVZjj7aYjWRnBS1Era /kNZdiKFpi5/pIBsSI4aCmqdka99A2Fvr9bbq8rpnfe2bKs6pkTzvdD2NZsAvE0zoDRD3ueyOTZg atSBk3YEmnOQbhMnChBAl95aAOJApyNtXWJTTLh+9aOqKpgg8/uiczK8wS7pHdtISc7UkwfD5GcA pTq7mQIUNBuy3XBEUPfpC3mAvNVNogLSKHEcje9gbCSnxN+09pzppYd87DA4pG1nSexyoeTmAUsJ EjNFNQ4k6B3Ni8m7CLoWXw5xECK+aYx4HHinEXMpd0ik+3oh8jmWuWI/7qyPekKBpX5zVakHFj8m tjjjIMOEGe3b0lVn4tMqiKHEJOrPXucV+zb4xYaIVWIur7IpXOHX0hOFCKGZC5/soIGUyFXcvZ1C Z7fjOg8dAzfEv4SfZy7e7VUQTmHXwFzEk8TohFLeZNBkA3YwfmZXv9/Ygib/mdY3VEtBB1pvP672 3zWL0Kq3WuxdUWphc3GztRG1w8ttKO/1+3xUaQQPirEqNnWV9279X3M7Ahg1JLqUPVADU8fNQ06l jKZDMiq36Vemj3gHHLrMvLk7bZM6wr3CL+I2V/Nw+TCeFWePJ+pEfBDhuRgIN54s6ZIKXXR0sRIL +vTFmB2cFY6y7aWZxDxSoBTNmunPSRPu4VK4wj19LslXBaz8NU3ag5yTKYCNO5jbPYxr78P1xA/O FHl2FhU++WX30sJOt9oBkopBNHO+ZkLHiwKVDUUimRNKavev7sY3Sx6rgoCCfW+QEHVXlmvFQbkt Zizq7uuRfvjFddwvAW2CyeEZqyhTHXC3Zy4Fq3VdppDaEhnTfsFuJfwLMSyFNDEn/VkFyI1hRngK QdzzFCDnaawxpE6W57IqqALy2K9qNb7GNWeXNIMXt8yb1iZvbvRJEaAdLuacPeRolIzysVzzAkAs GzxrpBJw1WP65h1xUPqVRnugM4JOeweAKhIAsgxNIiTuR1nz0lFHouVDeiS94JOrianTD8TBCbyf GsxwWBqF6V9O2CuJE5vf9vU7CuQPTvDXNDVUddmo+0jpjXHsQxWfuNMTv8+Yp5/XakEUW4EBkvP0 TRzTs2PjduJN6JSAKrH3HqrhZ0c5/d8KrUCN+QjSAbI89HgzRygizQcT7j3Kn5GRfVCOphqcFtbb 5jxOsYtHTiCyD1c37pLZyj43rzg6yB7IDUUKq+GOvfxaUKtAc8bsd+tKfkd1VEcqKaS2sS80Undm 8NW7fBVzADDm+St2VbeCJW1/3Ob0Qn3Bh8XCJgw1B8y4u4+9eOC3oCdukBQvNUrSb87mraGd1tth RrfM7cY10RNtbI1wdBmXaXvD9bzah3faJ74kueXRWdzz32AXJgoWExcGJ3ij0OxCE+9qtSovg0Jj 3JuxeqOzSSjYjZkCHnhGhkJgsf/8Lm3wq+TAXNFkicni9463vPij2kpouPcwy5vVm667fjnL37MN PkY+NWvk54L8ZqyvtNIz0tikbFVWc6y60/mKTlcQKnRcFTlOG/MibeSqSL/YhviKbe0TX9lJkLnS kGTlfcRSXa4vfDTp7oaewzrOsBb6ExMTbuMOQf6jg+HtIRSiGB/CRxgNElz0akVBAQJRjlBwaaOx BulhFZIafoJqJ/ILWDl+eXQqudnAgXFsRba6is8Tuhz+dau0ZSIkQj4nx1/0mP7CDuwKSoA5l6t/ 6UMBjsXwOFqxnJEHQc0bfddZf0cIWSMDVIi7lhqeY7dZloW/CVf+WE58m14Swmu1wt6Xw6odfK/k KAw97FPmNawDjFKNr7hMobxT16q8ddx8h9nt/HAh4V+KTtijwOpA8NQcuIVnyRAjSgGtYrMIGSUD rP5haZnz5VbDE3dBZU1IOB3hDgJ7W67wjZQHL7DefRFwmsrUCYRsu5E1ZiiwyrnWLxEAaXiF+RPY KbNttSZw224rQfkG5vdPjaPsATACWEAq75QTxhSaZEgVhPhELF/0CYBcqA2sMGP3N5TQDLkkvP3u 0YwGvcSqe4uR8VzFeSZoyvYTgkXDYZa/IACkQJuxjcD85x5s9AWGO5WHWuBdEHKy5/JhkHlEL+vZ mAgBHf6mvGK5+qKktvmmwtAcx4S2omtzRBv7aphe/PPXCHs0tXuzElLaZp4Uj3g8Miex3IrWTC35 Tk2HwA8X2jg4v5FOrdF8R5TFeONtITA/b8nPP/b5wXzx/TkJqMHXmeYQMY28e/w2Wl+XLrIJi90f eqBVtW9OsQ7pxKttEQFp8OBED2b1IcTXYYtD9SBGEhOUUoMCM2Qji5CSmwNTw7Ms9tr1k92tbkEk LPZ28NAVdV/W1KBh4JBfpvFWSMlRc3YFdWs8CTP15ZCIDu7xFWYnk51N18nfpvM5WQsCQTCurFi+ Ga9RoLzwOMm8oEuRxkPeru6WJ4mMOgToMn7MQaS5DaMdYsMfMtSPhvNQ8dqeL/8mPTGVsKIqq4Ko uNR7D+3Qe6zqRD1LCeguWAeE1WO75FJhy5pS14ofdt+SrdvShR1AOXKXZT897TjRrPlJhhsOZxSN WYtUMxlFYk4ct/NKnX6n4vOQJfQeiVK9yBNocjlpWqoqEeZylFzhXY/XUdvmgZ8dX/DAmAhQGRWs mWYf+DXgO6eMsazWzXy9GbYy+sV/Ci244zKMP1EeLNAxM5ATMMAWucv2nzioMkcPSSSSFgOjTZe7 PwDt53jmItR9v3dj/Jdh4Tb31bjtx4JyAc3CZli+1blDP5HrGR04in3uJD7DqH2Y26ymTeLwdjE5 /psRO6oiCUfWCqiSPNinc2OqLAQ8AdIWqAauTpos97q7JSaev1vLkG53rsoadSRH+k9dBnLIpdXq Z4aBGiZ4H2z4eAMFwjki71Bsv6ezfPxNdug7jv+EIt4EVRlIm8rp3flcMM5vITseJvy/AlNokLCJ em46O9cZg7IY9tFdNeAmZbAggd4L4wkW9X+4JMzS6bYF83lZ1pZdZKc8BeRAcnwxpvKcRfg+NSD9 o+8lXceb4a1VsWcUudrajF3lIAHBDe/Z9Yy2D3kX3vCQbuopKlQWNKpltLhzFg6yLxluLwScy7cA +Uxi3dQ9YZtAH+eqnJ+g1Muq1xX717oyf511VpkQ8KuLbAfUz2AKEhCYs5K+DAlrzFa4zH1LTkDw Fe1rVPukbUDBmMwk9i/Etr4jYScU7s8Kdh3jMdYHWVzxKMIeuY8BqNwFrVIb5SVMhBhsa5ChxzlH ghq3Wl5RfMte41IoEGMlJUbHbXv8NEF5MJRS29oEtxWdVXdJjZNlhUBUYFH3jWeQxR9GCZCu3R/o OeJ50jBIVT56gYUUsK2IE36X0M9dfiYydraaVpkBtsnBBFb194yIGkVxpQDpblqf+LfkCgDrN0+m 0+H8IjGJV1LgPVtyLqDmcv57Ljv7+YNiunew++s2lHm/s4bBbfU5iZzhA30w7SxmIOqze1otPR8i 4rlx5QoGYYVPUOvTwpCt6oFZVFDN4l736P4YGjzR2juYMwGXVq+N3Kx70mm8qR6FhJ0HVN+ASo31 vGoPVUorEVAxsalpXR4bAoZP7Z0MYZgUzG6JcNzMYBL3O1Dn3QZt3J/cQIKogscgK0X2BlOjBWfD Jo5xnhj4+RYT0dL7tER40K+QVfYek8MnKwvAdiX2yTgmy6oyvmnFUCzBFfKclJw+539nmGKQ2L6X E49FMuaY6IgXrW1tQoU32LIM0AxDQhVPhjmxXhsb2SQhcpsMgK0OI4cZy2ut4yDYsZVeaNAxv1DX qf5EsmBUezhLFFEFe98YJTzBwcCFdBTnixaca0WPwUb+nyZHh7xKb8Vbf9rmpXQn8YqSOox7nbo2 nZsmHjWqGjgo6PVpzgFKzRe+YaV4EDNf4JqHju+yIv//lJBncdzVeK3irs+sblnu1wuw5GxA9vbm cT3WbiP2eB8D6KtzFEwiLAQ5LixTKZPePNl9drqy2+XyWKlw2gpLwCJKlmGGf/ZU4VRFmBxYTIQv bCQ7/vcRl108wQ/1r8iSgPmZJNAw35qCykf5n5SY/IucLB6OGZCxiNb0pc648tkU1e39STLt/x2M KXSPW2Cnl3QzYfwDcsu6J6kluvQLLvRn8LxK8KhqnzIcCwCtAwWN6udZzwVzBqhj+j6fKbYEaLne jymyyLeY3Lf5KXTVTPH/h8q+sqvHBMR5SRHNUy6pDNCwIdZ1tn739OktA2RQpziz1saPmagZOnUU FpmpwSfSPmrZheS/NhCDSo/8mp0RhD7KrRU5Gf0Um9xXg+XZoSTOFrF1UK5OzYCTmaHdEzFONxLp pYf4WQNn8KgIyy+wjeUvcq6sNdyNQpQdDxVVYdsJ1riz8ri2XS2aN6rVFEhJL1DoaZIh5s5c3pw2 kVUHZI1CfeI2NXbdAdhQdR53o2wc5+EVb0wLsiQ7MeQRLGyVIE1WHZpcAQ6bbSQBvcaoxEJb1ChA J5t1wItuyXy+4eDqVgFjL+nKcfZPXkdOTCu8Mjj7e4pl3gqjD41uRBGzC/37S243TGEjzgzEDpE0 LxPgedyQ2zrVD8gTPNTBuMgEr4G8E+XlneRtDCfDequNe8PAf4WvNP8p5thKGtfICVYYhQykLGDI Z0vnNemKWK3u0cO91CQscsKDjvjJif+MgbC6JDnNQ4uFFAax5Jdc0uJFFc2Jv0LW59JjEPiMtm/0 Vn6louY+ppdbsYYhlTCHgkGdb/WZIq82s9FUl0BQXNQQmJFb6MTvBaGVIYngeM0gcL57qt4Nxws+ 93VKkSQbar7jZaPTHCic1yNM3Eg8T2lDWFSkoXzkt7W/1JwO+L9K6/Ue+haoCrAJ2gUnP4MDc38R m8gI6XxHPEPYV6m0TLkNSMqvAs9o+zREoAew30lsqmNkIUdfQyPM3zbif5F4E3LVto+nNBbtYVqs rv49Qx+w3N5p9dwT/fpg4oai3nt6loiZJNkhEtUxpmXsVupc8F32oZNUIiWYS52ymLSlHEBOMDLU JZwKiEVr3kItcgKIe+VQ/9Yvx/xAVOq4mQ+qJGrqD0cQ72bQGc6v40qsnp7WXMQ2VIk51rumIijZ R1dqdNOBqoC2RKDRZ4/lCTFryKdVydzqUwbRjlaIE53bFA/n1ZxMaYgyIfFiHjXmPYrnh8aBgLt7 xl9n98VfcKFa6TG9Ti8qlpTSAPwvhyiGq36Z3N010o5Tps+ti1ZgOQN3n0M3HKsVyHXgJxH+d21L 4u6I4+37tzR0epfTXQ3IDUG7tvNSBRMr0EZ4jqm/LXlA1i8EsGHV/uwntoNzRE4vy7FgjeXJ3QLk Qpr9mpuKAL+tXqUH/m8f2qxHG8T6K5Bp2OZsySt2WxgozBmGZhM7fo3fBtvwYI+kwf8OB9BaRKIg gxW46T5rVIxEicwiKQL53szKh2hhe3/GC4eWQ4UFFojEG1kS2A+OtFL1sZKT72q5Hva/Neo7Smwh m2LXsngOKVYDSkSJMMyndlu+eehh9udZ+/XWpOyitTTozndqtUUawL6ozCznbPUFDE8SMNKawAem iiWU/GdO682zOPVzU8zORpyBsUCTkCOlHoOxcHA6Arznp6zYOnI1wyJpabTvY6oAdiFi1aIL3Aa3 6HWUJgu9p7drPoS13HwQJDh+A9HAXj3RCds6t9kMxl4nJ3rBpPcRUk/q4VlZsWziXVxuU1NmA9to ib8rfXVz636jJ+njWAmX/8Tsp2a1msEsp7qObVMQkr/c6ns9l1vXJpfrNWQoiWz3wx6128nh9u5D g14zTec+9q4rwFktlv9PZjekrtR/ax0ncJQpMVR3k51v2qhXuvc9y77OWZLu3sbrB2D6+p1xu/qF rCbID4FMYHHRKxZPnAZ2TxD2xNb3vE9wO8JWnQxrrLf7n4WN9VUv2liInvWtSeydF5evD70+2YJP 3CCknNUPUCaQ4bQQM8V6dkCgcQ7xYrRVSYAm5R84JWPcktmHWu9aEHCLcSnssTqkGiYZInkhqKVf AZP/+JTlBFoAwPKI/zFtnac/8JYd34UsXhpXbqLww2VTLIIVybejkH9QG3klekI23G3nhtVW1GMo W00zapoDIcZjITtbNeAbJLuGQKEOQAY9JEqxLOBJJbV7lGSjNM5AvFLGfshtciw0NllPciN1HCkM HUfTRIZhwm1dKxT7iDHl6vidz6Bfw+Kv7Nn9SMjFs3qhyDto1yZTuM3fYgJi6tBeFmI6WcpHEzAx 7s0Yw1bnjaS3PY4xaE4IJ0ij1eqsahHrOlIY+gocIOZH7AgsTkP0SORspLe4huaWnygLXgwCn1p6 80x0oGIjS7PygJlrezzVc+jF/WQv91d++mAlsZWnEHeHzRyBRYBxkS0UlEBTrwLV+CMNSMmw+TVU UTF7amn6tsDsl666ckCdBZm+Nd22mhbtjZqyIKy4rn6Z78XOjPKnogEKOp0mJmyEm5EUgesdBwev vyCUjHUNH4jA9m22dN/EWrd7SCFoWtoraYI1lLqBByupmR21mI6Xw1Y52gZx6LCkvUR25a0INVBt ba0vMGPNul1gxf50V7DuWH21FDbRQhFxic/VMEg47TkoiXv+sJg4M0aKwG0R1zGwur1HrnuCsnmi KlNtCZozd0rbkZCkbbFNi8w7xZw6F8yfyJmx7hnj5J0E8ad3wLQKVcrTK9josGhKgEYIcwJ/TPPa P3gq8cRmcNprSIq2dRDZyMSB1WU45kK4s7OdTzF3xhY+WhmzI+rStK1ONF3Q0xtkbPeHehP5Tjz2 lkfbGslnp/M9kHwI/TBjWERdodbbacXKRX9LdFQRajfgDhFF69dM8Sjqpb2qnQQLjSOq8rwStqTi fHUZofpYjeau7mo6gceo1DyxwyM34wzpsYaWknQb1nOKyeYzycws8zTZXrH50vjwgr61iqYxFvMx 5XYnwAjXNXvIuB7aAl2m5lO7GkZDVIs/2o5Ot2MKGiwyeOqtscY80jZISqAhIN/KZPgYwf8a8uKi LbudY+jpXPMRHL3MprbicsKv1Ua6fqXakOCWvqUB4RQwoMGDo2AFYCP2xcERkJM9r6zmev4iww2y DMuCFrvyBEKbyfxuRSy4ZTDYFwNRCAzhYYyG7LmdE7qxi/PWlmO0oLPIXRdIuweOVq7dK4t16wn8 qpgRvis9jGoDcjaeyoyOo9RkVqv1xilLk1ZsUN4CNthwOrfhL3gf1tpHQt8fYaNGVYYmaRc55/SM sjqifeHi/50WpV126cXD3FeSNbsmIuAVChXeh+5cPVOZhAPnhSGNQi1XWPRcTK59cnUTBZP/6fGN zFcHZNddLXWBGE8nox6UZ/pubnIk1OXmDX174LmH/ceejb48Dgh7hk45NCfBSkM/OyhlJVKiF/5B yM6vpwtMn76f/vK64GGOXRJ0vyFV/6WUKwoktl4oLk1HRyfl5IUj+7GkCywiF4u+N0c9VV7DX4Dd aVHvLOBV5wb55uoVD3nNTRyh3DsZef9pd/mYGyHsTtb1XCle4p3KBTQHdpvEjTNTn3P7fmqbkXJC TLe6nRiYB+yTwb0TKYDvUrSs1suoq+h17OA3f3ICx8lsUL2rb8KpsqxxuRRYbAylMJhC8fcqbR/2 A/u7qxBPP0XQaKTSjLmVdpV76PO4pewVw69/aldk8HbgiCdUnUHqCfFTgAp4qI598foUAMkqj2fw +d2cU2aIyhiU+uFAUUg2rZ7TIT1j7gxNnLbosbHsWr5w8MB4R5A2xvs2Ok9pTKKpf6qieRVil6nP lVNvlH7M+SaGgjrOWtncCb0q6JSEkq1mQVeRfBlLEO5JFvZarvQmBPygEdcLgwzGsvm6HtmA0Xaj RCiJ0+rkaMiirmDdfOQ1CyGRoOdl0KCAprzFcZnWbtPJqMukUiyhyhts8393MSYPF0eaBEKXVrwU MgX/LptevNLT1uog1VIerFxGer6z+TmqnNbVyPEiZxTwDbSzE/lCd1dWm5Ot3YKjZJdW/uSZjigk rSSfMpljBkmKWnZPg9xW8vxOrNHC9ihdL40ZmpV3rmV+2wIBcfrZFL17cTNYiG1nzD6popNeQrWe uDiU9Suu3bzHBHWNuW7GlwiW3OcUGh4me1/rOr7j6qoM6g2EaC1tg2N0CX1/6NK9KSPPgJ0yQcuK Z2IYpFkMiumgDsy8gQUXS6hgehL9UpSXnnBuH2ww8180gyr3dFd7usTlOdrBW0ZUrznxx3hfvb3Z f4hxD68u/py/IcNtKdhUVNiB0nqZvdzUjTbddyoR24a/GdBE56FKG9paAbMSip2bhRxUYIm4qdyr +TWv1sL3W9yM/IFVGF8Ds7QgU3eMmz1tHxRinN3bb1fpDJtyXjxHsX/zvzoXpsjhKfJSCK3yGsno QFwQvmSMpdkemPxh93q61s8ZtpScl4j9Zoqj0xCz+Q7yUaxDXSv8uFB1Zy60h1hG2rm+ZzBoDtSf oxb2UbFKgYmSsdNbyk48L943DH5Zs2YO4RKfzCzixQarBdtCCQB4BfdWgl8DV2WjbaWQcoNFM8Ss 8JboSXNfUXa6CuXUoMjFupF325kHrfuT3vnZ5RUhaYAh4C8q/7PVmz/04JHGr+SndYSUhvEYRo7c DG1fagp+G8n5EohxS85fOP2JmbKCuMB7EH7t1WQTYzlAyEZLuv+C9ErtHJy5aPPOa8UnmBhQ6ysc u1eFScuFdiSsxPAIgXE69BEwL+g7rkpnGdVX1VF+WxJJ+1e6dboP46yaXqdWbWTkqKnh9GZ3VhYx QOUARew0jWqojf4Lo1JtKKDSCaLeyXnuv4c0kUk3AzztN0UqMfWeEZu1kqEgjWLJwVtCIBj7ToQX bNW0NF0v7vtIuK/65OohYtUMkpczD/EnkoJsu53i5oW8n9nCm+efHnJxWLtapbnzS78/HNuRmaWP ZZWetUbmKhNM6eFVl99jvTtKz0PPZW3dgv+D/fbWLf3D2qUPGQQdaLWUxrx7Vjj8bBgZNz6YHIIU ZmMJ78xVNqvmUf9DNFDQvYv3uc1D4CxnPXshwFec90IBJhVtkAmvfQR7G2XFwm0nX1Yii2d9XUrW eOic0Vl9V1BeVaLVKp3TdAQv2JD/C/omOwvmcOA6StXXhp5Cc+2LFnt8rPbGKVLKLqfU0B8mLqhX ecDIkkZPUmmV3RJHMXsqTqOOagGQuWyTnuHj5A4AvN/bb5ZH9vhnhDwW8RwBX9cO9tY7ZjXAU6/q kLESRQj61/hpvPXk2RAyLjETJV2K0OBcF5t/d4grxIXGKYQgoV4ZwVXtoa2p/eAlmiZtnwQLAfIS JFVg4Xmwg3iYu8NUvt1i3D3ismTtAe4KrPjWOA/xzQg2VUek8sLb+sKZogccqOxH3ObxTWy2jwEO rTDw2/3QPyBWDiejGzIT0Mdgs4eOkvAwEz18UXch+24yQZAcN10FWyMeTKtte5L+trt99hDqq/mS KPTcaGtxDM91ynxmX7AVmpkWbdciAtphQLULRTfH+c4I/EguNL3A7ozywcwC73iP5gjIYjI4EcCm H/PtsMfDjhweJsrgfmjGVd8yMMGFHgsnDh6IooUpJFQBWjsjc+B2iL8Y3AsyMDA5wnHkbBF+jzre mTSKDvrsO6RM7Jg+iMk8J9zExIXd+KfJWJjUmlNhybFu/eFgJHQI9n+I4tjef5xe17MmhFeaPpH4 LuoRomr4j7HrSTJ+xrbDaG4kJH905wzxJ6bv6XheWxPBGAzVNxIuAFY2yT1Dfg3oRGA2F45a/flS DQK6lXz3GhTq+vkYfmaU7gpb6IL1mI1gGPvhLdvEn+UAPX7qhWe0HYJaKDCP9nqIejXDCyZwHf98 rK58Ut70Ffp1lkRJSsj7YshYeuvNpf1g0moY5PNg7fTTRrZxjtZByMrTnxxDLXDEBdXKH6gGSJLJ qP/7B2kj5DZFxBGcMQl01gZyVS7P2PJboC8sa1T6mIR4ApnAOiIwxvOVkM6N2TgPxSC/3lxhp+wy Sg6ss7o32B982634Yd1tYH749fXkepk7Fz5p0pZX/XkTZsnEGnCA9Y0mvLJPAU1ghzavSAshGvh7 HA0/yYaeHRP0XNBMp2IkabOgeFruKWd9PbqG1aYqPaL0TT57cPIY/t3L2Jh5eRTc5JxHpZTV/MY1 s6tv5molAJkBTHq6z29grQXKvVr/XFkLdofpJIPjZrFhubRgUPp59hGR/wtDds6WqsuSHdqS37EY BM9e4RQl44vz/nkKa1Lm4wvyyYi3+rKo+c6rp4EQ45KS2UMhxdRkGpbS9bU0aemEFqzVQnBCiSFm 2LUlI1ve+q6ieiH62xPoKZ3el6uYYjgrFD+ibO1IuQMpsI6wNEWHhoen6G4VaCIF3t59b/vkv+fR feN0jyxF5Rt6rnSUS9Oz5HYiWNXyHmW/33aUlD6xJUB/Xcg5KfBeKO6QpGi7fPdsruv7MzOBw8rg BCzdbEzq3JOlG8EOAN9ZdHT6i1lDAsGUrdbkkyLBx8PJV61hk3xCp4osFpm9voxohXf7qBFCN+j5 INplBbghoSq9t2NfSyXn15Dxhoh/Qv0S7U+6S9g/eL9ORup2deRxD7iJ2wF/KrbUOpAPRZBw6quk 95dtYQ25tqz+Vi3n2SWMvIpO48mQDy4tQbDOhixhp0BZKHjfK7+X+m6QgfY/Ndxg90OWDw9vYy0u oaWgm+prceTyn0vWNc19cVb3QRWO2grBKtP6uwT5N8j+CTeeHlKZ+NTV2DlOvpCSY3tKxSXIK6C0 k1Bxp7jQYGoNCXCSkBEfgrV5m+TAQz86D0QsWb9vfyDl/OO576sR40x/tKrfmn/UvAdulcOfAhQx gXM7rkF3E5eQLErM/y4IwuF/tDHlAku2kMV2NnXdbelGD0ud7VXveLqXeSl9lpUHsifbyrAAvpZD krIFPz56gs79Nw+2bykxnbgBt3StyAwyQfy8LxrQmHj/F1FCWzANxCZ4kSwgEPE474lyRGwjleAu UkMkpI34HZvbIPmtuIgqKDgGsT8bALaE137kqX6nr9NCwgWxM72G+hsF8LglQIYijUI/fIpyH3fW ytKJgpANZubjZ0eYH3KgMyiv2Z1LBrcRrRKtUMLoUfzo9/8HWUfx/mSNNb69yzwgWCbYBT7o5fQD l7yBgGNUzcWI+MxhBiZsIR6OMTJpa3GplS+rxohs/2J5YU1+Z9hkDb0e7kdWlOznlVms+zVy1lSX Le+RtZCMWnmMfaEHOnMeOGO/Dpl3+Lc539Qa2nYFGht/oBn3VmOqQrWa5u+9O0h5B8oLmw7IMMIQ P+T8HzmKgF3gN4AZEjhlhOuU6tHpALZbauKueqfkSRJtm56Z6tvlBGMMNSIaU+xH1II6Xh9ZvtNQ kHsdyPU1avvTxrnr+gRx47i87MAlURCM59hxluAYXmFNxGf1pgExyjMjWBmyYxbXj3IXuuaqtkii uHXXjZVmleOp4JlfyOOF1ru0iLu8WbGg7VHafLZit0Soi3Grq9fZ5FrMU2VMhSMn8pZuIzPs/oTU oZxcp7x07y21w3kYjighKjCWrkdbHWgA5nYij70jRK0o2IHiFG8DinIJ8pUG8zmDTxvNivTlxIPH shQISWzmVdR95+hfbwbaUA7eRfyMXyriwEEwE5OLwEahoT5b6wU6WmU/7oMiGybvy/Hr4q0llDcF 3zPBFlLTwLNKfrSbQhRC/7zag3wiCseowNZnodVemD3QWmjWaK+nZK+T4UI3r50f/2wK48NonY0l RtAvqFye7O93gRW7FBMC4kpZ9gTS+jhx9uJ8MfiOB0kxU75GrrS3qgnY4HnnboEp4Ls3W5FCSDSI llBT4agdt/9gDjhbQDhGvJYs28bkQFiNW8tmoFayiQ+dsex64sbssV+8l5GzaOmw+9vnAyNnqpgb fWEx94+1efRQGm2FREH8EqItLWiAAPjE4/rMsfJDoDJkaLsax8D2gYtBykkEkO8LBEOZXCgAC3Fe aACe0JCs6Tyl4LDmMbehnjYudO5jjace2wNhuNVMM1ktLd7nTW33VQkBdp+VRTe3PJ870knYuVEL hFbAQSsWd7L6p+EAREyf+t+K0Z6vWmSnNWL/jTwqG9SlpV5L6/eAwUJ/8ldO9KwgVlZdAYZLtuS9 UUhlD4rcbO6hvuY+Cg9eC00zO8+sq1f2W7hurFFvB6OXXmwr0x92WeapWBBS6MwGHI+j893DKj6L dW8Uag1ReSkNG/aWf2oOj+edIhpb+IkSjKhWYzGUi6RClR1HT0YphLAtDE9RbTrpRxxDFIxTRtO/ RNReAjzax/fQE9+fMddaGAaxj4CQ/35u0edt14VT+Nh8KXTzYbKIHqTpVDBr9/M/gxbOF9Ucvpe3 irfAOrY8xZFmndj1o+SdR7oKx8PfPyroaPRRFSNhoa6thrLajgbU9pvhbGKwkEF44/L5viuEq84n hK9Fb5BukBKlKUPMucNZ0p3e3Z5EfQVUC4vGp8x5/TnWmWpY4UGCSEfyxr7jG1daZS6yIXoR0UTR zxu1z61kdQpk4iYl1BIpJOFKbt9crWDdn18VAotohUKy2/KxYJ9WjRvv5AbUqZXNyrMAPhTBkC36 rVTKxYVI7Guyh+Twme4L24eSKdnejWMBVhzoymbcTqEjnWsGauBTlpYMUpPJOHnnftEMXRA/jfMk YtWOZVSgeGzsMaDqfGGJx2Q7FiiZorFWsyDzO1QwE6/Tctnm557ewonJ0sKUdhM+p79pzApOo9d+ LHh6D/GOgNNBIbSN5tXgtIcq7m6CYERREa/8THnWulKWyrapaIXsuDDXJQs6bzBewYtwGNjNpa3Q M89iShcfjR/hjnoiPoB1wE2ZvHtC/veJF+A7y3XSNS5HNQBMxpjFa2Jq+PYM7vIEevp4QQ87Go/5 xaW1I8Kc9RSWoPMw7L+u28xsRkJe21KPyHaWxNMyS3OAMCC7CkxIA/etpZZD8nNjGPvbme1KAA0p US1lzW2fm0AwfFh5aM9PvNSIzrjBEZfPTYi0F+6gAmxQXh+o3vI5+Cm8TGMg+uk1u+sImxel7Suq +vEaFyiW2BMwcRrokuoH3b4Qy/OVWeEL3B1qa9pmkB36l5WeV5DMdJsxlYAvoEZrZMrL/Dktfe/w opDyylpefIvZ7u2gfCkxxX+ulPhl/iarEfpu+MAlp7AfeIoX/qpXqSXNczB/e4CFUFXAE6ulf2Fw BcXOau/XkkA0hpG6f+gO4jTo1E+4mr4DCgmqniK/8gwHQvvNVcyRZk1CYcxCQaib5GA8nFW9PZw0 EfRsnagnMeXN7rQanC/EtzOj9FTtUgN4AmAGZvF6ppecslq02uM+8xKvl7LXrLe9xo4UgRo02pjm dTnbkZagJRVSLcRQxesMuIkdfhZr96+HG2qIfg/wtKth5XlhOAnzCnmTZ55EBZ9AG62QI3caDThk eror3V77F+C37l75c5Ira+/lrg2gSXSrOc/mxYpt9Rq/6tGKk40mI+vbtjBnDS9Yso55OppTOJtR n5lMNEwwtVPF3qUhjWfJJvZLaz7i3St7PGIMHC4chMKVuKJ2MbN/ur/gOrkhRVHW7Td0cR8pYQ9q s0Rpk6e/kLiS8I+w5JXRWyNJtzxiG9pGWABoOE63ALy8Vi3BfMGFN7QJN02ySPakiDX2eoPZ1fw3 Q/oE+8vZ4LCw69LxPAuiji7BjMhP99zC2UWld/F30JtclfjLngonwrGxtIXuLP8qInJGSsEUzJZu 3zWiVXXSvLWDgj6w79D+koco8cbxnGbkArpwzTSuDJ3DBvyfRoZ1hG6szsfB6EOxSiyqgpG6MnBP mbR20V5YCEA0wTNkUWz0FOuDbha2KA0s/PzWcLoGr6CUUEUzZmF3JOXWGBSFibjD5P8BzAtQufbU bLlJTypJ9grqqE99CKVSXcfkIvwzOzA5WktL9QS8jMgG+OLM340I/w6kn4Ayh0yz2aMRwYNlDM9E IUQc5PqxpLwhePIpNaBfq2xT4HjOlRCNdRaCpln2AALRBADecuhW3Jvmu9CgD1ED4xIrnBR7phcE Kuwxhhyjo1UEBkDLS9RRQOYRAwq1lH8AXtjs00PUGGjPpJ86jWeBoHjzmlWaQmRTtcAYLKgAcBhO pydzBzFubkr2LYV023JUSxNOfDoVlYXwDcnygcoZXt3bpkD2CS6th/A8MALwp/DA7uayzDG1gm/U TNGaLZBd9ICC8gwBr9SGCdOHSk7mc1wWIOb1AOsIm+D6hTRTPpp6g8oymT1uQ2biEyuIxmJ7fWQ/ 8Lh6gpLn/qcZDNzjdXn026meySmv8pd5/WHlsWq2CHn3JS8h+hbhQ5WLO2dIsEOxm27USyi+Fi56 yj9cuuAhGC4dq0YFxHmEIIP7u38pN4SeCWeznntY1SFxIL1LsgkfSVO/oun5ENoAhkbvIk448irT XsQ11cwE+qI3FhwTwfuemVQOKX3S7n6ZpcWzmRfNAs6WheympQ4Ivb9f88++bXqZ2jXmzwZ5bYWk 3o71gQG5jat4KpEvzODHwc+LZ209J9I/yMyWJF6nqyjWcdePD1PhB1gLDo5r+yC9O1yHNapAjPsW H+U7bxy5i6dYSa82dtDNq9+jIRsU8cDIyykvq98lpsxGLsZ1Fx0dFji79WaOJ4ys/ONJ8rLjnYq8 MI1Ddum3lKF/dlOttHlYyTPCBkvTvPyHg4tWf41OFEUr7GDxIRXNXMIoThX18BHzc+klmZHhBxOk Krju5KaoxU77uwX7O1+u5s7IPKfRRocD5RjBuWrKj2W3+yLKVp6ThYT6qYsTlytYoTc6uaJNiq/H rQyLLbrKdtbNkK0yIzGSo680SmGe3cgzdJTD0GAQSDUpns3zIf1ezVNmYebUMubtIzzzrscUbeA4 Rfp1i1iiJSrrWuKn2lLwlxJJd1FoO1WKwSX4XuTv3ZX9NsZXH7I3seLbInzookk40jeC7EAISU6b 9lAokMEzba/pGfEeiQRwojqYkTlwCWgQ6s+Hva5Tm7NSKcemLNZJwfUXDU8PkSkelKVhFySzSSdM 3f62kU5s5JNP64DP1UOJgXUPaU9hIkrNkxpE2E1xMqfiU81IPd1GVhku2Vw5Uzti+m3jjuR2c4EN fPDSVYoIbAJfXJJhyRjfnTbW/1Po7RAoPBqdMnU5303BoPvf8IcT3cocme9Ub+RCIywSikjnKxOT +5nPSjxNpuxuCj/rm7UKCL06xElvSZWwHThMShuJheOls9u9ePN9Nd17CrZNm196nUmiP9qzkrAx TCMlTwtQoxRWWdN5RWSjhmtpRcGLuZomddntWSAPr6VV/YgKmGwNBKUk9U+Arc7SxGBs+2b0VRSw tAtk1dNCIOVmr/TxC84vj4z9Fd2iYeiyRhBcTwqLl0m+Pdb9Ct/7SlExq8Rq4F6yK/jPWLhOLVMq OstDR96BK7EXls0GXLBrLwBBAf1PkFt4jKwLUErQpwMoJbQo6c7yK+oRZY7g5o3sdpGBnQ2l4ek5 srJ5pDfaPwefaGAKhBfqw6kV6bXmh06PlP1GdvUZcIPi16SzPFn8p4EEwfzl9gDJ+1Yx0BanaZ8T dAN1CfLgGvX9/sG0Seg5FgfqyyMLubhHpGTSWU9ldstdztgTNTVTeZvoSeFZtxEju3drIKEXqqY0 WS3mXxOKB8SXliiqkZyKfcgmdHuyhLFQz+qzHB78ZGk8OIIAvRfhdc/riS8IUi7SFaEAvo9lA596 ttOTNaIBV/a4F3GeXrF4v+2ayiuTl68zFgDTyOVFrffDLcWrHJlpTA1+nc6n+7AYVkJm1tVE3ta3 lzNkU1wc0yOg0Hko0KL/XC79gsMbbP9H6GPwdq6gY8sKdUFcr8Kgvt6esvW3cz8QJTCARWhtXi1x yO9+7FDhhcjbB5RBZJzNTY6SknSnxSZ/Yw16cxokO3MA1iSwwuF4UhyRwfVuly2A0yDBjxb4tDYx 45Q8fZZ3rphAd/fOUqhk9YCXd4cNCm+leVuvmc/TNYsVptKgklFYNJXhwf+Gs0ViIxk5qRgS1cWm xBqgj3XkHM+c/Ncxk2+prvFMQlJ5bXJiW72NvNHFsXygHJwAB+RAgISWbM+Z++EP6f1MmV8guI0c +9e48dwBmPr6q0aRsV0vNfckMTriFE7IpZMh9IpuqNhDRg/CPeTxq+01ms4qV3g9s+XYQNqrLi+C jSKUWs4wOM06zmFoRvuSPxyhhwO7KIvqk9GbFtN/fLB1i9RHVl82muJ2v9vvaSSH40reGqCvWExJ ozq5ooI/vqgwaa40r9byjBd/0TIvUlT2SbVOgMio5i9jooGr2MTvKnoUkXKla4uNaOLE1MgYgKbX 8Wxm2TT87Y/KZfrzlCn42QVhzcBsj1wuTX3i0eb1XuaJGEFiHzJvr3uO9HC3ToL/H71s8h2qgGHW 5pQskWUUtB/1pMZHPMTu/9sB6KZXUcfq0RrZ8lJYi3T1pOHAgvDT2grxwVOwMxPUZPCdshKL5S5c VvmMwy8pw5bElJf+MaVQbEh+4hw4eJ2SPbE+iH6koC3/DVFOMuvIcrQ2p4qSzs2sI5x2A59TTLnB MMvijGCm87CMFIHntMRnaf4GQS5V9V5BROnfckQqEM7j0AIYy67XcK74rTRyuxQr/0PwmDme/1p+ 3+RJkqfi/qfxDnMPDzlYaMyZdjm3GyVLMwkXr5fNcI7pEZCuy7N5lkX7svmm3lgI69l3+5fyqHe2 dn8RQFKjX4vbfddgWzH4N0Cm/NAqTKZDtfoPMMNcrawcwnZffdR0aRDFO8JxV92VjFVEttNL80D8 sAZOopQLop6Kpq+5LW3voAyaBb3lEBUWQKQXZh8eDdp3L7Maw4HS96rV3sHwM7j9Vq5VS6yPYBWd t76AV8+BGCqiiXN+5iMW8l5+x1FPWoldzwrK4S9hufW5xiiyg9guhO7PPXoeH5Dt8uyL5JV4Igsa fD25gQhM0ptRrRs+gOg5qH3eZhYUr2g9uLxjZoCQX6UprRBPJQemUT/rZS+NDIgBgBs9BUlyHsip 6wNb0E+172EYeGLwdujTwtmrSPobcu9yCuAM8tKX5VszDoMV6XzK9mbaCpYYzbcjGyHaaIxBlsva w8S+AL8hUUosZjvhmlJFERLxd0//Aq9VE8qDq1sEKFXWtdrDUfnhJH1Es3A7FUm9yfFVMHsLEwGP RvHfaSbkD2+dGRtSfghmdxjqCi9mQeZPQZD07wEBmQGi94stkmGL1SBHq3aJpgdp6Xs6v0mTicrd zWsZ4FWg6zDqQNPZ9gco5sVGmlSQCfMJGEmiaJuhO6SX2ijTw4UfJ5SW4kEjMpYZ1S8XjXXOt6ll 2EdOKin5hxOODtGvpLv8RZYh/8TGHKgSWvgHSI91yvbyV3vXas1LHI0/3kCLdvL3iY7Z11bLz2gl smSi4FzfisKWHjnKRjLT3OwRcuAWSZnG/jKz02tF9FDPvo+sIAT+1iB1YJSbD/Ma6D3ewigcngB/ KL6o+VLqZ+ICpP1SQL9ZOeHB+gpAsXcXmnHjaS9/ZaVpqsvgIVX3b2dgWhYlKwolDb2wEfm3yIil GiLSYBfpdQc4iUnQ31b9GDihZotdM2xSqEV6tpK0mIDKyL01mi77G7M6hEzA04kDtaXR6k5gk2A/ E3rxKyhl6XEccGfPE+fSdyhKl7Nbt7Pd+4eldtG/fWSuNo2IZDPNAYmM66TN96aEHltVdB0r8bLc +lfq2RfN0DQoGt0jPn9xDERWW4DLDiTuHR7tC6/mS5WjzPZEgw527VI3EaY+HsE2vIXSz+B8Kzb8 I2xva2XrZwTH+tO4qKn9vYS027j96M/EsFaju58K+XVTTvziUOlSiTc/I6s8M0lRfCwjHSgIMERC DIi3DAv9hhYu0Uwo/PXaAFuCcAumyWJOklNbiHVZKC0IZIbQDKpc5+M0ZRBS74c96/P6v6DzA7+7 6VC7fQwH+hmUdiQgSgUY3nz0lgAB96lXMzT1+DgLMWDmTeLkjiC1n5G8sEtBGBjgum5tFZoOr8zw FuWqmVgb8NB5wGCRN9FVeLdsvJZSII7c3kBaAgngTxa7k1I1/+GPZoFfa2+uWfmolcny4yUmosBf ORZ191R02OipkI0knjHhI35MbGAeGyrQcZcwJUkOEZafwQsb7XD8sZ/iCtOabcuKFyXPCRzNi4E5 3cBo4cCUQBci8Gxyua7B9Om7c+DghspFaPLbK5xCLYNoMoDazMA8bdfA+MV783YUrkjBMy73/ogF kio9WfT7W2+7JxZaA3YDGGC4paEyUPvPmIbdeh6UZE+a06A3LzbcsXaobpmjdXjYjm6GIbWxlJ5h k3QRzVP8rP8Kab96d4+qc6rxWnnifqcIp8kezS1asogF8WO7evSO8gpeq2f64fi8GYGFX7Cq4KmA 3fyEzNI7URXmMNExB1sQruEwsO2iQT8Xfwi63zQ6c4Zzk+jzhZXxLolV9HI/AXBEoL5WrqKyxn4A WsFmQXSMbjIxfr5qlNNM8gVNrpdS2AineL5ZzA5gGCjiR2/gr9vQGrGdQ2Dj60q31DiN34n4hcTy sAR8IgEPFd9VFyNu8ivcDDqxHWRSPyTVcw6qhiRs7nn8P5JTGXRHF9+88jS77YH0xXeVOz5aGmVW BELWSy/gq4hGeRNz7NFKdY9qUb+L8hPWIK+HtQRmTL3tpwfJe0V+TNG5vtUIR/dDFAPbIPwInoyP fyF//VszUsx5iM8wCUYmg+QyVS3kLCMDf16Cm0KzLMMdDmqoLm/2l3Wz34yeHuLaljyP7R+JarBD 6LddW1Jrn3i5m1diC5cbbA45nyJ5cdtZ5O7+vf4KFnCLDfJQ+o1T4gp04Em6koN882vvau03ROKA Whul1c8eKxnTdjSXVBphoVOYjSLPYV+ytV98YQS3AhSvKFs9EGW7T3YpDBVI2wIoFWKJ1Eeqw00u 7x2F5ZT8OBNXy9OfaDLNBZ3spHQei6UVqxx7oo3+B/ECMhhfIEBMln1ULRzhZ2pGxJhLhSI+5K8T W/MF9mKmjICCIixshVKE6y4yMOEqIKpRgIUhaLgDW79fYSeOyUUdKH1ifqGL9SX3sSWkeeVda1of 8gMJFiJTC0PagIzzxnRpWdZHhEr8wkLZkh/8FRAPKxihufuCBAdAry3Hhs0qzmEqv/v/gGM7581q ipioRDySVrDWp4zOUSTtnIBs2dNCgwOdbLLFkbZwC3dt484R6rdIXV8R50RGqt53rI2r80jTXRvB 9mxggrB6N5OkXYr8uuizRIedzS7nj9/FGpIxo1HMNIukdtVEzFq9ruKH/hXEWehZnWbM8deB+Mw1 YpavmyWwdPXhbSQ4A/VY4gZwIKpjXfkGMNsqk+Aq7hGAOPglJ2+ksc++MNh8Ishhl6+ewNWJJX6Q zHtriPKiNkjonCN0XxefPqh7Y4qYE1rkq7/HSSUHtLo3u1Cz28hZy8oShmQCGL7HPCN6e70aJdjv C9ukjf6HMO7Lqc1Hh/ftjTQbKS3XFwEqkAtQmBw/m/J5HE9h0q7CNZITcuncSO6cgnngbMfwiO+Y ylBfjM5b56VqtYJDRi846xCVtcawsEjjBlMhEqfMfWa02JrNJZ7MU9EpuO+0n0tjrVVXukkW/44P fil8QMy2Ybs6LFRxq1ofemsvoKS1ZQ16TPe98h88W8PSHdx/rJA8uJa0EwyN1BEbZQAzOAIC+WNY 5utYn5SnMtPtCw039EjJIFrSD/wwsJrAcKdQVN6vc/nmW8cVT3UxL1/OFIXzssFfNcH4McWHpPgZ tMZBkLu4aP+5YWHF80gQKeQpJVho6ZxP7jDIWxbFdXBEVDo1VclfYFOujT71feKFNiYYrxClzyRA Z8tszKkJMraDw5yHKCo2rppHKzd4jjl+uYRrXdpo/vumA9BYg2XoRieaLJY869Wwx+ct6E+RtYRx s7T38iCt4+SsXwh+sQYc4l7raJBItwbIoLEnTFfziInTWPJdaog2HyZhg6Loei6T2DTJjSnDnMzj 2nN6Dw2brojfYXw+qZ3m6WSrbI9yk5t4sljspUaa/ZodffDw362H22ncNVuQN9il1KRMSDPj6fBR lzGflamED0a06T9W/iDa+sj2nHLx2bdmku7qMoFalVqDEMjXYlaf9h+MPAuRwpWGP18WO+/HvCfg tWcFs9RRD9NIUvegPlb5mvfWXvq6L7D/xW65xh7OpEx+hOgCbHRtIZhF2p9dNotDhzl9798NXjKU 6bgoYskF7/IKrk++kVUd3j6S5h9tSyNBwm1nxS7IV+rye1R+BMV0cjzl5lPmSt3vsEngYotbquec ygfmxvhbCjjHpOJJCul6hBFp1R9y0VavZBK7Z5NTAe1KuPJNT7I4v510qUOEynJyuzy7HFApdDyx mwkb/4lCk2/dGyiCX8BSg+uqCGprmtzIvt1u2quel+kctWS0UikCmn4evgq/E3pV4vcioPFnEYd5 LxLDbWukjq5qmIUfd3nBqhPJ6o1t9vOAXaNWBQUFgRRm3meLFqBLfWX1NSxmyvGnsEqJ5FMg+x0s K8EQYXDB5PdEkb5OB5iAxXti7T7Y+gwsH33auyS81Sod+4drk+3sEEcvWLtx48JYd704CZnX2OZd dsa0x/Nk+sJ21BxqA4RPpXjkJqnI/nuHpz3Ge/mT8oDQWniaIoLMzflpJm8z9Q0G4iMEduUQtHSl wbmukieKWBCOFuqhmni5aajVgyqs6w25V0V4uff4g0zNAi8UKE7tI6nd0yDtVTdXYsoUjo4F8JsS dyBh+qx4jMyq2yWKknHt9lTwDu2W3v4fJ8qZZplXrPoIo97Ho/lfdqDlVEbiNJV3PK29MAcet+8e dQAXnq0vmoxn0DTHF3GzC/+JB5TecKsNzlZ+I14EtFP3x7xK5n5931n76/mzYHphGRcMOjE8qb+r jcMhG6IvZ63r3/2UiK4TGTfeibxKXsjZMk3/7NFURnzvz+3I2rIqLSMwgnrkDP80iMOnNezMwLu1 W4akvyOX5cCwv45XwntDqUL6KXDxd7plQL6+i1IhMLDYhOQMfwNqNek71pmvEgWMtyvv3cM3l3nt 0MqWwKu59GCWoI6ijtCXbKeHRvVuHSQYwFdidSuSxKjWNzYw1iit2KZ5JcqUBlgiLL/lT5997ElJ 6IuPQPBP3BiHJpFlBXkHZegbrf7B07JDY2ZbyEoH58SFNVkg6GYsZGSMb/Lu017qVxhaO5fhdhIj 9DV/4icHlbI/RrnoVsOqI/zSPXp+3kCUjhdwaJRJCGmvh9C4HNFfxXG5CQZf6BzH7svV3Nm7gRLa wSbh+7O+FzU+AbLe/sIvb/CNcKn+oNEWza07lCAfc3ryXufSyroPQuI9JOm/aiStxda9ABgVA26v y51hJmeMDos6PdszlLE66WykDsqRiUyj9NjUAMBv6BUk9Y/bQuO6pmiCOq3wSgiNMnVACrdvmrR2 3Yh3M9K29XTdsVp3a+WjBDL1I6tFlaMchjaZOllZZt6QrlLtTEcyqTWJlqHARxMK8qgr8iidBiuM X+V4w1wB4ou8eWurn/cFrfv42BShhfKKLI7Z0aaQcKd6ZPa3A2pKmEA7fM6NcZx7M/6gaTE3jC7d 7GRBhf9fUngKx6Y9sB2bUwTyZ4W0/WjU8/uLiIOUI5nMttgcHOW7FpXbL5No9EA3CbVqHjZfvSeT jhKtnSUjek8TRbtR0cFcoVuoM04ztf7NT5iJXqPnoVlUEFGq2iD4Jw98I3zmIj4wrJI8VqJGsswr Wx5P9iwb0Y0Siyl6OEhqo5XX4A/UHOTE+QQ/rdnBzw+pJvztFCBVz8zxV/5Xikpe0GYM23q+CVE2 TN7zTd2CJwATDk7s5pHqdOUBb1v+vjer1HIN3hdKesKwYi5iGPJRzmpdXSpnz7YKMqtgYptU3Ygb 80lU0+svsqAhxFIhfoB9XwLmKz1cRTGpU8pBJm3J0pAQMsEi2p5Zvis/CAr6ZLXTMS8D3oJMkbCq 0oLRn7NwabRitMkgNKJ4+qAGAPdl8zVOiPq/uWlcbRqCjbZXpCQbAL9hScrDtIOuUzmNaOHleBRG R++U/uKWrZqUraDyEBAZ25IAmnsl2QaAwU3CU2+MuTIVZTmljEG8oZ1KLPCUXsxgajgV+60B8+4v A3cJvBAeAgQ+4pR9uwKAYjJwlBLh2xNLPap1krbXE5grakEkcHZ09hxXa01sRFnyA8LeSa9NVpzI Virum1rczvRu6hN81qOzjIL3QW/qgDJ0P6zZ+oqvrDZxtws92S6IjgA5ZGa4h3xPddx57dyCpfLK 046VgsRjlxtk7Hlj5c32qtitr0GQCPUEsu8cpSLdRimVlmZ/osXqX+9BB4/xwz54V++GtXgiS6Zv IBv03VzlO2hO0mqsn73UtiUFBG7JP3Zmjs0pGuJxg+yAb9JPBX5zwHDBf0o0lHdx563jimNxZ3Sp oZPYQsToF6OEBM2nbAWySUrPSHWhKGvbR3NYdFjplam5ECrXxcuoovhaY9r+DtGYZQ9wMvhx1Ch+ jGGSvr4VUGpHABx84/loZ2n/RWP1HidDfCXOfpLAtNwatyqsxfs60WmJbEmKgFELC955oAeteYJT a09hfKZIUEw4jIY36oMA04y2sdVrjimfqJ4QyRUkA4v25kjUp/2n8UnW1BVFbiMKOPpprX/zRk41 3Yp4sUrLX31ghCSQd3VV4GqJEPy4FdDTpMWeOXvZ6+itfmN3KZ1TxE3b84jJahL0xgzob2iS3etm r6JuJcN5A1BfJn8PFN/IApvjYMvJCgkYdhx/zoa+Bc/cJoL+mPqWgmtjBCravXgru9zU9HoJPVqB Dskociv8ikqExVNP7gQCXl/eFhBqbW3pOIYlce67GpYD7P/3P1738n77EUlPHyGAVC01shpubsqo rGzF0sFC/EfOU+Sd3iwTjHFUHRs54D5/YLoWx5td9+ecjULciIoke94yoAz8ayCSeEv+xTqFMbm2 YzG8FvhsBkDMabXpVXPnhKOPzDMpnSkFExmFO4WLKlQ6hEfgqs9iankZlEJWj64Uh/pdopRpwxD4 G6ApcH7k4FlnaFDkuubt7566LeW+vhrMVF1gy2O/zo2ZozOzYlZbJt7jhGIQ6xocfLNvTjJD41MK JrvYtE5ViOUM+BeAx7XyBsIfhzv6TS0C5VQwIH7G7ZKpqIdy+csPNwVLWgzTTGDYCtsZn01gZzDy Ebp+E8doDB8CJwWD2fVT1HZerwdndOFDof5LuNgv3aIPsaP0ThQtgGZJ8cGtqOyMjSzitOMPPZfD cveIvnHRt/8B25okYFDg+yygix12QMR/tvZRo2avSiLNFGwNPHLdEgP3wvhfBsvpRBrkSKIDS5Id aWecKQ4SM0KfmGIgmBLtXpKNL6WKX1vB4YS15almZeXcs/HptEQKSacef4Wtaa6MXGARl4iZ2viw EwOHj7Qp8UIL6xQujq38zxDMJuzrpf+kTUvofcijYcB0ZJ3niGZWX5t+0FE8MCb6uEwUbGfsW25y gqrMNg1b8hPv7spB5gM1CpphjqtA3nTiqu4UcvtSSNOEO6FUFg2vbvwX1W7uDyB1tkEuR65SIf28 wVhFPeRbPqRetGUvMpV/bm/LcaHmDiM1h2fMEwPkUUEIzkJ/G5qgskjvEypPjovNaHklx2eWLDMn YgQ/a99g5gwZijyRmkcMoLIA3afkL40Ih6cpptRJAA8WQaxh4EaPO6dcZNuc15OD8Ht/YzmosOYh UfdEYDW+1hGC+kJeUFMOUefQB3hJJ+J8P1kxiW5GeiIRA2zJ72WFIhV5Y9j+HWnRCiKlzDHnaVWg vPRvU47w58U1z96hZFu7o4Wtg0mZzFW1GwDdLVHX2xBRAkt/RHDj3YlKgUx5pwOrvtSVPsRtY59R FYLVAO7rZ9ek01vLrK15Ha65vkHd5C80BQWfgLnrWjtuPfa8IHA1j37m8EKX4/i2wgmWjqXFYPqz 0Zwj26/pCw86T5FPLbrng/4o8QLC7So8+KZVwIwggxBPMohh1GMXzPNwy1btuTj0SP1QgBml82nz AuJh6KpEFKh56ZylpR3PsDBegITQPV2GwFBWJYslipLCk8r6BWp5vFtrumZ8j0B5JIfKjpRHZPpn vFX1EFB1a/xp+Bx+2KvGufECwQsECn46NBrtVnHcYymxIfmLQbdyW+wUTrpX72sjqNygTrTfs+Zp 5FF3ixYaDiy9BrOB0f2O8NHrghIG5uwg24zur/ycQyM233BHSR2GZyKAXXuApePi6HhwQ5ntx5L9 YHttGZTVFqUPej4MGc29m1xxq5Dol+gMihjBYfuNv9jwUdBUhRtujNca6cjlVXSAgw0pGm1dns0Y tvShRQjtjYEZhYJ+BJF8Pvp1Uocsk/u93tlf6lYfacDRoxcU+OJ3wtnnFmktIhKbR9BEY1AktyaY HRyn+LnufLdLjvLQQKynd6QZ5uaj3pWRIZaglIQMFj+7kaiXQf4kptZLGy+xTgfrnvZ3P015b1if QCP6fzwyBwNxm0Vgtf4u7thbgAMTrKMQpK+cCJsGBJ/MmvMtRWTh2eQd2MbuVtiv731OKlcQzP92 9L1cuQ2MuqNtmnkyTP6/XcK+aGOnRXHM1MjkImFvKmp5StkxmxUsZKGbGUBXGAb5xMPGNknWs7PT EihmuLZBAPyT3N/AUM3dMtpoNH+IVllpbU+jdXh/DSVdClrsAZgWC8K8f+0WC52g4+miDmrwi7XU 6+QsJL+vTXlhv+pSxLABBCf5jHrGyaUOx5tyedmaj/tVPLuQbIL49Ao5G+dbyMEle6fPU6q+WUWd GzvJ41h/pNNFn4EaO7Yac3D1iOEVq944Y3AxQYaymX9KOBesMOzWOJqWoAd+hgcdKGfQfo7dwS+v V8FbU0C9eEiu5TUe6PBWrOC97Hh1uRI8JfqyZ3+7Fi5ObRvbbeUbYvCn0anW5rxkLO/Qqf9h5x6F t8sFoVwqOs08XJ1ZEmowvAfLYzQknS/LE+HQj1t9zou3c7Xj8jzkQJksyitwdXJgkDuGRjTO+3rt JXVXO1ji9CTDw3+lZt1/JOrD55jYI8kAKF032SVXwyBNfTF0bossFCIJWiobyjq98hZEy2Y0wIsV EHcaIfoZRCt28Ku//Oz0QXXjr3SQfbTtCSZzbNiCah/CYWc8Nc8gh64fOv29BsEIgq9NRY/quD+A /WYfMAqcmWzAt5JcWVobniyd4126OXy0DUK0M6v02SaT/u1zERF1KmRfjUEmYoRc/dZGezgKYmDB 7AiPRXQzBdfsUmgxnCrnst6j75f6Ai9vFmCeK97doehHlzuttuPYA5A8zbE0V4TOloWDvrtn36sT gDW/mC2ibT2fX+Ufm+o03kdOq5N/hE8+pmjDxydRftqv30l1yM3OqCPqxtSvHbEBdcN37hCLNpQV RVzi9ANJ+UbjqJ9mP+Csqf8gBZwjhGnkcxOCcmVj/UroMHXlQbE6F3bZxUS4AqYT6MOJPDavJKJ6 Yf+aQuS1IIEVSmJg `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_bit.vhd
15
10926
------------------------------------------------------------------------------- -- $Id: pf_counter_bit.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter_bit.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter_bit.vhd -- -- Description: Implements 1 bit of the counter/timer -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input signal and connected it to the FDRE -- reset input. -- -- DET 2002-02-24 -- - Changed to call out proc_common_v1_00_b library. -- - Changed the use of MUXCY_L to MUXCY. -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed to call out proc_common v2_00_a library. -- ^^^^^^ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; Use proc_common_v4_0.inferred_lut4; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter_bit is port ( Clk : in std_logic; Rst : In std_logic; Count_In : in std_logic; Load_In : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic ); end pf_counter_bit; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter_bit is --- xst wrk around component LUT4 is --- xst wrk around generic( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon : boolean; --- xst wrk around -- synthesis translate_on --- xst wrk around INIT : bit_vector := X"0000" --- xst wrk around ); --- xst wrk around port ( --- xst wrk around O : out std_logic; --- xst wrk around I0 : in std_logic; --- xst wrk around I1 : in std_logic; --- xst wrk around I2 : in std_logic; --- xst wrk around I3 : in std_logic); --- xst wrk around end component LUT4; component inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end component inferred_lut4; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; signal count_AddSub : std_logic; signal count_Result : std_logic; signal count_Result_Reg : std_logic; attribute INIT : string; begin -- VHDL_RTL --- xst wrk around I_ALU_LUT : LUT4 --- xst wrk around generic map( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon => false, --- xst wrk around -- synthesis translate_on --- xst wrk around INIT => X"36C6" --- xst wrk around ) --- xst wrk around port map ( --- xst wrk around O => count_AddSub, --- xst wrk around I0 => Count_In, --- xst wrk around I1 => Count_Down, --- xst wrk around I2 => Count_Load, --- xst wrk around I3 => Load_In); I_ALU_LUT : inferred_lut4 generic map( INIT => X"36C6" ) port map ( O => count_AddSub, I0 => Count_In, I1 => Count_Down, I2 => Count_Load, I3 => Load_In); MUXCY_I : MUXCY port map ( DI => Count_Down, CI => Carry_In, S => count_AddSub, O => Carry_Out); XOR_I : XORCY port map ( LI => count_AddSub, CI => Carry_In, O => count_Result); FDRE_I: FDRE port map ( Q => count_Result_Reg, C => Clk, CE => Clock_Enable, D => count_Result, R => Rst ); Result <= count_Result_Reg; end implementation;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_bit.vhd
15
10926
------------------------------------------------------------------------------- -- $Id: pf_counter_bit.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter_bit.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter_bit.vhd -- -- Description: Implements 1 bit of the counter/timer -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- D. Thorpe 2001-08-30 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst input signal and connected it to the FDRE -- reset input. -- -- DET 2002-02-24 -- - Changed to call out proc_common_v1_00_b library. -- - Changed the use of MUXCY_L to MUXCY. -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed to call out proc_common v2_00_a library. -- ^^^^^^ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; Use proc_common_v4_0.inferred_lut4; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter_bit is port ( Clk : in std_logic; Rst : In std_logic; Count_In : in std_logic; Load_In : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic ); end pf_counter_bit; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter_bit is --- xst wrk around component LUT4 is --- xst wrk around generic( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon : boolean; --- xst wrk around -- synthesis translate_on --- xst wrk around INIT : bit_vector := X"0000" --- xst wrk around ); --- xst wrk around port ( --- xst wrk around O : out std_logic; --- xst wrk around I0 : in std_logic; --- xst wrk around I1 : in std_logic; --- xst wrk around I2 : in std_logic; --- xst wrk around I3 : in std_logic); --- xst wrk around end component LUT4; component inferred_lut4 is generic (INIT : bit_vector(15 downto 0)); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic ); end component inferred_lut4; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; signal count_AddSub : std_logic; signal count_Result : std_logic; signal count_Result_Reg : std_logic; attribute INIT : string; begin -- VHDL_RTL --- xst wrk around I_ALU_LUT : LUT4 --- xst wrk around generic map( --- xst wrk around -- synthesis translate_off --- xst wrk around Xon => false, --- xst wrk around -- synthesis translate_on --- xst wrk around INIT => X"36C6" --- xst wrk around ) --- xst wrk around port map ( --- xst wrk around O => count_AddSub, --- xst wrk around I0 => Count_In, --- xst wrk around I1 => Count_Down, --- xst wrk around I2 => Count_Load, --- xst wrk around I3 => Load_In); I_ALU_LUT : inferred_lut4 generic map( INIT => X"36C6" ) port map ( O => count_AddSub, I0 => Count_In, I1 => Count_Down, I2 => Count_Load, I3 => Load_In); MUXCY_I : MUXCY port map ( DI => Count_Down, CI => Carry_In, S => count_AddSub, O => Carry_Out); XOR_I : XORCY port map ( LI => count_AddSub, CI => Carry_In, O => count_Result); FDRE_I: FDRE port map ( Q => count_Result_Reg, C => Clk, CE => Clock_Enable, D => count_Result, R => Rst ); Result <= count_Result_Reg; end implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/fifo_generator_v11_0/ramfifo/bram_fifo_rstlogic.vhd
19
21262
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dKfOe1Fgzj6faSFeL/IK/IGbXRIzt9OQ8DZnq2KAQwbAq1xs/txiDbhMB5jT5GTGOpfv1lX7K9mJ mDVaIsrDmA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cmnaZ+nYMcuVxuKDdMnuchBB9inZOxPR3/E/irYVdWCPhl0UM4JuWPFoKMQnAcsoQ3vgnwO/qltn 0x8JvlvddPokOTwabXK7+R741NBmTaawP5Y3zobRhI33jusePpwNTanCHaHjalZxzALXRseOguzG AwGiKgpBkrzwT+frUqs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sUxQSwzYYe52m4+VJThnA3rSxL81p7y01A34NmBjYzEeDRUnhBCVE2EYcZxUZHf3SzWeAqe17qZn +OUEYPsHFdXLy5QnKWkfeT6eelEedeGrqLjWta/XE+CwvggarDRC3yCpKHD1RObvSaidPkoLOQaz Mr6i41kRIdL7xQbC4uLsdgEZKWh/fWAVQ0EsVnkKqE8EuxaCZ+UTjEptEyr1FyibFlRQuCcRV1zc KGcqqHxwzSvE0/TqNDvaxlN4HZAny51ra9dxL1achi8jzJgZlO8wt9Agqbh7GQueaCXon2S1zoWz ehgKeTmxlL7ytzeVDSpaRq2XKBPlYb/82fe70w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nlRZm6Q4mAeDfFS8oXcdcSIf6QMcM0qJWL/GpoNfKsPw7GwRrG7w5Fv9DZ3ev8dGDXi3ZhhDXcQa Irin1hT7IkRZSupkXr6uysVtJeCdG/feYDkdTZzOR87EjbK5yer40aqraNg1lVIuObcgZ8AniYE5 0hMf7gQTkG+H4+tX0yk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HiHN8/USAozrVtx8xCHzL7SU/8fs0dpiHUe+Pxq1X1HHq6PWwlbojxR2di+cVlcr3m6I0F2zjyVW WLu1kh2il765GldD+RCzgw8JhGbJOXcaDKXvV9p6bqICOBy5WCTf6gQ/vOVRu1kKDvf68tu0aJcM 5GW26Rwq/4L2jSNVHzuzVdgC87Mdq7eVgLL1qlhKwYslU6Eg0eOYTUfGfgCo2Z6Lcfi0atBesKpT DSbchvClt7fyjz3I+qeNhclJOyfOLBdaqFIyBSFk+zxyw4U3h7toqFVwQu8Fc+NwLgyBezl0ZUBN S4Kep7fupBYYGAqkU2vi+UvgcgkZQxj4+5jXGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14000) `protect data_block 0iHMIpFOrX98h7sdG8ilVHYbTeEnJdFbnTUVidR1vNbZAGh2cr4HmE6keLgSfMCD5h0NPMJszn95 lefuw46FHgmdiq1KHmbCqItVy9Bb5EntBdVL98scm+pu6FWXRRXll0IwtL4DpJWcBV+kK2crlsm4 DbStY30V0UJHYPmRbtN7R+eKz1y7qrxQEJBLHntXAyEJAemLkMyhmSG2lui5SLnF5vCLy9VBDhgu 0cM3TC/3C+fmgqIUw1Lldfeth887ToXNdGBy5IWMOti/xwOnavm9yQQ1UwJbBkYiLQb9LaG56Ej/ 6b3s70/XdKd1gISGQST5d3eCI9qFMafOlAhy9mBKK66XeRgNzy+0g0r068yGEyHb9ijAPwNS3hrU Ba6w8x0UPDig8kW0gNzuw1xMnzrz6t1GxGnaENQ0mQ5m6YWeBPabdEav28e4EDezzj/HpYgvtZg0 12X04rYK337RyACk3j5D2Ho4fKfvgcuNAjXJrce58FX92+bpsHTSgl7nU0qhBeUUj7nsLotrgyvi VfqUfk3XcleA/X4bxY7Z0+ABMZk9P8DArBqbAYiaAWXNw5Tq6D8VurqAJWH7lvn/YGDqAKNvA5pa B27McVsttY3zNbmyQf5ZWCZ1il10jLS/WghDIpsOrZK5Et/7osNwi0ofldsB+I6o27Tmt5LRJZ8L nERGcNUzzjKVopFMokJGr2OBWa0z3SRS5XIKKNcf0js8B6wwEVqujww7VaqPMbQKUueP0svfZDa/ NmNlP1tDSwoRxhsiLmiiD/g6rBkqkisR0Q7VqDUyd4mk2BZefv5U4GfJV93zvjxFd8c/7Ts2wZXY u2SkYAP+2gF1dK9JfyX3lq6fEPtOPffq1e+fOgoy2ArmFsDR0SP6QjXnb0sW2l+vngzwPxJeG+dR u3ujmcTCMVjYfrQ0hBWfk7OZFh5zWuDmCUul8OgDXLh6r9uuLoxwwwyjpXgTcE7msNWqZQNU9L7K qxgGPgiDLKq5SBuhxWrigGr3a5XzIG6Ff3VPAqxjetGns/x2B2m5VmZRthtAo0phtgFNrpl/WNL4 rJ9fzG3/1OtKYs2RCXPM0xhx/SxJNK4wDtxzdAzPxwGktD2EsckwYe0fuWeZIpD0N/P3nHV0a60L ep+OL0n68X8bf/6LLoa/UWDRHHE8Sf4qGAEpXmBIesYd3B0KCXEfAsVypFaLVS59I46ay6qSWzlf YH5RbR/MtwNwEzJ2rB0kGob9cFMkDARuVWmq1ocVMM1NYekLuTqRQapB3T1xBNUldrj2DQKCzAyT 1Bi8/bK7Q5/uO2NoXvg4oZiWbS0m2iqo1NvhkPjEfkbuPJAcjC9G0m1zSHLDodmuOXbRmokvkn3k UwSOgDDSY9F0GAKzR/L1wq1KQrMnZFNWKieSBwzVxy62YXsNa+/i27+DWimG1LczVOqSlWG/gtM0 s9xDlunU8/YgDjdVTgvBLCy0lJ/9fcL4jX50AX5qNjrzikVICzi0Ob3plQQFXZpGaCjgf/vqona4 mXrQoOI2hIRv3ol7MfwNNfwhN881ZZFRVNMgaIhn/7UelXuRnzGI/tcmput7Q9DjmcfIiw6z3fxZ J0ftEWcOpRMlWhUjAL52/ymkVx6a/fs3zNV3SbKN9A+GLYrSzTewpvMs8er/nIIl8zA+YR8fVVPn PrmmXZQ9LhYp3C0u3bKRyJrLwlEVJS90LMzAMbT2oVxA86MoDeNlTebNXpvZ0FkUVb2nuQJD7Dti 6PDoR+oaZuR0hDYwsiNiaEIR/7UzU7H4yis9XQwP8d2gd1cJfepCKqeV3K0/W1knlpH82PqISmhc LMXw3dVQvFaacm5eyyjzi8FhdWu3gQYwOLZT5PLqUMHZ88EviQcthy8p+HVWr37KFfYUCGbOpQ86 ZOdDcrWhykSw3M7WrUhXYcd9sDemYfaM+qBUdCA+5jUndAtU7BkrU8CW6ZtXwXPDm4VV//R3lhAd +Vd2y19v2zQO2+KWr27Hxm2qCi93u1QGijhQmMiV/Kvg+wC01VXNxAg6cWTZAf5H1r8RcxDLsUUT soopwMpjm4ZtOB7tZUcs9M4Ndk0kfkIe820CHojxQ3kng6v2LtAUir0CxyOPLtXx8yd7VFfE3Gng bxd7CTk1xGJvfgIl/AKvH3bd9k3HsCLIeQdi2jn76zTw3LBGxGnRcdRpGRLkTNPRYWAdEwTN3nqp QOGoaptvvtlrBrrB0UYe1EhYEvkK0u/b/PgUdK1Pb3ckggRmILG7GeyCup3CwbXZJrxgKUEJoKLt WdpbW7bWxexhKVTTb5PzLfZlZxMbEpv4CVgsE7VWCIDQeAAGCqMnOinEftBcWkS89acu84W620Mt 9iBgyK3+R2AGSWDpTwCI+QjfdNI/RYq03DACTlbjZjdAt+viquJfY9BQoUYMqzNRGnUwn/sdpbVo oT+mbajETJSvdmJ+9YOIooPtyC5vEemOEzYiellrxKtF3Zdzv1G+tYRr3dtfgD6hE2OETb7UXAaV BfBl5r8iFC+TVN8mR47gIOZJayD6ghtpi6xL8WQ5StP8e2uE0eQOtG+MXB56N+yUrNWeu/wkaTit JHlQVhySOC/+UaszxaoC0RQomLG3xQTxDNm2gci8XMpnGQk6cJuqDFemHM5id1AFr20EPUMnJIgy nnh2kz50MyNfKDUUhYMX1DmrxczU8iUJSfPDbmqumS6Ih+iWPjHtLoOhUmEusnBxz1dozgi8mCWU IaUbJORnq+HIXBznjUoDupksRlIhL46I0FKSTHiTTgzV/Taq4Tlhnrpm2MCkj8Y+SDzpfAsBhO96 1Yd1o9i9vns7ZXjwuZAxwoNrLOkenIf7xrqRsfFIlNw0NHOxr27dzqLtq0K089n0WhgSj39hblbN 6stPob8LBDwUDJCwlWA5ak0BTOCCl7SAzmggVpKLz+xE/g9hE/6p4LDmlhQudtcVGxW2MKko3+2i cbyg/6h9+SNUqmCU6TZqY/dNSbVq0m8RqoVBZKuQ6qmQ8OMpiIo98utX4d6fC41eQg4AiTQzqhZF In6dc5C/IQj4xB66rkLzfTs2XoEAwsyw2AaIh+0C2Cq2fUAeWRUQMmZT45IuESFT1JwRjEDwsJPx Cojxg5uw+GxWGCfBfuT0rWmPNUxc7FRPep7LbTie9B1u5jKLY56AjDwbVLyVCzD7XqOde0GtFXrg VJpWsC5Vw3eXtYZ3v3yCDX4gOyXu05KhOcs5Gn9wvqqDzfOyCr9k77xtiDflpSPLgK6XQArZhr1s 5N0I8F+fc1Qxj9OcwNIXicGJ0OcjLaymo9pNbboHJ7V5/JW3qIXqW6Tghym5OHBA4CBnzgJVw8zI yAcAS9EiXhwc2bQ1zw4Od1ll2JM+SAN87loCfCbEMCarAlZnmI5DqmJ07XG39Pi2nX48MkoCrkKX ICIql/KssKNJbTp1qnlfHstj/Xyz40fQbuOhX0OWVhDSHUVyLt7vGGQGdow8EmUKtY4qxtPBds4C FM3vjG13NPaAqGtz2iMamEky8mKWWdOSyH7j9OdjhEKe/JFsEWFSI6AHipK8+vM0fG0gvEx9asmW mnpoBFkQNSWyhLTIM3I0ik4rBhiCxZcFz59KEfXDEXaJjLsK4n3YlxTbLpIhMsx2kfES/PcMgfcp vMuxptIqXJhf/INN+lLoK5T1vM2spdXGEbgZ8qfJWRp5B1aaAHonX4HWcyS3XsL7mSLmXycvxnjq uOa/wYSZqLivZPCrZlhO2r9pk1DFViy6e8g1oSQDsaweWt45bVnvakThKuXOb4J0d9IaDHltRPsu 8yiVrsvHn+IFwo8ws464qHTNKgPR9RIUdGe6IqrCLHsUeWxaQGqJe10J/TiQc+MNub0anz+JtnSl 5plfPH0Bxa94Js17TpIQYK22aU688QUsMcLNn+N0X/um/gs8HAaLRh3LoiNWUleaaxPONdPA0Sip fvE1j2pni7Qig030RRaq+nSAvCxObqn7t88QIKGmJ2gTXTDNMarC7skBloE64BULt+knLG3CTovm Y3mTaxrI+3bMuVRs8oujkJWrIFKhM95RObmrx7VCGw/ZW6hT7H0LiqW8pN9SJvhle4RBEJYMXL+i 5M5m7+nK886bCpLuv+Z8rqlfUaGcHV/LOB0dNYnjkSL3BsW/3MLjLcGASbcS+LP3oY0gWaNWgvpN sZhkU9wqjb1doNSUsahPSnOklCEt9IX3N6pX53N2Qd+3mouhWcA/GxE6dcu90T4Nv1TSShZH3VX9 pYr0jcT2q5V7Glu6Ke67dH0ISbqxRQO8oqgsY2jFwRhcChwYVieCUbys20jaW1lxWm30m7t2VmRt sEoe/sb7J9dm1PiIizRP+Jjwnogn+HbvUjgJj1OFlX9pY3oFQDdiQExW4/T+oRIW6vwLPIgH4lzJ 0XEKHgVXEoZ0p/ReE+MMPdV380nR5iZ0ff73LC5YP/wXtA4o90J+/clpXj+8g3FysWwRs1HPvG2a rSzOVw2k8U88gXs5wMCpuNLEa8S2UcsfS/mowqbFH0RBYFT5RKS8kpWtL18B91V43PG7MDggH313 sBsAKRR1w4oG0XR4kJ2GbC49Ujoh7Pv7EU86Yaw/p1QNx7I9WJdxvkz6eK1Hy44s5kdykGCL2yXt gTDREn4nge5vicp1/prR5s84iHGmCLSiFcJQHoAq2CxBLRx1kh1pSzYabNnlRjTtr3vYP3tOrDzs nsNpRpNzL10D1L25SQR8dvGK8k+HlZQy3sbnZ2zL5aHmHDT527XzO2lGP8oKxXztRS2ORuEWKPhD 5hFKnx2WOolWeGPlV/8XS4KRuUNhUwLEKHh4hvAGjIwhfKXQkpJXkYJaMd/6lPfQXwR6FSYUk5Gr CfzvVw+IbgTRzlcMvavDBOzW3alODNNl2p6yeES0vcGWdAdFQS9s/rlHtIxCYdjziI//G4HET/kt 4FC8PYWrq+p5vvTy7cwsZEmY8LLMJ0FST/KGJvZrCUtruI7ePgMo1sS/yjrrnoRyjEBnFelQ/HS6 cUBsVz44pgpFcb9dsFrQfL1S3vWoNdG0XDmhmsyD05EVZKtjs407bn+70Wy/pmyxwz5+/mJMZ28v 2RuZGqedXnvSfVepiU0HcahmeVwBcRx/VxF/BhptS1XNXKXz+eGX8zN0k8x1aSTAyzcEw/uGshvk X0itjtklzZZssBD5Xwnt7IZXt0kH+POKKdzYu6lGRDDFhXhkS0X/qSH5/dRbjCCRJ/YMTuzht0W+ YIYNNb9JkYeJUayLlBLLVGQpwoXeTv93ZIbIwNtv3fpGXOo/6p1IZMerpieTaBq8/fV0EVaGCD8t 99KslrXpJQyHWkPBmeDd/inaWeJjEhzVoF1Ew6ivm/36MoER3umL0bBlCSAMSXfxtT+QsbCp+2k1 X7R3yokzRm6vHktMNpp0sz3XbKb2MPQeuEIcVhbsTSyVTkmSY9jbKnbNnxEzBEzcN49WUVGJO3yh MYF3aNdGuLmaOwLlIrXH6evfo6jjfpPtrBaJC4Ma6J3PaF2kFa6Wva5J/iEqi+t16OG34T1f2T7z AK5XcUWUU9+ialtY4YedmMBYKc7049ncqKmaSLrieBUCG5B41IaU9o/QBxiPwWm8y/QbYKTEWRCL sIRtbNRezEGuCBnivmLTHYeXLSl/8Axpc2J5UDP73P9cBaHNHALxXrsrEvMQb0RpebwxHBcrikyD EpwktrMcbDt5BnCiRgDFXmlw8+HzRDWXwvn7vMQI1i1VjvU3CMMFo7kQ6GpHAH0m3ykQQ/mWy+G2 WEDor6tLaSF0W3Gdj3VH+lcVeKxIBUVLRBfzo+G8WdG+O4nUYyqO7uCPMjWLkxTipBAg9QWQHnGn Qriw3cKtuVxSqo1cT5D3MUJKxxxOBz0+9kBU7Aq0QkuLHJCLkZPH70P0XTaW8p55nv8GNTncmLah UM18uh+yFEoGvSj1mD9ZaD76jVPH30vh/M6nMYgw+94ZxKbfTPrfb555stEBawG4zV/eCPLr5Vwn 2+1HSB4zT/w5hBlhzmlcUdv1iBDEbfjXTvHriZGxmulRi/HGWQV7wruDRMhSxQLQPCYaSlN+Beu7 lrLVfqB39Q3/yUVgZUZpgETrAcn9KgGYEugAuQaxq2j69Xm47y6tvdm66IcuOS8C6AolgxgQRwIc CeQ9zS0jS0zfmZpidsmS3beF3/8O9+Q+sMYYKhmZHmcLNpF+/Un7+Smn7ruIG67cW7zlNqeuxX40 JXUG2PEeVfRAKxtSsxUJI/WTimZVYkXhouATSlxdVH5NiPBrboYOAwhZM2pGQRfodhqIJBI8VGqf WOXj8J1zSRAq4X7HU/WfHl6NnBYn1sq729RTKFk5cmCHRqa/1QePQelJxHMr6SPATOoLWFUKzyTJ zoLZNLxvSLV9p0WkMKW0GMUpCEjZgkebX7k5jwyt1fbKGp/wDI0NVfcb7argESrcHj5b+JowhWoD duOyJYe/+Yo8ju9aa/2cHrtkM0hJpHFF67CSCWVkKJXe1ymWyQLpkEM480LQ0rL//jOU2LFvGQOt r/t7pB2QoC7AeNwAm58/sVjRk1hW3SDQv2lpox5NoAIzQpykAdaz6gQzhn56hSs8T4luX8YO7FR+ ldDvsnKAKLIJ4ECLkdNp5oJoN9hfUDISx20wKSIqQdjDqfXeNJkw7zpo9mx98YJ5EpS5vPZXWf8x u4zVtMrPCCuVZlenUk5WmYsr4rzgUwRl4S7tEyCCHZ1ZnOWfuvEbXNQJrXE0EfeGBSJZF3/JlCoX ShvI4+xj9gg9RIY44Ypk8Eq6GfJO8pIdHMpMjRw2e6FUm69SoSfaJTencU8gTNnlHyunvTyAvd7e SF6ThVkxI+VdPzNSOlw1W6dCIyyEJslPEMkNRI1/11LIRtQFLkxR4epgK72MFITh/66LT34PUaLD dH8GmaWJIp+wOMDdzldTNEaGo83Dj/9LJvQ6YIidlI8vu1C6QaqGAIsecMuMD4/GrYgGJlRLUemP h8KvGA46fHHstTRfb7IvgX7QoLhO3fVr1ncLNwiXsFKvqZejPloQfASXdSy11rZTyBrpDBNiSDLL Vag4E9K8c1rQ7yjF9kn/OrZh3JGMcbOam659XYS4QBF/kndR3goUoEBs1XAzdfWZQHTGNJtuq+3d EfbattlsSysOVwjXESd8/7Jb9cRguFCowx4e8bMyKLT3MG9AnAa4Mp7E0PsGYBxwje75qvF0nGxT RNGZ3zEAxJ9pVZDI35/vaGXPumH6SJVCdF9I+pSvwyaE2uYcq/AfFSt8ZNA6a9xCQcciGlQ/G3ob t2QHTpOODY/BKvQ7VFTWiZnGXVSkLKZGhF5nNoq8IMnflaqDPYcjjRahs8qa2am7+iYanlTUAocy fwoodlUpoj2goF0LJQhnI8pKYT2KGHl6ksgJyu9mOhxQHiXk8SxsFJUmI7xoW3LGhnqdOp4ZThjB vpA6xEtN0tcW/5eUFqUzReB7pwYXS79C8TJDaHiuU2BfrbzUQRONjDa2cpH3TNKes9rNUVMk/UQi Flc/ZQYyZ9iWdU25Xr9ckvg2qCXlVfIf9oBF0N6IlXdWaV+wnPh2jsKfJVV+LmXG0G6PA2ZKvSA4 S1frjlb9zzuyWvo6l2tx1jy0MhTJlUnq2OKfS5jOskV/BfQ8soswxpumRvEKD2iZIJPlehPPtf9+ xGZG2NHaZhiWicZ7YDVd5N2TamV5fdxa6orGgqAynXD9lC6wMWLVbvfi91C+mOu02xaDJ0z+lsCn m4pHHOseFrslqEp8UE9UXc+nchI5zWFVm8ajWLAIRnDZdQ4HJrRT1Ge9RGt5xxA8zSThYduSx6wO X/A7yTASn13ookgc0rsPnh3ufZD1wIox1YXVvwkU7DWZFr8W0FBsUtyrRT8W8tw/88KdL20LGSnd 5su+ouzSPQOuNiIQVc9SKgEHlCJmQQE04+hRyi1UVjWEN9GldQJ7Zt5MpfesG6WdG/QD8oTjbUMK x76+biyTj3XC47f/0DT13359DSP6vH+H/zpntSKimrsALrNhpNkuM37V8JhQG7scPErp+Wk2GFK6 ROamqGJZCp62DzWGGKt+MTu0ahT9/HBBUFalUAyl7Y6znaDPyAVcLuz7L7yhbUoODOscmJI9igCK S5c3cyPkffwqiM6WY4vdg1LXUKW8AADEa7Ilb6YOX7snTJGRikgqnnIOL+Wm1ttVRMKHLeG/kScj mYjNi7Xmukq3BA0CdVcTWdZvaVN+Z344TWO/Hs3422o9Aiqyth+htPXsSYUdXlTUFEEwKOqWxIQ8 ah/iRmkks7anvCOl2uc/1oaJnJuP+AD868scjbo1q8xnOreVw1HMQJaYeKkjMqWt+Up4ua2MMaAm B4PyX8xeZQee5y4kSTBh6D6EayQeGyEP7o6+FZcOxl7if8VNlqmwREXYIGBNrxOVR/zJis7zNFBc RXfP5YjdYePuGA26a/RYk11qkLPup4pP/3i5GgWp6HeE7Yrp82zGraRz2H53KNfva1pLtqBJM3hP lyTnLlEy7BsHu0o63jlk4xVzo7E71+pTebzS6dmbEYmNPVoaot6ATYq6qc7GKqrO/Yz8uxyoE1Lo qVQjEHoBbyVG9QTIoSdU6c24sln16DhNqLmr9oxF+XxF6Ekv9LabQrB+lhgXpab1dLg0OoxZEetC A6+DmEms9yKIBt86XBmymqEMp8jB1ViRqMsIVX5Utb9lIllLIYfvZvLS+kllYhc2DLMuIZRxtvJx YNHr2ztJVOIz8HOB2cAJqpJilG/7eSmKlwesaM9ow7i1PSogGy3mdkWPvSLg7WL8HWQvXh+S558a vsgua0xFdLyp2reUMGQFYdiWs1pSSjS+HEB/V1Ok8PX2mmL0pUXMNjmJ8f8+MbWrzYtpf//bTEr3 h4HdOeZ54LGZ7xXroi8J8y0kp2l9PGbK7UkEiwqbjufry2WzjBfxG2QW8L9WKngcqGy5gOpvs/r7 s+7Jo5G6eX7OTphUNstZiN531lr+jr3T5yduhdj86+zu/SwZSMRZBRDLlklsJ2pJtLbJJ2iVb/iv deszkgtBqGmYX9RFYo/EwWjNMtjpp9GC/4+UMaScpAOkE9h6rlzeGymzVl/z+t4wc3z8NQMnvr/4 MZsTwC0OVoYWl65GGkJSk31whsrTVgqoGkcyv+M1zNS2srxjprbBiFRuM/BdHc6JRJTFTf8qpj4J piVKRBwj4L12G83+QV/SD40y2MY3DSX+a+6Io2ENoG7BvkmDsDHEh5Nls16rCtR5uxfanr99eZ0I JB6ewXUdnTapbqxa9Om0pASG7ErPuz8GsVAlI9sf5nuGivycMr4sGCymcI8ZkGX1UCPTkzVp2YJ1 uuf/77qQ8rGh+QDYM/Bls8hImaaPN6ljPz/+kNkTluBu9ozRzq9OKA+j7SL31iwrbFukmFLzcXrG ETB4RgzzMr/qpEoG2eh9L0Vui9zMJNxwsms3v/JOSmWgsvcpuBuaNBrkqfEobPoI3vBNsW6atkoQ Djt72IfleuYGy3RWHreyIZRe/KoZrJsh6F4Mo7t8oKfkV74Z2cM2zvoV1N6aedSBZA6e3LYE4OS0 k/nDWnplc3k9bvQC47z7MUZS0KZ+l61uo6JJhYS4/Ix0GODbFLElwz5bOQxD6a+b+4z8NvtZkeSu aVCF4wNVQQ1OyZkGV3HZBkJI2pcEgBD7/kn2vsy7DYbR0huaLI6CsQqyCaJMefSPT/unTvwMEz5/ rlgsrlQlPtCjxwg54wQKG/8u3/X4yrEUfWOAy6sgQ552uhGTHdGjrBwWYvs8CpXrel2oe/TAvHay 1K+VSlL4mOotnw636Irb6+YRpH3V3madbeClEbzGXSUi+4BSeCzxLpJwfTtvwGo3A8Qu6fm4Tsn1 rqz1vyQqOrJEndzwRQ36VqNj5Sm3Sbu1G+eAGX1Y/H1wxq91tpx99uWWVHRlRAlvMy3z/BlUSnVk WO1Ec6hbuwofvZ343w7sOvyeMcageBlNK/5UuMA5RYwVdK/APDhoHyGniHvhKaRJnUNfZ0P5hnmD 0dtgWmG13UfwwV253Ke+Fd7oYmLFw/WUrdoqqHEwiL0niI7qrFFISdzm3O2FgMctTGIn0PyD2UEk LDagdz0GFOQE8mLXfFwUEf4E2zE6ndES4ajM+4l08rTidGVWkCNOzWGhr76Zzg0/GrF3+Nt0CqK7 dpzR1jzgB72zBp9oP2eHu+gnQTNibWxyQWCv4T+AwAIGrnfpU4KkTDr1CVTCtnQCaP9GJUut3t3a a3VxT7A3hfHXkeb2njuSEKVyrhymUq9ZQz7ZqISpBops1GHUqlEXufCDvNggIrCbDJ6XWFQ3dmpe A+Ln6+3ZYzYvSjIWwIsxsPygbAroLwpCNP9nqWM9Yr3mEafkRdRf6cCdKVNZ34v7oKv82c4SGP6G JCmdEybRClXvyhTFdCaBvw/0wCKfInT9jBmlhNTiaq1H3cogp6CEzZPqvUeHhcoGOeCeBxNYM0FG UILxS2rVRl3CbaGsCKd+UOlAo/R4BddDcdAPw/mFx1Iqv1O4iLMh6WJFkhZn/1sRBm3NDqqX3bNu nVBBYQcNWNvDdOJPfs/7cj6shi+y4mH7weLarPeoDh4OFyBCO+4NLXHV+Cl9e+DzZbR0iFS3DXoF 7Elimm54D4mpQHZrg2kJ52C9oVC+fFjfOgm8w/U/DQzp+350gAzN2Ma5Ptw46CgFnRpjfkPhqqUs YCVQs8hwOSiIKUO4FoZ6Ljg+Ctsw1kgy8jNq6vz5gtYpUzuvdcMG7mRifIO25BI27woU3I6gCwbS 7EmkNUbcRTqHutYbX4egKeoh0C9xrrLvc7h1puWqnarddwJe1GKQ5AtY1z3i2CgIp3MU6M8XaBKl jf1VxYrDuiDZ5la6lldI7q2YZQijo837IBHuFkUbq+y8ZuBrMyBtW47pCUe0cnK1VPY/3TYXNWL4 f67Tgaq9flr7URz9gQFUBDXQ5VBumJ/5UCD1rv9oZYsnGqWNcxPJAmehTq+rdESaqGnYHBUtRQFb +ZapPW8I5FHOQOs8/U3OvmYk3jmQ4iqVaknwxOWOnCMH+JBjrcrVN5Mem3B2n2/g/4kHhQ0CP0Fn RotkVIuR7i5t7qMRdCVepwCP+z7HqlgE4/HDFJRhKd2NHYgv+ynB9Fx1zq+sfYKgrbV5JkSCbJfT HxFVO49ku5T9OnSyFjb/3ZooCy9XIBba/Vqei0bjoHoo65aA/FJ52bgO/Igf0Nkc5G+X3e29wUDA Nhd0e6OVOZYQDqW9feZ/VZEhO+0RKA5Offz/Va3VjqWkwj0BUKl9qfQL/jVrCZgL0vRd8RhOrPRI m+uM1kvCYeIW7+ao6Rzo2SNEc42uvK88mpB4zrnV02Dp3Iho4mdDHTDPh3tFTozURWqL01mWBkL/ Szyn2bOkH0qJBpEmk3b5FEVpKeTIMz4L1BQ8Ow+aDS/mHAawsSsoI9zArtxRuQAWZPEFxyc1T14W 4MXyMG/9m5BxSh4DFEDDGb27tckDbj/eCb9yB8+DD9l+FoVVmgNZ5EqCGduVMhiIs/jkEx6uvri+ oWx2HNpSseF6NPxWPApZsdP35hNxW+2TxPypNa7a9GQROJRxoIck5h9ifSZ4psj2YfV2bQpFmIer TpFvkVI1btflORzuUseC6pB0RxXVh+A101Pkr4D+BOEBXzk26bRkicqhP17Q+hAXt9k7oG6/G1Dg gLxU5FRDsUu6EP2Po48cm/CVpwraNvf1rgmvBzm73/7g+uwSMlP9whD+DtZLt8DhxlN6z1izpqde ouNcthOUoNbQmkf2eD5ryXmAOjoqszWzcO3TYqw89xCSu5s8UfA6cqHRJKbP3v2pz/WctSe0Q+t0 IZq09I6/3JsP/wSm1ZWBUk3DsvQvpRzkfBRd/U3M0JzpLHCo72qiCNZHxuqKoIdpVE9hBzTh/vCh fjT8/tdw9F9dtV3w5s35t0dCXS2T1s5eYVkAxedIcuxvMKW992VyGRRbcxJVLoRK7R2e0Pl7Ky2I Q4Qt6ICs5yp6jUNYxQ4ydhzS82pqf6R01Cu2FZMAoMD36BiVBJ5oLqKulJICOns9BaaWUfPqav48 AEqzPsJyDGrnLRkDkpeqwN7YrTL3uYA5uPGnMLoujKKVVy0zJJ1g9eEQ0ycmNvTJNfvaUki1hWlC SRM6zVcDIGORIrbi3S9Aypk5qtgwBV1T5MQAjhgvVzyM8OURivCEzP7l6jM3UEpZkG5/BLV+BwWA hNXFifM7ywf+7yR7KDSYXx93DKsJ5ow0w3HeG3HCSM+SW30fGDRztvYfyncLAL2WlwWASaZGtODb q6yaJ8SSMfAdT/XBbDSDZp3VgT6ATgBp0JuGsYJPgIjNNE3OdPGKVGulXVFCWXbFYg86PGuGXC/D w2EYUX12eiAInoBS7pwMd6qA3Zd/O3CSqaRCvLs2QAh19Z28mva/7DMMDEyE8k9oTjgeAGfxjFNV tl/FP+orcqf7bxfSkc4TY++Qocdv85tsVWQgEdLqtliXHNABUHU3QZMWe/U88JKwZAXxTOGhveZe r2YKz50SSvNQmwzRxSz1q7Fx8y5X49CYYcwrWLKMvg1YOzWRUtJGQW1DLmWfCmhTY7Jhhb+ZKDnj 9b+R0B8ZOD9ov9J8/OlptTqgUFtNyKhauZ5EtAk5xjbZO3BEh5d4z6u/0PJngKDU9bxUlg2c2yBs vQkJx/Bfh0fZ81WOjvWluae7TCK4evLtsod9y36C+JWgEPXMoGuonz5kjjYKhG8bAmoaEy0vcSRF sfYNFR6DAI4M8ba0GXpfnvVqR+HmiEcu5Dg89QU5WjnsMG6sc1Ht/W//KWDTrCKoG/kZoaW2x0jG 6SHXf/9+Wk2CRHRu8OHuXos/h51lvSoxy7Roi/EopCdcSY7Ar6drMh+p28QdXV1ikWAx7+yMGEZW F/r0/NeoUZoidedKCL5OKOEmm+UJH7P8XPBu42xOxk3XjYytih7pfLKk8P4F5PGbkyoV/rtSTkn8 unBEaVxNED1ZXbQRka1DPY42iZnRz3Vo+pdyVyxuVhqc011hPf4z1VePA5wdEcSpzVcPqS8aD4vy MFKAKTkIxdwpJiQVRD5MZN97DZ/GAZdfJxRxK0AhxjanXyE6cHXo3MbLvi+RzrLx9oUcmVIZt4Kx qN2fzXnNA69jV6DWP0j98du/2/NkaysaqPJ7Mo1sS/y+fQVzV/7q6vMtV1ycvtZXoIm5p6Vcl+3H jFqiS7aqsmvpu9mbWBz28jf0oL/l5wIO0+3/LOxf8BpCdZ/CcZ97GV/k0xYxSY2qExQ9EKzyDtOa JlKK9O5W8r7Oi1fQ4vshRhCBFvyxhktjA584wiIAafocDzm6V4wQviDxWEOrSXk+whYQXuH5CcOO adlxuMGM2WHqCv7ky9yRWXWPzzCaxdlw+VZqcH8LiHlSFTe+HZjW2V/61c3T88NCY3F2N7XWsy41 bag27skyfeowbX0AhZsgPkSpTgcfkbsJyYhSJfhxEtwRcKQVN+GFD44+3MEZza8/e4d2Q9l2Wlf9 TEx5Sl/cKH4NTOsV41dXePHMuLz1D0zh94Ms/YKohKIef02V0N9kY3GuwPCczhUG3Ebpte1OFo/r 44TC+d7ijtZ7YOo/2bFeg57l+SFYjqAIDJIQom3PiHw+A3P2ztOgbB62VxB4iyVY44M9VQhAQO98 mZg789XYq6SpIfYDiRnbhCqeksMUItV4F3snJQ2w0S51QiCVejYOiFxNMyPaDaTXV+h/ATxKBikO vr6DOKWm0xEq9cLBjpwnPPC3Tzy7CpL1qW4+sJyVhGm3XjUUSszGayJgjddtNRPIYdNB+RkjIPUk d59ldMK8NS9l2TIav63opmyoSPJa51IW/5ALCC5otlRvdnDZD4bzJF7i6yJycuYVigjhQsIjEBFv eqebsMfMZW6Y5+HAriWZ3uL6dMklK11TUBh1dAX34O4wwh6pPwaXv+mkfpiP7p9UMG/onn5JDKjp /+wgJl24ZupnTmb2RRNwZlZh8AXVRNrhJFh2+uLCu2fCBCA9+IJ6fSjVEuu7q58RZqlLSpvp251V JIkit5jd3cFC7i/LMDcbCIQHMRj9PS279Vs/XZFTc9Cw3sqXMzbipXJHwkhGq+0hkzmfbNlwZ+hX 2VK7xnTlk20EFZpBq3Cuys/WsShQUFQ1z9R0wgsajmk+56YrNPgqvQNTrk6d/EgnY6pYeNaWK420 Vta5mMHfFh6baibRWYLH1DmMfFLAftEqShYd99ioaf2ta+8IcfnqbhY+em6OiKGLCo1J2AQZ4Q18 vwTWJACjUK76A5IgEeD+XicgWbXgejZ19yQU+MfGyAvzNXWDj4vPP+fV/L4W4K8oMYxa2guONaC8 8BxXDSfF0xCt5UnvpsTxrqVT+X8Zr64gRDVYgqhfwOOz+aY5XEVs2eFOojPSOh2eIDFo9slnbnE+ ZLyE6dKlTfUKVOpBlcvaYPddQw9b6WQZRZcTsQfQEfoZB7alaMERzkHNIa2cV0XPdaMGK6udRQDu r160IlskZkWylUUIOncok365oKPRXdkgHtw5ADPpSx+A1z4lxKvuYIftI/pJ3I+6uGWmhytAj0hy Y3mfdAeASJetbdcmfigAukn0opy/cyycg68LaIjY2lqdoro8pHhaa0EC8pFDTF0zBVZBjA6qg35B /VZ9URMyklu5Ca7er7r4EaHKyLYmnr9q24kNYYVlL7n0JXm5R1qyw1pVfVnFf4azUQF9r9BEuBT5 nfvLfDoPV1m4glBfM5HZFsb9+qSoua1uwMs/DpArungO3qrlBEo4Afp+hjpkwUzeAGQvE7ypLLV5 dzfQxcwZTTIMXc4z1FNaoyerJi/4SGusMSLwwbVKEMyHGoVVP8lUsImmrps07NVIzn2Gi4RUm9Ee 23ibT9VhPjJKIlvuMlZN0yYTj6HNqL8UkPngG5Mvh0wiq8w1odMRvQ70OMX7wGQnNVnZF/4VPdFb Hu8QONUP9gryei4x+30+CJV4teHc942ag/NGkll+hEFUTH8iE/ZstcE4uBm/kWlJuH3Gl+wIcZc8 sN6Bbm9YsC1Y8yYWL/Jci8nw0uZHPF9L7srrYpyL6AtJG9iucMfrYL5unyNUZj8voVDhz05pHrPS LVJ3slW63OUOT3kyNfwCPwuuSnJPDoWbl+bNZ5PggUkdn18YKVxtklCU8he/YZq7T5y+kJmmcPUk SGzbrhfQtBAUZgxMDqOvAMfXVKtmhlJuvPcnLWCD0kWG4f76b8rj/pVpsXnAKT0xvOcZLJIPyfgf NFZ0nJP9Pr/CYrQGhavLVqblNk6u8TTwoLg4hNaxjUgBCQGxU5XHv1bYgBvbhqiFg1Kmf0adEM2e cVi20RXxkybCvT7QYYuGxWL/9IvaJSFOBsYv08qO5sXJ+vDZROwyPb/W5TzH0mw2cZI4Rz+Ko4M8 wqF/1JitRJil2pX5XUFADzBmM6vUdfj1WfMl0GWkve6gKFHXIQe27KB+wcx/RSDGeXtWEC1bSbcf ME4gLdELjrr9608yOCdqLynRxJATmZJb3iTYR5fdDAiW/0jsNXmAO3ZxK+rBpltTB2Y7nobHgELQ g0WHMZyM7LK2fTsj0MTZS4aoVN1oVJP8rtDDVIrdQ4w2WVu9wDBFTNdh0qwP0asDyUidUXYI2kOL FRbENNdsKttHshmarYbqfZuoQ4+b0+VtqGbbCwiKU10moirBeZ7Aowh5CYB7TBIVgM/4RvZptZS5 z0BeHMmhUbLw10QQ/KHtj7wQUzZsY6YsJ/2wrFUaTEPCAw0XALbSc60PpnBOoZnQX2ZTpOdydB4m TMBoSQlRvfv0g646QvOdnJVC1RXd4daRyH3kr0jzJ2wnw94I0z1Tjc+x4bKNzRmmfBc4AV8fNC8g Jlj4inq4wVH+Y5O3MdCpb1h5r2gq+CI3BnOxixiltkN+QD2xTET42M59myj2+zbzhm4i6P+47ymW Kr1Akq1k2hueUhSe5Lo1RZKcDhle9F+MJ83iX2MFZUVmKvTj6Ig84Qq55TOy1QfFzNfyfxtjuyO0 wG3wN/aMZ+w3e1ixG1BpSILkvYh9CTg+4jOFhr01s4V69GVNN4N7eRPeTBtkg3lNo8xNRx/x+Wko AYdxMiiAjGjcywp//1NC53Kf0jqSpti7dWI72IP3PFp3TEGPf8toX5WTuL0Ft+zApg7E5ZgM00b4 LAqwbDaWC5YVRxfLD/VOk0Pd+00LedBxPha/YkgvuFTQ+FjMqeMFWR5RzuZFd207NiP6glwBO4LA +dMs1Om4MUdyue2uuotss+8t2ur38pIPdH2mwBZLail6QrDOWUGLHGqGaOXbMJggawcxtK/Q31Bz +OQKs9O/dnk6J38kzJB7V1tLfPUsAqgwzSd+YLAWt9CBW3CKG/gZn5t/YYEgpsR6BzDaFQdNZOg/ GwZxP0AWwX4rFedaOeBpxMMioQSk3tJNY2Yo4jQl+gGXXUW+315nJbSsR45Z2oNA5V47Oz3gqFEj gaVZefr6jsWU1fCK166nZCH5z7BY8yQZ0Y7SU+qF08i543I40BlrKwOOa0LdZxtaQelhOglba1o3 xBqwssR5jPuWOYHGxFKCQIeQ4PzaJutHKxgQFFGyfxvMAVB/wNX6t9mB3aujKB1u4HTBz5nBvdE8 77T+dDynMXtEiEVbQgM0oaulF2qkiB9GSLvh7gIMm0KYFXN8trSRD8j2xn/tG5j8X+u9OGGVaNUN 3tb8ghEJflj9nnu6aiSS1k3BaWfKb1/1dF9rKzZUdvKsSjOsL0UhHbLJ+Kgumv/o31BzUlG5gjQf dHIuJ3RTJFTns6a28CYnZsj3M51ZL7BfXcg7aH7YvDKTfKdhtzVHL9t3KppebQA0zip9l9x9nDBZ JDQ0dWg9MsOZa2WXT9yagrSrHr0jjmdjUXcXJdCx6jV7tduKcEPwTpOB69yWprGctxVDPfwzARVh YWCu1FI1mqCAJYx5mnN+xYegVYtaHbf1NkQik/arg4XBvL2yU+AVXMrnro06cqMrEgNiZEmtZH10 MmyGNcb9qho7T5d+fId+9FDmShfRK/ZY8XvTMxH8+WnDOmOsoqBhWn0YJYbbD8DO5SghL7sZssXy 1f5b8waWOSzJhAgx3wC51LiD98n2kZuKeKeNrqzS8D17A4tzcJXoqMViLAH3Ap0y2zHwWQO3xUXu FTTIiCgDUkA16zJDfp2+2ZAtxjtsf9c/CjrjYJip9xlPRY/NfcxFuyDCBQ29QyXKtri5MGo/B8F9 hqdWyjp+3VvR8koemAiGN2ea7uEbpJi0dKMEFUvQ3YWDxOR6bv0qHJhgPySaC1wcuIkcn37j7ANx OuwUHn5OJds1e2oo6sOBvEEaL1dGFLVhQLnaFvA16TOxfUZwPANJBYFTYEPLFIKaFlEyiCpiyKB0 0wTItyq6sMbE6YpoYqRrOxYMZ9b4PBtKryRekQXtpdfxZyQKsegyVeuJ1f+TsR2l37Q66YPELh8X moYPpxPMY6Jmal5Uz7FyvEvBq22U9kYl10Pu5sBfV3mdq1mtDxHWyFT8cF+plYFl/9sAuTQhvIQP p/CwQW+UF3jC8doUd283uWdp7PEaC8nH1WS7LCRz07CRCDoaXMmQP524sO9bxPLqP+qjsoGdeAt8 5hptViX3gxb9zDBcv+s5ng4b3K0/xAeyTgSaES9d1Y4KXwg6i0ZgjpPEWom8gNvzxw+7Uz/ERzo6 RsODZtMtPbI8TWgtcOlD6usFkOLmAbvKhby4my8NZrJOSkNrClJLqM1KptY6Hq2q4y2kGL8MWuN6 27fujbyLPb7lb1tHe+Lm7zBWSq5taxwVAi2JAaFlxWOP/d+eT8qxVT1YXVsxYNrXM9xWtMm2gbGV UsGmTSzeMsTi+LV3MwxU4pL/W3YhHbf1B8/VW8QtZrmD58QsxQjs+6Ard3e0Cf9pAvmyhGcP5pXL Yia/2YkNB6CsrA5YKbY3mHun+PF90fY+6CFMEuAv2CqHnGDkJdDE50Ap/XwUneOKCExbqRP6tblQ LV7dZIGVvO3srIY+LYsoM3tBpIXNN+dA5lKb16rdbbFjVyRgKCFdaHFSBdA6mhzFk/KwV8o6Qf2D /HzC0Qj5n0p12dojRm1118Ai9huRQTi4UfY98gq0EVQ8nhQUUNwLR2F6vFvbYiCPBX/jCKQ2ezrn Ur7mTbK0LIkPZpR42JztPpiNcw8upt0M6ULn+L+GrP3qfa94YI2UXYBiqJXiIsubicjp9B9rJ1// eSnB6KT3a2qrahnVgIeNpAG0KelctaQfa8EEJcbsih86TG2LaYLLefOqPyFQSq1oOwtSWFJQmyU+ C8z7ji0olCn0gImaStLDZJhFP/BuT3WbODFQ8/896zbtfNvjuMh3H80TwhtLCrPSsuB12Ryii5Fz f7yh+QQu8BFseuGUruVBpG/Lm3zdcPCD6c1pW/iwk1kOC3NH3nDMkdCUoA/QlkPwRQf79nnrkygK g+P+5QuoNdcIhoFN36MTyfPA2ttHWL0S56nOb0s7hFvTpxoGnWSBn7m/zEdz2BTPjB0dvhMQ/kTa 698W8c4SSmu/ki3RrCbxR4snjTKq6vr/7zqUydrW+7uFJfFPHUrDmrMWhQqDQ4Bdci00QijqFK4m +lxJ3RqEtDmo9LmIcoif7+2N+HuZkSkfOD7Gnq55EftqXsI= `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pf_occ_counter.vhd
15
10945
------------------------------------------------------------------------------- -- $Id: pf_occ_counter.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_occ_counter - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_occ_counter.vhd -- -- Description: Implements packet fifo occupancy counter. This special -- counter provides these functions: -- - up/down count control -- - pre-increment/pre-decrement of input load value -- - count by 2 -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_occ_counter.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- D. Thorpe 2001-09-07 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst signal connect to the pf_counter_bit module -- -- DET 2002-02-24 -- - Changed the use of MUXCY_L to MUXCY. -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_counter_bit; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_occ_counter is generic ( C_COUNT_WIDTH : integer := 9 ); port ( Clk : in std_logic; Rst : in std_logic; Carry_Out : out std_logic; Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Cnt_by_2 : In std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_occ_counter; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_occ_counter is component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; constant CY_START : integer := 1; signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH-1); signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-2); signal i_mux_Count_Out : std_logic_vector(0 to C_COUNT_WIDTH-2); signal count_clock_en : std_logic; signal carry_out_lsb : std_logic; signal carry_in_lsb : std_logic; signal count_out_lsb : std_logic; Signal mux_cnt_in_lsb : std_logic; Signal carry_out_select_di: std_logic; Signal carry_start : std_logic; Signal carry_start_select : std_logic; Signal by_2_carry_start : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- count_clock_en <= Count_Enable or Count_Load; MUX_THE_LSB_INPUT : process (count_out_lsb, Load_In, Count_Load) Begin If (Count_Load = '0') Then mux_cnt_in_lsb <= count_out_lsb; else mux_cnt_in_lsb <= Load_In(C_COUNT_WIDTH-1); End if; End process MUX_THE_LSB_INPUT; carry_start <= Count_Down xor Count_Enable; by_2_carry_start <= Cnt_by_2 and Count_Down; carry_start_select <= not(Cnt_by_2); I_MUXCY_LSB_IN : MUXCY port map ( DI => by_2_carry_start, CI => carry_start, S => carry_start_select, O => carry_in_lsb); I_COUNTER_BIT_LSB : entity proc_common_v4_0.pf_counter_bit port map ( Clk => Clk, Rst => Rst, Count_In => mux_cnt_in_lsb, Load_In => '0', Count_Load => '0', Count_Down => Count_Down, Carry_In => carry_in_lsb, Clock_Enable => count_clock_en, Result => count_out_lsb, Carry_Out => carry_out_lsb); carry_out_select_di <= Count_Down xor Cnt_by_2; I_MUXCY_LSB_OUT : MUXCY port map ( DI => carry_out_select_di, CI => carry_out_lsb, S => carry_start_select, O => alu_cy(C_COUNT_WIDTH-1)); I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-2 generate begin MUX_THE_INPUT : process (iCount_Out, Load_In, Count_Load) Begin If (Count_Load = '0') Then i_mux_Count_Out(i) <= iCount_Out(i); else i_mux_Count_Out(i) <= Load_In(i); End if; End process MUX_THE_INPUT; Counter_Bit_I : entity proc_common_v4_0.pf_counter_bit port map ( Clk => Clk, Rst => Rst, Count_In => i_mux_Count_Out(i), Load_In => '0', Count_Load => '0', Count_Down => Count_Down, Carry_In => alu_cy(i+1), Clock_Enable => count_clock_en, Result => iCount_Out(i), Carry_Out => alu_cy(i)); end generate I_ADDSUB_GEN; Count_Out <= iCount_Out & count_out_lsb; Carry_Out <= '0'; end architecture implementation;
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/pf_occ_counter.vhd
15
10945
------------------------------------------------------------------------------- -- $Id: pf_occ_counter.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_occ_counter - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_occ_counter.vhd -- -- Description: Implements packet fifo occupancy counter. This special -- counter provides these functions: -- - up/down count control -- - pre-increment/pre-decrement of input load value -- - count by 2 -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_occ_counter.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- D. Thorpe 2001-09-07 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst signal connect to the pf_counter_bit module -- -- DET 2002-02-24 -- - Changed the use of MUXCY_L to MUXCY. -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_counter_bit; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_occ_counter is generic ( C_COUNT_WIDTH : integer := 9 ); port ( Clk : in std_logic; Rst : in std_logic; Carry_Out : out std_logic; Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Cnt_by_2 : In std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_occ_counter; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_occ_counter is component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; constant CY_START : integer := 1; signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH-1); signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-2); signal i_mux_Count_Out : std_logic_vector(0 to C_COUNT_WIDTH-2); signal count_clock_en : std_logic; signal carry_out_lsb : std_logic; signal carry_in_lsb : std_logic; signal count_out_lsb : std_logic; Signal mux_cnt_in_lsb : std_logic; Signal carry_out_select_di: std_logic; Signal carry_start : std_logic; Signal carry_start_select : std_logic; Signal by_2_carry_start : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- count_clock_en <= Count_Enable or Count_Load; MUX_THE_LSB_INPUT : process (count_out_lsb, Load_In, Count_Load) Begin If (Count_Load = '0') Then mux_cnt_in_lsb <= count_out_lsb; else mux_cnt_in_lsb <= Load_In(C_COUNT_WIDTH-1); End if; End process MUX_THE_LSB_INPUT; carry_start <= Count_Down xor Count_Enable; by_2_carry_start <= Cnt_by_2 and Count_Down; carry_start_select <= not(Cnt_by_2); I_MUXCY_LSB_IN : MUXCY port map ( DI => by_2_carry_start, CI => carry_start, S => carry_start_select, O => carry_in_lsb); I_COUNTER_BIT_LSB : entity proc_common_v4_0.pf_counter_bit port map ( Clk => Clk, Rst => Rst, Count_In => mux_cnt_in_lsb, Load_In => '0', Count_Load => '0', Count_Down => Count_Down, Carry_In => carry_in_lsb, Clock_Enable => count_clock_en, Result => count_out_lsb, Carry_Out => carry_out_lsb); carry_out_select_di <= Count_Down xor Cnt_by_2; I_MUXCY_LSB_OUT : MUXCY port map ( DI => carry_out_select_di, CI => carry_out_lsb, S => carry_start_select, O => alu_cy(C_COUNT_WIDTH-1)); I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-2 generate begin MUX_THE_INPUT : process (iCount_Out, Load_In, Count_Load) Begin If (Count_Load = '0') Then i_mux_Count_Out(i) <= iCount_Out(i); else i_mux_Count_Out(i) <= Load_In(i); End if; End process MUX_THE_INPUT; Counter_Bit_I : entity proc_common_v4_0.pf_counter_bit port map ( Clk => Clk, Rst => Rst, Count_In => i_mux_Count_Out(i), Load_In => '0', Count_Load => '0', Count_Down => Count_Down, Carry_In => alu_cy(i+1), Clock_Enable => count_clock_en, Result => iCount_Out(i), Carry_Out => alu_cy(i)); end generate I_ADDSUB_GEN; Count_Out <= iCount_Out & count_out_lsb; Carry_Out <= '0'; end architecture implementation;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/sim/zynq_1_proc_sys_reset_1_0.vhd
4
5845
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0; USE proc_sys_reset_v5_0.proc_sys_reset; ENTITY zynq_1_proc_sys_reset_1_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END zynq_1_proc_sys_reset_1_0; ARCHITECTURE zynq_1_proc_sys_reset_1_0_arch OF zynq_1_proc_sys_reset_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_1_proc_sys_reset_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END zynq_1_proc_sys_reset_1_0_arch;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/common/rd_pe_as.vhd
19
25238
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OHeaBmhw2WWXga/8pOVTMIzcYutI6Mhna2kzvZmeKvttg8GRcsMBDXpogvkdmdxp1KLLzWXMAKSV fUAOBPVAvg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ao3tKAmGrk9jDIJ5tmEl5p3MIRphIc7Vg/SqO4TER/rFDRMS3J83CwQ2b9YFrnde65FSvizCvsTV 0Knxkw8zoIma+TSgIxOnivhI3WBhgKeA2uGkUI4h7aI3JKyXt+ar8rATgfMIjtkwwZmXnAQdFAm/ DhnKD9KmESp1ihQZWxM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tIRCJBwrqw861TllYkYZisN+3Hf+P2JXRGH4rS3/mIyKaeRa8ciKvXh+DuDwE0CQ8FK1JKt0o7Wy 5niCab0pNdgMIWoeJTN4M3Yv3mIYHhxe/uhUY+qL9dbTdi1peu0ypGwB+pCVAaCMnYsMP87ovoxG mFxz/aWHoq6z5hUiOqs/8QctFGTu5uGrqo/fDpwnQByfUDzc5kOGUXom+7Ix+u0CBnUzxUPMVE8H FW15FWlEhZ2/WOv5odw8POvTaQir1St/I4TCBaM8Ne779Z1F4E4v1nyrImWHcYGt30Ex/kdASWup x0rIb4g/F4zfpMwk2F9PI0IRzfsxsXBx1PSZmQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vDR9iZfmcKoc03DxzsUkjAUcoXZpLGp+jz9oB+bhIzk9fA1B+YkBJ4B6wGhxOSVsIGzj0A/2+sve cYv4/y/PnMWoVJu5GAXMXsNWS0+yhRlFm65eqZTnif9T4BQLUfDB3Poe8t8+8qJraoiNha1dShh9 FtnafnjfaWlgFCK4DSo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P5OVsGiC3k02pbA8zjICborh5BXFBySD3cMhIIsNr8DZdx+UrjbiVbqZMU9Ry3hJ/1iX0Q8zDyFo F6W3nmvV82n8xeQJN36fxUpz69izOLDYVC7B/XqC5I6fwrewIKThxTuK9lZtFdQHHrzj3T2ZDLDy Z1+PK2wQ4cNjjft1DSS07aO+6gcWXb8X25cWmNGk/P6Hl0pzIcfFFHwO6Oq+bJ671kKmsX3jUKAg DTTCgxx1Ex2XG0j8cWCnhZjmetyd9o4fKBdb10goxmIXB8/8Sn+4BcUJVLUQkMnRwy0YJGGtpiHs ZxxUU5IU2sy5csUBb6rGbP4ap8jLGVFhtMQgiA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block xeg1xvAX445Jemc3c17YsWueq1U9mUrvFKBzq3PsgdORUCal7C/Jk+MBfoacKIz8R5RXQ/ilri/P mEP0LAp9SnUCyUesxrkPXlMrXZu+XGBKHQfx5sN+wP3LyOBB3sDrK6NyP0Ykc4HD7SarGKwalNYj mMeui2tL7T/I4JVXc6FJFbwXcX0MTTLAdPmnr7HDnGZv55u3NI+4nQWQ7dUNA5iI/ERBLJ2uxtjS XcbpESaOr0+NIi0wv8WBHPI9UshhRzckoGVVRQJKgMuatKBoJZL1Kh2SaKZraeAhaVCC+/yRUbgT uzmVJrQ+MfjaVUD4bD8/72c298owHi4TpTo69FSJhnWmiQDcJKvUIbXScpnSeGLXGiUCIhmTSVI3 jkf7CfvwBd/yVB9G6nFBMi/s1QTrhlA/FfzAXjbCAn9jV9IAzxiv8DJQF74bgD3cbsITk7gPO1xV 56foC9qPsGzYTMB88iae+Mpq2kVgav7uQwuxSV7Rdj3qX3LrFbbmrn0Ws3dnyfkf3tRBYmrcGZjv xsvaqVsBEZV8pxpvwoTPnlgUPVkhu97Zckxde+jH6X7vwmfZlZT+5wlY0SGDwjwIDk7RGPh5oNow 9cm2ZBEruCirgJ9bzMh66vbM0RTgQgfhNf5kIW/sqRReqKLFRVjPtE9QZluwRkt+zVw+OEtjvkKs KXxk/3rPxQsYRDkeXm8de2melrzAf4kZ+MqZAg936SEjWAgYlqNfVsfx7aHKlnbT9bMT8RDHk64h cXXrZ1lGHa2L9RNlvYXKxwmAxuLr3X73B7ErZapkVeAREz4bKuxvmU34Fec7dhcVsEH9gju77Cfu wRIKSuT+EkIIXqYkCpbtJaWEqHMYNor7L7w/27QggYi+BfxElrQpaq1UuMWShg1hZsUa6BpYTXs7 6CVrXwSwxsbeHK2JvwuVLtIMQ9DKHcbmgsqzfUrYKR4wkEGM5jvckYFJMlV/FwCsKMTfk/huEr8C i1+UajHGXnCPUJU8Kv+1QrzW+qp0L2MX1kXwUsD2ZtXVJ6/1tJxstpKApP8kqYe7MCN4AJMBm+KC 59CcyEtv4kalQS1J7ZHvwE6ZQ425KA0ZnpiZwK7MyHjpVjRBtePPyRAw+DQJE3V/9i/UjyCbIG1o Ak+Z3AdZomOZ1ZUP7BUJyoh3I9E5px/nzgyVTGJZYBznY00+YrmtpNhU0pox8AH0XjcLTuDSt+nh uV0/d8HV1i9CdKM7W4UsL69Y3PuCTdwn4Csk7PAAPHPauAguZKgL/qfHTnsE3PJE5iLcKKkgyIXA QkYEPijhMzQb2g01JFEEFZwi/+1cnIVGwnNbCU1xDr1N2jomiwZGXeM1eD4fuwIcvlSrwfNwczke cUN1Z3NS11VKnDizZq9ulq38bynFoDnkj7Yigzr4txTbY2WwDGscT5zjGVBUAVdUswbPP779QvLN bEM7QNDVR+aE4FIruPnBdAMNtj7Nzrzdm19hsww0oVVtDT9CqpKJwbTb5wJo1f8f2cD51KY6dk4q aCIt+QgyDhFJF89qz/4sYE2Wd0VSEK8oBLiZWikG4YdJ+3nAfJm+MTPu5aRbNT7w0X3L7ym7hhuW 1f2EEjkyMokFpDDiYjrqIspD4UdZSc5Yqb3P8qgHkPXvukwdyUPe/e9jZnKRHJ5XjmdjqY96xm4M J2rlJTwzHF7ac1a2iB4yLFDzrBLPjVMMbeFMNBMZs4ihZTuMMfqQTDJRLC09clWJm2NyCmXglVoo 2P8QJ6cUJPEUeV3t8cq86a0ha0u2N9iGCp0m1Ji74pba3cZGtXjoAnhrMcs9G8+T7HS6MT3978HG lUTOgBGniBxQbH1JjjC1KbMbNr//6c7xy3tH4aWy0ybUcFghZW+4xDZCVYALsEN9PrtsL6l4IaIA 34UqWARkgh7Tgpnfz2y5c/ylTTZO/s+2VosoM0UL77diFH1mDh9AC7AyY7gcl53y5W/zXnWtHX8x N12vOEU6VEwhpBNHGR9MSsYXoTbGBruoOvPNQM3Tt2KmZ7tyTXxGU2f5n/fZUcLTJJojq6xdWtz2 H02F36Ne2dGXtKnUsdOAMYkN4QALABY0vbNm2Mj1EuZXNblqiHjySFfVM8TqSERXwvNwFzmPR8jd VPHr46HbPFNSoR58dJFKL08d/q3vjIgB7WzusuHoS01lHqefwuY5xF3/jXOhnWsTB2mSxZaRn2d/ PZckOCPAbykUGS5hUb8qxPP5impMKvuFVX5kpf5axEKCBk/geaJmXj+MGdi2G5/t1q87sjW34m3T JybqfZDVWuHzE7jh/5ihUZLlCUGQEbtgI59RqpZ2Lfzu0DCENHOQAaCVI2ygAXYYJI4UDd5crLiz MfCi9DmXrzpbRte4iT2c8P9Z8IXj+stxu2qVRw1gHG8Tq7QG2PR4w7/c1O2nQpDB7O1Orr/aAi7R IXadwQLubICcyrN8P1qb5mp0zxg/PgSvXlpf/qRYgCLJj6sdLh3EGvLGhwHt1LXYligRBXD8nf29 DCigNq71NomWZsb/kyk/PHQexWGhcMmzYy/8TO+EalD3NsnDVSlGzE1RI0jv9aDmHeTNp4f4q7H6 pORA6kEACAnSN1mN8cJqtHo6WCcb7gjV4MjFHsfb0UPE/EO114ScV1Cm2EnMlIJRtVlYf85+UTS9 GqMN/DhyuaQVRWcdzmah5jCrnXvNCCkKbe3r4LSkROwo7YL5HKR2ebqeskaOlPJllaEG2fS4yAyR TmuJLfq9ThlJJWIarbUS7r/9TUmndnjR643sNKECKdxzbVp/7+YpoSANMo4KaLM6E8SYffDioJrl Bbw6nxJNFTJF0k/MlHwt3yjGeuYz96Q1mnPbJx9o2LBi+UXYzPwAaGCXG5hfrYLWaE4hhG8U4RD5 sTgY4KeJWCXC73Q5Dt3c+iDqszkT+OzCcG3z2JcSYTM6SPmg0dvUpMdbyP58d55csn0cFRf2Of3Y QsQiGI1jEVndsGYrHr8vje0NxxzMuRw9r/Gz6+KbkkMaqKNjjSKxVPOMBdwbqO/4xexy8/eWzkla +0HsfvcIrTCsUmWzD52gG7RXijLW4MesxOap0Ir+61WCcGvt0hy0cHdNRKLPq6gHtq+c160XUwQ5 x+8OfGeNeZlLdR66XrWUX9H6ZM4rNU6LgRc5NQIpukCJGOOr4fZbJu7K33oDLdmym5H2Bq+uAHN3 K3Wk2YzFLGqV0+DXwwxzSr+AD5WKkCkKgDt00iQeAejnGNyth3Cms+1ISbPPiKKryALxlRbR3BJl cIp8PiSYttiDA9DlsIBKGx1cMx/ghuPvW8Klmvc8Wy3MlBn0d9GgSflLtsTnAAwERvdKx2V7RzWU PZr3O9ZTDJtbyqgqHpDW6L7KoNgpW9OhvFkVI8DLirjdslIxnsvwXsqPgPNKV1gdAP3cMdvYdYTK at6q6x/I6PwGEan4bfuUgOc8nY1awqZvZMbQDB+8Eus7ijzoSPI3fVQWri5dC0U7NBB80D/3vf4J cfbGDpcMqsabM4oQVqmCbI52NSapRpTrO+6wacJY+dVy2cuOs/Cvd2ZtBmdlecEVjp98QBsjPuMl 6QJV6iAxjVZ847HhrjB2l+YR1Dlw9vXhQLq9J3pSjVbmfSjvRT0mPlILyw+qz86yBoqHFqCkJOjl Aea1vD5J8gV8A9tGjvM0JuAYTHJN0kqeteTTsk/qbocWod4T8FlsqB57ZiXDLgSI8E1qRL6chtnF uUBXzrl6FXw3pLeFY8Gz1SulMKmQCN47uaVWN0zTcmhleGxUlP7vIqxG/aem6dSwsUlnPUq8kIxf RLUHWgvEpV1pHKRirOuy/xe23whA/8HyetImPAKeYkud4Caova9xrB0YkVFY55vJfaQKyNcSHIp7 7C/2I05cFqaENbOEsO72MVyjb2Z206ZkjYpy9mvgY5FyJW/cng944wfCUYCSCNS9kDLspsHYPwrC jRQuQdHnkjI+H+F/paGPu+En0CZ+eqFKnlU1c+0dpmqnZVxlAbDhextaEPn2UVnKlXNoV/kJ+DXT Qh0Xol2XF7ss8dWmZqrdcGd5VH396sEOlzw4JgTM5Ekg+K4tg0wrco1M61/L5Izr2xOogkRZ2E0+ gCkiabFLw6Fg+odSMZ9f7Rcz01UJljpBkN8pkNBGJDitLPUG4XOWealoqKYiOBSIPIf6mMjRTPJX 9LPtdJv21h0t0EwG1l/o88c/OsFhn3ArcI0PEhwdxDYXwoWlJ0zm6CpXBk8HmcKtb9FXX2L2+LLU hOvN7kFnsQnuMScQRdIyzOwND1VaxfPwi0vqUXFWiuKM/gi6LAXlQlsqKB9oAl4GSuG4evCICgGE AuHKyvju6WmXSxu8K743vvjy4//9bZXI+gECulJ0yvrljJjhh+0T6aTEf0Ojx0xEDPcykklLIcOL 4OEL1HDX/YHsV5Lu3lnVjvRj/OKgZCvEi6ygINQAwKQGJ46zfnKh2TAsbIXUa2QNeOVSemOQ5r/k l9+gCxpk0jPJU4ZyOrBSGLQa3imp0BLVwrzBlecTk3oN5PkTh1ErO/Q9XqHh9M4qsPIpQ3FAid6c waoaH75PjD3vOqMSrwKXSB5nlbddNhUYWxsSrcIHqzRqD5M00coVzxKZ0tAzQNGIflnea9IaeJjV rUJnjC6qrCb2lNvX2TPXl7DvL6yr6IR5ERNjH2hQ0RCOdI1Eovy2TJm8nP7Hr1O4Y/1CPgtrARRV 1BKzgfVviSuIfy5dup5S57SLU+GMe7gHWBxo6C2uObCtSsl6KU3spG2T5Zd80wmzab7Ettcy3dVr HDtelhW5fE1eoUC5/jXbuFcrUfQj7IcSYpcpzwhYNSjlcaTclmbMN90Ut5WkCBeao/Sy1DO4kqIy i8EwRa+5rGPgUTVh94kZuiQXCwdH3U9QTz2h8Sgd5h4hcOXQqUF0dFDuRgzWj+rnpbqp46qN0/CK BCgTpO8ZeUxxT1xTOPKyNc8rtKqny0TqcDPKRf74c6nuKZ1/AegRxMvRWIUJRPyOtMMmaYG8Rzfh rmMK+oROwwKF5aytRctmI+1OuTrFGGmIVd4tydW9Mqi4hNF8VaIYMMjpgfkvzKgd7CWCsaW4zUea N8Na5oygowcdviClN98MFm1Upz0HhK4204RQYdBpO9TxT1s86CZsyouucLmE1JKV+6QG499TvZhL 0aDg1AJVUYa6GUb36epGjfW/jasgJvctz1Dp8C5gJ/x0QDghg1A5JlqKK27nZ7Fzdzhzr2kGGfd2 vhQrkMIqHEFdP7D/7KSw5TDEkSwLVgwAk5oanGXsOuF3fwRfbGlMB5BtC+td0RFS0yTUVOhb1Uk9 UmE8+CkxhkxEYhSi3vGAg8LgbGmNuPU6gwYSdr1FwxC2eAa0ZjxaIATtab1sQ+NXRYJqjvFk9ouf e/BM8iEdrA50fL7YyEfxFRTOr+Zjy+ZaeESQuQaNQ80x6eoFc6p+eTLF7yA4+FN0NBqdULayQZ2x YO8gLE/LRG0fuax5bqWfs6i2ot+rMHwA3OogMoDZKDHZyWUV9FUwRZRADOsq8yM9bp1Rx9gnrrGR LaJQSvqso5Ni5YONU1P07Oj1F14gl262tgT17Y5QC2w3/3OPnE0oKQtxr4BVlPg+WBM/ammQXyPa T8MmYFfIdpKoZ4IZHDCYbQW7+sKAcXmZPP8L1AYunVw24MFIp6CChtFuWQxS3r4CJMquxX1Hj5Dm bKAZvCF/M5grhlRV3X/jetsoRx1fAgoYBynJQ+v0yAUjhwM/9bUBNxqkv4DTXVdAxSqfsqc/6rh8 La4rBeWsh46pydmuZyuQAp/O3OiJaXp13CkL5nLhUg0LvWm5XF7XB7YaKQGJmS4Pb2lHqFivuMpf Bn+V2zLn6RQM1IKbEINQkWQU+FoZTkGZ2eoXkEv9/DMxBiqM+qZdzoBDW37c/WXo6fgqcMXUtaPU t9rA7acBfgQe0CXJ6341ZB1M/zzKBaY+eh4Dm1BnZPfNdS5d+fhmTNowv4Rjxs7b/UdReDVkohGp CK/UdxZ/AyhcACsuXh/6hVhCT7q/iQh/1n0sE0Fjle3GMKvj8YgHMZug7EBp7Vrc347raTVj3X3W oqaUS+GEUV31A7WWF5+hfbPoz6PgNbNx+rbM/vyAjyev3bH1jGvcqh3rz1GlSNkOnUG5e2DX9aFU JLFxQPUvcxPINf9qxXwneSRkVONc5M4/ixVU4hljEAvWjskV1mhQBUx0SNc4wp3a0y6Q8rwB32cp Y9dQTv9ltYIB7zEv3Z/kA9b4RZUlTLMN5CwRzMvmf4QNLj7lwGzstIBqdQehc+ENNswizfRxef7R tlIjI7hYeMXmntd5oTobMRdrPOZ9oaQAyUQpSU222imebn8BCTXcMLEaZ7VndXFCKtIiaWlh5Gg1 pDGtDB4ATvqx0Lg2+amHNH8YczG12XwgwzMhOieaJXZu9sU7PoJ0tivITQQGQ9ZZtnZKc3s+m3e4 vmorxrR57GMH55SRS5oSGeIp3jeQ4ruwwKAo2tQYZQ4XEjnvXVHHXNRbcMXo7kE+ZUBISJ66KMxc R2djIYZYMxyQenVspPD1IG7mmUcq83MIk07beIbpHhI7f2SVrgxG8b7Bl/9o0DiyLZFAJCUIQNDy DbdlIkhs19VZ5H3E5+NLwF6ADDa8qARq1ycDij9p/nJebydurrrn0P5SnHOiRirf6XNsWKRFrkz4 VK+DSRlCoEPKdJwGWgA3+Qxrn+QHg4c7DGDcQgO0OnlLpNrgaZ0PT5nQXGWTA9XsWbI5vbQb3Sez YGCmHE8iwQAz6iK367cGm3pfS6UDlRk7fjaDzkgxLH/tm/JViP0GrSw2q0GbnH0nRmbXmrf9O6NS DeOEPVumEgPxA0JPz5P5MJNwsHbU91oiKbvIh+Ga0WI6uNbwYfmAKKfLuEAey2ynKdTa4UOgsw6u oFupk6NqJOn5MJB1Qh22k7gA+7YJ0hpktMaedvSOYyNEww+LR8U7A5df+78Y2yqeQEE0ryJusCZw MpYQ4CKvYx8eorEy6ciTjPnqMNlfXakp0RaZEuLsxhZ9+EpNQMC1VHuZ1+fD2G8xIm6NfrY7/H98 12iqTEUoikIzkt/5doo4icIi4s2NJ5y9RfgFrSDkHm7Qb7YDuZuTjVSA3VuyLmJEjnFcDZhg8ihe wDyUx4IR79I09ux3nB8ZUQDebkGVs9HQqZ+un9HiZRtCcl0qLXxO5oveSCzUKFX01nDxj+nHf8Zq BExV2xFspczFmkQRhlx8eSYKqxaQi3XI6fJVH4rzja7H8lP1+Ulbz4As4V68YJ94xc9VVcS536wR dmYgZu1dDw/1Mp6LrIcyiI6UZTKm2G2DjUfhc4n57Sp0RVcwkQiUAg9LcxW2Zx3d4XNvwI4nkPtG hAKWmC0OiDXtKxwaxylwYtWYmHQ6Xq8e95fkJkP5JIjgVLagzFgAOcXvasAueQi+dxU0AxaREfcQ 87ib0YnrjpXtd9tG+LnDSYPnOlx/biK3oXbHVRtgHyzF9sO3CBur3K4BZiVk4AjHDD/D0BiUxNSn jhepSVzLaYC6tXrUDOz+9cqO5hulYnPgdArCQxazgYXv4Y0CJOJqymR1Bwqy37KOIfSiupUGdHuO kuEGq+EmnF1MJVZinoRTx/Yr3lGn6iP2g0rBcEtTUONfm45+7zU2+w2cDoFXWqm0nDRhgQ9kNUoP JzOcXsaN3D0CaPqLqFiMDjnIc019w1IKsKnB9j7NVSNt11jfi7H2yF1EgTh5+DSWTWW6BqB9JXd/ hxBLU4ZTJz1szPtSYVx4M8AXiG3Gh8cenVkqwWAoNdn7rTHmWjM77ryCShcSYEDcw8a2B3KmA/Qe Piu+wM9SEvC8c48LmzfeSLMZSNTnTPpFR3vaqcL9Y+HYqvzY03oxB5jJC23Ik51rX03drxucgOtb C73EqdjLYiCg7U33ZtsIYxLJqeStGuD0QDjHeGQBOs9e//DuaErThYigDxKW1f6VDs7Yhtr2u+kQ dELqPytyxrf2rNHjmQfVPEkcqtb88Y1KzJwQD872XYwWSFJ5nKyHqc3otJ/lr8gb1FfY+ktaHr7T QxtUMLG2YQ/UxWe24al6Iunwc7EcAXwkCaO4DqnH1bBLWO293i+E35lfVUI5pdpg4s1zZzjxrEwA W4cVUtZPYH9IcC+s2OOqar5f0eXT5g+NTeSv57XR8qxBosGQ4exRw8m0Zsbyw0oErZn/lbiV419K eWoff9Hw1Wel7OXd91jbBajXGLhOHGJvek0slQFFLyvz8yQ9LkVKmumBb4fGosLycy/rTBVmyCeS 2y8dsvqePLS0P2zbCJk4pF4wZC0IrzaK2X8jKmPxFYq27EfBrpXue4+QqtUdXZYNrNJJNyxuLBnj rGj5OFtSMfs6LMPAQZ/m0l01K0hCyLRV0PfCWLLDaW63D6+pcd3HcrdAAX39YqpJ2u9nQI2705f8 rYskSIhwNyjq1xDr1xkQMvXkuXuiYEeoGBobiwrCH9PLTvU7hQThCL2mx0FoK5uLhI4NARoS5mWC iCLtQ/CTCIWHs0Vdpe+BZMDVBdd++lGfYqnDH2dwZzkt2ucJ7BP686+z2ZjBOxe/2k0LFzpNS/LK liX4uEFVYCTQi3PfeeqqM0ViCnDoz3qQeeANg9tQq40vMnTIdEnjoKzi4125uUO981AnUT+5kHKw G5ACVC+SXNlB3qHFi2gtgPxADDhC/QPiNGzea4uIKyaHMcmGKPU34qsBelVEkIqEku7H63kBqZZN T70ZqbO7BSVGAbHBJO7me4ISi9ScJ8y6OCjrzc5A1UT/tLE5FPbqqKZxQ9rb0tF9uDXpOtU8oikK hV6YE0IwEzG6+WIRR240HoWWdp8lgs7Bxg8lbxt21XYTWzY1ivEWINEPIAOl0O/EBl0jWm+Dm055 Fl075Hs6iqd5sb98BQmDVpk/ws82bgKMSuPuXqDyBFvU0w1w1A7nR5GQL96LSFHiO+Fwa+dVg0WW JIeVCzAYAjoUm6WIZSIb3ezBcsfHCgU6kqHNJ/W12y3qPQaax28VxoDGex36Q0ZyBcUdXQgx5QRo wajDS0392gz1FH+P8E/H0BNSIi0DbI8V/qXMACafd1fPcu4x3FTgBTCiB7x8K9wux33ICwwzhK1I p228gOgU/jD+Di596GeBd3WJmTI9ZaUGyq8s7ob3vcBYZNCoXIL4P4ky+fcPeZ2mBLfwg/hFtnGZ l/C9jhNCZsk3FXv9ZCSrA1u7RZZElYiC6SUIGVXlBH9nFvE6q1JsqfiRN3ThW5FsUrIK/sGTG5i5 UOIRy7frPNkVeUOKLMXKzMm257gO+6oMcD0rd5/jgYNvwrovvhvm1Rz0gtFwN7ZZ9MB8cEHU+ba1 rnBMYRlYYz4Yw9WNKmxpMSDcJutopdpgC8jzrle9QNhFmlvt/6SdqLyzdPEedVKKuxAcpv8QZG3/ 8mLFGteI0Z7eKVeZuFhhrBuhGRwDSTQA5532RKlKfhP41DcS8DufBHlOpzeRGz1iP2NlGpDWLF8D LqAmmGPK0o5fGF59f6OpHWAp7d6vBl+wlcB73Lyc++OYwuJwUaxWsP+k3XxcP/RXGcqE5EiLtnlI S2gkzLRo8eDt/fNLhYPvl4eXTBQtbADBmwy+v7lNca1oBrZfPuLh9wnLVn76zg3Nnq1RcmtYlbDo y7vtbXeIFq/lJbD/A6xvLWsg/CA45n1EZURdOANRJ4SdCs5ORolCT6UVCoDMGDpdI/wl6sGt1x1o 0keskRDM1d6lzKYYKCmj2fvKQ5Kal/YO45jPjSHd12IBz+K+/80HT3N6Nf9WQ9Zrm7TreeexcvZk SdgesdfNnGq0U6fA2SuiXCIK8tvxK851cL3zXr6H/68FV+/gZm+lUHWjx53Yc5oDjY5wseobfSO8 +sItK/dT7AutVKzV4567XEiT9Zgd/6QGzGyx5wNydOdIebIZzlfG1QueP/LsooN1weXaAsCWJ5Qc mkv2HDY5Cj4V47xoBGdOfi8VfjwQ5IyEQTRZeL56b4Yoh0v057dhFIyX98k9Wme1L3AMgVqivJWb Q2BgXX0ehitWmaHwWXbBKLYr2KgFyxQG07QZNbqlvhEbLsz2JSHsAb+ILexK/48pAE4EEhQ6dZSM 0CE/4Oe8AMoAk+M0n4ycTACWLjOt6YSteuWItkIz9cHyp/e7uJ8eG/LsW6A+IykWoDsnIu4cKE+Y sHTwJPQB+PZ/ZEWnZnkJXhNC+KNAwDz/mRGJ3iEhzSmCp3OihAEeYm+HHG3j2xA+gSwFvsyc9zKX BNsAOjpjpZh9M07cAmGm4XtIEf1BWTU9qWbHZcjiZIwqmfE/a4bU0ANTU2ULTKfcpKf89YaHxlL4 s/o1lBTRxhqpj2aJp6+FaLDnPL1y3tetqMJYSlHgVgE17kH74MqrlZS7nd7FCBuKMlmnVBvdjrE0 2v5gxgLqZKLW9EAdtqyhZ7/do1ue8xGRDBv49L4FEbm2+rKGe6I+ViW/OYSqVnojhSCEfHsh3pof TJq5Ciw/kTdC+LvzK0DoaKFJ6fM3dZdKIa9BdmhoDLonXAnUWhIIagg6c479Tb4nv4EZWsd1hN8T DL+c6UnSKx80UBSnoTJyMjGBdPXpiQjF11BwRWChQ1mp4M4ct+FVeZasvrxdmd5HG+Bcs4nOY6Ip 1u9CRQ4A92AUdIYHGDY1iVM3SbDFD3Da7XG3gUqApjwODD6ggn3803B6BASiuZurSRXUqKKQVkyT cw0kp1tIlrVcDoezS6VJ7hhpjaEn+ZmHRCITPdnd+jzXB8p0nXELPkBPtmBcuNRYWyRfLNzCbhxo kPJUDpQA67Ig6hVdxMk3yJFY9owUxQJKNHGhy/Mv61zGwqCEP3/JI/+l7pN+OBJ14OWLMpCkLBXL OwZIaHlOvzxi1UIvps0d9cQ+NhVGkRNR3qSwgGf3U0Eh50YnfpBBJqPWAvVNCvJ9pr96ziL4R1gl 8jHgHIQWBJ5UF7KxRye2H/JJNKWnD8CPr3PJ/8+O1rXVezf53vv+3wpmm/Qim3ZX5EUoUxAarR4t JUWS1EhSFVLwI75UYIZ5QU2cQr/bDOi5VVe3CL0YP58grfKZRI0InCbxA+XOzPje+I/yplzXN1f5 idjztbPm2fGuB2FSbTr/xnYbK2SuoIbFTaSHyamrXMux2zWxfvf9KMS/JMhz36qU9xuHjgI4hnbI N+NOmzqSUY4MPRx+ly7js80A+1ivRgDZmmHO9EAAXM92eRTHRzddeCEMSPCUbsxWoI7rW3g5ZGl3 t73ZLI/cr5Pavt61JMjJZQx880SDTkgxeT9sCk2UPKRw4QxSmfCc/OCClV6xrlFjUFJODdDetdUd fqo9JrPLjSHNs4urxjHIpRHputt8T8Q7BiX+oB5/fp7Vz6P8Eu710z0obl80GeEGu8rL1d6yT4d9 SHVF0DfjKkqN6Pryj8BNPwoeGoTauiIR0dQ/7mM3F4RcgJ3/hvt7Tv9GvI97kjdHxY5gDczl4CVD uzxM0pynStkigPfM6KuEOk7h7E7eVBG4fCJpu8cUjIOdmh6SyuP1EISxcKjpKAdp5uHPohSM1Z0U V9e4eucdKn/E/gaOAlAom8JHbfi03VEMGRWnmYl8DG8wDih4PIzKBeRALO4p55RCEQTpkRTT2wl1 Ac1kKzsIWxAMN17vUXVmHKjOWbHgGQoBdqFcipFl3P90AodlVG64L4VQu7rp/P9rgrCQj0UIqG31 T6wyVPJFTt93nB47RpNX4Iqb16a1brK0Arz65gkQvR3v0zFlsqqGgpQbpT7kI5GO9jL+PLK6dhG5 AV4Q0DXZk0KPQJk2HQWLWoE/urWapyJ0/t1DTw4wZcV+7q8UXqBdb8aE6uPcwJV+WeZ6EbSFtXF2 KvajWKmI5WehPSkz270hCZ0HHOtQDFaWIsgzF3v3sAOqWaJlAbjG7RYJkeVbqqzfWFL1ITugw6sA t/OIAbiKBy2sK+J/kgtQO73a8CZW/Hr0LfIzb/CNVuKZVfuYCWTmfFgnNygojJv+0wGOocLfaejm dpKYCYyXdzTkNKVXilGUlzLnzXIbcE249O+ai8b+HunG4VpslMDRP3O7Ibq5vkwVmuSHHJjAg3fE AV7fmWzy4IRhLD8WsvlKe63tYdl8CC+990awqSb0ei2Vlox7T6YtH4KrB58Ee75QT6+u/Y2bVhA0 T05ANNi5Al8g4aqUmujqYeGMJB2H3fUUDP7Cl1GO31JK8dfhYjtGgHQgIPIbTu6kv3+WhfAPGPLc 6ug1tvJak/3/BwbM0hUBvDwlM626+lnYLfBPOfVrmBDmL4Mla2xf3HuKQhi0goybXU/5SloECrGi JSpNC5I+7M+4REUvJlkQaBYvnaUIAniC9rmW3jtYWKMpzESButjwaNkXeOlrIXsurqKu5n9tG/FW 4i/uzYP6CMMzBGYurEZ3Cfay4RlvsCVC7Of7GFOmLAi+WVfx7cL7NudBry6WZHpdOGiQPqvIglgG HMdzq32dnl8L9G56G8ptEZP3rmdmzZ74++oMqF4PLFSTKQ8N7yPJIRWt1LOV+2EQcGlr1gWoshET fqRX22Y8yPrgtdDzq02M+jKiovPpxLK5EgAMTTctYM30SSHvtGoN7gGSTULyGd/WYy81SZ1EcoCB jg3AoxL3n22H8CFpaMKTEkL/jXVwBNQZluQ5haWll9U80qNPrWrDeMlUmY9BZGQsH0seTJ2CPQQ3 /TTr0erA4H2OLUHp32yRdOfZQeP4nuOiMHH2sYN25PwD0ydtkGfAYw/Ird/sMX4/1TkbIKgEIn5e IOAgz4ntq3Kltom3VkuaTroFnKZIrqGXZGKsg3gSd0zeBVnn9gnTaPuL6nX+WoHkG7bJKhp+QFV0 6lNvBrFDKsJjA8jiuRJaj2iXtknmfGV032FERy6GPkXIEKqNBouQh7BHYdrgmrtbJaoYqTjnNF6Q w3J4j8G8wEJPxmBHt38v0uAa7JoOff+Fj846of4rBkZ/cnFIeuBVWJBAcLPg2B1OxlnC+UYkGwZo siuxXyGENqadUkWH0UfFW4DPWIEbfiPm7tLTy3KisUWPDluPHkvEpAkNzZNcfCgfjg7k9OdQRCsp 6CQSP0cSJeJFcVFcOSOa4mB7c5qiMIzrH2zyNUC7loMoIZxPeBVN35i4G5nefGUEcnpanXfiPN+i cuoEBMsYTjeNheFxb1Pnj+5TBz3dIyCglv8qmwhYJoSPb/48tX1/dvDLk+eL9z1ZGEPT9HRq+Tfx 5DOU3JG3kph2VGs8T71NyuSrx8hN0eWd6K93gXDIfXp/ib4wTJz3BjVe9trNHLIn4a55GiNbVgNR bzZ+c2AFSBZEkfVA9xW9yup0F/sAMShy1QqtXQXbXP0OQfNI4Fm+muSh9jEyXTvyyrbO8ndKTbah GrbgjPuyI+ygQRiQH+76TGUsigo95jQUKTlEnQhnIlfDQdUzeY+jhXmEIPQgy4weS5z+/tCnt3JX dKkwE4xvMRE9cQ5kZS+zgQcAmtXIC1mZuG3cIy810R8dcFT+U3nRpRNVoGJDsaFdpmalfzLizdcZ AozG7PPlpAx5y8LWIBWjZ0KbzsRRpthI0b0J5et4aUapxFt/FtXbSSDJnAyIrHGc2Va4NcBthXH5 My9wCQUafTEBBaCWbYLUoicOytY630+bPi65hrnryoq5A1Z2tbjcaPyfgmlS/PclnE8JwT9CjYd6 OboFdfAvO07eZybxw3SvJdKxkjsBVBMh3L5kBroXL09zE9nHxNV+iBEFIvBNuPz/bVHk3/xNHKpx aO88Z5z3nA/YM56b3yUEiJOyeMrTYF/4Zr7KWCz1fKG/6i95Nc904nWqi2OQpPUybz8kJgEyi1Hn 26KxjRpW97C+FLONMli8oWmvUA0OKkCcYQFQykT5SrkrfjWi6uRnAGJjTU1JvIv7bfP9ryN7Nw6Q 3NdxBtMJj4BoGOpOyjR2DLrQVOjyKFdLeQv2s3JYh11wSGYfYefuIHwK1JD2jr2TU5DFOBnBJ0cP WBVrs1XTIwJrABtisIWnk2G0JU16WDzBsUA1O0SXp9bIygn4nBxKmCQOWFUB1zO9p/vZdm3aOlnj bvXMgtr8u8D+KjeGT/217TqUrL5u8EI4dy/jzDPetoN4+lV+VYKUIiwmeasgXYkyUELr9NAab4WR mSLRilz9RpY6wBtKZ162Vo4lR8TN0Emg0BnTTFrv9f6OqcV/Hk4W9oLdFar7HMYppYrWeo5ITi4o iRaik9z1+HQakbUoZof0IgYCNdTRFNO3oIO1Y+lPdMIWL2zNxjCpFRxEzP/anDDsWXcvZEW0w9ai trEDkLA74b6+iHjDljbj6Q+HoRoCTWzNNny9sYAioC181bowekoTy2VHaGntgU0jLKpFT1odkzv+ /kaUTPTqY/4IjQdt0EF6X1Ajv/KETA36THrNYW0s1d4zDS5fwqMxPpW5ZbSW/Qatpu4Qoj+RGJAS ZNdt/buZMKISMYkbdOMCBJNyopH5fbn4Kgk3JKWyiY/KmpRYhuQscoGZ73qx8zT1hBgJ/Bemtxir pJNlHktftMzZIGv4VfhIx4woQ/5iWGpb/MKLpWREXNnYC2miXULEVGjFJe2SG27r2P4vx87EcXYt BvSgllFmWdpk/dgh3HWqJGSCap4+55XNuAbXHcjY4ko7CeZOKmFqX82AV8YtzBoPOk9eemz7Wox7 1fEQuIpaHJrVuU0qjNVpgE0tv1u8fxpCyD2hNs1KRwSRc9yTC7Jb8xinN2rQlj64fKUv7CPAsh4h wyH0Q+xsYFfDrKsSkFJrR2Xzhgi4NlO3moPYV9vb30ZabfrxN3vrIL91ANQO7pjH6fJrn/W+LU4B oQnzjQhtun+us/5gCRbkE6zj3z5TQdX2GhHSiMXZaOThP9ifTzu6D5gKkuH+716Fvmyhy32JT4tv yypI+6JUTc35q7rB6P9LtpO+YjqDj6gOagXQm5TGl75QR5h/2UadINUtiWnqg3UmERyI/KYH4B0V ltvfPT/MxPxcCubmVGPAlJbT7eoCHi4Tmh5cYYCxN5K+OE+LLmGnOtOz0WrGFLpNnCJIYLv+ysdt cBAhXGUiQkudvxW4zkRddidrQHAOlhT5vrI14QlJkcSs1PkqfeOQ/zpwhu4mgXjZV6zZR+EOOEe7 65dIE6F81hn0UuJQ3olYjB2n5FAwyRWfIbOFXuRe2PkcEA+IuMlbtTT2RTlYcshWkAgkXoKApRvM z15p5Eildj1QZNIqyyYsr+K8IPOGDQFHMa80EiYpU7L/4ydQGDxmi+jR+R52ilEqFzjV4+FSpvzS eTCIus6rj+YVaXJgG6AcQ+aUxkO3fuebPPSbb5/EfgPrLaIwFEAKX75Ki0a20+H/G86bwa+/p9ri fw4ujfLv+3oom8aSmVo63iA5+1ZKgivU4b0Ap82s5yTFQChxHMXJ2wEgHC2fpthPckH5uKyygxbv pvMQM6WUqbENG4k/KSh7Kda3TnqmjdZHXPDnAvqkMFm04tFurN1VNAlRdxyjLVrRALXu4D3qhgMi B7fpm5CO5S9rPJhYYG8ojbKVZS+oVvEXyQGRlBUSfQCfn93IWDVzIOiDRgBX1bcpPqCOZvmGL6PR I3Hb+sfJE6sBWGKh42sE0MdF1qN0KR4PolHZRzrTCTPeA2AwsI02yG+jM/5wCY1EiaUweR8UVmvO 9ms+reXFIcrl5IozqdtALgCvwDEyEqI8kXT6px2zA56Rv6DHd/K8a8oQoIAhWIUi0m8fKoodwDrk 4CFphQovDs+vGUYN+iCbh9XdPCXZZYJA0iHDLSeJO+oZA5VJ3uisNPl0ogFHhGtQ4yPvGYGxauJ3 esmxhde5yVd0cNZWCbMnHIPfJCPY9DhMwG08EdWbrvxU2peGWWJz8Wuw+++lZ2BS1zhnnkl63q0e 5ru7albyeXCKMKV6jcqJ6+yAbgrv5dDtSxKFkJeMILL/f2vZIVOK8ls0A3VjYtBTCt20H7HEwsaq tSqMSZR7qPtn51D0b9BQVKC0N923gwvEl5IkCr1K8KnMb5Na2WrdItBIokdIhv9ffig3F4cLhqpx dUqVtWIankKc6kl4prgdvhtV3a66PouhoeqvU3yuL48U9jNRsmcHkxbOixAbWq3PP0uFR4i2TP+y 5UpjNewu5R7jAeqAfsi0zG4MDjTjxAxunlMImKSA0BsYTOOod1POgzGfQjZNeP8Hgx0TjOO0nY7E r4dvgCvhGKjmOjtF4OiqKX/DvAUq06UaVun7Q1exC/LntSRCGhJ8RcyLj9ZnB/lYtN4NKvM1dLSU /BZSOjFpaAOQS9rE4PKOfpP9WdT0Gk8egGqnyAZ/3cIn8KckJzGcornnlTEyIdUWkhSX1f1dYZBQ 8Z0NrEBe+SFLDBbwVqsMma1rXfr4f3u0/jzbEGLAXrWvapMnPsusvIDlmcQWySy9vEMHd5NgfSjy UKO+/80smdnV/CUknAoOpkr/NuNTVvnyoSVZUUomm/FNRSbQLHBJxvdUGQqPxRQNsQO+fp91C5x/ 1QjRUW/lrsdzy0PYeayC0LtN15epYZJcBWiZ1Uxeyo26M+/YgEQn5WnAhrQBujNizOhQOwji/Lg2 J9RWcDKh41zoVRLZ8vR5jTtHvQqbGZ6ZwNr3en/l6KOjdOoa00pphHnAcCmaOjxsBbsmmMACfQR2 hKffJ2KyCE7gZyjHo0uqtw3Vs+qGMIeU6ateOECVHuaV0p/UscHyJc9xEgvV8hGtWmFbtzthNCHw q8Q1WmPBpj9YaJuqMM0tQQVQRmzFPSvLkWTUUOUYcq0PBv13llk10qvybpC2sBwNEnb4LEuiFl61 nZwjpf8seNSgVtMKdhGNlLeVfiKesSjT3IKUopAIosiRnVpUdX9ErPA+U5+hlvjIH+m1OXlyGYZ1 9a0PvHZmTFCt6kRoP9gUNHVxQz7O8bdx2iVLtz6gt2SorI/MdGZX2rpMNnv4ZnIM6KG9HiGvuIIi T33nak2rYKsDGaO9B2wZmkRQxaRwjCH8ESX72cWXtYmtxch06a6AGBSU93d48l0fN/ZY3qcCTeUP arsLv3wES1UAfNFIQULYBMw0PQLPH+qnyyv4/Y+NGnK1HlPFZqVZWL38lpbWirrHUc2QxcJHSPcE geBpAcOX1VYdcYYIwxpUbhD2To+daBQtPYrvwDXNWbcnOUbFqOaztmlPT3lL79JxM+BDjJ1Sltn6 fvnVCsf6hVs5ojslsafIhaFYoE31ybSGerT2gP13GanL+7VViv2kY7PVD+GsGhKKu/r4Txftiud0 BIKcpHWd6s7yApw8FizCSCVAb12/gu6zAXMjcrDuG7cjcWsIY9UKJqJwF7Q0CpxOPxck8l5aecAV OfSCz2GL3/Rxz1KBgrIvp3A06x7Lvz2KzbT8hWSLLTaRDqMOUHOIG1lrEyUOJawoQtP2uoVxxpaF xHJaCQ4u6JSCY3X7ohYjVO8XEwMwU67RM4gCHcPSIPrUaiZ+0dyrgBGlKKx+l+KAIc4Z3Bqz7m6g T3GAgB+s2giLaEJadU19Wtb6gK4Psc2lsW2QrK6b/BCkbEhvKRyRi0kt/OwsmwCb+F+mznwEe3bC 0dEkjVWrJ4BZAQopkOpWYNZFSx1PI7/C2vKSdASBTG0HWT+ZmagiTNZIizmYagtwp06yZN7GKhFG 72gRiN3CjDhR6fUJJL8WTBdw9lmBD7darqiScLyje1UA1pOyy/Xc1WKnYw0L7L62zMsJdWOzOQRe +FsqkvmKJoEFCa14pT0VOp1+4N4ywxEHK9RtKYr0yxBHL6TKRppFiygSARnYTf6VwVavr8otBqHR xYhy8dBpvuFn228Dx75RgsiIIe1FrRqOCwp/I59X/z1bMpQJ4fp3gLYM/em7zY3aDxi8nn+fP+Xs D3zWeilG3JIO264Jluj3pm7K7rhdAGheetL/Sxi/Kx4WzWGSbUjvwHJgc+zKcVc8LHSqb1VGCqlv UlhGw9qYPdrmne8zYsmHLKlMkY5nBYkYU0XM6XYAkeRake7rfrT6a1mdZmY8em5J2aYKJbxldIqa YNVWXCBKrBlTM+3r/7SqsJKri7liCOZItySAxcEbo36FNOd6qhyWnA69QsfsI8wmiSfLpj9PYI/P vtObFb+r4K40y8iLAUKQNGg3w8IR2dJcwioEXRW6PR9bZZoMQbq8mjjTZYESwptFkwGSPbWDWQiz xnQc7tQ57F2w0IaXg3/q1NErkVZIhSbhaKEapg1h1KrIFo6vNfCmqB3zL8mebHDLYxFqU04dxLJu h3i4QX9p6+se31jZ/vTqXX/HJGZIj/rT6oRufFA+XLus/tImRpE/6TEfq3XRqZetYS/4i3v5PLqD Ek4m5TKpRXHGOZ6rtlxLiOQ6PpM0DJ7kov53lS/lsrUDoGUx4XMGMTitKeCAMw9gm/I7eZEwL8Mj wnUhmzJ7j6Mam8fBCzuSedlnI4eZQ1elPw51e58uepFCQZT4ybRm15tCDgjZDuPTZCY0XncEZwGt yS4vhun1GzrRMgh2swme5Spj8AuSqAp4urTv2TsIk9PG60n5W9GuxaArNhy4kQ50pE5CKp6sdgHu LHFaA5g1IrmnpIxbiD5Az888mK3P+JjDo9z2N0419P8QxruBQT9hjy23xwLjoe1WnQSakNiegw+L zAEQYogVPXvVmpaTTfX9rAPycnt4IbddjBosH7AcRNJG6KvJhv3lcpV+F/mF2RKS3WLqveAvty31 8XNBYKzRcZzkYoQus4hYxtX9c7aTTKHvbhFPd9BVOYlnS+YOLC1UusM4FhAbuDKg+XlhY4gUU8iS UMc8XwpwK6x4pJuFLFClwQnGhwGvJK72IuLg/gI048yGhkjhH5zlk8tj/Kmz7wF9ptSavoB0Yb2A cRJpzfanwuB+ALKLE//yDsU996Q3P1edIWlB4yxYHGg7+2+5Gq3eF3+x9p7YLijVMii9UIbaVqVN cbGThw8nIAPB/uywQqqh064zhc52RlD37nkC+72n5bDMNOOcH01qjcKdWufhtdxZ3dguW+m+hBNJ sXiVX3cwVatlQyvYpn95h1pGKSfa/P4rswvKLwOS4FbsWsP5Ex8BYwjlHE7fy+bK2ukvr2UxVEcM DJYN3McFO3mTBUPh4R60P6ufR7k0h1Ny4CM2k0Xw355N1C+mUPf18cHgHZwNhp+IMgrpVu4dPMld 2UAq1omyKXWhZ/6Xy7n3j8TjtMUyXqP9omc4eXyajEltFnO07w+Cx9M4FWsdnk8iq6KTouaO+JFQ kh+xpQDI7p6ijarEE6iKaUiV//DLedr9bt13tf4gaR081B9mpcC58QleL8vaPXLe61ksbGVkijEU WZIMduCGz+Fu61rFXG9uWfaHNT/FWDogMXmD3Sy+HAK/TYOeJB992b9uIyw/0XPkVZ7LVOsaiByH SeLfk9QLAD5z2uEsLBvzzaIRIrQVqVszpfLjLLSzWyzlhaobP45nzWvaSZ1c53oFvmb3WJqHctOV FYbKpUjh7SOQYvji4bX6uhhSQwgeTI2QXnU+auR22XRPEioD7MKwvULIQC8shFL3WMuqJeH+HVIw rSXG3PhTdv+SKJBXDx6LRHL4omk/2npjQ0Fg0Qp+hguFKkkRa9y2OFUM99lMFFYBZGGW2LsvWqi3 vXbrehtdo07NxjVOYEassHWHjQqvzmtHqdFeATbENgY9yYNztJODqzkTt5xBq/UpX9r5OmHstOte dxtHdZIGgN8467hJyGkvnIRqlJOA3Z6/3vQ56mWeSFWqNm03koPz9vBMW2TMdbXuWMAWk762iLGD ZMlpDl/066DrIEoeGyo/IKN4bxvb5uFWOzU5h8j7WBRQxssKomMFLwVR+WSP0lUyIKnPgayuovSb pvnt8JSfxERUVFEWykXRZCOGAtz/pJ3Klska1Lvf9fV3/CTSTDkKVG3QAwtllvjNlEhlXI0KWg9D f7pa0/MqIO9XS6zceSTRy4depfxYbIyPBU4aABgKItVQxC6Cmx2ia2UlBRsBm27SYAZ5SA3KkvzA 2055tTDzemXOCKY+Oz4aHd/DdUXPiuZFW0+e6yLjzUdjAyK76LGxC4aTaJIKdz65QpRnKQsNgfN2 FR8BXMyStNXlFzqXpsUK7aLlkfHQijKKgDMfkHGMX00YXMQutQjpUZz1vEi4XIRXosggcFWNSDZN /pmcPZSNAuNmp67h8WYQ6YCgfbzcKiSsBBY46r1f6fnY+iSS9DXCaY71vn8niPTW4hDloD+vqLHV WZXNX8tu374t5nF+kjaRs/hc0YNV52dXrUOytIN+Ckdxz+xR/YgrmQ0wxTRV2klAF9gKRRDN48rG QOaP3LNX6Kh68iQGLz01ZdHhe6QXvhXmq+OvZ8CJ5oMtgkDZqn67J1xotKWRARPqn4kTNSNtDiNd bmorplvLs3C+1WyBYMP2I+PAEmO1oL7jDJ+ruHllKg3TN55KypG5nbt7IDO+atv9+atWA3xMbZTx pY7wXQ8MqnZ2uNdHfRa7vqfZNE7dmoYJh1dIrtTCWPODKYO14E0kRaJTDW+wCN2MgZExxEN3irKu IRp76W2QG9q/k47tV2bsSxnBliaTJXGP0indCltSu5DSd7b8lEvKUZ2fiAHD41+IK388JULqzmOv Wm8vd1umFS3HrrbMIcpGjUDOFfXarZgcqu7c1Bucoz2wc/TFJtk+dSgwwLJv3GBVx41o7YqqTT12 ghOW8siyFuRpJEKRoxlxC0CmKuKEHWsqW32J6gZ53kipPZTVRDnkj8SHOB0yDw360QagEW6AsxY+ FKY9yBIYMlBjGbAtuve793my6AUzYH15+rNP0Mh4IcE/5KsJ9rnjbVL/oxpWDFOU8CUc9Q+IoJRc Y5lhSPoUrUmLcod2y2W5OLUpjL2TFU+YsL9Issxw7QrZCnz6+PQkplN0BvNIS6OH5z4RwpHifTJy h7VV5/WwnV30cWNDbBL6BvVHuYl6SByHc4mbZHfIMbO7IM90gML4QNAyAje3BgfTE4Y53ZWg0QjV 4fLjjg2Qe58E71/fVA5iCy3d2QF/pMb3s29Su6Iu02lpcdsURSpswdki9kVP3xeN+6lpBeCrcLNq +hhLP4tmgYuwn8kwSuZavs+lD3xl30FYz+XCr5Lq+SVoHnpjEV3Afs4mTKOJrpxLWAQ521qh7dfB mzMytV92lYGMDIMvJoo+A3iSOgSCpdArRdyiImD+ycyCfYrUbKn9ziQ0uYEEoRCZlkg58XzKJdD3 AKx3tu/Ak5IkqbG2NeR23brO5AAZ5TUXON6Im1NMu4McLf05T61SPzEFYcwNlfm5BIrjSlQNZZG5 5vB9cV0m5hs7OVksRtCUTLE77EZYA5V+oNbtg08SwRgIGDAt1i4Wb5yvwH4Y4APb5zzuF8rfcwrq Yv53qp5jDrWNAKTY4NAOuNEHzCpUP7MeV6aqFXUifuHlRlyO38ste4FQq+SISABAdWwFXAEMGak4 OkcWamtRr/T5p+vd21d3Yqt2dEtPHDL5LVLVLMBBhWdNqbI0hzZNUdLlUEOWhl1rLuPwMlJLE77P JdO2ZboWlLG7Pps0T2Um84Vo7dnCnP3o1nV4jiakA8ZpmZToHx+/AHih3tZsj2Bxguii5fkkAQ1y 7e2sBRZCuMnnKSv6uvBabYdOyy5hhw9bcZ3BhhILsmjccw8fjEFyKXHH7du7tQIL3xJMzDxkZW0v GkaH3vGW0z7G3No/hFo7XAHwLt5hE9R200PclLk/tyOjxEnUUDrkG+yf9beuWZ0nlRj+XCEdh1A/ Mx6cuG5rs2uhRN3UJxDXTz5DG0BfdADP7A+JIUimJGr1K88kTTgDmav1qPk68xytklBRG26og2v5 yybsggVgrr0i5jtSjIv14eV3ckR3Yfmmrj/abAcFJOvI5hEIQfudj9tl0tXwwX+sR/BDz2yK9Zcy NRpGrJAJ3sa7ZHqJAYBBRRJs3Xx37hJT86erFbC9CKQXPBToC4PjZe1f1CGgPg7DXPWJr6UZIFh2 KKxrRl+nS1pzRIGij4NjOX1w/qUg8X3NJiplRVxhmep7qVJGC3UF67aitMQW4bk/0/1rQrBLc0/r P2Rd4EWdwPem7moGthQHYtXhU93z69P+SeHy4eLWVpAa5YsWolS8XBdfYLDLxS1YIJKXepvkQaJt XnRhqsuG8jArr9oUvP8ErEBvKURNY+Wc/b3uxGAYcItyg8XgW7EgrvUAS3eUmD0fLcM6f4eIy4BT fw0gueYWBMmSdEdIKdHd2DytGAB0PQqumcGSWWsnFdDovJ65o7snCxvvkYsjthQnfcouM27y62g5 nGWUaTil5unmOvJhFAyQOl5H0/PvCbatX5fnlrbdiIeUe4jNc4iTMKULLSOIyUqPCHTOLQ7/SNlC ghH/LR4Kb5Dk95vqejpiGcJxXl8J7jDx/FqWitF2fCEW9+ptyLGlT445sgJy38qh/ek410+VDrtJ OCn/nt3hth/40vU+4EOs/2l14hRpc/ovezeZChJRtt04J2rhhuBk2+mRrqM6V6bVRKHRcB8TxyUH yfEsjHQC5kDzyBLivDk//Y/ezJVHLUSutYGDBnyUv4Dm+Uo/rY1VCV9+iIYu5JigPjXcPqyTjXy/ MHoY0UxJgbERNalQzCNP `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/fifo_generator_v11_0_synth.vhd
19
237901
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bvOwtDo+u1XQuHmmirIW0G1Eep8h4q1lu6sagQVNOpqoo1dUL25zlZCKWpryXBrbavlsSVZj+/Kj u5U6Rqq3pA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R8VeuF45EN20zhkGmJksRGl35KTSV0YbXBmOJfN53AFOKNxf64co0R3kMl1KH48vuem/BXWPzNwW 17k9On+EP4ryAUZ6V1YvtlO9Er2xv4nZefuEO+pELxS67R6s3b0HhdPIKa2fxDF3e7AwjfjDxMiG HOQbqK01rVOmqe+2yps= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qqYTedtVydnDu0uy4wgVS9xnI5W4e3CBu2tom9I4ji9x6Du0u8YzLw4sHBXlBjTr0CIBWi+453uv 6i+HBaHUw6WLmgP+uD0PvRoMp9iMm4rcTjCZCtUo+5bxaKDQQyKy3VozWJN9cYsOEXUyn41sbHk0 MfnFQ231FTzHKrD8+sW8iXzJhrvAxVZSOCQNc8FKSuvFHDKgrQOZi/Dde7fskgmy7Y+pQzZQUv6h 7xsxzMyVpdCwJjhjdow/xj17Fc+yTtNKSxkHMIxVK6RXkbOidb7jBkIw+8aEzlqsG5f5vpboGqLH 6uQ8IqqBeKv3BDowwIwUDotWgCgTdyFmv35LwA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xgoCG0tChkhv+ljdCxpV0I73D5nOgliZqF/G39R6pkQNEQixpt7jSEz4sP4s78dR6d8BiB9A3KNg s8gNghB9SqKmhRG0Jvm/hSIBQCWAqWOwg26IvTnT3j3MalMVsj1r5WE9uyiqdJ+QCTo/Y58NBx8l pM5ABblrTJM59LnIcqI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VTcA7V7opij8+vJ+tjjgJGiOJ+o6V1u444VHa/k01STvZB7T6/Ztq4KXHSVmD+driESiC+2EQRes dfVcUifCMaPU4kNZrlpS+Cz6GGzKHuujVBDhNOZum+ncGM2VGmayYd6F9EbhwKFTOVOkQmEz/eFL 4IAryyIE59LghhLnEgKJ/yOFNS6XwipLZ1ztAAj7QDruS/h8wJcmBcjwC4vXftAO79YXKmVgRKly SlrrXAPgfawAm5V0hj7SI23oHUFrT671NQiN+jfhZylivDC/aANQXHsoSuY7NkiKvHESuXKmJ3iX cfk8aGjoqSspgWZUBuwV9vfaTHDt+AtBbt97TQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 174368) `protect data_block Coihc3vuw+nnGrqpA9jXzelo2V1TKhFG2KyqfizD5loBME2ac7oZ0SbKNvleKrm8yLH8imrB8Eyl /pQ6zcj4KO7G0U5k5xc9k5ojHU2OGkz6nBS9ljb4VH8uT3Ku3nHsI+eWYfnrJqBkKl+OCPrcUOoW HW1nm6ghSE2wneFqZPfonxLnm/ymo5gSxDzbPtotsGfIfyN+q1x+Obt3qpsae9X4k290E/4+6Vv7 dudq402e8G9MmP1cQXeimx8/jFyteVUZgwmTfuByAtNj8H0ceFdpH6IVZTcy97epOYT/hQvaTF+U X/UutKlhLBoucr7ta2k3usI0eKysUa8O7akBPlfCRJ5RKSnVOih6Id2NiahpLWBo51kWf1xgkJkG ApBcqcrZPkSZGoXjXweO3rWRkzFX+FGkfaL87tejbzi84YaCHjj8ceFSsnuohJmGOQz88RZSJFKT zn5CQTvOIkK0daGaKJiZJesXKCaBTq8cWssvOdeKtcm+Ydxk6JEcNJ0EJ++z7KO7gKw1R9zRu/fn rUoU0u8Ny/7+1ah6OL873yGGfdgE7yEx9xHnE0RwtyUfoGTbB9xy0caBb7brYo2Fe9k+dvw+zCWT 2GxWfh6zWthDL7Rh8TsXgjKtVyS/z0O6ft2hUXKhYdQyDFp4K2bHCpTPMswFsJ+hc48OdHAuXz8O 8N972crpqJbK/+MamCViY/bz23uVf/VlozdA/tMvWxvooyN9IKw6U0RCC8N4MfXJWoSwxGa2hXr+ SmyOVK5XHWA37i21Fc8jcN3X5xesl0HZczYPGrW3WnbddfmwLQL7MQbRUPdhVFeOgIcFfhEdzkLW pWL8sbwy/Np/K/gseKM77eLc0OJdJjyQfj8ovKSqPtNuEIfKjGCOBGL+s7TIDSd3kNScgVNBRyJA JphK9vLm9tffsvsnSe1PMdWs+4Xbol4RaXcXzKP15kYB1RQIiXBKkcP+neGFo2Pf1E5GVmePC4YR XCuHC+MOSPYkWL1Q18EMHkRFJ4Zcx66QRtL/TGvPS/+l46FTpOzLEIvALOX/MnAYxjeEwHcdBUh1 MmWzNZ9KPo1fZX04yhd3ZxduOVu0kcp3SuIbP34AZjTxIok+tAnMFAWltbRSIO61cO2ZRCuuJ7HP EsPYjc2o3NtHcUUyRahKXAxKvzWFNoxzaWvTwwJabqG2S+PXnUYtZp3/gdIyzDVI54mSRckMu4N3 esfsmtk9TC15qd8ZG0Suq1YNN9VR1TXgDph7d9PtlCIt6L3VklkEmpjKufKCwf/RaXCVcbTZwXxM /DPqFVSsI6ZB9uTzZBENMZoRlr2cGn0suj+XZtjtCuZk0NGquAH1flYuNvpmzvbAlXbFJ+3jX+9J /1gES36s2ALan0+ActdgNNIPiOWdAYOljA/3ScUevDeJK9XybXpByYcI7dnyXVxtIDznzg5ahmps 1nDf31jhvHL/RXH3r9URRvDNT/NYFpLbnkcYQdgPyT4oX43ZmpBKv7u56n76FBofoP+gNgCVw/00 WUkgueLslw/j5avTdKBqqlYTbsGK7w0ypbu7lhb5jJk/VW2TMQ7pHk7+BNLyxJ3ktdD8ycL/z4wR vSTksFCGpp18Q/qU/WhMmQrb24k2QjUhXkpQ2V+pAPBPDytF/z3IYgkv+jsN2DwD4GUwExVIfG8B Y/QgM+diZXXmpYaOS4RA+ir95BHCyWcpv9g/qGKFcMPzzYraQK9hvkRBXs6nJkT2fr+Nd01+uj6s Bky3HsbaPprDIBPjYPuQE/ZWS5qsZqRxcSbfXff7oxOG6tcs7EKKuQme6+Vk5vr2iP9sHx2qo3Pq E5u3211Xtptjx8n2R9Dh5/tX+wSfCZmSotVbG5hz0xd6HEmY7yGJmeEmOrF90B96S7sJ8U/97Ul+ 8fxeNUh8LebNEqof0hYRR4NJF7jCrBqlmnT8X5vG1TkvWBctkeWXf5oFvY1KyfR8H1ilNA577cnZ Zlkim/fSMZkn7g1clL9qflmOUzyoo74i5atVSZb7dpj4135pK9vDkXuC0kXd7uYY/sXE2/fMaHXQ ywn1wlNbwlmwBoM/3o3zgW2MZiQs4PuA446fbCS2U9yprKOAMWN6KTMTJ/QxSTwhUSdop7e7rJjo H26sz0aB2237Xn94sZAop7DYqZyli3rHz+MHW8INkgYFtWtTA1BUnmCLb4o2B3REZjbTgYaBdB54 8yEpv1n1sQSSycxLAV4eFBMeebh8iLUh1RGSHYPyjghaFstT8E9xbmXCjGmAzfd/4JNNMjHvcZ40 qr2FLsUP0ak6wdwKrSFm6/j5LFILOQi8jZYxmdyv5gcRtEMGOcJPudsuxzVdceByxotfDbHKpr81 I6RB7zMrlHq12jALy1/Sx6l1eN8Ll57m+kJcSdn9gRMRCn3NPfWktJbfhxI0xZCJJCQOCZ5Ec50U 0MXGbeGtgd8wrUyn1Li7LplHYbi6G0qToqfkn2d+nZnI0wdGDZ1S/llzoLVxKmjtxaHj49EmtdXb dmAKwA92JQFr6MbXtdH8qM+YDFW6jty2lMUsYJ0ByXPnUi93lneXP3TqbEBxZZ8fyWF4Poeuo6Pi 0CgmorL78982g26ZGiWpcytVwuRMiMFZNuWVOEGgryPb4U3/wHI1otRT1Wac6D/UcsxiW08SvpVM oeGyCuHGGvoy4Wk+bhBPkIBnD/WqE9HIfnQI+EV9KGcKovVZlTd0RvDmrV8ZjYuSmU86opIHOhi0 4neW8ncuFeKhruA1DXvoYxoEcqBvKibp8ip1KsPHTSALmp16noaz/GZvwOR/HUrhZSFQ/Tt4muhT xLLNWkZEnRHz9GDzzCCp8rg1PfXT/QtjjJl09SpmMJVrOaaqCSsc9iHzMWGfojkKFN6/NVVD+hwo NQVjyEm05DwJ3Yq0c6Wt82ExCGa1v6Xv2SQXADSXP7V6PAKmd4zY2qLPg6ys3Cwn2TcP0RQRR0js MPWVssnJwxismakwdtYF0iiEHa6HnDM8HTQYYMuIfL55IKqrbJatDviKpTvMe62vS8cRnulmNYO5 lE2wYHZ7RJ6aPM0oMHT9gSqNhHaN1hrIevyxuwacId3enB/BSn6qd1kPEjelPbz0f/stEDw6CMoM fMTdW44UTuh99nX9SmSMJsfZUtz9qqsvzfaZrFSWiou0qf/qya4xKvxtAni0PG9sOUnpQNDTazQh sIcJrlmuOG3yjQmhZj3Ff5hbYuF5m9q/2ihpGnp8c/M/A0jEmrTVi9vYrxaDkMAoRCt3egbPEbC0 LNWgLqkdwL4DAZGXFidzENM+rFgCglwte812gb+YuFp9+IPzCmpvvZTgnZo5qkCbIg6aTPIAEtdN vfKVaO9CQtrcsYa4z5/B+VEdt02cLUvtE/KjWHUyQfhRtQsy8zJZooRQwKlowU24ulBITzMBSxMA rxIr7hwajx8yueNr9OzOQFoPEsEz/g0dnkjUjJ7LBx+4DY7eN8l96DAHt4X40KZs9rAfoV14hGJi sm4RKwY0PTN/wlxA4ysaHtgHHem6WIAyHyLNAhzMgdnNm5fipLl67M8C9uTnqYpNQj6xhd91Y7Nu hOcA5fQ98JWZkhVV+g9DdghHT/ZbSMNasYcTp5dUZGEatS6xNPO4c1naCh0BogHJRWelfdAw/+gX zaTuqXq35b7DWApBpmYHQu+gbpMQAZTliDNREuRRWa91YvjC0XTwUtIS/kOyQxXVe/iOMAcktNHG 6xExFH7LEufmvkzogA39KA7C5U7rPjICGfH7Z0WGz+1EAEhNDBW8GGC7J5JcvteTww8WNn5HUF0m mW3QfUwfDhsFveOuiIBFszrNGXwxdzzexxXlKAnFqs3T1TykxFx8HQg2E4UgcsJKSIAq8nVVu/7i eR6h9Im3kX3uSKPOH41r5kP+XA0yFby3aleRX1z3u2WJ9R94ENe0dNRjCWGcayc1Vn4K+r/PCZS4 avgoMv2eOWNhpjo6Gi4V619Fq7j77d9Re5sXP9Yspo44/YZba6xTorhfIoZILNOiGMTIQ+2nTs7g Ks35yT03vN8jm+vcPuDyL9TgxvQ/xylWlWloRmOD8ptNrTTdeJHLApX7+nhKGFr4vzHRumXzeOpx eVIa/uSUnGmldpIpiMotQHNLySJOiq2+atXwUuC6JVekqhO6yxRXv4UIbqSbpCEz4wYVhpNaYljG SULDgWXLcXBqt9CiEu5i7pRyOOg9Rw44TSRhtTMtf06yKtCHZ7VxfsLJ0PTYg+pxOcwXJKq4XG7d 3cm1HPcb1suWcxp+impaJfv3rtaaIx9rDXWw6FhoBC3srBaCxt8+AiiXz7tOY3+sfQp+0GV+Ef4x elPqkXOI7egj1vEjDEEbeHO/rb6mE1AB5Gw7CwU6J8adfYBoM54sqFkORVpgOvvqLPCxIAejCP0R ym0RfmmltxxszKMYfccB4CyBe2Ac23oFT6CJRsh2FC3wPMtMvLjRDapU6rpzFg9t1QMQ1eumGISV JlYSV5sMx6EBYc/4QTGJ+OT7/lqbgsF6v7IbhPbq3VR2Uy/bhGuHt14aUYg9ZZAIiBXwhKmZl0Wj gM90Ez+Ii6sZPmUBMUvh0ub3Um8LypyqranYkF/RYvPgrPCVpxkuqbDctyEnGQiiTsilq9+xOOI9 0TN6ah3ORQ6IUOC19UN1ME/60T8kVo1OrhR6+iGXYxelY4P+zuabYZ6zpwXllgByoV6Yv60fw54h E7wtcB5hjJxxS6soUy8eJqPaz7imG78dYvr39eGENJLqaJtStSP0+ljfVOHe3Fl0gdPVrd1Qx6G+ 4fmtonmQGMaUAR3mO8wLvnirTLYVeHk6CPbURP7i+G8EUhadI+EueiqLHquvW8Dhgk3sK2vx5aOz irjp0vRrlcus/k1WPeVssdoXhpIOlSrYyHhuU6aZBf4vFoVM9rYEC1YRtewAiXbLlM0TaHTVEp0H h3h4zofzL3FBxTttnowafRoUIea1sMGvHmqWz0/ox8VRxEw9sdONAnMYa70/+NZiBmVzWMy8oUxQ iEaK7KCch7+6SIasdeiS8aqxvAuqns6FajvyIoYyFHwTCXJzApkaC7WGA2citUBmhm/LAqee59Mn PfmPK/1PgBXwJ0vkcBs+ZzBKDlJ/Gd8Ijkjh//JVoQXlBSrm1JplFU3x/hZYQGXGg3LwiBNMxaeY oiLhfYcnOqeY26TlSfQ9TAUpMxdNOWrRqgC9McU6Vxb8jLXpKXXDG4/6CAc2gZbVxEXBNP4UmPg3 3VFANVvmpIXNYjYEljuToijK1zP9yeLh4LSwr+aIx7M4tsBzHU7rTAhKI1/J2DUdV7SE4uDZudm3 Ah/Yfto6lcBm9tTeAzsb9uDPsV2T4o43mA1MuD1o4qMdfInB9u94ln3E9VJmCpe5paLWtliRZRk0 qrrPmWTKwuQIkkqf8NUsdphPAxHgba3epYV1+YxP+UwZYjky9/RkVaRPVYT3kCAJD0mKonvoFzw1 9nR6nPi2ipB9TxzWQx3UlncrsN426ridvEnSeMtoXOifJ9AjX9dvDvfdwPqVRNIzTzpfqdM8IJXl 8S9Enb3R+duG2XwunbQ1IpnpngOkYVuTDed+9WHvrCFD4M4Rfr9DOMpFz5EF018d3gl2mE5pxVZD 7DMIZ4JmEpIAv6zV32nFP/UUzVoUfbMk26mRlTVFyw6LLSGLctmJIs4J8Xp16NRCj2bsUD3VgI4Z ShciQt4zSSMmfCfBLGi2ZF/jitd5F3Nc0uKnkaX9SvJL+Os+F9YgN8RLGnF+oU+loflU89O5C93J 5v5jWjhPTzdksVkUZOQZQp1SYCkaj+hjn4W2lb3PAvDTrg+rniiG2OXpzWM465oNwj+PRzg0KUlU 4pavXFEkG0CeY+ZzkOMyC4t6t7cNL/nXrj0iq7iJ47q+v7MNkGOikZVuTO0jALHI6x9G3WYYazRE vE/s7h/w5bKdL+hd4Rf0pX8Nm8lij9Vhfc5q1SVDfDLBgvGY4bIAfSmsoMWHh2nF/Xu4P4qX1baN 9lVvMf53LgRMDwl3OgMHDolx5rxGPMwPDJxOTmLP9FOgykQmGERDqagzlGty1SJRWm5udsyuGUvx XqGq1Iwa3htWAUlQoxFF055ArEYRn02uAKOlc4UnQE1LB2x62HFZye6V7A3GdlMmlYNDElU01eUs WTYbzx8WyfBAl7c4O6d7Z8CKiMq0gJsYG8A1ku/GNvpoG8yv0VumibYRscbGJp21TgHsCWmZiLYt HtkqWFFwfbj4f7dd6xMIU3UYzH54uhoMDfckG/RGHLf8imuGrACmYa/94Gf/S7OEV2DWyUsi7jN7 k9BOwZoQtF3iNXdNVKfxTEjCDnDzbQcoFT4vfuZwoUJcSQtVRcF/kKcjzHt9wRtQTlylZutxA46a 8/k0UwGEkv7hwdRDeIgViQFeGhqM6JoCsrGSo6XxbO42SNl9ftCjRQ93IjSliP98NOAmWz8DCJRo Ytf3O5LCKiX/8tKh4pD/sT98GFm0dr2OkRLgNP2RMR6g1mgHb0l3YEtSxynSpXw2ALWZByDfiA/3 ojROoYxzl+V5Iczq3JQ7x0A8WNSgcX3Hr1qJfyQe0VK5ZHtxHj5mNF+lQVChYEYYJNUcP4Q7AddF ohDWGui/XpgTwWKJxb7+j75AVhqCf2WMVFlbWAJmpk1p40s2JAkQC34C4BPRvOO4bErBENAukPkr uK5T0ZZ5Rjqse4Vdxsu4u3n4MfKpKfAx5PzlxVXpPtG6W29QvteYy3i/DsFNnB9rm741ZIMWBfrR C552v+kGMSup6FQBYmOuOgz8nDme2JHQCBmiZGr0FnMl7Yp56tB31u+y9nAhW28rlLCNOLWfHduD GbB1SuRLXwtYCsyexWFe6d+zze6dJm/vZWZGA9LBcPF/bm/2+TbKG89mxClzDF2Rsd//QgpuqQEX KUhpli/6JZxjTmlOpt9IUAi2WmDkDrIpiFzb7G+F1uTfYzY6RPj0GbxZnrpK9vOnhnlXFKpFernO GpR77MQMhXGAAIj4c0HtaAQ/WHbyM/VeVy3q5MDJ70jmrdiXQw3RVZwwWsIe41vTo/Hvy1asa+9I tTSkyctiU97INiTDJvvbOo3F+0obGsZ+Hz74z8hUyogPDYVVAJF16g3nlgO3djHonZpc+M8NvM8f DZr9XfbQYhWCq/wVyOZwesx+DXshHu2rj77WeOYkWgWV1njHxeI6iQNIM7+GCeaWs0Mz9bCMotJ9 JU5yu6A768svV3N1P2k6tE77mKnUrYC04hOxiYChDD/74vyu1/BGlCsqXzlSv+8KX2z8E4a9+Tsh AddVFG7UySNIV05W16K5FOv32cBml9OFaRBAY3DHD6FhK3DSI8RyjNHE+g4NUyga9RX09VBQKSGk Sp8qbo9kSS8Dbndf0YP/o/PXmLlvAE8tqN3kapu3n2qdBqsj1oyLpt/N4PsRPusVjEQNVw9cu4/F OgBN7NwHz1gN7qQuIlgiVjrF55/UfqNYBnA1vmhuIIhQkDVsrej+Z8vJeyPGmxYgTPlyemK/exPm GP5WC9EKu+kJ96ynqHloLXM3waskVGKYPxp494vqdLBrwXzhkjbhbMk5ogHfMz8Iha4n6bT1nKCf uDdjsV+1KXatWqeuuGtr3uhNgOQ4IIdNkBKYoChzdiQfCAuT/W4zYV6U6QSj7w6AKV4NWetBSOoh cbu5AZrK9blneHla4xxlIc1znfsnrzqGI/KL0uZTDz2hxLZIeF5Zy+QClSq0uDQ2SWBrUxtYUlTM 5Ekzq03rzEl/5+0hML3NMHbd8rrdBP7WQNrRQnCsVnapQZqmVxTLLwGpjx+08TeYw3maqsyTgrlG fNE3cfL9DEX/ijCallhUgRl2MWlbu/izElB1p+TYM2ys8vDM/UGZZbs8U1MqefS+fWNEUGN2CmIY M0Ls1EyAGPmexZ7MxarwiK4gIq/gWUZkHsuel0HsAlYEIWZ4UZMx5LIqpLhXCjsi7dG1qVxdeYYM AJr2DeQlvEcd14IAMVyV6Se0k2KkMlVvpJyynBFP15gUn6bYU722rQ0JfbnKePPsXaEVnhnxRpEQ 6oyR01ZmK/LQfP5ibGRfkwQFx7uM4Fo5McICm5FZUJUukZzAP4IkHFzQ43Nurtpo83BGr3PfarqW wnpuHPtfA9yhCn04SVWw5aOJhRs8k8BNRwtTvnMEkJkoyNBOrvviG9Kc010U4STzN+3kb/0x2Gfb iMFJc/PurnD5RXTTt0OjGAjtJdfQKEqN/qmTo4LxGZS/fpAxFYR3S90w7UJ3kp2r/2Taa2HQwdcG rrsoYYM3+d4QZ1zK3SH+mx2ADMILL7i89q/UqOXZMh4NMtMY/5szD8RNGcrKOndQMZP0DIjiRK0e 7Z89YdZoNU3ufOThLzcxV9DKkyTBYMN9Kq/GasLaqi2mJhH/0taHkvrpAGvadmMSI8qK7C02tCGf b1w+7UxqKqtmLTNwtSZdO44qKFwxmOsJTMrlDSet/aHyZxYNvk5XaSYsr0P6xtVleQ0JUuGM1pmC 6OTbgsz6lgM/qgLJMJCy7Sg9zrj3XW9hE9dnalVlO9OgYJU0qglAft6VwMaKC6D+k4roA8gZxdmQ oXg5lrY+Su2YMO5rgF7rGDptLGaEfjrVBgfw5afb8S9OIi7EOc1qQvwpCer6guVffS+DkIPZjOiF Y68BeYRleg6uqP8BCupjvWAB8+0NXx8PeKY8GMLkJ88tB0ZuwjSZTihxqlIaNQ0dZ5WElwhCTMvD 2ld2JbblPA+ozqlb2mjnWNa5UmvwbIRwVuZXxQf4YNqrfjAaG/FJ2bQHTIqbensXezTgtbDoWmri 8LNiYIAfQPp04OindZc3GowViN9HvJXE07KNDMpd3t0olcvnjibeBYZdfxTuNAUlqcAghF8T160+ ysk9tkgp9xcIZHt/bw8NcHV6ibDzODwgim+/klZsq4keERB28n8+SSqutNpxC2AbQF/QDWVh7ifE D99UYmlLEpvcJCIdw/HYrZ9ySTthjg+C9l+V6RMPtY0HwSSAzPL+3W0n16KnHI1yj5pzW1UI0TOx LoPdNIWEQwY20+yU2bd9K1ANVseOeQwVe80UwCJAcK2DNzh08lQPmF4e+HMV/EQ850+bB3Gr9H32 DjY+SZFaQ6MXl7iTaSyPSmGYVtu8K5ls8UW9BaHuiCJbxLkpaVbxj5Ybk7Gg+Z7wC72fiJzePiGX fNqBOcSq50NBYhdVUCDfOB+At6t8wSfI6oqdh+g+lQC7fVZfxf3bOzesTelPjxRY8c/JCftPUtjm JiimsHJl6F7SovuLxQm2Ao2Bk+OEVeFgUQ+Y1KTq+iIofkUozTC2mS4hl1yTJXblHtFZDVsVxc3W sP+NBQ0fwLc9XK62klNMT+4LDIuz/eMFUxUZZDfcTFHn7fMd/LqfWp8R/purpT0kDZfiVUsknC3/ stPTWPX0MnFvvTMJzMLAcKPUnY7cLoXQqPFP/5X4KPaktGyUM51aN1fOMp3cw/bGHjr+o+O5rYWo gZOfYyazxcm0K2ziomswoQjidIoYEEpoz4h81k69dQ7vuHRtlMeSWkg4VtYujIX4i4KJUzuf1Aur u/GrGPhNorsj/LmSJEfPLAOkngaF642+xQdK6jRsyDz3+S9dm19lk2QDiPgEAFlhmX8DhZvaZj6s lzrgKQxtD5k4MrsF7o7eNnxITgRtP7cVlWT6pf/2hrJUJ0XEcQTSeftAm4Jp6WRzzkPjIDNy5Arq R7zBrYKZ/5PvSlKon4+3PJ43lNYCSyGtaq8+SvipQu7Y5nJFRRCACr6/apTGVZY8WZtg0OpvTXTv ZQmflbk+E3zDhdbFWm01gRh//SZgDQacX8v7KdUrAmXPQ+RzBXcsWYRW3+1qXPpm+VjMhDtJ85RP 6+iTKyumaYBe4LP7Snfwj9jx86NBdgwcuQo4x9WhhdfTVbAfaXR1LkWQ1pDp+/KV+1Bl+8N5/2VB OpfCoyyyZkg0/I+OeSL12awxTO/euS1COdCL4wQpqEde/nnogEyT6DE4qgopEp88/lzoZzE23Uva bz0rZgrhaJBfdeSWHnQswMpKp/N791PHnyfOV9gh15x5Q0d2jd9OJD2xUclT8BEPfKc9we3aLncy NIF5j8mZ18qneBTCEtBG5AovMnXjdcq722drZScjpbrtAaDcF3YjBtd95nx5X54ye/xueqvaph99 IE6qTgkETBQmDb6a4ITXWQNr6yCraDT2K7UJrOmzOA+e72UX9i0cg1pStMnI4LdEAIxKD5UOMeb1 is58vc+ILOmrQ6Tu5fFsL/q0zZIuzqwBRV6lvHQKGa6q6xoelOvJCWq4/7LOhcaSpRM3whPIGcbc zH8NGIxKavUPTN0R5r8PnKOJYcv93rXfv8Q2ZATXvxdAOv6/9MK4+Qxn6RiYdI4hwgAV+9MRUlmN u1bQW9mAm/tLI2kRJV9nW4neq3mv1CIWX3nfg3xCJKyxwOPAC+fOaYKJylefSOP3lp/8EQw6KQdT 1FrU1jl2rkfTc4Bgcpir5MynHm+jMFHdP0MpwjSdsXk1b1vcWZOXJmfQry7p4UIi/Ov45nd3r73t OvinD16B3zineiNj86ZAOY2BXgLfSrqmmCAGfprVecDIT9j5cWkjjBAiF+PkuSGIP92/EHLaRHu+ UVsa9aNNyyTdvFSAX1+hFmsheFsiOOe6up0o08HSkSPa5Q54pONN1zRuthkDmtEOX6KpU5vcBiBc PsFP/CiaFx64ve7dwQowcJObaO6JzS7QynmunJ4CsnjMsKs+DwJvr1OffNTuc7h6k9rbiX9zmaX4 6ap77/MSwWOJb78jfEnNVUHCUNNO3Xf5SaDetXq+t9eakG+VakaARUOXxzWcIZW+W35BfcWawezt XiIii6Zwihlq5m8SpbFaPOnlVMruDoyvRouuTVG1OPgMEEbdvc7j/Uf2unlStI905l3lT06vgcXv fuFVPrKT4T/YtZOsUjgx8wqxpc4ZFcoztYdgkP0obC31YHTsO5N6zblimnBvt1yAykjKIkjykhHz vBsiY8PK6G+7z1V/4+Pe1DNN+jM8Nz+DvL16mShY5MOObpKKPGoOb/mhvv5VfYWUSH9RIUbM4DUE tUHixT3cGDfXvpm0anhv/VOwkC68JQCct59dtxIM+BNrGZpx+w5/5STVLhNHKTMntPqMjmTFRxRb FVN/yb++EtmtZHVKqHyORLkI6yj0QoSw8s63OlEV/wWZJsxQ43MXxb206lXuqJLcsNeiboOO0gB3 XtTLAqRur/hMkY1Y5GpqNNQR6AI/jcwvMmmOpFwU+cwlaQI65v93x0qnvHco6yshyzCQOhdtUICb mY+LYzK/B2cbo/a3BTCplfzxFC/YneJqrbMNP2y5kz4M1hQJSoxfuecriZU1D0Eun7vKKFJNZP7g vhXkupEFOHPa1y+l5LHE2NfEdE9P8DKik66r/MfjcF/jGsYHkP0eUlnhKCczjoY6l+Pc2j6oAx3+ 993hqGwlAuZCR7ELrh36wHylc8O5gfU5rSlw74qJeKVpVBBuphkMHza2qxhYjf3B0pyJfNlewalu 2Q4fKop4mMQ4R3Pzb9L+rh7fFu9lRCJ42Cv1NCT/irJrYSyLG56fD/RJ6VQKCAXbpbjNy0rYBx5M /67XWHI6FbZhzbUPASZUDBbBKC6D3nXONAc/M1UPFtls+ADmjP3xx7f0yTIE020KZqzvc2u8h7Iu SJ+3NV72EHMre9sRmLyEUNSwZKEXU32IuUiYKEBlthwA2/oRjtAD0rpf0LrrdNPDkrZzjKnsS0hZ BHtYGjtn9mf4GCOoAXH+XiDIav415mWWlEy85yYclKKN95l5mh/iIH3Q08Z4+hmq8Uz7UKysEKBU kJSfCpuOLASdyYFu1U/+/HArdr2+4EiXr0NqOOkSNeUxqL8dHMvYpbJoIVUSqgxcm4TwZfjK0EET quC3UFhfwOfXR2vBu45tGvLiIqbwIYO4+d6G2hEQo5EF64PmRCvvZuwDeZqXQWYBi+nJGxW4n5mZ 1Gpa5vkn/Vhn7W9peDlopjUoaxIv2qf8gZ1rcBrZnZw4qEpZJ0K2vCXXTRBXGCSZgR+47Y5kKsk3 +dTgYxux0c1mWhYaKrHIOZZcneWAYALWDUGqn2IbYUyJGtRNmPzPrV3Rj8tvJaPIH/57eKa/ZD8H 45D3voqNWstlDmfR3sPSqMuKK6UxKlPpgmpfAEbGcJCnyiVWvcFZPibIeyvPppvyA932KgwgKnNw qO4wl3qgOoLvpjSj4JH8Cx/BejxMzIUmWdHLCb4ojiFdfTo+lzYkG8RzlYMzTPx1xf7u5mxop1DI dH3TcSAunx8KGUImSMicAI9sHtSS2NmIx1wE2O0e2TIwT+Hi/DC34rtnnbGrWTl7IQMZMerNjSBs kGnXuiFNC1i0lNmMlHA6AnX0gy8bJgooR/FAqZuBIA5rbfVrm4XP46idfS/m2uZPd/2S9vSGgxW0 RyqNM3K8fhPOpScilNrqjCizXXpnM397sZlMxwqCQsJ3V3BY7YxHQJGaNPRaAVZ1KlTeGTjSWAdg PWDf+N+f+SCtZqvW4mqtGcLaZqHYuIDIFpRjZAeI+XHb2tniWNefGlxkpIfKrM3KTE6ovRgoVzi3 kyrx7pffddaAlK+VxbkEFfoxRN1PW+6YWGPF1CYh3QOHps8OVL7oOkJhZprgHpgtrL2sAZSaTExX 8MWuUCuy1qGKRzv5QdHHrynKAEyztcGKbPB3zvepVac56R4Zl2fkU22eMYaomdSOTR+XAnma8u9A +/EQCxilRAIBDQG9jr2HpPTG4n0B0kfJrZXFvHYfeW+nAFLONtjiijud2/zLnLqLcdiX4UAiI1Dv A4Dbt84Btjo8hhbZnwAbKl8zy2YH/MH0h7HFmvJRfL4bWqLWquy9Ir4Q3+dPk93mu2d/0gkU3p9Q Ci/pK82mJob5dXBenWri4Yc5+5cTH0ALv83UW7uTZ4cLVIcIrh2bozYsTsLa/4irOu6Bfn0qzTqI K4UwDSIDeVyvwsNlCypUMzhOKiAYw7uwqLZ/+3Dzn+Infby330+w6jriWzV4nGuIC1KW3uQ0MiS5 875e+bLAJ3ALsn+hi2oh1jFG3iBic9q0m1oS6KoXL1QvIk0Pc03J7RfuF0zK4M4uvmDzbFo6k8KX vFiN2BsiVX5jkiGDpPvlSysDzFu9Pk++8DtMhxD4DUBewxHihwWzkwN47sjAMNbgX7Q6LbG5FxBL v+f9XCCGJaBBPT1E202tGQKTas6xs4fOU06NR2H0j2mtZSvaFyuPakzUz/gU+aI55Ng8rOUjIv3l t/uOPG/xmnN4mzAYK/U1+pXDcfiA65GC8yGftXVsXu4DbmvbyM3xAmM0YLEDZLJls/3ffQOUf9yQ qnXzxh46Lcn80PQsvuflRUfuPH6RpCCG170kpfXkCXeFRkPFU3Vh+XjWRMaA88+e+A+teW3sQjrc vc8+cTmnmJ+T1SJnmtg3S4UoIv0mNVhdIrPjnHji8XKQ59UQTTqqIx4Nsz3nSkXCmHj/T5m4UTum jq1f5IK5Qwx0ZgcRNnlLF7EIqBY+BuZ6LOQ9kbhG4/Kb/pFG6B+yp4OLTPFhvXtcaNeOOIZLlCOf U1umXCtMxoCy/TaWa+rjaurqcRD4th6CWMR4kJtz7Jxy5n/3kOEYEU24RymUPTghb4zt7okp0rRa CTgYGVmotDwrFt18GRgxvdXdCWSElTIpdkTcfe+AIG7Lo1onHhEm0QKD5JSTOCIPeLOkYBjrUWhv PkBzcXCePDHSZyK/XrIbtjPr89RiQLzsJTt9VeF4sg1YNjPZGKDORDi3vnucJbWqgfOC6mPoFJYI 6lVro2OqtS+WA7tP/9SAHhASsqwymrbKB1dt0hHn3LTJQrqtP5rfCTiBmPblRcfc7z6DwrQUw/oO /fT4acA6JRmqqOxIyhvDpvKvFrH0k4pdq3qF/gRKxgm/K4L4wW9/dhgMR/e6Xcs6/h29JUu3ZtWF rpFOYjajxcesQmwqDVxAGBBHQrkTGRPk2fTXspHly59/exoOdlkLuYVQTjwclx2szNJo4gvsKw+l uYBT7dbLlseB8/O2M8PhlXSV0PlPzkPs46h2gNCqzbupXmYXcybf/noYom2p+oLCT3Nde/Fb4saE zeSN5juyy4KWjKi7UfvlCIufaIZNviQXjtkehMwxH5uXPedR3+cvlPXN9JHE1UZFu9tnRW1h4Bh2 /cHQ4cERQhriChXEQeikMjzDoKtbVesJ8BIXY9kb0xFwpAgrEb6G6McvFbvOtHPpyyAVvVmmjvqB HFv51812TahqF9K4GoL86XWkPK8FKh5T2VhMFLTPk2w2gDoYVeK7xEJzXO8X0OwCqt1tTZG8p66h 3zvlgzUTYaNAFhbNThwAc3pQPYVmbRmaDLdckELoi4aRKaWQenzvtl6xmyhNbIl/Cz9c/JNRps4q yXKlYBw3k2BCGrbe6ogTpVmRZs3DuToHY214ZF6KPzTlSejtTQFZK64GdUcDtkC40HnBuLp7OqSi j/eVGeTGJ00zVXN41DmvppKDVCuJbtaNv4IdJtTeoab91yZPZjlfoxa6bWowvFyH3VQAnDTko0wc McBv3nhSlkUm8hKreuqqRhzpyAhRZqHglYaUHbzH0A2BVYBOz2WsdoB+KbMFvBz9xngfn2xG00mp OEE9VnDGHgzg6WYHcu6Ichp7yFT99fETqI4PKmCZHQTOJCIwM80zi2aQp6DJkA+HFM0VNueOqGb2 qN2aq1a/JHAWqxO1SvM2TVxNXdlkeSL20oMncv3k2D6LD/Y9Pbq4ovzaM6uQSx6sEck0QZfT+T92 2kxeabzdyWUQKOsYuxpwlQ2cexbOhUFRLvmxg95rVzxGqFoJWH0j5g4WCFki+tVBFWjPQvfiIxX2 0pIdxFK4CxBVIBdkZMUTpLSrslAbwH9T7DjM5TdBYTQo/78IUTAaBY+hR32zpIU39MyjuowU5KAZ DTDNKRfXMQZGZ6plB+KJSihvw4uiAgzAs/eFtnMilFSmDAa7mw1XS+/0JWipJJ7l9nUFkJ3XwQhj fwdnyQoPpKr3KM38qEPRx1AmLWIfGNiPXHxfV7WARNHHEuZ0iQZFqR0su9VP0PJu6OAI4HGq5FwL 2XMk5L/Y+IBc+/xnyK7ft4IcEG2E1ippY1OyqlwaE9eilQ3m+QI556EWEe+34aCJl1VLVaofc9h9 e6C0ML4hyVq+js8gjNTAZlqR2pvh1qZBVvKUc8U5Uk5O3EF2qn1cKBp8HL1vpIlf/fKTLDEmFILy s/EQYyl6yHzu7tvaBhzotPfI18t714gBbwdpGdJYh69/1qwYcTqznd7AZ2spdmuCX76Yxi9A43SW KDn2MxnnpFeTXFBg22a2XyzfqDsfj0J6t5X2WxVmOUif90+LgZghquGZZUOdqBsRjSwnVXkmhucV GIupCI5Trm43a8juVaQE7cimO61doVFDhxIDVppcmO8bDGR/8tx+AaP/TbVzEqpYJmK9fs3TlEdx A+1SW4HYMcCVw+eNneV81SPDr6Wf0tDP+R6AW5p88FiJePExp9flWfRjGqS5WH0TDRli3nbWFqRI oEkjJV4P3GpQsUsF6yQSI9r0M/YyjKJJ18pTto9eQyN8eaTJYYeQQEUkwqfx5gHJTc/bwIYw/0Vc R9Xi5PExEJcmAvWCy3PQkM/6AFHQqkRtoKi9LUj6ePDa9Jct1E+uIoZcZNpo9z4kHfRTyGJRpeyW o7OYyRhSwW/8VCQ1VHZBxpSkSUOMgmBxQBFSazSPP+gBv/un/h4HvZa1qrrTj9f7sudSuXW6ngXL l+TbHZPdbVZdkwAIrnmkirwvdIeQ6p3dzpO/BLM4lWIii0MdFv8N9uHivoN5+cFVixzjguXXzIb9 NJ5N+FLZ/ijhOfy8r1nOXvmJjoq/PhQpGZZ0+rmt3ggDVQZ7bQiNJojKp20mSZDpB9eVw3zvb3m7 sAfc4I5Q/9s2SIjVDfnyw8rLqkW+trsuTvfQcHowx9++y43xadOP+gK/LmySbf/sPJtuUoiosn06 zOU1Pi6cM2UCC/UiGDieiUF4IWi8W5LtHCgs8FkCav0JcaWKnqI4lzz54NiM0FJEW9+Sie4j1klN ug434AlWwh5w0l/F/fMCdzPTkPQaetMRmvvjEOELM9HOax0xevPqwItAVJcCkc/cdYJ+5GS79yJE Ni6HAjPdXhCxbBCh8PL+vtXxOup3VtZCoNSrk7BJaGAep3K5bbvI1nlIaD+RwZM36V3Tf3pb/LN6 VU/YjYgxWma77UkR/CvV34dowQvnRe88ELY5R4SxF0apqycbTPEB6ScgHWlx5EcMM+8ZMZ2hDDuD 70Y4Zhps3RKVUzfGicRMPXfCC4qQhZ8M42++4QdD3vYD263OKB53jhw7BuYIOLS9D74HZb7jU5PE MKIZZ0VUlCIgV+N9K44znfs7oZ9xMhlJYyRkT04jnwWZD97ztvwmp3NEC0rertj/MTEKg1X/iV1I LNurmf308lfXN/GicKsreutpSZEWUKB9PptDkgFHyq32ynpa5B6tjM2o9uQOZxcb3ZpEUkZV5P9i 5UVbk+WeY6xYzww6hdGb4InPo0l7Ul1rDeKZXhlLBgLC6aPw1rskWnu9un0uNdn8Bksv9t7luv0B gSUIoo4LttswF+m4B5ZTQt0lMDeay+Do8/bQ0BN+BSKY19oVszd+j7W60c/Yg6XdmVPAgTo9j58S 91rQosTqWDTtDyMOmTH3JfOm/spoguq6WyzR1rF8UZFwFVz2fT8rRZsCYTtdK6floscWroU46fki kADbKPmJ/evVLH6pcnDGaDhi/2rhw8ovh3teI1PWWDh4huWrzaYc12Mc34aHOt5bRoZSwH8uWGXT fnOZ2kpP6FCrYbRv6Sw9YtLHai+yG4KI4UN2L3jRvMkgnCS5JlDwGP0/yFZwHHegBVxsoJssLLCp y8HyeTz4EM8UvmcZtZy+1ytdTezxdPoJ429l//T1P/JAwNcC3fuPQ1J2SpjvqCt1NQpI0PYGJ+s+ n/EyfBczx3RKkpau/+rELolV1m6bhyXvyagkezPBURjL9ZbPgB0wd5UUbbBV32d8LViUHGZ+lDlW 0ROCE+Qcvf9Kc+7Cj6Y6PBOgiJUN3L9ZRjTpz+tA/9r/IR9lFMWvhDHplY+T/wMV8JT8vkJf0nwb ZCz/ipk5G7KcBkql313oqcs8exZGLWBFOSIWkQvhqEJFvHYMQxO5Z/FxmnaEOeIYSSbsa2FbiGJB ZpWFORIv7egp+5mtIPhvWjs/NI13kf1xp3MurMhXUUXRZrcEQysih1XfYqP5CQZu5UWjwEPTDW5M gBUwsqIE+sC8L2r0XtqF53SHoX6PBp2MvuWl5uHv1ePXPc6+5W2rPqGcx8if3v4LrrirtbAh3kes U2qxXuVxnGJOHdzuUQPWGpfDSSlVAwPa47HbyH2ZQHzZGXiT4PQU4ERUCtKs0JOiCNMCr3CJMJGM YPx7wDZAiqkg5/lMEtzEITqYPmgaY4mp4MLVHnTRS0qw6KyQPIGYM1dfC1nPwAAjmOiIYtHnK172 jy/7+f4TPLhyqbTztU/kbw/ksw2QEdFPvSh/45b1uPBnSY7YEj6q+0+WfHi4361M4GfhCo5gnA2D xbGK6PjqDdMPXrjGvXQkbkx0a/kcFnWFQuqzQndjogPKWNV795NP3DV0e903vX+JJMXu3iY9R2zb qiqQCkxmFpZzIh8qqBWS6LofhCUADTuOVCb1aSY0gg3QjrUMipPP+UG3q3nz7AF3xuQDdm7xE0nM 4sxF0bWmgcdXfhenooRG3H189BVCY2e2xMX5lwhPq7cTfLmP8EuJsJ/+425l+x+R22syE7dK4r4Z rMEZdXMIHyo+38mS5G5R6gdBWd9RiXkTfMiKpQ1hd36xgxJ1+P4CFxZSW0arawbCoUqy5d4RcwBo 6V7aIwxbTDE+Y2+KoWQNPNdQvcQb7de6L25YUBG22C2VjJpI+cR7buin5YeW3bUMuT0RZm/M7zTx wMg1C2GfXVBof+HNL1WdC0pNQt3EGNLvAbLSUQogWThZ/RgkRG4rqhjgftjcN2Skn2vU95eZZU/D 7Dz5muCym25+NHzZ0B5IFIsIlGFQOpem/+mYLQA0JW/bmmqFRXfy79Z/PNtAZMdIPR6+ffiM6mk2 03P8sgT2wzHI6NRJKb+p2L4YqlQb6HRhE8S9X6cuUusaXKJMKOaKrDSZ5gKKDF3kpG2XlceTV+Jq NJnoaZs56KmE4wwcLqrCh7IWpEIgo7XAZLx4ZYpbCdRktWiCthJsFCh7BDRio4ckpNDRbRagOkIs Y9w7qYE0zxew9lbdqQ+VGt5ZMw150D2q6bSNeCvCKzXXImJ2CHeVfanRv/LJ2cFnGjKi3/NpXy6P rkMBnfgQm2ns5JgaKba7MXVOPgEAFyykLG8AGpPIfADHHJpYlI8+0n3OLU1zDF1FaZYjfGR5XeM9 8ElH9SF4UO8/XXpvm2wbaVAQUHEVsJ0aCxaycGZWs3R/BxupbzXD2jif86p1gzZfiON8CqBYDZjW nNoh5IdUVOEuT9Y9uZB2WeznnvqPy5iDtlpg22Muo5JSrkp67+xtYwKUZLYlUU35g8ydgLp4/PxB rq7g5+cBLNT1DuIm/Rvw34H1evxBhaaV7HJmrm22MsOJG8R/gtKuH16jesMcb1zfI4of3tWdi4p1 CEXb/9Y65oCoQfeNtkAHD8sxnTeV8knX1Q9XsRO/Ss3xrV0i+euxHS709TJWNWhW0eZTwor+XzS9 eWeJEDw0X991hsaUOsFdva/AB7x5rUP975vt+Yoe8e5XiVE9JrukMsOMIPaegnQQCQem8UPScVX0 YdtnXbNDHAWC0PkZSXyg982NrYx960Vc8K4hXawNnAR0AwqtFHgByeb+l5lKvCglxY2v4w0kcN5B Qrx0XXLo75oQVFSIMCYtVZRvf+lEzysf5N/50kwrTnJYCcoWU4NueRW3kfbDj5qorLRMKfXaUg4X Fe4oAjCjfAcvIS+1kdqMzTJuF5Pvyc+eATn1wwbCcaurhCwDOMjy3f0FovEIwaE0lPC5+GArupDK +FvQP25cZSJn64pSj4R0SI5DtW3jyXS9wpSZwALask9OiwhGBbDBw6pejRSwnuIjURd3RbP7PLUZ I0JDG4BSwhj1A2Be/i7WRtqueq6tBOxhRbj963p5k6mdSeMUArtQHn31Pn7lDOZT7NI3crG1ug9v U2sjysIYK6SOttDENfApB5JKoVjs3bCXK9rqLC7Lhf4mgU/iCaBVZb3dGP/HMZv3HZLZsojaZ9U6 /D7kjPMC9RPK60kiAAVOJCQqKckX8Lmu4m0YEVJ8d4ygmOj9hmJ6wakvGH0+1tFLHYw9umb/2cx3 FB6khAjXJ6aZ85uRawy9phwU/aT77/JWAqJ7YDf1+fQxw7KKtEXcM4TJ63BbHYTF3cEnSyjp2s/l WcXhCb1PVXYbFpakS7f1YX3OYZmryUz4eCbaRHCxewNR8zg7KC3gzdiCcc+04G1AqOSGkat4V2SK X5vqBW6hmTgwFGzEXy+V+armT9zIeEgppstxELm/nGbMUAt1MYVvCp4aIIkti9+XKe06KpRoeuW9 55PbrbUoTV9c5Z4giiyWoL3UcEeutEw1IS9dQAFHeeHHmspc/kW+dAeDVpGOEGGUUZILYJMFF77q uP9rXANoiiPXXoo6FstHix1uMec8ja8kuLqbEU+4JANiqF5ggNjGc60vRXFx3Xoyo3fZV2945rOB 2pXgrvnrKa0I5NYdmC0Xtyi/VOPvVR02+e7azsMi1v/ATgYi0VDZ/tGx34vN9YIpiObYcmQIw3Vm zFmsfOMm3GO0EBAkMdSgqWF5nl/508m5vm4vqUt3RRCrf4kzCi+gYCxgN/S5Rnf+e6uFAhMf5T4v eXzghZtuCEaO0z5G/D1BXYhSolwJlq2HweECESfUHTVee23KyDSe5XNJhJKC2qB1vdWSL9Kh88kW 9ea/F8NxxBpw99pteKl5bj4iq7Yt6fzLalGclv0lKwlhpb8EC2EBYV1k50SqQUSJcLklIr+Jl6re flnxRxJB2S6WLbqpQYYQ7+DUpQbyGtuZbF7jI0EHQ52m8D56GNpxUDkZndHtIxF3BExcH9dHtsxR QLfeB7YnpzBSY86fXrj/7FEg6AOavE9yKjve15drGK0T14jyn2Q9pB4hM3ldgBu4jodStlRdV+0c gmT2Fn4kPGQPTyUBaTHU7C8Kf4E4Gauryfg9ge1aCuVRWmLWs8o+4w5C0aEU49F0n4ImEHIBnawK HQ6sDllAkieVj+YXOHRSZ9KHAw0Mbs9BFve6YooUFGOUDe93nv0ASbtqd0GPXDoi+OcOWcdxr82X SzXbqbJ5Q3apeGkmUP7d2g3ZwkG/XRnjiv/Sb0ZSbdjwen8hL6sZ0lUS23Bf2YbFajyKtiINw+SU 4xuNq/L+ksWNaddOBsE+w36KDXcMJj1FIh5P7p+wPNit+SjE3J6K50E+UWRXfy8P5ty89FVa14H/ 7AHZDpnjG72cZ1DatX3EnfHo/CHb4sTejfQBMmmAwtSypVLfVFsNIkMKkrAI5efjVCyF7l+rZphe 9sskkIT/Oe8+OhBvFmxnTh5/9GxvffJ9HlhBOUU+azeWYdkt7wKjyauQ78ZWOjAEi6ZLGwInDj3q BYPYEDgnYY5b2ggB16LEVR1MzNUvDh3cby1ymDlWunlUrtBjHE88qNu6uZEsapAp+mIHOzFTf/h6 y8Ec9oWzscFU23phI0O9/qA5A5zYHSDsotpn9QkpI5TQEP8ZiXH5AuKa9vapng3vJ50R4dyl2nep RgM4Hv7BMoYvYzXtq3LhX5+WqbjB9qKY0lIhjiwzxvRFrEE130rO2sPDRyPVDna7o5Mgp/DY04It ivNUACje/c5kO6NeobF65EdUUF71UIgLnAUSMRLJuUSrPwSkn4KXvBtLFvMm0nCyg1O+IIrhu22Q 1gROy/JM4wPdraLijr0GjYwbMT44mI2+dMH3ZjueFKHxqHmdfcv19Vg0x5y50bR2VRI+hE4/eRzI MxqzSKIuM9ETQNKLyZKTp1RVjqy+9PyJjhoQaTBedl3IjnwhndoFQjbANHWSn7pEE87JNAwuf32E 8Nf+sQJMgTBWc1s5txYlaeMWsjFDiKxGdz0VV9psGztfzrNsha7HVllMvIbWKB5Byq9BtkplHHaz hpT+jOckeOiGmvOKBju9KO9F8EhJra8xH3E9NGGu4tTOWDmAeFF4QmMrA14//oc0jTe6/O/B6wOX mSw0WO/+CZHYF9Ptl97PQz8tEng/JswqGQwSGv9xfP3cZqm8PDli24ffJ49lqwxCp88uu2Zyq+N+ S3l/txU33yoa+GNx5BUv+eqo5/t2/qBwowE+a+CT719hgdGIQFLSxUtrz0lvc75utH/ip5TW9aPe IkxER/UjAirilnXVusBEe5Ap8ZLg70MO4g3wP1D/kyKii2tLHWvMfHkicQiCdy3lXFP/m2AIXDG/ wmNQPYwQlE4/taiFwp15iwD6EAz/cxtSOV1b2fqftKdSpDmmGycuujfrVAKLqcBAjS32Vo5kdiK0 agUKJHqIT1qMHCvI4dpk+7qKPKnSR4rOiyyeMG4VvtBkDDzEos5mXc78lPWPMCbzYX6aX1qtTT+W UUC2dU/GdZcvhNGuY/Kbiy7OpGwKi+lfP4UAv6UqSquh+3G5S9GAsTQWD4k0sPKNLlIyqOjTVqh6 +2Vw0aKli7mwqb5mc+1L6T1qTZCcGXHCRu04xucqG2w6CAMqxFr9ruoaGW0D5otRSrruHir9lkEH yDOMe03bwvxe13MrC0WfqmAU2aWfhpFVt5tcNIlo6x33XVloNEYMZOOC+Pht3Uhu8cstKqn2bRmU nbWpplNidEj4UF+6GKvykmBmUtoSXuMsfJKunww2So9G6i3HkLr4sKYNUmHIJGKTWYBCLPv0Yrli 1RtmMUMv2zRfaGc2+JNhSQZyTGNGPLXV4DRz39QoQKQUL5r8Lx9IqP4WxAkTvpuDmmfWnw7Dy8j1 V4/Y6XToS/SXZIhOsO1+7U6bc8/kK63v+LXKDVh3ZjaDL6LQvYlXeCHM5nOMtBvGe46wxVTshhsD CfyL7wkrIq81FDMcOOtgCzIScoV0Bxi7KMcRKpts5CvAiwtlCwwALH2ol2wrbSv2SHy5brlvunlh 3kajF0ODnmoK5pWxIwPsfQIulIpnpWboLwQT3XnI4/eCjQTrlcpA6IaPZ03ySJRTS1Bxze+aDJ+e x6ptU6jIyF+fGoQ5QOj/zldGnnzsqwj5K57DVDgGO0RMc3/xYUVJxKzghX49I9pi/JFgU32baFZN vUaArs3Njd5bVAKx8fMVPdm5ZPFEEQAoN2fEUx410t45hfEZi8aFA3615ZbgvtoE6AiB98enI+ga i3CwPLdUpf1viEkipWm0Z2wqo6NAMFxxBquOIczHagkDN+Xk4w7f1XR3ZCckl99aJVeWk+ILukcs EQPOmJSsSlumAu+hcSgsvZSvcBwbSCCbsolUMpIcVZ/gPU/K19JjNa8+iPBpwdtoNnpApttoW8+N sKiN61wmDnKDTBibzaXY54b+yGP0IgozAeqWEQ8O4WbeURk0/knf6IYYUV3vY3EyV35DQU5nwp10 RWWl0A43KtBCh/YuwMatrehmCajcnF+WkRT+cgkxH9dXJUPUzb13vQHIR8AiCH10xrXJ+ntYlWJo 8ntabsMi+ARYUJx0jvsACV39N3Yf/GFfrNLlh8JcG3rorgJ2uHKXSFvL18+hDtrIGPAX8sM+N2oT d5fb9+eBG3RE93C4Fzirn1Jaid5IvtmskZF70qcxWK6V8e7Ucg4hkb6ahJxTPyZqFO3YvyNqNFYW W2DHn/K+9eGi/38A7SoUWz8vuqrKcxcETMjUwa6NI/h9iEe+40YEv8ixnM0y3DS7a5uHOui8FLlY fn6QE/SjXVIyhm/v8ElIL+06GAdeELoi9V6mKykxWQg9ds297Bi9mh8+UzbIfjKXrgSGgacnwz3e AJYhC90hkIhe4PL99R7j6ffJf2KXGsrNeqDkZ/ms8qW39X7M5ST/+/8GRNcfCHzj3CGv0n2OvqiY CJfcwh8C2Bl+uqRT0ikyVC2smPBVCjCECyVeVjqHLiXbGAFgFt1iyBa2cjT8HsWoFKlZ7xLS+0TF Av7y8eBkuytqrYoo/NHteE7ZQn5WgIY/p0PcVN06J9wdZ9R/52taosZXX1uFWRKSBKyfTH7b3igp z33ilv+c8DGMFGM5TbnZCjp+R/PygyooBOkO5ex5NvUlgj6SqOHmKxGcndj5wMf23XWrRaGmplpX MPD+52WHM+CL0JaJuzozq7BTMPpVEOdxhXSHHm7RqOUX/6JRzcmizii+gyDMntouXm1JcS/zXrDT UtPApAYqKrr8bUf3Rd6cWw3JC1H4p43JiG2XWNhMwwAyxQ40G/YAko83okSnLzoptmnCtSVAAaqR 6/2jkF2Q4oqMCr4FKLyZ3DoD2e8Pc/+NPo6UnQFG2/21QwtTDiYNYnPsm/Qcmh0imE7ymjsUb6fC BAUrWJanbrYM+Ou4lMEgGYQPZ+HCEYRVMiji6TCwtPvwUFQ0PbdCatLlUoKq4D9YczCPcVna5dBH ZiJpW00ef3ZUxmF/ND4GAORaRUi3jOPlo510oQA6p2eMhuAnwAYubyjdM+1qYV5/7/x9gioerlGU XnCh1QZSktRec1O5crD0Jj4jfHfv0FeBclr9HdTkIs/XrhACCLjZzD+LACLFgyP1BTsW7ZlX7FwK 9U/tIvvL4gCef2WyA3csfsXxbDXDLzOnCjGd9CEruEzgqbgQT5fCFFGCwkhYv+T7kLLbFxd/La8l RwgO91c43LOIPVKuGegb9ZKdaEigs46cDZKORZnQQZdMMdY/r8TxiL93ppMh3KG2HEN+GkOVWedg dj9VbJ/8ZuOS6+MXuF+J+X49HA4VFkIPPB/TnB4/OgN8oa/9xfGUfOqQj4CtDt5wg5lHtzytF7y7 2RbRtIJuDPm7deFY9id+y1sfI6x8C1BmLxxTh/dFzET6hyB6XlVNTxz8oI30ZU+1GkaT0hjAUZSJ Oi680O7BkeS0/dRvFdimpABiTCMwGEwgXp1+fuPZl9JfbcKWr5ZSgbk8rVAPa2E+lIOC/NPuqo/m iZL19snBJq443oGvoAF5X4rO/Lb6dV+absvoWL3gCUoYpJ4p9F2/rsGanEAYPTCNhPgpI5P7ywQd qUKRw8Pbsm3u8IzH81go6OLAr/lCLwC1ndgPN28RCZdQhDY+MdIGZ4SNSA2t4oTCtNVa1BJUXEAF sykkmYQXuC4sL7TFjq4dwdSts4ZMPamDllKgYWgTqMJPm5Eldhnb8OTbTZJlQWWW4WsDTy7cckCk VzoR4e+Sl47tah8EVy3dTf+Hu2/FcbBjnoISWdBSfT2Ozb29nyOKcjZQfQaGnmtWgf/gZz8B0Ag+ ZfqTg3ZRi2Kaq4VX5cyr/sKQAUGP1vinyo0dRFFjPXkJa17JfYeVsqjPI3kMRcDEPxQFFA22eISa sZiCkQV8YUq8ButHOqSfev5OUYh9HNQ7IuIUvfC8W7oOqAujwfr87T+YIOAgwbDaILt7dFimWcve 7gJVcSkIYTVDjj6g7UyM+09O6mqeqRWS8a82cdXQI8GnbL342tnEdkrE7JQsUrUl7AHnQ4J4ENT7 B7xlzL3Yxiz+7ukr2GIurwsDMUif6ex9JH9Ff0G/MzXfiN2qRy+kVD+4W/Ap/yyXU8OrOVJWaCsi 4hHwaPv9Nplm9pxXxIJjetQvl1Xik5q/WeWfKCI3naYLBNcCYal0uPYKdRtglrXZLURhQSErgAzv Wg7jyf/XXLRjgEWv/wg/5BNb/U4eMxrFJZswYnFYR4czE3J4ydL68Q4wAJ8zZAeB7+0GRBBuqDSm ruCIQr3YenZX1dxJLCFK0Z/C4gS4y5gxrkrk1k9Fms05I1fTqg7nHIplR92NHF0Hb+/8Nn11iZTg BzJYrKrynaRPJat6prxhF+gLmbdVO6enR3VTOP67Zw8L+S7Zd0yD/LWOOuTIyYVk6LYZlIUXb3yy E1MOdd9RJADul0xsuc52QjulhXUuzogiJ9OxnLQOpVJEHXTCrZeaodTR0evV3RJ2O5EqbTyYosdU UzPCE15/bpnaZTwDuuyx3hOZaPAK1vSNz/BKEC1jqkg8jd//+bbbxhxn2yypjtCB5EMXqICQYHka 8cFvQlyv1p7+ntYQHDVycDydDsCriRG8UY+0V4fEO4siUQQCKHUqpTSHlXcunArAtU/uN+BUfVrI R4Z1HqPvWp0VotVBNU40/OxC/YJ1Noad0jR+bSSeBBqCCwP/4qjKpnImb3pcIWXNEDFNxr2pjXY/ mx9oWPfHDrcOOVD20S41tv5nZ0cRz7nMgm1L2K04roTBQVZEVNrof1J95oGCNpRg1Vi8lKjks0wM nz0gb5CqrZGoav+yGslqc2bFBUdLdfeLtNePbYycaTrYExK1gOu5tOs5JLAUrX1V2ReiZfWJPCVX ZAD6880nGiapjp9u5Tw2/VgFE7yQSdt+3oToxsC1Z/dmHKavVmHRDrt0xWHCSyb4TDUT04G3Kplj UhnaXxCjD0w+w5oKztxwQ+aYEpYJwqo0Rqd6h2ekPhZ5GWw7GeVWviCDcBqQ12hlttwGKPBDM4VN PAQ/CY0ZVal48ghmmy0fFOepLPT0LcVx9wTG2wacM8noJZz/DgSWLLqAEpMrlNOZqSY7uuxinzS8 mqjp3w8s2F4NpVdPFVD+q+RKUDETyLlfRItvguyWZ8yuc1yeoS4sMRkl3b/TjPjkDrbBSaPBqgWX yJ+rHlFdPGSYTQrPhn4oyxyHvCIjDVr98FsUDkhhpoSytVyHkSvX/ZQ13UUHR+mBmt4zzEwCkAUb U+Rkq5yFw+cIVDk6TxRajrTzMQBtZxf2iwISOlU8osv4eNKSDb8d/cJurL0MSf/WjiWWIc+ueg3h O2xf5xVqeMpNwrVLWUrQ6g4Js0weLdeLEzAvPJct6vQXArYQDv+0wMS71OrVeUkTEFCw0z3NAAqd 9beKCRLS812ELp7RrVZXu2mpNLjjiXhBX9EdIBu7UbFR+ytpOiiE9H2AAIc1B1+7rzZGrDT8hex0 d7BwNmh3p+54IG5EQcdnpUcmvEBhPea+MrGuzltQD3T0ohITAMM9MqagD+llBFvHto+diwpOl/rp 5uTR5gFuJDCv7hMIcCi7itcS1q64Y+8Qd+0WLVk/yT0oOQmQAXX1MaA1Mf/v1wKjgFFnif3WRnJk oTn8vNvi3Yv9izdjzz4aGFed32u5RwXIKADLrdadXUi/ahY6aveLGvVmmgW6xw9Y2vZfvCaFzsM+ 7fQQ9xHNXzSRDH2GS4HZq/05qiij9p4XQGayNPO0X5D1E4bfr0vERDIDXVotE1ISqwWQnHGQMEUR hh+2m8Wiew8B+Bp4NRATCk6o0tzdrloMDQomHAbXCErDj6h/PMG31/q7LLMauuFJSA5vbXZE5Web ESnux6egY0/xPDG76Ln3lFT1aYtuadJeFv2ZH48dOuT/TVXMtjYEX+I15knd6MNBOwHHvX9ahYBS KhNvBLFYi06EFFCZdlqKhOwGdFIRuwvgSjskK6e/4OFbmvP4Cqu8d6eMhwfFn+wWJWbVgBYvVeTZ gienW0f/CzmaRaVM/AlYPzR663CZ0yesqnOmuHMiLX1TCS1i96iJjnwSuoSXBbrEmdQpLeyslkQD oLejLDnucPfp5EW9ctpj5bMUSDdYxwpXLOe2LhyiwIvFpNXKmKbXrePchZU1csTnRpyv1EsSKat8 WPLEptDJQD2eJr4wRMFdrDelNhR6SPIAXY110BIsO1DOeSGHf6Jpt9lWAlXTCR/EzrFDFT+oKR1s X4yMUPseAmMWDAmDqjhD+q94VtyhVZd+b94FK3eUAGq1igIQx3lZpdyGca+xUKeWR9BjSsPlxUuv FpXlpHWnLlHltebR5i4rLm5iVhD6YA7Hi1t6QMxbj5P//rkUsBr9cwWAM8/Cn4hLGGuGXb/vaafE vs/T/ZSNf+RdkfPTBWoWgFp3uUWvQCbjQm7zZMclIN55zxizFmmnbLS6TL02cITDA5vxxbb7bIhp oF49dBkJbaF/Pv6fMu72F1h4UCg8geWoE4HLV2YzTrY230I7KE7A1/a5qqflNkiOH4ROr0Oqvi4/ BJptc/Pt3APRuR4PHDyzn8hjMRFqgXL7AbFZr6XuTdUsOSkX3SwSj2wv/TFuZpU5CdCm1YBFH7jc fbVCoP3yXDKdrXKE5c2NEmLJTdwSN2yil5c11Yh7ltVPJ7w/jywYlDM7DW8peoJHeOXTDHWbRSf1 mMd5y1+41Jt8CgRn50VqhhWigYYQf3WUJ8Qu2EYxqGan6HGmYipuDlqfjXtpjaLvcYiVj9MblAVS mSqHbLzD4qMD3dyDx1K1+JbTlaDpAFtmQLvFh6eoSbkK/TSd5V8yVpueH/g1yWIOewnFI7mxWwkL i8KTD9/hPcNq8cPqso5MSJ8lKJn5ehM+VfIs8UJCqOHntPK8haEBtbWOBtBKztP063h1LfGORo6u s5aMa20Y7dyT42FixDnzCviIcUmI2VeJftaDGvAXaB+v8+UyH/xarOhCuGrCoBTO1pzkJeHi74o7 SLVpnoT9Jjhpj0ZoC/ve9hd17bPAvlDJVSVfLeyUN9hOvNa64IpGUGDBtBD1SxHOFq+QyLJzAbK5 JK+FPXYAYOIIZQNnODSEGy0nD8p0PIRSc0gX0PduMUU1EoL5vVZezNUI5WhahXsRvCe6vaa7gq3K DhSg4llsrkV9nIm5VXiX/B6dvOYrq1/3Oj+wEnnEcvX8M1UV0Ec4sAMI2H45JS1pk4zfIZhRAA1T SCjK4FTCt0A4Hldb36ZFmcZkUCNcKq5mVs7w4a/5ftjWnjvG16ber5GoJetDmHvsT5L/27b/5o51 weENs3zKqLcODjXFLdGsIA2lPgt/kpyGaWIvdUyYTsVpYHSr0QLc4uwo5jxb0Ppop2Y+Z59/+JaZ pzwJaC+1X3PbQVI8jeZx1QgPxu7Di5nqnm+A/SSBzFC7XSwj7Ch4ibJf/dj9VKEOtC2z2B1RjSCs 643n/8Kwo9zWNJt4k6Ox/HyjjHCpo7PmPwoEB9E+0W4eCxOryqDt+WGLogPXo8RNgAmatUNBKZQZ fK5mthHQ0rvqI7CWaLMm6b0aLRtTu5FPOy5D2jJxpe6W14UDOxFmJ8lCqEPxeLEgei0RUm8p8BMw PisxSq//NvwmwyTI4de+pxr6htxvpO7/u+LAGjLS6kaa5V8hDFHDqO+zoQBbPIEQaf9lnITzn1dW hx3r6jOAT+FBJpZ5g0JStLFfm8pgwIyN4IvbLQhr665IV1yCgNzG91Sa4NkFcRycNGyN6XcFv2sT jf6Tl6IxsOItriAd/OPiVenlmOS0cf1zrWwEM1ZXuWHyPR0xLRM7kQcC0dBSRThOn2D64HaAxNBu 6/gz3Q0w6nDrB0h65iuvI3AhM7RPg9OKoMTZfrBJmFs7H3glQtMU7k1rr4AcquFy5ZXU6Co8KxiT +REWBJgYK2eolrXkWgtepFTDIpaDSWgyxbSQ9YGfqGooJ7c9Kq0taviuLOOZAeQPB3RL18G1Be4G 8pSYhN5Kq6Oaob9a+QZPWfwnPYaw1PwmPsdud+YFMuyBZk0Ik6+6ULB7XQqWht+2yV0SU5CgmDmy 90BIgES/9dqgXzlxWvcobFUnZc/6GMAexJDQnqdtWvp0yKbTHDU/XWMcw/OlpF9F1mYWqBu6Zbia S6GVpFh90zAeBIL/fJ5WfxiYjGT8I3j3V/EnaxBu8CfyiDWo1o6AXMGxvzm0jJiTW8A9cJnrwioG ZPemZkBZfG43lIYSUKAqRyvy1DGip2ozV25lPadpgGJZD9eVNo3BOBYxd+Xl7rAkBPfhxiuM7YvF 4MT8zOPE0L2l5yIzdxMhC8CsNYrbzwjl2atocbpKgwq6FZm1rjlMo7SCD+P5uyMni37Llz84jqDl DLDXuH61oQBO0iQnX9e3Nflc8+3zuFHXi9zHvNmcQUFMSZ5oOeIhIWCdLZofacoiF4Y+9hDKBxDi uOStCcwKhdGMBr9iqFOh2soDhfOI1nuHTQ7bsfVNzifQar5vCE3Wf6ru64p2gP3LfI1PDi2xlgii EBbm2dDcdt5sZFf6lbNApqy1B8bx+KGPTf7t5Dam3Tog/AMXBZc2C/ZD2qARIMMd3kvWtYH+Gp6w BOuyD5eHMDADngGSFnZjQnWnMTrkhozsFL2xZTHsI7nJXoFTPOTDGhczQjZFemh/x3SweTxrWDon dflF7Gm1c2Vl7urqCPd63yBb5x7XMVaHUR7DmoStcRetP/tl3b66FrxCpJDeDvLTfjg+FhfsU9pD Zy5uwTEiCoMGqVE5a9GsHBWCshR/LMGzb+fVHD6K6c6K5xw2Og1sU8iKsAJjS/2Qc7Z9qN7sg8le NsBkV/A1EZMjqtDYmZmO/0rbjunclHWKUS9mFmWsi1itWACGOf4v5x8rg15fhWrth9pvlD8U8K1q zG7DzPfKH0bVFxeg8NuJN+zmED7uyWz4qJjZUIDZd3TA3O7GgjzDDe/0jwDmiYxVQa/vkbOgGqx2 IxGy+mxIx/XwDcW+S50fmA+xiTRIiIP+96afo1/93jFvegcGtR5hVAO+Lj3mGi42mQirPAGFL4Em dPaVJhFN3GH4+4OY10hMQCswMlBts0nEyM7RZJ5e4qJxJG/9hYH+FG/OML/aOKgixMUCD8hpbHNv O8AK1an+GxQY9K8EhKoFbaQtpLWNt7jnlwKQIS1oit9RsVRQPbi9ePqNTngjojkkGtwYo2Mta9xn /3tujd1WZ4L42aKvS6bvDbEDk17+0L0oLAncSFH3f8IcvckTncDqXcN6EfqKhWW2jKOU0joed6HQ /8U069Xm8cLJ7bJTnVblqrhMnwFLPQwXNX1llJVQkn1ZRlNX0TQDE2jFG3hm+bbrOHM7tN6WlqbB Sc53X/qYJUF/925Y3FwHQzumwu9/hbc3daRoAYMdawxaXgS4pawkF+tcJkFq8SkLQ+nZ+gzap+Pm pMUT5Pg4oCxgxKxwkb7V8lGRbR05HwcN9lm2Es9KANMG1qJP8VLp59YSQmrfJFeVUucybwoh8e+V d7DBV3HBAZnsk3QVYOmXyY+FXkp44m7FGrpr4BcTq4lVGm8y3D/Fghh2lxXKNVBYXLhBWKTqYShu mhN4BJ23jNABPisGIY4cawBiomX5uHQYtMgk4H1hdcX9MA1jZbbGDs6yL6kyaLdHfXWUWeOdEu5Q S/xdvWyT1HYwMhDe+qHgKFt2JrvbBUOGH8mOqD8rXQQS+Yn7Dtn5IbAsGfMHbhAyi3Qvy2WDmLf0 57jAgxxVsmiKbbycqyhvq56qX/dAGxhbvx7TLyVpFLd/GANqUzyP5GlYe7BJkdej/r5ScrkVDS/c fAPab4PP9W1zuOTKVv27KOykq70XGPBzmWd9wfFfIzGIjaK+lzdB6dOd6UiM34LlFu/ecgKvHsbO oN/sdISmLYQadBDOwFTRTcheCitm2S0mdz5LkK2Kzs0ggjcTUzCwhQNXB3gMmsOPIhjgrYnn9xtq /rjpZQ7L25fQnTijcvgswqKooqPXjpBePMPNzXEPF+8sb5wH5FopXQXH+gvfhzU85uQ1xNyyqD6j 0K518fwpzC5zK1htibVnDY4k5PA2F2wQ5U/wGG634fUEUKcDV64v0MDeOIDYIh60KcRcZzr9xJsv gf/1VTrKTj8ugFT63pIASssa6+ioptGYOj7dWk+c7Eb+VmySFgt62idRjnD7ygwYXDsLSpqbOPf8 E+3g+sqNHZK8sOJet/tqjAOCtftKIUT45hcQ9VrAciLhALdLMCKp5E0XSHT+FtrQ0mD4jFc66Sei YXw29AkjOPwHwYCuAvJAXg2BWc3di2C+Rm5rCMuGNDTaalxes3jFQj0ahF3Eqnd9je9YMHOE8oW1 UMASm2DVvyXT7owV8GUxuqF3KqHR7FdQMFu9ItPfTC7f03bYa1VWHhZ0aLEY0DmWvNQz+7MrnGKk 8AGKuyCqTDaR2VFqRPy61swoHK9yah8GsjYBZQyBTMc5AX2aQ4BrYwyKknBeXFHhx7Lwe4g7xX1d Pabiy9ipZtw6aXwSaErME6eKAXk59uZz1yfYdvm1h6+s5h8sfGr+ZeNB9WkDjVZ52j53ILX6BGs1 QFo5XbJ7XQuuyJI3Uv7bymokPuuiE1zh4B1etMoI4p7HWBuY/VPW5clLKPZGABCfG+F+VI1jv4CS QqU/VfSGHYLnnkNzzL6aRrK0kuzcqcIi2uhkfqhfqaJOF+gYLRfWi5bf7tLRlPfQ6rCMYGke6wQy Dq2Jhco0bXXEtfOiZKFId87kEWomIe83+McV0F7GKDC9Eq2wewsOgFGu8b3ERwS022FIgM+0UhhC k99f2VfY+OQzjIlHsKiamhdxRNMHgI5Q/z3gVWULm/kQlpQevjLJIaDHC/AVoDbQR4WRejWQVrRW lc1N9C/wF2/ysyLqnrnvXNJRWmdDUQVYcW94LpSjRsEgWn5aOPTGt7oiR6CEzEBpGP6bdwLzQJyC 0PDqg2wSJN4CRblRx9i9iO5YpiaJHFA0xPHGRaJ69vG686E69DtymydUsWrxF9V4+FknAuXWba2N U52ROuPGgGdHPX5QKzjg2mOj0tTuMFvrX1vjWpiyQPeAsKOrlqzZEkBwhgabvF53UKk//vOM/g3M OaOAlXqM+63sZ0+epPRs5UXjCEfYcv1F58gDcPJm/2DeLH6NlZ6T6wzoIQViu4Ell2Ktjr2dBj+q 2YS2AqQx833hT3HgO/AbyYelYru2Nc75kwxvNk5bwX+4NR+ucezBd5I7UB2xntQq4Kyc43xub1Uc XCzCscUupXBgBuUrgUDsp6fdiefwWL6u3bA8IpT5rx/E+hV55TouUy02ivlw4eipYxP/s45pki03 JEKJUUSPMdtpgH7BmieyInoNRhpcE6D91DeDBUR7B2wObmtG8VGdSMBHVIRqUmr1im0lemQCF8uq OQhqVzmSI/x5Q3SeuDh1FYEJZrG/wlOw3M7zfjs6SPphXsF1QrJK3sH+EA8DKBREwbjl3w7B2Xxd uW27pMrYaUgU9fXzrkHb2H2lm4OCRXhpOvU8c5aZDQVUz6k7wawlLasv/u+2KmZNu0JolpvFbDOa 7z8pxaCJp5RXxq5/2RkJEhdxuhvnlFBkJHaciWgibjU6sHylv/FJVhYHMDzqDL2xKvwxlCWr0t7G rzQW/J/3jPWGFoSICYI3P+W90h8OcFeHHxvn4hUgrJUk/sjZ+0vpm0RJfROZaoAZxb9cbx76E32a FPl5OY7QWkGRUBNVukpiq6TNqmJVjz9J5Ojm3on4SHbKdsy/SS4+mbtK/YpbrCwtYA0zUtElTukX WL4tjufAzyQO04HU7MmZXBF0IQgIZ/bonPRht0A6Awz61RwrKEpvWmUYJSfPz1h33frJSLfwK3hw 0nb0xcycgeAFuokgfKQE30jAc71XT7FsUwEjtKaueFwr89DmpjjVZChRLBkkGaOa7fTjV4/0BiKy SUyQoAbGRsMCwfooTjLLMTwiYGcYMaSVZhLY4UEqNqyp8EIOGcxXnRmbxpVhdQgomq9eQYLgTZE6 eRbuVaLKfYkqxXpg8+3DBOEyoIzt55ybO22SF9K+cXhWCQqUzH4Cu7PyDuPBjXluMNIG3b1VmFWo YyXu5fLeMAssB4S8AWUhFIGVWHcuUhSuXHMAba12iXBjYRN/jKcSqjnEhBe3vPOw50eaLe9GNDhi 45jjxmRxEQDH7VPjZFcg6l1n6O37cQMfwfTM3xntpZID/L3tfD9/Rj6wmq8xL7Pxhei7xnEJj92M axMQSqgLNOrprUYUhx+5iIl2JChNSOeN2csw7gMhxjFxQcxwp7pOGOnpTcswgwk68sxRoIpFd4eS nwx4n638cqDyYKKwl/w33UbEra52/PLEc36aXZbw0UfurordBzMPrH/kCeROvrKHjWO5S2qWAFDN G2raasbjkxnsclwSPqYYaRzDMaoiCjDMSTLmPKCxstdtX67Fwnc8xVwbvgfBAsQk+MvAOU3m/Sw2 sb8INCoDA3U36TpPX0Dj15txhGIKv4eUC6f5oK+uYM/PTmFSafsZEyMgi6MpMudB1SAyGC+uoftp CvDcQGwMmYcQf5sLQiA9xjwzDYSC6up5420StihiLBltvZGACtzMuLXUq1Zac/PxlWtNz0WjjOXe 367gRj+MST+uGllCeA4MmW9K80ku7TQNi0eg37i2E/WiUr4126T0BcRxzbrwWbpp3dtVnJMSj8aj VjsF5mY6axGFLotLIV2FOf5paWjcK15Fwc7Jjn7zGslB0nU2E2aQnFoYhsBWs0T8w6Yqztrc0DF6 Fk2SYzE/KzYKv4pELI5fZMz+wBMolTgd2hjYeT7NVxZT2aW0h7m2tnehfnQ8ndFWij8gTabpZkOX zpWNygV4PHWwlCae+Leh3Z6FHKBoTW03zuz9BudSwSp2UmXaI7Q4HYODZ5OKKKx6qc72uzDdUfpO NO3xbhhdcxQpxmBbeCrWk5exvWT9VD/n1/oxxa3m2Zeg5NipB+eU+QipuUXgas6vM1LQyY/uapB1 +VSFA7lOuJFaQwf8Wk32M99rs9LY1E5TLtPNL8gzh8QGi3YzOhqq44wOYSy19RnaHYAesTSHF1MG A7d+g2quDmVob2MKOkT//ibNhNI7shW1CFvbWQszKfFnpblJoxfOl/kjhD2qVIAmhncQiVD5b3yD 28A8vu6X4M8effpRsc7oLDUWvXcv+8KsfamBkd92CqeNyO9TamnPZnqP/CbT1PRk1Pt+rEP6GSAv XHpSS2jFYy0a26g9k8C2KWFLBiakwDZaz5+qTpOVAWWvJi5UZVvwoaujTekHvRd9/4cd4ANV+xHu 2KRsTP1uBwWK/blpTw3vS5Blfd8tMdG6w9Zezj6lal1JbP1+xrC9skWIkvgxoYMfMYLPIj/QnROQ ICemGJMshp1aELnEPFZf+oMHwXhyWyzXkNuYZtG22jGcUWKVWcPXNcO1zV0n7pPFWqZI6KE4kFV3 wEJQruxkR/Dlg94b6rPrv6dW218SMIDhL2VSwLc5jlVfrL3PL1LtdF2KDKG7bru3eMf0K7LOtCkt +LGtkOQXSDi1sE0HDQqwe7QX6fCgO0Fx9kyxnizrFj4mRKL2zH7wFymtkyDroQaY0dNkJiAXjal+ IlzZnI0FdyQ8Gs/BlgIxGHRx7QO0JyVZZr74kkR9FENgmJVnY31eOvRHj4A4Xl2ONTEL+qBoaONe E8m6C+W7WMWywo8wJYtmrGWm5Sr8hKA/xsRLFq+yNH+EOsPOlBwHqF/0Bqvko7Xxmb8j6Hdy1hgJ 9XKdx++Xh/Z3F6ZLXYpGdGjoNEEMmqcCC2LUXNrM89aEzYIBeZVcHkThQUp883zd9Xf7qNrNYxSV 0/5q2tRs+4vEURGSdb2X6cZQLmJMu9VzpqEbL6sUy2KozkmS48hvwEAtoX0DTnAvOiDxLig5Y95V kZwT5oedG95xPP8Q04qvejsie6bA0oa5kPmZ6bNNp91HgZEgbW9i96IciOfs1wtmAKVUfT32lzfY kIYmgaxuLILHISjI0Kv1oWFVIR2TgJ6S1GH/4dDxdg6VgfQUBtgToRDdKdjfhwiQJNoTxrUmacuq iaodGqeruhhVrXo3IKnmLG0slNRvu5q1Jd4mWURoumbcUW5q1/9Hlo7aoiWrncDuriITadu945i7 9sBLvhGe/0RSdLJiCPH/7+7lbw4erPQQ9EjvrFKq90QYABkS05dIJJUrAR2FVXnxmji7F8Gfszhl ZLIPUDSO6+4eB26W0jfs1IvYAGJurmVjSMive5AxpxVPV1CGceKcYuNbZJq82eBIg5G6X0LhbDgV 54duXAQgLkoadNF1j/9qc0o2f4vpaF0VHTCmEllq88zUQvI77PHlo1XyRXBqXP1zI/IdfXV/f42+ ZxrOZ0jjJk3MlUOBmHMqH/YzTMK7VC6FTPRafomfyuVODir1a6/nP3JhDh62jqajy7dAEvZDLIli 1B5fNHTC/2gJ/lVpM6tGPqsqxSvkBZDTTi54RXfb3kyF6pe38xYVOKTZjthWWAyEyXqRpvr4NWe1 6Wp1bg1HDJNJoYW2qQZ1FvLTNs6OfL86/Nktqqk3YxecEQ96VImnvZ/0VllJD5NyToUJeMiT0AdJ xhCrxgYeCNwsz5n+hcdh+NtXcBPGtEej6eTi3Vb0ZilCuGa7ez9zieDInmNdBpYdEdSokssI7X87 YdYPSqwys2j/4J++epIYSOLXVDRcKQpQ9i07orMaxNXdiMCSKROpoR/4glZ+ZmaH9yAUBQHnx8Hd BcJg17ZChk3p0XsgdyUaYd/YUHTAPrBVroKUk9kBq+3xb+kxzVDcextPr7bBq3UWrzry4ye2PA0Q rq1WFta/mbK1tzFvcNhzTU6JBL5SHKcnpUjOgRH806FfPqBZt9dHY6np24PJQAQ68Zun0CKTjkEa l0VDxf1snqiUZmjRfXldH9CqEq+W9AO+HXp0ztg4/x7TorZOBAci2sSSeaUxMTpekllvCE0PaM2M Thcj2xnA+njH0pK0WzdlGVuC/RS39Tnb5mFzncZr/MfDBGRa8ZfMbiQCZF2e//5MCnl0KGKryFES zwIBw1Gdvf0ntcV2vk2eIRH/2hn3xObZcq+Z8w7jBLrYeDxGeFMOWePPnpye1/Z2H7rr1IalgQgD 2cArL/DSG/to2y2M7Fooq3VIJ7QYxC1GtJPorhNjvsLEoLJyoVT/QpfAhpaFee7ALzkcDrHub8YR xXvrDyI4eWjIu2DNVp/D7s+FDvjOD9/820FmtI9wLWqp8t4urkqwgLV0j50Kpq9WDnwsidPF8IEg 57UE6dPDF/enQrC9m+qwwYwE4w3h9iLn7mU71YshQi/GAuXL/t+humVuQBxdldl65yBrKip5Mx7J ggFBXdhfi2gEbtYn+CYwYtQq/PvBaIcFP6GGfwW2NMwkoDshAipaVt49IRqTnwtdVKqt6ZWSgioK EIC0i8DIfQY4iP7277jWjiCYmR1OJkNF/b1qpZrdSz8tIxdh5HsjdjuV5K3PCuUbYKfsn48I1T/0 zeElyOcxIKHSoYqeuzRoMW3XCRSweDYhRKAwFUM2onBCybZ4XESbMl8ZErd7X0UpPHOhbQYbXyfi bPea1n4c0MGu/StIbD8bpZIQMtfoSwiHjOwFHgHeXvhWA4x+yMEKDDBBJTZ62Qo/SNh6NbgZFKJ8 wavqVSqcB9aJElmlVmq13D/uXd8idHaAmW7cUPsC9aVL8NAOO/xufaIX8bhdnlkWVcNKB2/Hdeoa /Z4cD50kcCClI8drwu5Xp1WxuBI6lGxBcswoKkR9xPCkkxOr8D72wDr3rJfw2d6dgBcNhbcDikIt nMgQuQD/tcKpdcdoPhT+eUYWRHYksvSkcGQMg+H46Q7jhXpt/Yrpx4ZbuUV+xGZ3h8RSgBdQoPxK QbnAlgzyP916+c8SW3jxcSVaFOVnjFAu44QZ6/JX8051dTwACSnMmze2azBdKjXXeViGf57x7pvA HecMXLOEobzm+WbuEmovJfBmfS7Anpq/TabwvYZBqTWUeQ2ZwXC4Vdh4k10oX2diRUrOqPETUdmK beLhJHWSIy1dsiz9jAoNmAb9akTrFJFWCYLk3Lrgq+NZEOUJav9LES7vUMsRFiDxx8I5rw4LKTOi fmq0xoZE9Fzigi5+j+3RCgYT6Yls0Y/TcyNOS4uHX/+3VhBab1Gsg6ObML8AXau/r+TSPl8ePa+y oINVAMvriX3Bd9gAkxCBHIsVEdJk9gm9pJtzOsGeKKkJtomzEv+8BDpwSNyzSUve8cfaxgo6fWjO ytyFXOWpak9yn7Dfjb0sJmzcmiPe9S/RmMHkPRENsPCMwN2uTinwjLjhPxV6zKv+bjpq+ki8g/N4 qQZCUKE2Sdonrxjn0lRBh/TIjY8z6hkp+ofYwZmMzFvAgxw21qQJTlDMEITUFdUMLkogXf1nP8W7 tXSY0+jVrD3UJizD2H4g2gqMmJfCb4duWIRAj0J8cgjAdS88+4R/Zp3kThPis9kfaORyTElTabI+ 5qfieUglnVc6oQ/EsYwVV1CALgLnPwbtMsTlTp568LKE+7S0nJ1LssDsRRK2Wh4FRCaGsMHjK/SK gqM5D8UsS7TZJcOv6r7ok2tfNcckfFfSfhrtOZKsiZIrd48uDsEo6Dv7PwxTpa7kiONBdCE17H4m BkXPSaxP1tecnIieoBD8Mjf5VFIJ2If2Fg9qhc7Tfu1urH7WxgentMZZUhh0M4MYdIVVx61Fl1kv NX10Z+rawYAxs4bMlClyjIfpnvshDKwXkpMp1IiwjP550/4E2/EUwIPctmzukWxiMWNcHrRsAqoj e8Olzi1z2r79NP2PQjH4ZuM7hJ9orLe7RetoS0ME5gCaS3uMLT+I9v/beoMDTx7swhmhw5sd9Wu6 j/UGVcSXNwC26A2ZwliMskWl7J2avQ1p9pK5qhtJK8budEJxhXt9VSwXuxYQb2FZZzKiz6cfIQ+w VgOK4F2TcoFACi6TdLX4xLvMdABBCluXw2ezSg69K6wreXPeMfCWxuoJ7XvEck8Men1M+xPW1i5a qqZ1cv5hKJ1plb5DuqiTBo0gdV3rZ6vFWKY0P7p2SN77yJE40KKHFsHkEvBleBssbD3REe+d5VY0 iZi9HcpJdNEhVkMGYRRpEWNLylt92DvHdnJL2CiTGt2Dv3WMHVJmis6Ze4Wlqi3tYIQMm1MCdCrS NgyAX7edTOK04rCXXabaqVolAIYdVeaWQBqU11ZAbc3jPKcD+p/p1Fx5SzFWsEFCHJC2nhEwApRO eO7sfqY/5ZcLMaB+5gyPRcXvSaFP4/NkQVGenvskNhFRqgEgnePnNJL4oycUzJCRNYR6Qfvfbfw4 ejWN5gG8w4lCv9lVV7+FXY/byQkFUyszHEJxM1AR4Vlx+ZMJdBVuAfJINbl13SKtZlOPEnBMZGw5 R5E+W+288WF6YC5ZOs10wRkPqkg6jbanunuuLT2olwBNkJU4LXE1lDKXrUTF7D5rXKwsENwEJ2Vk Z+/geUKEuEK+z2sOItl9b+a44wAyhT1K9k35C83s+EGIU4DaYng+F61Esin5oVVAOCUhwkbqjskV xSDWRBv35owz6iJt3h/ycVCFMEbafgk5r6iilq+tWd3zcxK9ZJpFghe1Sy4UPmKlKRdNuDQo8JSa YePT1yem8AmqtBa6vBDDwNRO4Xdd1uQDRloVPgBre1fIl8hGgoed9cybE6exzuXNdPIF/JdL/QrD rpIMZ42S9GeJXP4TwU+HYLCx+NzmVSknrnXMHYgge7tInhSkeXq+txZ1N88WOvtYpXLHpLZbu1zS k/CnVSDtrdtqZWn0eteLxQfo1FanCsMQz+A55JKh8JQe0ETwQ7cJpna321XSjmXFrUdLTMdCTi4n XTAuC1SM1P3hcAcjARnbw9kqvwr9n4oUUsDr94kBMFcuOGB4gdyCKHdmWb8otSfB8xhhTDT1yLY7 urrbogyNNIESIS6hkel1DSI+HJ558dEpvNESTzo60Ag7HJD0Nvl93ivKEa+4Et73R0CPlsI9QiOn QoOAArC3m3fhaaT8YQELpV4ZAEd9wyzkuZgrjxWKMhwPLC/gm9XCwTpYAg5TUehWf1arNVatTLhX r+ZrXQ4fXinhQ0vzK/dXs5Vqc3K4pErFYdWiH8DC3UTl1P0NsA4RyWFOXkfCGU88yvb7eiZLStpC kZudDebH1xWaJo5OqZLGopkW5yAmJv1XsHieT1ny96gxVMGQiRDeSv3kZENW7/C2RVNz6LTy2uwj j0RJfSZ6AOEw5puKIC9VQ6CU1qACZxJ4BOcVQRnfP9w++b5oNktFzqvHvhVkPHGfGyCEVnxiAlYM jVUStRl1N5XKn4En7OmGk17sgqcku+RhT0355W73wC+H5uUjfaWmcmdqi8dQTZGFvsC8xz8INqD/ cyIInSTY4HwQ1NZZ2N+oSMJGKoXnxV20CMyDay7zT4TpB/GdwVi64k4aKrpq9c1lH70q+dn+nRF6 HBksMInErnhW7WexnVHYZEs4GHY662gw7zxjM4H48c5kG5x/pUegpPaSpdP+gu4hm96OA01i2MiO 9NVStXkuC85qZeFvEX8Gh6NOpddzNuG4MSD4EGHud0UahkULKr1bBpWUsUtTBthuV/w+xEptfPTy qUZ8pybWZugBylD/51YD4JNMoIY26tj3/CezsVrYzEDtOP5EOGplE+QrR+xRt4Q6/1aMiW+yHTO/ GRompr1M8KKR9oMdDDq7eZ0JsQzMyv9hKsUqyAeuxAS9CAaaauk6GTsNVqBdOE7AjhIbtELPrXLZ Bb97DEknoqBAECkVUIVvpVTBzdYppfZqVEcmmcIUn+d+sJO3V22x7xlkTP6Wrz6u8LHyXcNobtXq qIfqquZNN9SUjO2n8OGSD2PJ8uYBwU9iieMnBgzy7mPCs0IU7wFyWhA0WRuXEEWLqz3/MVupb8NY VOV3mD5kxoKjC+CrWwyPQueQjOxHsraB7NkyI818SLLqYG6Nmnl5sNex+MsCrJ8fjGH1U1Tdp8lN Becrrw9xuWcLrKdRTXqLzmMlI1xnzPYh41E8fheg2xJ6z8VvSdfwF+tAUcjiQPwsjr2g4wP9CJ3d uNW+Bd+IMLHC+K1C2BuiU62bm/GmpeVBBZko93v9GQw82qmbG8VdhCG1IqhOGStDyzW5/n4e6MiO 9B5prbOHe89xEw+7NusCr2nFm0et/WsJ/eRBeyzRhcUWjauWCf2j7SUs+YHWyfDtApz6tzM4aaCP 8PAGaU5lEbmKr8VdNLwATDaXAuj536byre2EQ4oPaiQTFksvL7yO4XRrNDdz4aJ/cej8JVjxMKo3 03MRMCJi6H8p8bL/AAUfCo8OfAX/cMFV6Kwdiz4kmwcgpa+ZunHgs/77/JQtCdMNIvGaVCuruXGt nxZLYQJBjLLRnVnahYZNV8N6w8DBimF4pVvIBT6oLG5JBv5RRIGdSxaXeyYHDmLTLARYL5H9FDPd SO0SZPpg8gFeli4x1VCz6524R0yN2reFCYATdlykhej/ZF+FkrQFimKoU3yOGUcyqHYnCmSMINdQ lBJ16RYqXVc0gLh7xv13LEUT5ONNyU3M6/oYetaQ9TwVi1Z6GdNN0BzDYgdc9OVX3VUQFTQTreRm lOCVIppU6jSeDrx2d5tQWUnkZLfDE/FkgkN+xywa7Yv/xiJJ2wDBB792LPC20LxSVpB2rhPItN/p C1oah/XlDqDVCCxUMJB8nQFvi24G9OguAy4iwIYzGiRjGR3sgbI0SwiC9VBo9TzJI9feG09ULzaL QZ2oq0aSi8R4Z4k8/lGWHPqRBqvzyDaTy5fdFEzu0MEdEOJgxX8Gf42aksnxnIhmO3tPvMfWeVO9 T0dBRUaaOTS67dASf42oy2szL5dqVdKRosmMss4pHIPxToe1aaw69H6wiCO3mkee3mgJlXQna9Wa Eor7uH3QWbislcixsAsTS2C3eSZ89YkOcahz2W4HOo/9iHwbuNqaAICKh684Yuw7BxZ9j2vqZwsp 1okXng4LyZyuxtuNgmlXbw+82glarNBiBAS+qX3Nh6yEQSipxcix5/eoUnSJXshNgqYcnP6Uu1W4 cb0g7DTMlkPFMrwDH4pt3xUI+ZGIv8h+Zu2HG/jw7okR1zY/zjO/3qXdrzcaeLTjPKC0kLUqsdvp EQbtvqQlJw7lTwFJso6Hz362ElLrTbdy8mZtRUEKHlGDY/bHpxlr/R1sm1a+TY1JUn9PQ620lowg eYrzsfwuyEOZmntUppev1X02Ccl71x1X3W1o0QkjYEdcSC/nQ0eR+ADx6UmRGGWx9A9zd+VTG/II FGKL6xwH7lbflETNuwxoTHaANYJqPhBUcniekgg9tevweSHj1SB5FYpIuHmbN7yy8D4yNYbMLdCy dqd+959r+Ugetr5C1SHjcXc92RVZbQXgYH8o+qqyF214af81f5EQVunId98zmf2yWzIBlJAaX1pY E+At4C0PkyljwlkYMCeeQrZv04vHubaxYWo4HR0NJe6ZvVrO2SQYwoErCa/UUOi1GKYaKk8nQgz8 URY9oBAgZ5814GbMQMl+3MMZ8w/JBv9xu6/EN+Fxor8/0pgp4Xq/kNeas0uT8cBtRqXW6rIeMJkX SCmfeVJLqVjzNP1vLwGXRuGdPrQm2XgKKDmZfRTxH2v1nlA89Q7PO3LfRxX7XKwacRFVSr5uuxMj Xqwg361586FMrqC536fj5pBwhwf9p60BXFz23Uaf0ycmaeA6HO2O6p55rU+MfOQ4Tp6+2lLP6b1w zlvZm8xNEx1wZyTaQpm9k0Ejmm7c7vIwKuGzIeke1Jy3W7G9zH1iQuifK0K3COcaW4LM3kR2hsld Gtd5q7cxE265AasQ6/7nUgEmJzs4GgjXQVJ30iD69FkYk6QswBZHAyA3GCwAfMdoEwrM7hg2Vu3P UMPcm0/pzDnoP25bQ094fi70UZd4D05zossytTXcXCivWJQBsic+JuIZMhE5lmHkxQ5AtjjtwoY5 llEsCHsUzAnMn9KtrC7k4RPmfVJsz4DWsu//kiyf36c1S2tP6w9a1CQzmpxfmF4vdetIndeEmTc3 7j3xF2Jvx54+fRXbBJaUh2mYGylr0yqZApOg6lfBgJupEuPXYfrkwf/ZN/k0xelvzbTt3U0Q014v cTis20UsF3AiF0p1X3A6xIf/zRqIjosl7pHcK14JLr/RF1ToYp2l7Vv+xqW+0jJSlj3HAJ3ZkPvG B6sdiUuetTigziLx+bk+6yWxVe5nHR2Ne39q8L8NaW8wSiPI41f1RsRk+40JsItabaFguDFPZq5E Bu497xXMEi4orvXX+rx1BhcslQ72pk4dNq0iaNMYi97WGhdxMaLFd2mvOlOnfzcVBe8ptoNn2b3D 1c1DNOVlP9Uuusc3p9rmLz143GLN4Y5tR6TbdbK3RQtYb6tbVuNRauDiFO9kYpKrNWpf7Z5ABnMU 0OMDenwMchXxXfZMzg67Sp8TeLUN9yHom3fb+/2cwkuPy23VxZGV3tbBPREthjCuOGxRH/Eizdrq upN3Ut/tJ56MFHV60L8LyXVqtp6vX7TZ6XvUch+wdMWC+D+cr8YbZlKd/210Bf4DuU+xXikyLqNP Fss2n5IdVqDRa82CfbSfvH88ZE+sNPhvbaRUDhP5I0gqHTeXE8bC5aG/b3uBXE0vnu95aL+Whw7F czkjU8MvPBUGAHriaSe1Iv2dISUaqmR/WEqwWGlRrS9SIeq4d9eA2QMto0gsve4utb6Qo/iEWeLl PAz/Ftl+FDP+HzkQTjhbJTO3lCarXYF1sv44N7Kfnk7EnzHABc7xoSKRaTkvCyubJV/ERpXVamnH j0XLhmEW/AieMV3JkN0XH62McW39R2KPlq+poyBU6iHj+ueeZQfMELnCFcAphXm8UV7eBOjcniH/ 29I6gl/TNpJY9hUVwPsmsausO5dtDM0iTMJRgD/40TRoHEuOrYfP7CJVHrK3OvBZTzH7A/3k9+HB BCSCgURSNwF25UGkj8w8gBwS8+3KytYskqYbybr4Ap2d8fEgPr5fJE4JDg8ooGXeDvbCvUhhgmRO o9lb8g3IL31BykcgkZc/b0q5RWgpZNgpTGIfwJhww2KXzlxV+31zBFYaxOuc1a76LyIWVXVjbvQ6 B8yFVGUXyil3BtERM/7G5jdeZ0uLD/OMF2yL6gKd0Q5FeHckM5AjJiz+XO3ai/ExDheHU/aCoq74 HWJ4WVAGKwE4NJUkXXLJLlM2Ik7ChNYgxgyqiFYLvZDCs8HaeX6i5jRqIUzxQ1vrGuCP+ODXnfRJ I3i5B3u9o8TIsisYgRaDLXpmzYyblcE72gFRtHWD30ZMy+1NPYacXxf0OUEFXSzXa/mE0wd1ez1u upQ8NIJ9dzcU8XzAZ0PCW+t9QC27qtJRbmAaCt1Nd4nYSVWhSZwWnwBIW3TvwpvFpA7i6TEA8fwT AUkgmPuMNg+s+QzgDhehn5tR/6VXrwU/sPvwQhoRd3FX5SHwC766/YJw3kxYivLjITREAR/d8xMk Ov09NPcldd02kFCqL41SgLO8yUSHa4QI6BN0wljkXs16t2ztP3xGUnJZZW3LrbvpXffT93/F1a+B wofOCF7u87ZcEIkKo/j+VN9UAgD/pt3MyXCnXJ6UbWjFvXUBTxLosB+UotfvYQWJ4jC8JNKOW7Rd 844KTOda8aUJETZeJ2eI3/mNSXIXwcbvKyXL07tWcw+C1i7eXQywD8B39P/cVJVhWQQA2crOPl3j 70QtDfXLaisftjNGEjzjm+NsIP6P2r0ZGMAyNMe6s+akQ10jZcz8/GCvK2ok7MbchYGYjWKA+UJT TiMMgAFtCwbF91rRek3rhVIg//U+lfmQSK4T064GQLUgsvNaf9dURSo8qY2j0/htujGP2CvYQns7 372SLNpRqDZ1Od8oq1ttefp1OSVpQjvzyj114deYZTc6zcmJrNWLSPAWqrFFb4SJV8VzfK2l90NX YiZYEVEmjnJnmZ9pu3lePT532qft/FYqypRppRx0hGvdbq9LoQvLzuwR+QxhzV5d1putfZ/ieuKq 044ONc3T9wO76excME1G5imskDPPWR0s+Z7yfJ50FYn8amwgD9hhjRM5L0lspDVsNI4IzhpZtlRj oQRQwlvvVl6I2qGZcoi33x31RGlRacviUt0B5mvVVPWyJF105krhTELRKmsvQZUCEO7aOkUmBVFs nPB5kB99H7REQ24kyc2RsbGnUciFucHrDt88PTWaRxLQPPXyPuTbehEmYIKKwgmTxqxsULPR64MX cJQKfem7djzqFbUUsy5rz5m9+bCMYuxaa0YTQKvaHsNnCJViKIR8nPmLfzu+o+x+i+llFBVQRofp IbG/WM/CyC/EzPdFTEi3qVGTb0Lxfxa9aK+P7rspylwv8zLqC4Vr3m8E7K14F48liu9+CtvGJuEZ XjSZtFFgrX2sEMYPLnnDnCUL/+zdiFwMoFL3KWM3/EQMylYxJvUozx4aEiHh//ZhBvoA9PWnO1rw mubM3HwTnYhis1dhsmQ02nFXsgzDo5H1XiejNHwDqdUsWE7Gqk8kY1I/YycfoaHFlUPgxJKSbuG+ SDwwMDvlKZBh4O7bhIdLAPEbK8X+JtV0BMShtP+pmu8U1FaAXrSaqAa2fefqveO/WyBKEXAxKqIB khx/wvcArS3l2N2cnd5vR6mOz8bqJ/Sh1DgaxjkbPS99p6cOxCfbR1nGci04ZMhm9F9N3YdENSTI 4cVElc6Ss/cGJ8wYZ9Oili660fmwt3os8dgHzE0cnmz9LFDD7oG5nZThaDA/6EjFTFJxqskp4FaJ OYGEt4bavfVVFMgben5cJ54TZOSD6QEaXWmsTS049AGgrrkVoQFsRLiT8DMgIpaX4PV1EhQH509h Clt5nCE1QSWxHToppbPAL36+S9S7Hpkt1b0pEIJbXFbfVJfW9Au51/5Uq4xqucQ5NqTQC4EH3gnJ AQ5BlosluO1f2oPIfkE1IClUbMWjjrtQpk3HrjnMh91sRIqp5ivwMEeHgCpP1c9IM9CBZ4cjoAGu LPItvgoNE/eA9e5ISloHkjNLS6xVmby6uWEEm26dPqHmTb1/bCLLQpXWlZGce7ZS2vUych2CjWGC kbtONLFEdeZa/ke27e9bZKG9ZuD5zLrMc0+xEFHpVEE8l5Ms0NkOeovMmllTtBsgx7W2a4TEeoBA 3Xzi8rMzCAJdBeRrMPafkyebuAZeaCYpCsGQDhhEryxzqo+4v37FLTIbJXZA1mNBqbyLEtOW/w1c YLOCtlvRbmrl0f7YewSudAC/B7DDlecTIVZmqgIr7KAvgRR8RAXgBO+ewenkusYtZrKVJzemlwSn lDDqrGaAuGvEZKTZIAPeg2X7F+gc45B88GBsWsQ7dKoAV8I8ZO/Vucjj86UbBKxsFtC4rMH9a2kU Wo9wuzm8i0yYJBf4X7m7+8aGKTsO1NI+9/SZ+zgYhtLa4YiF2Eigetq93vi3WMgnNjtsy7T3AIYB +wWMvxj2TwJ6Qp2KQX7Jch4nL+4V91Mk7r6ekWBC987gWMc9nH8xQMstWL+dnZvVf7eHwmJn225S pXiUEE0KScN9NTgYOjaEmRuLnPpdF60d03+88eW/vEmXKPvw3cArgIhtXV30hDK81fSfRfb1p/h5 OPNW35XV9ZltPZHmei2q6p+suit9zmFhO3/yP4I3WGDyUuewHpw55i/s4p/rZ5CxlcfWfVXBn9eo SdzqekY7vJrHsmttGStW7P1GBq4OscwLvGzbxggoiOJD3Zv4xWcOtx2f/QGIyFpk2xfRtMX586c0 4S0X+7HgyhaB9NNV+35XFfL0jXDjqDCOUTmfWZpNG3mF3GT3y5RN+hJz824Y4EigZDNEKHv3cWe0 wbxSbHiXEiW5KKyjS4TbZfaV659DW5t8NLPMP7IFh0gBbmohc13jIH4LOZUss6XeYlWXxkR9nZ6Y myaM8es2/RSTSIHPvkrEhXNMhyV4QlAuRBS5cnTfasuymtsi/PgT6H3av/OKMmcNNABbVt4EKXMU sY2KVs6voXQ1h6pasNvsWuRlnAkB1D1qW3ladhjMSAr4qwTd32Pb4+yqUZNinJAJt8YMbuyRlsw2 mNYmD2c2IEarHiX9N8cqpnCHQwRHaV98s7Q4vjX7esLMxQY6oTZBfFkLaAJ7PVeXygGnQjsf97R+ o2shhAxB5eguQGPmcYb9FrCpD07CWIpa5CFqE5bNxe3yKQpX+WKpnKk16M71zLE2sjY/qMqmeuaV lI8HeH2dx+EjBeUnyI68kFAJtQ7ck5/YesujU24T1DoIsD0Y42Sd/wRkuqxf9ydVDJ3A7NpgdC6s CnJTddm6iIn7NO5OpAZo6JGFZNdsTY7SZoadIrsZjUqfZvIPY6pzqnMuBtJswIL35EuGX8qE6Bi+ l80yu23VY9y4Iu4BR1lvepnnc5HmfLrIMA3CvVbdI7fZcKSm6jQi9x8QnSTnooFbV7E4nYpbIZ9G if7qTOD8y/JJNYA3LLhD9G8jBNtBRKnue5kABx8AqVlw+RAS9YgglSni4A77qcyEv9SRD+p0AmsU HzCK8eTOzODgJHqG1Xy6Jzv3rPfL24p523hvIG1nj1LAVScVY4xG5orrJM4GhWgiSbFz+1CYXTm5 T9vsiYHJbWqpFdaX0ZHhw/+1YWcTxbP0UA9O9BSNpO6beyjTIgwGdTKO8MpOodeoi5Elzzt6hn6N BJDkVtS18jJ80nJn1tuuWCXV7r8EtrywgkkIA+aV6V2KYFqoLh+RBD3ukjbDQxTUKWT5rtRHqdE5 gWASh0gT56MmAYM8vYc5PFlW53bnPKIyWVTSxm5/0KoG/P/tK2YJXyllst8Ol9UsxPVe+op69TIn 7mE/dvBbfbeF6f2FI8TbsbCFFMdKv5QK6ZjZdYZ5hWdOGHovq3/69j782EWYhELD/3fhQG0T2FwK jjN44jgZBTtAjKwV5fxyOPi2w4LkJHj/HEgnxEOoaCx2rSe6802T5+lcXtJYCOiy0LLDm5ZJjp6r Z1WAGIF7LkM3SPiChZLuU7yu25GzG+AaoM6jgSoTqtB3e/m9ZNjlsVMs9HcGexiCVopi7V++EA/G VMBd7JXRk4NWgrnPzz7gWVYsTq8Io0smzx8Jd9W/DRzLX8s6MIgLXRsidX/l7R5/fu9TleG1/jGY 9oo6pt4vF4KKj01QAFwv6E9VhaCCX3jkK9z/omeomHIu2gTZJ2fLYN86cCRcJTdq+cVTLjrZ7sjX 3DC5A/ZGo1eP8W1H0MdAg7IZGdMjj5oOMXG1IXeaQ7eHxBudRCucHlf4FCtHYQlC1ebdZbMHGtil y8szgBhhs3fzS7tNIQ2Gc9M46yGM1yWwhGpyrCPoIt4669Up1I0A8KuseTE7SOKTk75DsXLWLWGC fW1/3/u6pddyXpCOzljsY/Kf3A07Bp0fqdiJvbdNPOkdL2r5/ffaI9N1FNyuuCpQbxStF0bz8xsX L0TLikHQde+mctAs1bTmPqpirfq4rhUFZRG7o2S6TFHJcXPGg7aIUK5Q+KCpW1EH1tEy/5sizp0s 2U/cQAvOzR0uRYVa/5sr+rRzUgER08tF/4jtgwOvLL11TaxaQdCgUo6QVTPC29Wvv/FvuX9o8qK4 TuzoSe9zxVUz0U82WDlk8hQhUOt4LSsh/mQvz3m/UVPyhOzuWB+6KgTa2Zkl1oIObw4lZ7jNbosf iUH8WzqpyqDJoF8A/1aCoSNghKTV9QLWoaZW6quzoQU8xReKO/W9MOCGeq79A28x/1fZCC+q+DSA eSw91qS8MGpa7nPcWPzEHqREqnkJyR7k+lxoO93vdv14qnMvIyBZX+xIJoPMK/eYbamzLD1BGodX ydCaMe2ib/p/KmT1XJyr58KQgYycxqAcdHif9+Cqnt031rX2LekKr3wsyLLZANxZ4sNbllRehLKj BL3sIHztBOetmW6U4akJ4y6RrWVLDBk/xiqt80P9jHw/fyx/YenOcZnyj1pjMG3hXkNUNWXuvtH+ pPcvV7kcRqh2xieX2P9T+cux7rBfOjQXCUCAK4fC4jLuJB2TEM3o4/aPVEDrHXpTyzwbxqsXob+c qki7bA/RGXFGA8F18v8kE7UjqjPutX6IfFzvSfZwfx2ZOVtf8+gqK/D1fO+4UKR87d2Fr1ajA1l9 f+qJo3z/ArPZsUTVYFfXUfAwMcEBIs6CI0sAaHyWjs9OGfVtOPCUfdjxvC+z5S+CX7N5Vra2BDUc hsHhMflThKdNu06dKTN/DG2A0H7DAaE1lkgfxvZzRahTICGfoKGOICqaa5h3d/TW4fHRnKYYTlWO WfbJmT1qC2q3Skz+7lRshmycXY7novOEOcAc/OyXIQx2gl50fSPswYds9vlxPxuus1paI5XDp57e HfIMwXgBddnuxJb6QOwbt8KmzDIeRm+Pr102NFs1rD89T9dvPjtxypN61raqa9+rfflGJYZqDOsf EDfnyiBGZEglSr6FSKpgJjVH+Ev7BbsHDYfqnOayNNshTQeisZ3/ssikEo7rsUBBn0LDhTJ5WFkM eXJ4Nd7QJcFMhXNUDqidhDbK+RlLzgg2D6mzdYiiRg5Vru4BDZTdGJbmVyDBQMcF1WL4Fm7JTQN7 sjzmMkCFwAnZKKaBagrlESrQKGAT5ztS2L7/p1EnEiWdshhdc1xqNr/6IaRzgk2bLr9scXluyZG+ YWisBsYMxal1FXabniLF087EGPcei7vKz+nBufdYPnwdXPoZSfo3kuSZmq4+GNBipiB757uhaDp8 fdxocso2yMA+bLUePTu+2thW0Mf0YZDkNJa2DOJfGufXSqbdwTjG5OmAILVTVJkhFHvXoQ/wvnRG e5hb/RHzpnVrs4PVrf6jLnvQ1hLy8MuZav57920qk47ZMw+p91mn1pZBJQmxU7trXjfV0tPaFOlx GqPnra/09mPK/y+3qfgkr4sOZzByUYMimMhGDhdKaoKiJjy4OggHDOTkgT9vavsEM9+GnX8ZZNiJ vOLAH9V/xkkvFP1CrUE7yWApqsUcFC4cS0hesNToG5/YyFNcEfw7+dMLeQQ/kxtWf93lDCu9w9KF L+1L0B7fuFPdX1kfPzbb8mVP+K/Bga3mKLnflsaJcvQv8T2WnfFex2UAWZOMGSDQpx7nlGmIC/VO 0NITq3rfJZIzifoyx8SfN+RqqRiC4HHI6vQb4pREPlymUhkDZaID/i8cXhkO50LYenxgNUeoGhpr 5Hvg6OhxjEGEWtsUZvQZo/yi7+XGaY/W+75aOv+SDfGB8WVdT76WPBmVi1nefAb3YZ+u2ZOtvzPy ZHKPZjLISZnWaUdFsc6QWhiQDIFgQcCI2ulxG+QbzEb0G90Bf7wWPI6RTzHm494J9PUz7ZNvj0Y9 ruliqg2gRvP0RXtu0piSk3Mlp6IGl+ZLZ0RNdrKidEcgwKLzqpr2+xlDrbKPMls8YQmIoa7e1T9h 5SJIC2TbTKhkkmzebTzJBrgt6jhJru450DwiiA3N7a0vOIi8aNSE3gVqV9FWYev7W6nFGRk2yU2I zvUFCF3lLog+/Uxc5iZLZyX70NsvrYaPnMaR7DvY3pdEhX6U73NHHvivL2Nxk/JDjgV2OqBStvgd rY6okNaQAoai4RZg13ncvGZxwz65+9n8TWS2DURvd2GBwxnx44R3vkLxcpeTYa5zp2Zsl37vaGvK eDl0nskAruyfaF58I/re3th5576zI5IVVwNBPN1mJceqlMMRxl0Xh/V1IrbYAiawqjGsb5zjkxsB tycVEvlyhGxlijJEGr9xpo7j0vLTQ/0n3HT4w4vVgnQuYBr0rdk7RfWd15Xtl1QijIXuKYJXqpNr 2QupWF2gCr+LKhVR7BzfDx3IGoWuELCRUVhViyVKWtVUhEHmTszj2HSJ6TZxOFOFHfHNYa2tJOAW xBhgvQW4zjoSXdYWDIx7a8MtZ9L2/9od51aI8GnBJq1jPQR0ZOviNcGkix449DcsogBpDNEtqFBw xY+Gu4+pJfu4aEmvbrS1yD53yjrjPVFv7M+pRi/Ed3PfuZFvc5VlGFl2HhZDBJh1Dpvltl45ul3l HYei3huMuBLXsmCcmlDE+5SqH4Xi6RI+4uW9Yabjo9wf+8LfW+4Wmnm1kXg9VEG8SHB5rwhfuHwl aaHpWNN2Xp9yFZztTGwPHam8lNct95vPyvkFG06tfZ46qVGXE9IUwa0y2eFSxWq04CkKVHaSXwEO pSOJyv69fNlE9fs/gingHGXTIzgflpl+VOl8jcNuHut2hqv6jGciCZilbs+1Z+bcdb6VwlLsr4wG C1XlCPTmTWtCbN/1TkZ4jyX4OapSoKdRwyl6OZJ24X3Ot3qEZrZC5wjnxB495eKN/iq2Ujkt5jex 5CTnWFpUTB2JKmxmLbocwIptx7TPs9qf/M/IBIMIHIfX+Mw4wTLZ0/9e+HLyQ5Rd88TjCtZr+noP nAc1lVS1j0oQK81btXSaiMR8kSosspvTM9sT7HYVntcpzg0I31BF9Wk8Y0O8KdjEazrRKaOC1Hye 5+lqFrXojNJMs2qCbCMZ0NrD7IXx0zAGGWVuPKQ2IXTSnXd6sEXXXEyJpMq5gdaRBUK/eFRISA/1 MTlp8d4oQzDlEX53yOgvCPpK/+jpz9mcAG+UEb/YiJuwJXnUU2kGScZorR3b9UFH9aL3Ms2mqqhW wCw1H2qY80DHtNuJTJxa6Vj2iWbGcPsYZP6Wq+2nAkPvmTZf4OWsm5OAX9Qa1xhV/B9AsIJVOOx7 fodfTCtn4Z3BLoVOa+cuWD+u9ZXkEwKMeDCNytyPV+SmlgK9z2ucfweNVD10eYdO8FmhRg+J3x/L RDMor+Pe01Jrq+vD95lnhS/rgNnoRwlvXEgOHx7EBnsZOuvKJq7pTB9ci/FFNCg4ow1xpzBBxY+U ofIokCyfn7q89LL2mcYdKcshthubMcTwKd1ptGmwPHX/qbmEnONW29c9HI90o4NkSrI+Bn7POvBJ DfcRxMR6XFYXtz2pGSOBq0s7srjnkZaS2wOMeeYmq1TBBa1H+QcyXReHqZjtRl+pAgWqpAAHNwLt 5atjuzrwm/1d/diOSwbscWQg3S87qgJgFVPgOX5RgF6+ec4I1JcaTHwfXhGGy7S1CWyrIeWV2Yk9 G98sarZEf4fqQPwa188NOYiFZNzJv3mjNF6Rh+g1uAwEd3EDcw2d2Jt7K2Fm3j9bbSD30k29nDHl czgrqAfEpC1lHcLVqMdClLFBYd6faMGmYUHyVH/IOpfx5mrGP7AhCMpTKpQ2iFicLBg8NJwmgOtw wyZ3N1m4kvZHlkfQ5HBG/uIb0LKKRKOxLlSM4X777rlTXz4mH7ZS/66JoibDGN5wOWqalDpvo6Qh YNco0/fEn06XdhPfJqQo6W6kcsMit1Q8ZMWv/30lJdjzrdJeVQqyBvbt8JpxtZnbrs1ojh1mYjbS IeYP/r4X8VBBogTWJS11kkhcOxDcr/9X+4fF1oi9erLfQuTvwmq+uv1QxyNfhQiAPSb1KXL/Bfbi xxCefO5Qi1Cojx5SFgg4beMPeFlluGZerhnAIjiW6etM7gNRex0T30TDUNyLsqta0ywCUidLU939 EqOLzvbtbcZ0wcmXZtRKYju1fTHZlVLUBrRUjdghJttFOc8tMuM529s8HlafPhpgXPaiZdSQlsZI PO9obyVvD8/i/QFN7GifEZ6IkR+47ESCTmFqKWOeIJr6JPVI8FWC6xZxZyzpK1K9EieqIUu3HpXG 9lPhKmy+ENbXWJYsFmbX8nfsO8Nit6yKoGzKoMF0ZyJkHGIh5Q6HiFMwOZlChJfzXgJ9Ivoh1rCr cXS/hR8f52p7djh2dWliM845TFJrxfRZsEhcvLobc93y+nDsA9pyqIaWSwP+klo8f2/SPIIVtI0C Gkgo8pgdaWffuTzu/6vfahzZR6QlManJyAEmPeiVA3goZxoXCW4Ptb+9cvQqiUeJ23yEaExAV5au 4uxxoSqKFMz4WPfoF/uLE04+zY0HgcnN9JAcZZ94QYeUzvkNxMnIYO2wvaSw2i4lfy6Z9zYVKPsJ wnIJAEVBoO6hFSe2qIv9QvHN+VgkImphKA70WIta9z9QxUf582lyz2UZxsW8DFvUKKp6wHR+YOdo jbUdPziiB+UXOWuZh+vXtUxqDcU1hFaEV/DalYJNKrmV8PxGzcxls+aVsie38WoSYeCglAUVp1rM NQaD3/7frn74ejPTZIbanDTTleAeG0JqlAu55Ty+LyeI1zMAfRd45DbtVFOWN9wpgB4cThXIUw6F xbny7mbf+XTfyuGpgwnmIBjyJcU9Bac9BuM7eO+pA98+h1iyiLvMNJQHg9xEO3+QDJdm5DCltYBm a4X+BeSl3WfSv1nouwFTA1EJLKQFR6McOk4rZRW2N14mBtv2qvdBCPx7VSuaF3XnBOcJrWsE1xIV vgUlan9spt/v9ymNxIqQF5b1pbtHRHtOyidSmEaeGXniImwFNGKUjzG4JeyxyPZVvJ9LmXE2WXRL 5FnOsi9K82v+zqEkhlC9MBcUSj5g2K7BSPXae4TZDjZ3rCRMRP828c/pjluOnX+F8pSfIH9j1tld CtzLcHaAd9ftMvwNTWEmr9SeqZ+03ZahfBpKRah4nLbXsJAG0yoILExevCsWMi9cxwJQLA7Skyh/ QkNj7IrfW0yD+AG8RxwQaDu9VYGiBE2WZITAudbSlz2eIruuAK83G5UfrMmqL4y8wLed1fpMjZWH latozqFQdokw8pX2NKu3cELYWnetWaQP9iqQUQMAAyiwK0wG4BqGgB5X6CVYKHZajFSzO0amBdbB MfpsiIgOjauHQaZZRcRBzeyLeh8AlPwfv+y/SBVAEO7BcKJXCytbla/0o7VSYyuw1NUkbe38gyU8 Uph1I9VUO/X4iHlG/hEqw3P7OQ3U9LasD5kzn+bULjv2UPmiYR2mfB51prrVB0fNcrMHPFnVzIqK E0TKX1Hi4kARc90u/xeO1Z9zx3tRbaftn6E5s6+BoIS5nVZ9XucDHE2znEoDtdam+iwJ6jjaN+k+ 7FURYWhzSrxtWiMMqdUNd+gsrVb02OAxLumI+br5oPXvWEFYQlXayF5FCfb9OLBTcvPJtzh/NJ3U nOQbuaUhYV8u9WVlZd7xcXXU5AMt7G/LAGRq0gG24pX0umY0vFUzw7XJ5sGCHN4u7il5931SoqqS z9XRh120foMeI3KleRoRUUwRR/OXFEOJXiryt18S2FzZjjLcjpJubCHccNdIbu+0qkM+QXOhF89o Vnzupc/iR7Lp/0RQw+hLFlsc84reW+IAlo6q6sZFWsVwO4kGpq+ULb6ULKZ5hF1Hxw7ZhYqTBe/Y OSbQFOzlEh0tO/7Tni2mRT9HkGCN8yCzFzNi7/gv6Q4kr1xZKAYgg0/E73eomS6jrWU35veO2mkv vprLNLDl//1T9G12msCk0On5SHByaC9z+mzaoYkkIyF4AwjuM/XaqMc8hrwdfm3ISgqPJkdLku/Y yyByJislkUopsfZVDRr6x8oQkjIk2z2KPVD3hUYrjQEEEpCNIx9drd4ZkBa2gMwp/8tsPciG8Wqz 9RJbbZv7vQYjE15KGqo9FT15kQ58bY9xZa4CbqmZx9IQTwOS/C98yxhwwFFHXEo09HuVjqGj6/Do lzRef0HEMwBZuHGXbTc6sejl5pd5s+5sb1eJTHscAHYj514tKdEpNUkL9T5q3gKrYWAfp8NdxXuP oex41bYynCWwr56YAJINHukOwwqdtBep84ndAjGNpzq6l8m3aHtjtNXQLd8G944LWx1Gzfq05wlO 3QybNo7v7kQ0aUqaj+r0zT0fwox0qEW/iJAj/SnGWEsbHBm3W1Tyghv3pIdiHq73NRm5EfMwwSsA QHwzQtsN0xPwc7RTcTO0XKqLARepVHPAUrDnITHmeF2njTbyRruOXo6eu2IWyiAbMn9+M7bLGz1N NIlUdvfbDFfh8E0ngZ+ZUAjeuSXx4/VMUwM+ZOaDKJssfdCT136d7ZHXentUBF9x8/ka+u2FROSR H2WZ9XTdKfreiKNRAlZjfHky/KFImg3oouhAJ5DD54NoyVxlduej9CZnza4ge3bpGSAM//6nvGzX HLJD75MBQeN53/cm5pge8v6iOvXAsNVdL195RTf1i4UNN6VHxuQhTZyNGuigrob/owjYECm7ZfRp cf9RJd+lpQauJR7ZyLrBXZXgvb5ki0c9j8ROOmK45LyWgYzkuIF+5WrO4xuAfP3/JKwP1KQgRmTd ttIDDcozIIZG8iARShlvqpKpWDy9N7b9nl+cg8e00jBsUDESXeHKorMc4C68N96ZmHBPn2pBxbo0 H11oQlqmV5kRXJh2ENb3stDmjO92j19IV4+9Ta7oYAP8F1pgdbxm66p73yVC+YOnGFbMBQ4VuM18 TeAXuQ9hdRGsfwWwzkFC/ZkS0TINHU4QTruaWsAdoGCUxsijSTiWZA1Z7aUcdwV77GI64inc3qpp Rav8kEKj2J0t/7CpOBYjbRfwM4qhaW24JNfr0g9WEbeRB8ujBx3Q8+mHRwBhc5DCH/Ie958p4aaw MJr5DEx3WcQERTWplk9XBhevEdJKNIg7964Rg986Ozoj29fdXtdXRJ+HDDtzKlTHMQ85BmlPNKkV WiolbozYJWSM09ryFTTMCh8c1WfD0HE/vn9T1R3E7noDPKruAHHoE0aRRYLZ+bPZr2G5c9VAlP0+ jCXnBgHBdEvpVKPoTqJ1cJ/bAWlX5wtRz2F3dWHsD19RJrumd10kEvlWa2XtkXD01Q+ZDaPdckOQ 2VlfgGAw0+5MdljPZw6+Y9Gi7uLo2ugSniL8It/3cPytPs9KsVhZ+GTdKR9O3NmyueRkpoeRBPJB MsEOXGGvKo8Hqi7Ywtg9T5SbhQlMFJIYIiV/+ehIYPtPvHU8v+cZ9up3eWvKZPdGEZQrhs+FRaPV DmRX1Gtdjvoyhf1m2c7jqvB8HDVS4TMetitWDo/GmklyboHnyOWG18eQ6+620pgUcWyOT0pS06+C GhlqYvwsRFSjITONBLlWAW18iFsKvMbq1p0W9j1IWlfHvQ5IcLNOe3kIO0ZSp9Nd6hNr28NjSbpw HMccsXDTomRxTyNdIZ8KYNDDjYlx95chcEmKMVfPeT4THrceWtHDvVX34lC0bbrbcawxCPfXI13s xo/awNjHIzFYjKmgrF6p/gJbax/9QbyrSsbObUw+vwUw8Yi5p+JB528NiNGg567fd1VGPuUW27eE InvNUw/ppPRLG2fZHqVk8BKpsk+4uN0lvhijNlgUfCjrTnjwLmAWE01p93+xdRjk3nKQj3NuFHUI hBLzjZ/QJC88YKuF+up588pEa3E4QJ+VMw5MLVkJl95YxQIXz7JIY9dbf360bXuLeP5MjbCV+xMY S+ZswK10MSSQihllBr/4XiUZ/hgjmrrmXzuOO3ydwfq60LCfJNf6PvcFO8xzSs6axMVWyOGXvr3i qYbkFCniMRo87bCu6+sstdWlxKmQqDXqp4KqUtXWbQAoYWi8cR3Zpc/6Uqq8oinbjyUDVcPSW0lv rK1iqu4PtwrMjDZKQ6yFjLD+OWVdRmVgc/i/W8sua1jDOumPP7a2xpaReZXnFzhSBiY4H1KtPaYp Z5CmlrIApQlmr04VUGcsH/UbFhjlM3Ew/SsqztXjYyRWYb7mx9D5wc4xUaysut0lI+44rLPU3xTG Y7SU4aTb1R+YO1ToaB5cbEL07K19jFDWj9xz/aXztJrQwTjyu9whce57SKcxpgSdlvOiTnhaaLx1 YXyOT+3W9ONDvfk2aJEFIEoSWKWWdjwewA8kQ2f9/7eZY+UNifPw213GnJIfJxovJOEVci/PDqe2 +XbsU68XekGBWmZv55c2Kh323g+WD1924HMDkyScJ+maeQdv5jAFLbTDqx6cKVdxaxCYkRp0JCX9 AeDz6hxlw3GHAzRD2+9wK2C+zsrNxqdj5SW2g4at/moypqFcZwEGgcunIGOWXb23+N6BHu0QvZdX EjfQW19cWfvMqlJzhBuy8XeSS5x0SU+2O0ED6N7K9Zo5q87l9Xpple/Wdf/ilLXfZXyrxSJl1rQ4 fKdsuMCXxpUJbywBidtMmp6C2fP356yXo5pQsPrcbIIywGT1V/84XBx+K7Ek/kjE4hprXDKkjG0B qF9cpwk1gFiag2p0ZPOxlnOT50ICI+8vGFXXUBcH8PAFbZ2ksAqtAAb94CAESlVu9qKLpTaCV9Xn qQvCJBIt79eXKpKfmYagZ/ujnG55yxCuLBcLATdEO5eNa6xluAr1VDgBSjOhapFJagzDrUFTOqnJ +oylc9s0qg5jCwt56YRNXeQRVgw3U+qkEClafwxTT9YxmdwDXlCL+dl/EAnp+wk9JzVEvoz3+K5A aaHwa6hXp65ukoY+ePgsSwYEN+Zo2YcOmhjdsxFECbQNTtFAuSAvcSJlNhoBfBYwU/rD++jg7mSP hTdPFv3MyVhLINtFfb3mrXOih+rFNf0OxVmw3rgKwiIgh4kRc/iRfSYfa9b8+u4bZj4k4EFo3DfF WRVlGY2t+Y1iSu2gfWdIyNbWkpclWrDWS6UV/Y7bHRbtiAJz5NZkIhIZ/ZvBT009DfVCv09jS/kS 7mUp4otsRWxA+HsavE01edsgegfIDz5pMCukX6DnAl2r/b0N5/+7UxQfXweIG/EzigGREOHy1DFM LVWiMv8ogiRm9FS5+fu5Um6hCIuTqMNnymAizeUtcCTOwpC2OFRRZwRv1OXk5tV5aaYPiQqMKfZU DjroKuQym9ssIq5tkbd3L0qVaEOmEUe0tgMhTKsdMgHgmWn2qi0Su+xLyL9e0xJ3WYtwYogh7u+P j/ORvskfqPrlbSOdGf1gwTVg/EaS9zZeKNoo8WRy5Otduy15lUgw4XE/uNTOz+KNPEFVVb+ciFWH kz/wIsGr3hTy0VrJFjAF2yhqXAYaGAI4NaM3WJieotYa6BShoz/Hi0BD00WYQTkmNsXOgQVtw3M2 tgJ7iKUYqME7/3B1Bk9UKL7ya/Mh+IijHlpD82Gq98kTY+wmIhH9fyHnitzOx02ZxVrJQLoh/T2r lgrcfBFpOJjIHuWNa/2jym5/TWyelm5CUtJx4qWGccVIM5fbEnpnJl3K4s5cdxuDH0+kKugL9TE5 e/WB/WZbME/aXz5n5ePsyxUMw8YSuykObd+ctKYxEPvwSOZezvgNzAF06KprCi8GpNbESdM2UcGO rSlqBN3+tRkmZeyJNe5ui2VpPt4/Bj30+9pR8o/fwrpotF9uowfMpggHMxjLTQFj3BLLZu2O9c/c pc0vjNHRmnj3UxoQblCRQc8MHPZYeeQ5VK5cqkcZqJztXPedOtzoG4bPa9R+pByyq7LGfCeqLScY iBebdq3bRYZXWHYaTG94mynKR6/a2czTrsndGvgD+OP/C3hNYF2yTvEdkJHV/YX+UDzwuAwrlB53 nnSXQYClEtxJva/4KAZuGVr7c+8J5I3IQHU3oUL5DFmKpqKYGpd1mYJvIFJvqYjY1YPU4JymN9Ak OMpxoDjU7cO0LPfb7K+xcjLg5M6yHM09Vaic85p8s219K3DPoIqw/dtwUZzSKlPMDKYP95j6FA9J X1IF6bZ45mrQngJ8NsJFOxhk0KNI4BfhfRrTCq25vVTDrmcuwl34nu1rrV+Pq/OhH/cZWu4r+XlN BBOmGymd8LkbIvWFAqXjTZILvefs3DYWRtOBjrIk2nqGi2Wwa6m8IQR73KOCCtlvWir7D3+RQoio RswTERgDAG/hnMZEH2wOKPIYfemKL0DpK9gOm7YQvjwzoMPCmhpDwkX4n3rBhiA4fkWj4YGoKbSX F2gsnHDZVsIwXp6NlElMz4gpWiHqYp7e10QGfun68tbQOWaooURf7fAliYRKp/QrCaTX7O5FkFxe XEswbSOBlrsIV2L6f5NgeUKXpXeIRtDGVsAGy/kARH1GElgQfKb5qMDafAJGW09zkoOMP/WFgwOW dBvmA+xWjzWiPuuHZ+XdLJM6kKJCeQQFvCS0Z5y2raIkFsT/s3NCCvoWYvkfU+vzopTGALmwhmYp WG964N1Zitni6WO8MRCE+PBPwieH8AY+CbUHrTt72hSyUe9NN4GWtOk0hyyH12avK+eKnsHmA+Nc ftAfZB27ZCqyUwIklHiCGd0k25QNFqf0X68wvJwM3b2DoHR7BU1Yff35QX3Qzyu7uDAkh7N04ad9 UBiaYhu9uzFP495lXhDNbUakybOPi+YXpbHZkAzYFvPhUanZvnFf+tQ3F/yuFpELOoUpJNqdi1c2 VX6Y/OrJuRHTJsZjaA6cMkvc7lgG2xzuaL8tl4GJHuy9nhZZSIi5QcP5lNi3wCbwko+ZgKraVpQV xP1jPGmeciwnHZw6qQuBI4Fejo7V5INf1yL0BlGzEC47JLsqSNNsrKcah2MHs9Xo8nMd5g9+O2uX xAYlvmlj3qnnMtbKZbxPNBpWYa4eLJzfFUR4ZP9hVtELn6vfuHnQ1e7h+zWuIVYhD364HT108edl Wi6gwldF7tSOcafi4vy7ZlaQt9XynM4Nmhkh1wtv6Dl2iKycRd0/lLxw8TwrNyGx77UaETAdhedP n2nkOf0Hh0u2h65otC0FoEyK3TDGQlMm+KEPhJk25WDD9F9s1Bib/PAPXvuTAYTwa5xhwRYjUbvs R6cQo/locMS57gxcBU3FbXoaUpfOAEisGM/mgsQvK0swt5oyvzsPaqbBjiM9RaYHREbto/9NtPVy pecKdcMAzue51rjAb3q2VvbM9jSmXSqsGMKzah5VIjvUZzW68a8rIY1+klIr+/p5NgZ/T4t9pQ4F EWoN6wKjmzThSfXop/t5qy3qdLIwRv945P+xyozCPCCKR8WowrzPF9/ePvdoNEcX2wxOhppX7+Tn 0BK1F2jBHASj8dNlRwWyApj3KjHbcflFIYUSy0FRvmpk74EEIttA4WcfQDAJMB95JTZmeIC2ztsr KK8dl6J7NRZ6hmytTqMRDTnNvzWdvZc2Y3uNnJjggTru2T7qk03zEBsBAT25CXpyb1RhJzp9AefK mvfkBmwQlnvHNObyzrvF+ZiRlqXIiJ9FhV9ikxODlEaKX8J1V8MKg47BTxIXCD/yuWrGRM78pby9 nxt1cUXNiL795vogm8b6dRxyvWwr+HLVBUc7pf3/A5aNEuclrh/v8t0egu8aGMSadIfCmeHLzJwT NRpQ5e1kheMHiUJwg40k+m1FpiJ0sYomU7eg2a6YZX4qhrgjiwcmx/l8E/7+bNE3poDXlYMqWbB7 5kYdwGKjeW6UXZv+mxmyi8QZrdlfbAPcAUJrts6CVHbw593m9fTvKKUGo78GUG+DAHXGR/nIbBJR aOEimTL98seeUYyknegXtZLJOg3ova29R1s2gngYhhJCiJ4dsZqMKRQF4Xk7hvbNmYeD0+keNsfJ BkPIxKgsxP8KkoQwV/j/+F3yE4dVKGM+0SpUNyICj3/LbB/aLG+GwCaSnIHhHKMM0VZrmqt34yQG yZ9PgiN/0zlTNLHNKw5V3WAOA/Sqvo/lpso9pqGE9247FgHidPjc1/orvCMtkjYP14DC7DW98W3C 2vIgRTGgTuVZ1Q/NEh5W8HcHc7ULpEi61gFXeVdj7StFYJxZXUmIRGTPKeD18EtB1dTP8aMaYWJi G+1trJHEjE8+OReZGQaaKJxUjLtRq/I/YGVkVdJLTvTT7KfoIo6GLvMapW2t1JlSuS+bPBpgpoqu WIhumA2iYpJNYq64DQMvwMBk6IvI34WvTA5SYYEeKWx/ryHGiCDZdhr232GUQOEWGJlkoAqTqpY1 YIVbsHsWLWSFsQxD+5UDdFOgUEfWkiYbeEBLv+MibN0EpKaQiw+oawAw2uwE4XHabDENGaAXaLy8 Hf7HrBjaWt/OhzCbHefnn2beutm7Tx0zcCEAYrxnYOqnY16F23LrJ6G7XXQ5IayGcDErwheSdecI SsibENlrWh9jPVc6lGK6g4D2KMjNVqxQAa+T0+xKU3M9k/96wn81rwzHT6j5jjUaqIXIdoOvF/44 fWXOlwE3TZETXD5cHqpLy6igMwv97mU6HLMvPhfkrvuWRCi7iwfOtKEiz0mVpggx6f9PbpCec/zw qDH9zlnmRHm2L0yiVGoJvrjTtH2AcPsKFrCZDMQDpJNRYehVBPLZjrYaW6VWfSzXXTAcBDNCuIRe Bj3FEQyTGDWQnF57yGbdik5W6xsXdp0gageZQ8lOQUeVYtUa+J0r7Unlj9Oq0BrkMEbTew+kTbgA 9HAYk1hsEbp+p291fhe1YSDnOGAP79wrehqCVWnC0E8/1F7LAIHwAQ1CBa4LBCpsYgUO+8dLA5cB GGGlFiEYDRl9+rv0h3U0zzk9Qj898MwRYe9C4n+nZYmqe2zC/ZVfwH0JEb0BV3J7H8ThMKYrI2/S NFA5nccauUqsAH9OQ3w6js2APbaLdH40PtO7KXCV8x5MFRIZ02iz1wjd6OqNws6gBKbzPhseAIm9 6tDgXQj/OvJE4xUxG8xGfPVzXlUQojirXkj9EbhR9oaJ+DCJhw3Nad50fT1lpnP2z403xYAQLfIr ssrqFnkRGlt48QGZqHjgPvx6LCio9sZ++04KGSPvVKasMoumdR7cEQIiL+zvsfMLQ5bsy7vVr2Op 8cOSB+IsUv3tky9tqdxNtdf2Kmwbczzg5xpqC7I1PZ+cZfkCvXTM4ad+jVk+bhtelwU1E6kB8i0L AQTC55ijL8gJYhoPlPnmmlEu3qL9rHaGDOXjUvlC0/TQN6QMHfRwnYMDnge8QuHhPdZ0yNDeFurx I4/SdGB5SMcfLWCHvTzxPF1cSJUd3C/iX0exsS42smBVMCKxJJuQQmVQCANoA/IqfADZ9QuIO6TE 5vgmh4p56cYxv7a3WbeX9tUr5NiMxBzT95OtAz7wwZl49xrptfDupEV8f0s7UA3Nvv68iP7XTN/p RNfLdvnhvrMyRA+avvRtp0G96tnOhUGox5AZRU3H8QvwjkvjhN5a1cTIKJXcB91bVD+kF6drXcLe R/ouwoDGowbXHlr1VogCs+qaMBmgq3R++aVYlYSxQKdTt/CjG1+STYHlANFC3pICCW+BjnQ5oMW3 qZHdesWOtHie6e2/9Z8Fikpssj5mQQNHnVLCWsR62K5K5SIFTmzELrsUX5OFzWZt19lwmCj8oKWm Nuhi9GMr0ng4TLirT114b22KTSfquAWnjZK7fKVbHazwShDKSDAvUYRj5nbYJ0DAX1f5Rs4D7Sez S5cGd0/UHYZ7J9Q7qX9CUDv8zflIsRNcSloY8xdOlumAgeDyKSGhTs4iRLgSvBlZ249qKcbrFIHR /d5pAKG1E71JUZFh0v+XO92fuFWPEp6FcrtfxPfgGP/A7a3kIujcZq/rq6Im6Ok/JUZYW33zhzDZ ULNrGg2eExU13HwnM7aLwsqlpxdshCoRRjTyLCj3vKWJ/17xri/29uUx/pAbVGfW67g4A4QvZEZR 6MNyuAmhSc+kPnNsVTBibGFnZw30KllsS8hWmRMt97ojS+a5n0imywSLIf/OzJfFc9eF46xgwuUJ 7YA+1AB1F0z6bQ4/G14W4qb338AhyQQgUVPsCOe9NHPEvMFrCW8tsu/fW14iyMU4vvwzhUnGoGy0 TSJ8NDbgZdKh3rBiLjWTgJKZvXlwNqPmJ/7Ty0kPb0CHuzMkXFiUkpaWkQ6GU2r85xXwAZwTetiI sn3mVkZBVMPVRRPzrM4Rx20GO4rwRPGNQs97Pg5vubMqJ514awqJyTO97Lmn+2Q7vAgpg4dAvXFj Xj11MwA6tpMEGAUnc4xU7xFiN1p11i32H6zEmr9WUxYMzpO5pG2eDwLI+zw0e2KOAkaCsxV/Y7Gr /z10qyM5MPTl5HEcd3rBFfH2AOyiS5U7VyUTGBz/FlHekUgJZZFvnusQLYWGerLgU53efpMnt/fu 1NSkvETvjqBsexygeYFCMJJsTgB1pCc/Mk1zyokEMaeog4ZdTahtZVMTIF9DtNWuCgTIYjq5VqoA dr8hzIuVpHUXpvoceP41Ms/J5c3NeQaUmfL9O5EKJyh+E2KgG6zOLk5YZbcKUcLF95Op886u4cpz o87zKsWNBWU7fBlyrBm6U9W7OE5Sl9g32Dv+85GAT2xj0NaSJLLRvFa1UiMhdFwsDZ1UEF/if2UA RcnUG0ExnMEC5BQ554qxJE9QBS91sfpmcFz3V3JUrh8CuuVpbkmO8O59wHtk+tBqVrIF4UHkOQV9 VBeIe7AQ9mG96z8EJ1qza2E18b8FUj9revKGcCvjCuljuciWtQQ78mOjPsu8rJ+Y6qKHOZKS5OHY zZLGJ0f6Cpx2iMEYNIHqxYdDOX8my4pnPhTgmuVXJVH4y5woxxsI7jAa4n/LqOVEIXgqkB/0wQ8D u7pDqB4HU6IRIUU04RAmuDz+pPqB6HdfiXBLoZc7TF6DcYI+3GkSd+gMV0U0f/7q7uZ/y2KsGuZ8 50rWU64AUsbAwTOzwY+0NO8CQrMDpCDUyP9rKyQmmDo0HMpt+OfSnQXUXYipMaMo00xxcc49NDNg XdDjFAZUbZ0FweWu5liyfcednaugbhgzUQDsQ9nJgtBskSbXiVwHX9YMCRLqArJ+9rfjBOehiBBW bhb6w5QWAlnslDXoWcbZhGpp6Pm41oBdjqHqE3pON3mIWhltJQxxAHZ/2H9UoGNhoAdNxJVJEv4T 3h3wtUdpPpshODBgRv9g3Ri9k5Ez5Nx/TziH44fuLNO7ToFYguV0I5CrgrMJ6u2rKPr4dUsNx+DP m65HH1JvtioEokv2XFkFcxW0cG3G1ScdWJoDt2PzqaJpYVNux0g37wVg27b1MKcAH4an4F3aZi9E Fhuvmyea+uwHbjGY4bGmYu/igQ/1TE1TIEOp4tpw0p2Kg7XVO7ZnSbDKsdCDC2/kpq5O5x3VjCBb SCev/nF1GrtAT8tJFEVCtJJoEBP7BTafMv9hku8gNglBADIdfX6TDz4F9rMpkJTF3muKxmDBJPJm /ByC9XwcYIOOXuO8JNfj8bd1PZsADBPkpBOHiAQe+kdMUrXOtDuOGYF1gk1c4IDeT0E3F5T9tb5L LLh/EMam1hhggSMWRX0lXg2S65t9DHxgSOLDBoRB9ecGWSWzzX8SdFbhnPEa7B6b5qqFkERvwLLf Kdr62kpkwS2YYGCOJZtTaTm4EuMpp8AP3DBoOD3n6T3OzJkqR4h3LeQnsYHt1y/mBeCAlLv4+VqB Jf63ZKBaGyJbpVkMU5RZmpU0353G8EIb+a7QU6FcUhFMizJ++71Bbh+r8I/w9MC1uZ/MDBwMCci1 MzTpL0WDKmW0k7iOfRIxZMFL6sMW/tckpzmhXeymjeRhP6wW/rLy9JcYyG06JfI1P+7p9sGyGCgW sbMY/TAlC2wiLa33RnuxeNM98keC0ZAud4f6eniagft8uds7uoMu2TyaX2yrerq/sjvrBVbzDlzb GqMM5tOh187WiXaheiAUM6BQ2m9ZqCoHqjAEMTARJ72RILWzYhx8NrBVhnI/Xd39BYfbnFR+yMG1 fyicOW0EJ71NYjNsukw/OxBCksmMJWOaHkVVjBWKbXnfSWXZ+WX3udUB3UhAP5iDSA03206urqGB UWIWvZ1+5RQ50Ujezc969tznwY1qyaWjra3gKZwbRYWHz+AOd+6DcSlIql/8V/82GleeGOyrmMjv 1ONZvr3tN1PN1HsUKm3dgocYbBNI65fLu0qc/CnZrmgSeNpts2G+duuGijyWsqah+pkClNzXR+Zh T5OjHQaNxXc+8JPDyAn7h70WwYHYqfIFOzlL2EkWjcW0J+0kQPcuNsy6oldrxu90MWEoau5BPjyj ZGqSk0weFGOlCP1xF2EZFXCAhSfK4/TOxiu0Dvn4jfb85MpoiN+hMz+GiZca24yAQAXumC+XqBSa Ed5w2O15w3mgEkSHbi6Z/OayEHcQ+Y1vl5h8xMQLMwfIAWtC83Eruad+kpxu/gtofxXqEuci13x4 A6O9/2r8DsOGWrvFLkOfJjPp7y+TGZ5sROCfZcICwn0PieFLmwla7UjM0aOkU4S99MgnD8W1T4Qr 19KdWpN3NRMenzD89cQ7i3yBhAqrFeAJ9NOQoHD0ovH/36Kt+28QTGs7qNR3ZVomtzgybnIJ1vW6 WJeLqYLcIsRs5r22nGS5mEZZG7jrsAN5P0ALb4uwb4s4dwZMLZb7ZVHUko0jktxm+yVIez2751E8 cnqv10pMwBEg9MFHVSJJJV+fxAVO0tWCsbH0rY0Hl5Z2vPt/3dg7IOk3MOVTxckczf2q0qQ/JfwW OxCJgOZyiB8u2eleY+p5dqkc8B7pZ8OkwpzciKg5DYnbLWPAFxAQIt14afQtpKKjnX1iBKoeB+sW SqZP/U3BGiLCGANgG/agqdfY6Qv06zWjFS/4remjtjp43I9YxtjaHoaGVGbXFr/hoxhuuitG8UUA IbB5YGWH0vNN6YOE59tpSN4+5qCuNvdYN6u6PJuEke9LwWtYRRr0RxjJwJxQLeXbca6fype/VHEI otLWdxOvqUct6/iwCUuFDGn8//85g8WUXZ5nqUMhWK9CqLcCGRoebJ/ylhCSPOsLbjhYj9ne+UXd 0fnSsTFh5HRkKL2LRW6LGKj3tIkv0k3z0hozfkZ2qpnqof5bPix60XLofh83YUyJKmnN9kP5477B CDV6NfYsw7KEyv/7qJTRkjvQ6d1j0DGffFVki8a/C08CSL6u8lT/JeKKfWcepVQdIPvn3XkBBd/t mzTxhhKbVjbBdOA9IszbLDAlWmZySYHipQRDHRbH23FILLkMk79a1JuJHhzi/Kf2LXXapetWKA8W 3lmyuQjVT0LusZYrbcHWaWO8AbtaAySlfluTXZtPo/QMR6pKoys9fyoO3bYHNmgByfP6ekXYAjh1 3lP3GU3GM5BvFJTW7qqYGRDmJwQH7r+o4karh4jHA6Dm8minx+hb5rbGnDv7j4Jptm5oEq1sWoVv /SLslLSuLH4o9ACf4mIzDlW7k0soXOPrQ1jfRSZdbe+jux+8d0yrCVojqjOkh7vw7Oyh9wWlL3nV Slu0eTTHRmQdiJVPcZyatmA1wvuSl7XK9H1XyUiCOsyQDF+FXejUrKmQdkXPd4EQVo/uxJfLERTN L+Q1IU659ycqC7XbvJFccRanS3/iwy3Cm/wUho2rqRX0NeO6nBz76kEGHHqbCcD5X708+2aInrgx 6vQfVYywQCQtCBHKTx2RVu6WA0d0+jKguEAsxqRQMvKmO34OmYWwTdq2KjNwQP8U04ttKnmshkE7 1zugGVxSQp0gLRpWOpzGltxVCjqmOdJosyiKofGwfBMb2JVk4g7UfJHuzE+xANNiD7Vl8uBIhbSA RSahgmSsp8IMWENeIMoWIB/tLxID8JSk1ojkn+Dkw9y0hlhXoMeY1Nbm/5JGPojODUAdrf7nmrMj +VmgluFEx2PqoNtJak3+8IjK/2Q5mgcCxtLuS+4rFoQBLKCAOWgMFWBBrqwuEpELETb6MhKH0ofW k320cQP09s3yShy1hluZbJuznYGUNJQB5+KB7xWl4hBElqJBskGok1Gjt9AOBYW66DVe192bZ0mR HkH/MBrzYuqMMccuTK/5Th6F7LWa0JHPzTBLdtqAJfj2B8ERB6tEt2ouzt7T/l/jnTVVEiLyl8Ps N7Y2AqW0kslgTQAYxasLzgPohNqtSF9w9PHl8tgkVcLG/ZTHLRvGZuA6ZvupjzRxu06tYab99rGz mPSPvm4SmzE7b8ElRy9Y+yEW/pMby227WAJI2cTgcfCO+L6Utyc8UPVzRniHJXiMtKmfneejw7S9 8SsliRyGY1GssB7+854/z4GyxCkF6b8DzYNYiD/GQCZy1hrUNaXkossKI+hliel49E7j0mbPIPCl QrUiCZqmxWjQdPNAz4CAKu099A8g7JWSw8JrXv0owhBtdBzj+gLjsI5GmwDk3jMhliBLVBNUMwA+ 2qeOPKa14opKODjeIgq+ROaikMfV/CjCVHvI+12cX0qX1Ata67ZM6cL4QyjvDH0+YLUQhmVjczr4 O+Qv3NLQEyOXafN8Epuh8jAGJ8W33JZMk1q9zO+9AuLOCwND7fi/O54EVkfmq9IfX1RC7svwaYRQ MYnNtLB4cHakja3F/eYrq6AxTMnKeIluoxQQzW4Z026mcW6Z9geiqgAY6/t4ZD5Q8UxbN4bJmsGI dByjkSbSn8cUCpeyargz7nIHbN/BPYMj0PVjhBdUS0rBz1w4urG+F6A4CfKjrS1QVNing9i3yhCe C/5AB1b7+p3zm2N9BC5fkiRlimmwcfUB6po8UNSgRP+7N9D/TBduZQYC3NNvsP4WscacEMzrV0xF 6jznnGuDlE/iA+TxdaUGY4BtZlLYP66WwjbMGkLNLTOk08nutcSuZR58KaRp0cxw85KqeyDz9e0C IxyRwwcwnN2TqkgbMDvsFN20woeNXA7SKhrzOLObrA5Vs5yVxZONPbDNFsm3lLRup7CzVsIkBPhI JwqGU8Oo3HgYsRwMX4wEdAi/EuvJ8+P4XulaeSA4OWFFWoxZJb7utRP+zHdbJRYO1hQjFjWnfGWL 4V/igeAL87PmeDkQDJHD2gZax2hMG8jAa2NwKtYeNWFOSmUeACtx2mWF3RWRmy/yDWF7xATN0jeE CqhfhhovSr8vs0wr34gtWsRzHgqnfRJiN22646cE1I9AEMRr7Qe5zlCS+pcIl1nDStO7mEsv+I8H 8rs5NKb2n1yBov4jjNTUTCsld3/JQnVxWYc1hz9YlT2L5rGm0g2bjw1GX4uP+47OxMj8K++V2vkY CvZbTJBvF61eyWgNm7HOB+nVySY+2YM6CF0aZgNSjiFjwDJsDl5Zj9Tll3ymNU12o6X6wDA8+nQk ldGUDJF5Swc7cBo2cpdrurpVvgHrSk9ACXKKLsIInhuiTji2xB0zTIHUZLd6w9ethZnx9/TUQVLt f8qHUbmYHVj6L600QuaVs0GFrG/aN561iRYFY98qZ8g5guaVq4GhYj8pLtyObRG/Ue+vW5obPeji OHgs/K97ULVRQnDDZzOOn3olDzd6JNEZjKrnyPmhVJ8ka5yugikD/FvRx1ToAXqjDCy0PqpfaVC9 RroE1x/UwKvc9OUisA3XLzn6oM+Mmjq5FGsNKZD6N8NRjkdTxgD0fyQ2/3mbNBZABdevJTEtdD+f z+qCujLYrqp5XmvGZViAPdQwvK9YWc4tbpm6Rt4LPd50obxLl7UWpEtk/CAOKcB/cZAT7njtl3QI oC86/9F6aRM1J17dvQCqXo++hMdDfBPVcJw2YSrxVUr1/9If3G8fn8ou09HDVa9gEUnVL/7bztNy dPIWeF1vzqPqqTL7dL3Htmn/d+8r8JKTQfIGJV+G27tQNcq88e6YUQd/NG50R9uxKYQ/eS/ypXY8 GmYhob2SHCNm/mn2ohnxWmyzoQoveBNVLkOk4NN058GdnyFw+SA6SqVlSg1eqJebEkIm5UIBsexG jwnJoO1Edm7NXF36a4QLdmsX8U3KQzwRQE2X+Rn4ySK1I50RIgOQ9PtSgEYeA6z6N8MI9KtXciyZ A/CqZmD+rqswsvyrc5RaQobN5+/7UZZclWQTPNRwgIWaI+qgOXbEpiRkaaWrHjIoKaqqeT+kvdre frUpvYPiwYGyKkh4kWkPwzJ4z2anhLirC9fgOZeRlCJ1GaD4PKD45zpqkx6alHGmT4Qo9T0LwI1P zkClDWDctkqKfIz5QHJuu6RJq0R+/Byb18FkkvX70N+dkP4JcwfeNMMqxnKkgfKm06YN/BAGwD1D CMhzWwaCc+SS1UdjhStTHIVtKqgql9uZGvzrjp3uH5Md1U+9qus9DjfheW3hyqzirk7fZDlxCDSc NLdna6VsCyfJm1/yGl0MCa6kQ4bNwy/+UEBvxxRmUVrEwTWsDPC4fyg9sgJx2l9HeruZ2/EegMQW +qWYt+/ixyY1ogOJQhdd1FU+xMkS9Yh31u8yKznBXr8iijw9f/I7EIOOhT9q+rcugCr691YQVDbw JP2Dati4pVkNNoJ2Z6oHvUgF8IMWnGW3Pyc2Zl7ek9RLMwpjdAUSbzj4UNV2COfDVpD+j8FvLOxu G0IrDDFUHT00FAxlkMqt/Z73aEuJazkLEDhezkDp6CpcOdGy5lEwCldg/PvVxfjoGWBSvgj40Vyv HRA3T6d2L+1WlytlfmBOa1jywjYUFRJz/dv05bHk3xh7/k4LFmfBIhgusVLxVHxkXh4dcChlBDLx qrTWHfGyuJad+cV+nfIEeo39NnDqKZJRtQuF1bqcS6m5eI++IlDhqtXbCG8ZZep/TZ8STvEshJ0k taWQPOASS59q/QYpUNLdFM5NSnEF0+6N2U3lsZJsj2ilztulViU4Nm9ZMc2DsiZsT92+ujgS6igc fEDW3T6FAkv4UX+ZOGJnEMrWRX+4C2e0DV4+kqVD9mTpJJgaBUoTGQrSjO6weJh0RCewcJJRcQGR UcLK6Msgp2KHMES7Dagm8P/CZRd4uN5a6bCTBF02NAfKc9f35jJzlh/De07J4K6QuxIozWIxMZ6W bpgD1xWKHnnLrraTv/+23lx1OjwLLFqa5LYIKfV5VlejYv/5z/VuFDfPK56MrBG3u7C68wnc2m4X QXAXTP4NbEbMiOBkE9FPu03fg8UfO76V5U+X36jItEgLJ0SuWvKtz17lkpX3GmiulaCD6YVxnAhb smPZvCMhMoiwZFiXc2ikz2vz7R+h8gGeyB0ujwsnv1hSbWpeSOWYkb4iUSJSsoNC0hCJyUshK1bP elgd1ByG1lxE/4cKQeg/rmQm6E+mA8imM3+0nsRdqJiarKELmJo60z6BlD4ETUMzRTJ0Jl8FzAwd wNqbF6bwV5smUtZCA5eb8rdjjF3yBb2bZ+EN+lrR0c7c36dcGkFaOe3qkbrYbps9PyEpwQKEixEg C1s/l9TOb+bUKmpYuVtZ+GwF6EkRj3wIVlQ6KVLIqgya/e8GUCyyh9fQMSGMr95M6OP+JngnDHMx hLglAd76NDusX2dgEoIqc75LELao8RinztSwqA8a+HaCf3duiVliKgqHJrwyB5ap6H8w2N59wL9D CZmqeilHUJlasj8u4ieHeVUNdfmI5GqqY61CBdvBQY7NX8Put3pjBPid2dlSbOZFB4K3J7sWLd06 IUgBmPIlW8x94wiw+n/46vJTr+es5NykF+ADxcbihQp6c3cptn3OL+4ahLVzXNkggmI/lL2CT8Co mg8e2WRdFmtDtLO/jU8HV9GO3Y+x8QnmDHCbObyRXenP4jPUjdhUKkm6K/MVyL2tHixxds5OZWka 3e3bOewscRT+XDublij5N1KnhT7ltVuGxC1flA1kzfqehv12INwDfpxAcNQ0QdZDqKLDsnbDr0uT YZf3xiVlwB9Izlk/CU/fucOE4mfzPZkc8KpOUmjMucqtdoIwdBRsVrSisPs0/DhzbULZfxEY7SzP x0XSAOYt4EjfzV0RFa8TBL8FTq0/QfZ/Izw2/qzpKpLIQlGXMm8NxifFhuVctTM5hWv4E66qutlZ Cecf+U8W1C4EfsOK2gstiTZLmT7TL2XtLuHKIAyp3xYL3gF2MFCgyDT9FHXAUScdj+uxlPkOnDW3 APB9leQViSs1Se5Hy0c8wA700K8b1zE53SPZ/yADFvcm9kCRfAzQATIgQ5FbCgURxEkc4A5u5Xmx Q91KyM8LmsrUzp7MSF31Nz+UinrJS1zsyvN7KAwKTZ3dxQL4JE9CA9BGZEnOJBKJjSa3Mq0/crP6 giHZzTe9R3HjeMMTgcOAXWVHHvhp1cvfFou4UGmWOlzUkzRg0QR8syllmXZ7uqngah16YtYmyu1J Q39a+plISOIolNkdW6GwAglUYHYImOS68Gd3WCvPvM7nweXdyTxIBo3bmZLo/JaoxjW1d9ziLs79 5UxJ2mQ9onZi6XNSVzmFzhwVy1he6gvQIMFDhh3D5geXcV3sxHE2I04A50AYx+Q0DR3E8PNt5nY1 s75MkR4uTSDQenyUhgWkvEcthEO7bjwPVc/kYHg1gUY++wpK4mt9aL+yQB3zDOcyc+DmARQkfdYk rRWj7oUSAjcIOp+p/iK5olxDdPX3pKC+cAvedgXnwnHuSaUsXPrMN3B0rUbYspEhr0gx+duCGS7w i5iHJfF4wgJw558XmOJvRzU3AnE5KRDNuBAnzazNH0Ean38r4EwDPvSUbaTkIc7+UHIg9L6uNEB8 3XYBfsLc/dw5H6Wi4Kxg7oY6Lvk/MOKgylfReMDwe4tX9pFXsn9OYz+GrGMpEyJJSxJBf2e9gOUf F9Dlm1vvv+w9u8R2OUnjtP60r8bt+g7OsNQQ40/v1t76odRUnlOTEAtl6CiOxxOVnQ5yvRV/yLBO KD73JqmRSBDwx4oLtNveCS08t3iDAJg2pN/JpP7yZgnrFpNKDLkQ7YqwQl/6QhsiLLBYaO+8ywGj P8Rnf15I9JVeIb3IR4MFDlVpBsjJsYQANBFupsG3DqvCmKDGMC6dLowu9fAvQNoCep4QcwlU5ltl ryr87s9fxtzF/ZAzeHH3p/54FYrVfgeGhxpzFm6Kv4oxi6UAgZqiwXMoCZHsalS137JkMcROdcHP WjJtC5/gjG85FSB34TJM1Y3bm3aiy1NHwZzDNlRTcl3pMNM9OYqQiDEAqmdC0mEz3+gUbvN3SPir tDO6Vq/xnK5rWxzkVTzHBcV6nU8kt6OqVvpJ1k48Gl0oiHMj24zGoW0652Q7f8R/cPm7paFSGb5b uy5KlydzgqdvBgw6XqgVOFFg5EvnUG+wAmRcWIghSYLsnBXML1JkyyyGIig5cbuHBVnqzPuJBbm/ BloKsuVRwrqyynJL0bEaNgoJun66lfxhRZIBa+2ordkPXxOkWhaqb9ywsaYI1oMExTTLmfWcWUIx ryW9o2+ml78s1qwYOeQlExgePyipySHflJa3TNiEVSNubwDT8dXXRiOyb+cwKzogHWQwVZoU1byr bxIOu0Ta9w2PpOHqq2a4CBrTmnX3oqBE6qacVy8394mED/tBq/JkstdpJyO1cmGAJZSLw15oji4Q NzDQ7ml257wJ5o2XlK9XyUTM99BA79uZeX9O0gofeAI9A/C3QG+j6P2B5Sl76eDZ0I9IcZD9QcCm cgK2x2VgETxzSRtOlP//JQS74w56+nvyubUmyCj3ca20BzONUP8ZElqlYbGvccNB3gvhyyV1E3eg RJiBrJq1bUcIqMZUN/iGDOz15UK2Bou0uDNQuzCn9NhTQmvWPo4cKDeoT5+BX/sn/H1NQdWIE3rF QYxd7vdEIJJ3DGIdskaMgomkm0cdIJIM9VPh/NgBb1b/EWaB0STa4svTkW3bV2+qYZ/G0450QchZ xKby692YImMxgFPGbNm8yPv2BknMGIA3q31gs/rdlF/qEZxNKcc23i5CS3gtxhZ8LV8W4BG1B6mE lpCO0mqUpXNktBHuu+NyubR2amQ2inw2gDZ0vhM57Puyjcw9QIByAEusSXB3lCERpzUWTE4D8Fwu sptpAoynAdnUR603o86qtA5GS0GSugP4ylWfjH+8sQXT6chPkNJRgPl+f4Kw3ej39CG3Ky1MCFSP +gp7WRbU/KqdKTQzMl1VDyVeOSTlqho8RW92FB1Eoch+wrHH7uiP8G60zWXKGllcxzw1NuFTTD3h ahyob/YM7wDlDhrQ51o3L5YS4bGZQjA6ozOGvtWv0n0nFSpyBcf2jmTycOMcHAk170Ym75IOt2QV yRjItdM/6YhxHZAgP2rpTmrR8Rhs5eX1p389opP/AROjJo1GqsQE8y6/9SZTOPw0qOdA1+HOP9Hs KhUwQKrI0QKIfdjs0jbAIGbYedsNA6pzWtMFbWdLNTqAUaDOtrCmvnjU6I1G7d13D18m3jOxrtN4 Uhl4Vr89zunF3RfLT5K7vCyFJPijLsttcnpcTNzt3f2IngPMz74ayANdAP5H5l3B/KTshQtZJyPh ChrwHBnqiMoj70Nh8hEjTeIgOxHbaP2ofRvQIJtgoZqNqxZv1z5N6V2yJQfDGx02H33lGqd1q6qi k9krUX7jFvHtdM+HGgKPlLt0fIBH3NLpsCz1J2IV6xHTzOOSzPS5p7UXfEioXJaFXISZBZoWnZxR wgr943SiSWVRvAsZIpylpkplageD5JCMXIT2m3/Rukslfld+bmH788LS/JVHYvbhP/RZ1iEcOpei /RJFaR9qQjj7Wx5NRtZOvMyg3JmshD7F1qkvEGDF4qGsC2GyLxQmaXMsrbdEDmEN1FyxLnZtaNJt Jr0U4YqCD6jFbLVGxpT4tPDp481fk0miQeIk1Xz4QkPc012QdJKgObdaCVGlgYVJ2E65pk39hEoQ UBVpeWJ2x4o8H/hv6nDMVFflpfrJTIw5cW1IsR1Lu+rlCxqzypoG8lh/qNOC9vBeazGimstMMgs9 690LqxJRGIxdtBrxT4mVGSo5cXNWkRtpq4rAGzUvaqDJxgoQJuZuq3TL5UAIAktk24q+otEiNBq9 GHhBgbkCNgTOgSsFwTKSFSVwPOMAYiUjGmCRukvwzrF2TIrWli8hirWvDMHkJSu/Ul2nif6c3enF YUdeuM/A/VPVBNHtApBNkm/lPXtthQtMLqlLFSDu2xnCM2O+ZUejj7k6jL8rVE98gAOq3LKyVvoM OQZ+RD/WYC5yhbpfaZMOYi9BOY+v15ILruX6ltZgqDD5BMaT8Qr+oFZ3nJR0Hs9X0wIs2kWgzaUe JOlqZ6jhfRtsOUf7BH96bIgpy1WQsEgUoRiuaa8GDCZS4XCcLILfyclUV3DQoo7EYY2K2HPLJI0+ edfk8OErjt2IcOkHO9q3LfB8kQ2Y/g+cLIvCFQl8snk5R4e48u7MTGEA+jpWIzpzm95t6AyO2T1z RsyqkyJBwbyqk8oxJtfYwmIrWmsY/50sm1X67N3eN1r2Tw0C4k3Z1yDJDjz5k03K+oK2CwMKdGz6 OYArjQcUbTZxZKd7pxlHaC98lbBRU42dsbA0q1Y5SQVPG+iWqDcSlTTh+SutDOSQGF87z42Q4QQl 7uFnJzn8jaDiZDHfCD3XVAVNX2RQWxbZQH6QVMmAvTD/m/dNRu2cSxlOI7NXh4zkqH5ebdhLr5xY IK/XcKLQd/oitxlSph+INENuYshDq9N5NOUqkPZNZ3iZazgH+86uVnkg/zCkx+eNFEOWEqbxrAH7 AMOmIwFzFwjvK/eCWPoB/bc4yeARCjaf5gy2aGa9GGp24zvkcnXw9YIA5wjKz7rTZ964nFWYTRbl GLscGX4ffDl3YHxO4cYcWBC+wn4vIeywRLJGxl5mbSdSwgFU4lgRs/AvfhqbypW6txrkhBox0gZ6 SAMLMAPnFf6Uf7eSaC4Fjd9n0oJt02kAdjcdZPHPTYGYeAR3aSJtBYBj9coyZDeFX3eWetoEshOJ QDafcDtCUJR+UcspgR6WGvCu6MnIlQY0oDSoSM92sETBGh5B+VEH15LglEDEqv+DbXkfdNnU8VsI UDbhL1CXYiXFhFEiLD1kZRPIElzBcMDbXx49dih68zjpvVIwQkWGCp61EJs9OEfwFVGSnzZHK/Lu oVMBQkkH8VkmXr4rm6b9iQs5KKO7kPW15UHCGoZkFvQJborbW3b1HT2sKt30Jx58Fy2NpCq9Quz4 OI7WK43L9/9UtojSu2tJQ7Ic9JzaNpRtx+45nz4vvA6aQC+XvWbmdr/TwJ2KUzjcD8Hbkw2VlmuZ idijFwlo5a62MIkOq5dxaRyJZ2t5ZRLPqIJksjet42RiomRXcAucyV7C9qFNQmcG95SkQI0YeKAL jXFX2v8VmuOyMDn1FNn1KQqQe2RFpAs80VyMCvayMcLLEMmbnqe0B/qCOtHq3YFky2uNZN3LPmgl VNL7WkI0KrfG9ExL3OdF98pqVWWHtBMRRprKkWQD2fI+2fgvfnowNPGi7F3OxTSJdt0fxWOqfstP FapQwUnBysZ2p91HpYJkRw1cSuufW3seT9lClRjIOK02l9qZ0wtKWlvBizqpF3ca+ZTkZ2ciQ0Hu qYfLhgD//T0SSg/R9tOG5ful6eBh2gCJCEiRHDn3g/pf1JsThtJZwCQN/2B5AQ1gfz5JIiaLLo8u TKeweEn3t54Evjj86Tf+OHWJJyTNc2MiTqGKzzCZe8jry199hSUro4Meeo7v20EGFb5VKWfZzYjT Xp+DK3beGaAVvny8PESTUDSRHF/JidJLcIy6AVdoKZgOl5K7yCNcGKgH/CyCdkgVHDH2wG40BPav GkzE2HtgxVVp7i2fgeKvtE6HmYInGfalSUbz0g7M5ITxbr1H28AI3y/Esfqq3FWWb+X+I2V9uGpV DweGlGGtlH/DYBzfN3UPgNWddslL6hK5LvMc7AHSZVQq6tHBLHZ8Wu9AtJWxE5Yt/c3vIx+ZtsXR hm5XwO3XGSgtoJ5h6r7rok0Cv2PEZ7FyDZsNfwdE65CNxTW72hYFDIVFMBBFRUGjQa09O207aFNx EvW7bP5CHhZr8czN2l/ZZbtkeYIDug2cr8ZMtmTFxnF1RLxBDhW5HE4VEPHp5sGH52V6ao+jR0bB tvXLUSiQ7+f2HZb2lHjngPyR6ZSjJ8OiLBvCjdiaah4bthaMGGd1xLupnvX25ZhkLrqUUbs9fTRk OAdtEE4aD1Uvnq1MUn0hpKmE+MaVGwzQ4d56PeejKpXjo42A4GOjvnU9RDkNvAoHkEUWfyBGB8k6 IJThluemQuLTJ8IgAz8v7RHxhtUOIgBkNMPxQgZTxijrHoas130axfxplk40aHhrtI2odM5BwpXb KH/sny4OlGYPSTMkQjRHA+fGSNvv4BivtuEDL1QvX6xnTT8Hui/jvCt+Aw218OPa5HB+pDjlE8tl WjlKWXTrUak22gGxza9D/AFkk4GvTAay3InOWTvrejt/OxlvIKYgjylx+asbwUGM+vG632KKuBqx pHJy1GJprZ+j9fe3AZK8/KWGG3n9TCNQx8WakLYIXCuQaYR+9YJINfmZzt4aP99nzVWYJwEr34pw y8TMRQdZYsx1wShAtvrfMQqEtZ9tPEthdgglPor/ucFB7Ef0DgDIFcJ7pj2mCxdfx5U/RCzS/BBM PJRCUQaEi1KA1H+yTxZqWlefs3Kg27/+TTMQAi8v3ZmP9k52HZWL5ixIs7bS1VbKyn3cPS0xlPVO trlCR+q2wU5PMDSph4jqsT8TLzQ0nYn3yvMurZgWfyXqsDrI9VESkaSGMNaLdyVRHt50SJ3ML65A paDM1VjkcS/lECNOhBJnmDUatRlA8Jh9jxHW4DVgR0EXl+YmhazVB3kSBGY5ksl/CS3gA9otAEwF 0/gS7L4PSlXbnNLfF04q3mgyECQQCb2RRYuoRGXVHDBVZYTK4y5zVB3/XYl+hUxSgPSjrr11Rnau v0MHMQBNiv2qRYkQzX8oWcdqO70pPZIG60IaasdcIduSG3ARPwsHH5ih+PCndSkPrfzPRQBGF2GH DZM1BMReW+rukXIQHRI7vG0Mxk35LDIslgDTUdzv6iFC0fAr+BhgPZKoJhVmZmspOVltyrbJ786t rA1kNnfa+hGIvPyzATIQru1Nu7QFXDY6BXJI0dULt/Ovds4sodfz8gtCsOyvsvoVIvOLw7+3me81 fIh4ywceS2BBcjEYA/9tQRl6Sa2em7bDz52eD86zUv6N6Bb7QAL2fhDC9NgYAEVlSkvAIzMbzd1Z sgCc923arCMwJX6SRpYc72VsgaLdsPtXfi8BEmC4/DUwL985plwV4YzB0JFO+6nIxrvXE00PJ41w F52z2iQUQId1Jd+PzVI7lmfPkEx/J6ok9QPY5tez8pzvzNHYodQCiKO1gpqOOUjVDu8qiucs1ejO L218VsMO4JodWkPycrPrLgzlzIDfyi58W6sLsjfDC/9hqIqCD2K5iD+MAue+Ht5N6NnRGN1oTDoo KRKJH6AztQty3mlvsho54GjkFNNNaH/Y2aZyc80JgihTVscTtEuqhh/IXQpbPFSqRbBN/hlZ6SKx ImhBci35pFvV9mwFLGkQj42FQQJtIKdnGF5y6KJ2rdWNOiCpvFIujFNcX8xvoZdM6zJKe9YNb1SE dLuCltW9ivn6n9pZdr+r3YNTUbGdIq2ZBlDtvSLUYBqGOGQEekeQjMKzU5br34t1Hh3TuMccg8Ja O2OzaLtF8Gn/L/xIR2vktr2Qx4UXmvgqBc9/gSQ6vn29pr87JTU6zLWSQjlg9PCUddS4ldjFDtsZ w1qR6F4Kjb+X93ASpdkOXVXIDweFm0OHH7qKJDX1yflFlQp/XSq/gqIVhD/k2baKZ1LI/5feJWf2 BvjPWoEOXC+Szdi6cDwvTaLVBYjSeQ19OBNrlMFh7EGTM8UAXgLu+x/m0YXsygoLHLu5XPHJUL5l wKzJM+uo87QajhhMgtH63ImA6R/I3tUby0LIh30NpW+67o2jn8XEBDR4zib75TV4UVahRGSeSX1g 3Y/dlH0W1B/PQoM3ZIcYecu6hjrxi1dI7YrLVRvtThepukCdEWM5WWfvh7mYNExsMQDvYwQkcLK5 M0eqQQD77rz74OpBSYMTLsFibstgA9AybE+Rm38Kg+51S5NGsByz2VahP2XQhhEDyZZfpuPCNh0+ bQenk8MlH4cKIVHK3P3UDyneTCK44gNpqPh6I5YcoUz15qPL6hsrijy4+TesinadGS/K5ImNFrao 3Y92vSBr2725d/UNHqrcHMx3ToMCr7pnMy/ZoPyp0p5j3KCtSV81RGA7nL/9pmWfsP/ZoHAJXwwS 77QqP4hVACZck+b++XHuqtdnBRxliZUh1dBxqkdt/NzcfywxGnRRp0oyGmgzyE4m+L486zJTwaOO w7QNjkjcitLSiTXGT2APaJSqR740qtupNnUc8n60CqL5pycCLF6UyAdITOMapxJ5BdmfeFBlfzcY 9/yS0SGd9AIhm+1U0P+fif/mPrF6kHPNy3cw61xHO2olkRY6U5A6TIQqMMA0ifEvpZmVKoA30CTW HkWIIIYoQxL7hiF/ScijGQqmsm4IJBDc08qxQkMLu2efYi0776MZ1akxMlJDj1403qFwkJQVHwR9 PptEgZtvRrsXLnJOIrSKW+MpQ9GZgLDesZ+mry9rT9d6291iJOGroellDvwug+1WEAJMxLYoDJmB y6TlX1uVY2vYcQ4A5dhvAlJxLSGI61cCqR/pt0YQr7PZgBre2NgY7BfX1Zw2ZDU4O30x2aAmqZOZ Jkgic2R8qXLUjwcQUSaFGknvSpfVOB1zj7Asc1fVJGQBWMauBldWQwvyXzX37rdTis9T11Srv255 /JgDVL9eMg+YMwvpueznZK0RcFC/6vf7+lCg7XgMzPJW+fb2ZMth5bSreNil+gbfB8q8ypicwCrT joBEp9wKv2g5IjbS6i4GbPwmm/Rf+cWX2fBJ1hL++231gMghtAclfunk1Ih4Vc0XRZzo7CBZp6Kj 4CX+rrRj1x/IwIOznPf4xktKcD24D/yKeOAmQEaLrlS4cmCXQ9Tf8EJx9HC/eXFZWgVsL6gWM89m nsarS5TsUlBqVsdtquYuoYhPYuvnF2QwwshYk5Jc4bhbuhPQ82jqw2Z5Yf8kzLj9gFk3Ox7KRCUb 7nkdEBhzzAopTgiebjp0JsN7NHjuOZSENACnVodV/cIlkp5mo7uTJsESzR1laqXSGY48HxkKKEus IipEnDCweQvB2ZCaDabrUIgYUC3HD840hv6sDNdStnIvbPKBEInONMUjgxAQegGsW5xo1qANWGIv s/C/zqHjwyvFsP24Mrg1ZHcLhWja6X5q1x2Xl9Pk26ZTjYe1gbVCqEukPk8AGeH6bZA5wVVUOvRn k9P56CQVkINlNxt5WqIgT05H+VDJd3D9E7wFob7V0mPXJn2PL+L9hCQhViB36PPI7yrIPb0uVh2+ 3EONLvA3Owtx7rcjz5rk//KCJC5kXOwEnSactMqFKNmAYpjC0qfyOP1aOgrXbtCNF0qn7odhayen SI2y24fIYfPnJNZ6LFAtx90Yofkz5/4t6RpmBkdG6yQFyk96BMKzYsqFme+VeAP+EUaqYCH9xjy1 xsDTbbFlfVZbjVVFtgNHk6AYfiSA2eHperkh61ZZcWod6hIUhKre7xIbm0eTm1HjroaTMIOS+2VF 171CnorQqWW/QaXI3WDCVMWJbQZKwJwUM0hK8Y7l5nwW4TYu1VTXInwMWfqmX0eMzoW2HglqpfmK LtsUqntR7nk/7P8Tjw4WmuEDAkCAhnI01efpNbALQWAQbQtuolXmdJvIhu4HuetibbyTXWEKuZ6r 4N85UTolqZgh1QJQLD1dhnD/7wtd+FHJ+3LfZbHehwTeHG0PuHaYNZFOOj/D04winiI1CBLKxClD qUFYjeggqMvDOXdoquyj14M5vOBMMyHrv5ZNkJSoYy/f+HmqhFVHoOFGzbJhDd4yXkLz++wkQhoT bK+eKWP22WUz9snw+GKxc0mUQe/snuq5LlmwI9A0//jY2WDDKmx9UZHQ/uqKyL7M8DTdtVL0kj05 MRLU22UVz6+xp+scKGX2yAQPrPaqr0phSC3CcgaAJ7zsdenoEhhq86n1p4G3Wp9LjYQ7nBtlfU7x qCowzm4hB5h6PuABYtH8oT6MROuwjGA6jzVsDtmh8z7cKw4ceJ5uHx9TPWTKDnNQKJYvMpur8PG4 6LuQIkmj73lbA3R/ROfcS/FdFQTODkkLg9vmjNHiBiD74qoKqUrlY7d01VLW9gqjEnsyA46v5xjC duEroIn94aerKUaCIVLxaYaUigNNkhZ3fuKcNq6ISqcP42pyWSq1pJdDy9H++PffraWaLfxI0h23 +3nZw/8a+FUqNeD0lGX+CleEwxPGdWrSuUrSpJII8otL8pVebaMvFIIo15m++I9eFEkojGPuJ7TR 9z8levS3N++PVuHdyC/SIUUDNWndaFTpToD4+WYXZTczvPBMDFkTnqlUKvreBPI/TqbedXH24wMr OGOx7nv1Z4ECKJbhpZ3WaoYFvaaAQien+8VoWmANH+1UIthD4ripV9vufFe0IKyP02hJUpf5SAYm 5IUwkIh1czGfPlsMYmvQoJzyc8u3ma9R/k8vWhuN7gjfXtlLGtn+2C3jKowSdWz2mMqFZ87iCM9q r01kj9sZvixua3QMDTio+nx4x1U0d//x0nzXVZjpLYqyRqX2lx9vCRUtLuGKwSFjtrdXEtLW4Ohs YjBCsF6MNExvyp5KaGFZieQIxr0eWzNtBVN7SOTUeNrgAl7yotD6FGxuECAGKiYNZtdOmGpQ/UF+ wD35AeYZ1A46/t+HkPXbeaMO+cWqv0EEX/YZdXNIeiVGLtYe+HPGiDBmxNms/O25mcKe6fByV7IA 2kkJRMxGkYhwnZ2r7B/S6lZqY02rubFra61+2AuNMuyDgul9VQX62I2QQasWx9UaJhCn4iIRt5yd JsUYVtW5lgPZQemKKknsBiD4sE/F4sje8nSARwxfbxq3azqyJVXCgBuz/O/ilocmByqYjShQAeCB tvSKlsh4uCYryR+LfzvX/axyal4H1e62T8RH0A6uA4iD3VI8SPYGjCcE0TCRQ6WlUkr0lhUTCHq5 A+RUsZ5f0qGY95onWG9L3f1wgOZ2dLk4Zm7CODNmCFFxFdS90KsatQy6IybrMCUkrboHr3WultP2 N+zX6CYWeSXvYEsd5+JcHZJuRY5r3a5IvpcMQYBqGFxdvG7usT25mYJ20Is0AViaOPTVCQ/PR+LA vmJpr48knhefRYc4JoQSiNhk79TCG8FGRfdzMa7uv8u6tK3EokbqwJ7wwBw53m8taYaQorDC5f8Z Q+qZi0k2c9A0c3RT6w032eC5YYFAhuFtnkT+lIuh42U4ZYDFh5RyBKTa9sQMJQwrMIgERJKm1j8s jyUkyolbscEiMiN3rktZVODNHxTXn1PZaYnfJTDC2pqekNVn4vR0qslbkeFaMfAu0ut21bet6rLU EzzZAixe03ciLX1kwDoNfgOqW35VbJYG8tqZgYnzJDF6GPGOP3rt+PIae64fd9Yw3pY+ihAW5WjB RKoYgq3338w8LRad1t8dxEtHeUkZeNCvQacS8AaOCRU7/RxN5qxm0hhM4gfRrqEjqgK25SWqY6dH W9JbC0gel8T6lD9xqdDyLOc/FdxgXDAe7byxc5OVQ88YoVmXiWZ+muBM08KVHVAl9x0FPVq2RlhT Yjz/nM5anovnxSQEduB1Jrfc/ewC/zGX3Q7fOs8eZpivle9fkDcflwxU4A347NfGVppWA+31uxe0 CcSdJVq/rjjPgopheT3vfbRd/R4EOpdJM0k/jx4O29F9dcI3JI+b7WC1Rw6mUrNhWA7u6+l9p+nQ eGgJITYD3tIWleEa2FQa7ygXtEhlVq9i+Vrjd7mB3gI9RUUlHjaPBnVOrcula7vnomev150WC25I 8CTPfOm8bNd9ZmHo3vW02WlGDKs/9LFrnr+4B4aYK5jUYmkxlh//wNYU/FM9xewF5B4+Mo3aGmko tLcYv1MTgi1cqFI7ffHhOkq+fhHBmYvGugo+SvfM1yRuS4F0dylBWlEhCNPXEAxTBajOK4Fu34NF XvflKN1TlNHKKe3XCoL0EZ8Nfv2guphxFLnMwEF08PTItGC3WFS/m3JpAWsAkIHRZjuyWmdzpBIQ CK1Exen9PWvNjnPxrLaI8mh/R3yw3uTy8MIRddcO7jvrciD9k8fCFLgo+qBluktx0+CxJV6U/jr/ kuHQ2bwGVBF7zv3xaQTJQ1g/d1rHuRc7zRaDR6n4E/G0XCnFi5Lyydu+SxB1s4KOVPquDTVjqIC7 iRuPrNihbAhtbVvf7vsd6U1BHRt56ymcNQrQj4etiJZNkVGlHehHAAAMuAKkMfdF4809CStrKbYF 0JjZlqLnC6GvxyGt/BJ2ooJYHViNjznX7rYQAMx1NJqoQZhApEp5ysgduL3IYcaalLhxu0ryDGIR 4K6vwmmLQ/xVaZjbOlNfUk6saHrioPo/AE2pxTw/M3ONed8hfcEZJzv6m/Bh0C3HIojb08VmxPiP hJeRnqzGNqzUnP+uP8RoAkHCvPij3NwO/9c2WQveOFPDkZE+AyhqKPJYCo9wILmy6cktLWzWK6tb wulFtQagku/Irlve76qWnwTomsL4O01JsKSxIMX9KwKZpXGXp/nh3PfLX1dGSTIMw80Ed6WbUQg6 yaFPhqLjTKPbTdZQH8IjzEkUN3Ftrx473fuIOGTO3QFo2WoyifReFKBpnmszOv0Q3S+rEfblsbkl 6eUyzHexi+IAh/M21wLT2UOA0MNewvuJU4Vk85XH9mHOg1/WNMIcww3EINRvacHoD/x9ZQXhlnIY ifZK1NCkd7JrR0BZFkAKPHK1/cdjpuMpyB+LqK6LQZ/6y0+C65Covu3fB8LngopgYDoFYXg24i08 +sPn/aMYur59KOLUJYTbuJbCpe6VcQd8mC/vI49pMpmh7j9y3IPnktBZE80HOaHk9D1m8BDfDOwf 4xqZuO7lRkNVkG+3Obp6xYgGTWtCWQ9uSbVRcmNtcJTtJOOCppxxMwvDXGeJ5N7Sgh4lDmJjQPSz gTrP8zHwiU8bMjtX/BH7lRNT0EIMhgmgot7XsaZ+XYOTIBOfZA8D8MLuY6dEuJYCF9N7vgl4sk+3 JaYjrXAIWokDH4gPn29i7y5srOAAakDYFmXJ/FGAV7ykhZci71yeIlZp1baXPx0waKJCJNK3BNHw JF+HbtlcWXc8JPYwzcTILB0xQLk1jMpi55RyLGJrhOqnuykg4rB36j/WFD6IfZaRyp32M0Hkghco ijoaSB25MHXB3+Mv1gcvUGToYwwI82k7wMZGV48UsBchHdb0J1T/ohUEBOCExm83PS7FRltHun6C Qq2urdm9SMye+VRLHifxDA+cfv8WiSkQKSCqYp6vj8u+gu1azZbZOOpqNGh1L5mfWFuJ7tkrx3QJ 5/sPZ198IJPa9KIr19QflMD8vmK9rL8u8bY3MvnW+xCI4RobE7GXnWsuEnfjDAucszNKKMsTpNgY +m6t5/RCDYvC482laV7bU/Q6Gj5iXcXa3D5N9uLHQrfgUO0vUpcu1vHKi2/3G9pX7c5B6Jj3xVjg NPd5N2S6Oq26UiAbYlYHM+P2n5oDIdS6th/JLQRgqJJRrKr0Mhm6Su4MWJJuzJHwU6p5VvMnjBaG T4SZDrDFbrCENVWa6VTcbI4Z8nFNx8GwZDDXOFx/yFXSekxPdv11xvlnCdt0GpE6KR7Qt6EgVH4a f+/e6CnRd0ixgAikMQ6eFjpLG4tp7P90OLpY/dFnCcJV8ewETqhEjFEofM1NFyaHY9RBOClReHXS pLbO3uJPBFxBqw4HN2SX29Ef6I9HOAym14ldxe4m9U16tFEfkZr2Zh0An/iy24DHaZPY/Y4ORRJO a/LadbZej9h3pYBTuiG2jyNz48v/Aj9JLrDjkMG2k4pQYbOEEqBC88u6TEkOugyzvmoqo3NnF+f5 qBG3fV4ijO73QCM2UUA/QlprXSO8AluKErkrkSggRSL9oYGOg62nMIlTkfWA5EQbOEhhQZLU/Ia5 vLMB7Um+P+IAaRIS7Ic4/pm+txQ92GoztrZgFnWjBRj7AnsEgfeiqOdpqA1zC1KK4MxKskbaSFxi lFqrKtjfRCf2/R+el6STIzcFurKkViLjq6AKa/iceNzHM048TG+dpV9/gdjihOLpYHehshK4LKp5 wwR3gx44Sd+MAGcBwJsLOA9YcAso3DIRXuKw91wgqcKwB0mG1OMjaw/tATwXPKSwWbeyb0TZq2xL JMAdiytC6yXoaxGtK2lWbZh+CIwsT9Bm/EENhZHlF7v6KxFnJz+bGU7gp9iafiux2KkrY4O2BlU4 Apcq8RQzBYa8am7V3hYtloOkDGxjWyKcv79TwApO/ETs6vGwj6jLd3LIa/mA1gyzoHyZgD1FBokH QS1H80SllGd3OoIxXPp+8Gn5qEs+Ust3/470h0XQKaLOc4X1D30v7PKiVnT3JjPVxCJShszZy4ZW cu3N/10t5/LY9+zWIDxIO4SprWFG790v5m0byOdfwqr7GGKeCzh7qMXWEQtmIFkwR/OMr9rY5d6f G7MmdspZ/ELkyeadms8mp4znvnhxGQmH9fw5uJFvAG1Wu4T1nZ8SS2Iz2VippRGZ33QbHu3B3BCW TGwExP4VlSfRw9psHr6VrDSCOb1cYaNbXxKlmLzIv0T7DLL05wyoSmJrJp5OfsCgvVxpYYoXmUwN k3ciQLoR+hvJ64bpyq4FZHmU39FCn2l6w8IAxA9OB5zXczusHqsUpR0FStTBcATL0ydyzDp69mzP jqZkc5IjHNyMzUUolE99kzpgkWx4nH+HzzL0MyM2nkniDhcrXeOi2vRIAc8ugFWWHja2WtZm3cVa N94Qd6AFNeAoVoPByenDA/JePl6WqSLc09QG3QVIZ4hh2Wao6rEjpkbZXPQNUyFzAP7I6n85uaJ6 NLCYzywaeBkqqGfTlWeXEJVMLXVZoun5FFtbwpY8C0JOy+cGsUINFYWjsf5RDIM/FmhFtlGOeZK0 1buXG64eDbafREY9zG5Xwu1yEmj5u+bVMar7piiLMoBkGnJwFT2pJ4pnEo0S3+igRysJw8RQbplK hoyQAUc4kuGup/ssUJ2CCIjMRK6kClYJUKLJrmOy6yobqUzVQz8P3+s6wfPLP2qbwtzdORGOZ7pH ZepwOuXMmfzDQWwmul2hSbV8uiCFyOcoei0XchjLzkdaBaRnYwOtTTPqzrfYAmpqInPplzkPgx6y Uvh2UxZVUAtvgMEXSM8CsrrW7zZ8YNJTnhWiCosgj8Xt30z6N7zb2yosrjrRqVUqJPplMP118ZRj fwdwRXhdPYAMPFa6DaL1i7HKSw/4n1UrgrC4KOn5OhryIu7QVtQyfUGRn9G4R+cfM9r40g7Rmu3k 7BG+UYb4bKCJFCT9sjGrTf1WsBzzjuI0xZnMu2Gm/qWNpKru4OYp1dLXoFEym8jrek9kDVujHiA4 zN8FI3Zb+XxjE0bE9Svv8WUXQdWIgkCKaivwW87OUMsbXVSBt207O6KYiERYznGTPQmFQ+t9QjMk NRHnRwEenEFAx8rJDxXN4S02VB9MDd57KtuynqWJZdZrJF+Huvdz2SqlKs0VLdt57pckJD7L0Uk7 An5HLciVGAeDulbH5tmTTSc9bNFQ+sC79b0Hw31r82xbLbQXoRTmaFC9dG47smJ+5nREYYaArC0m icZ8ZRtfYQVBmUEV/bVEAmnAPrvB/RgSXQ9tjiqEfFpv6f8pTqewNPi0yWcQkGuEvGSZGIEOMfz4 oup1HPI7tq8+00dO5oTvrjfdRx5aqg6gdQODMxUi7kJKe+GCFAhue521cW9UPBsjYLYPQ38Lv7/t D7wFaEYw91mjyyzLVGQm6E9qeGVzqfzH6T3xTGfbQ5QfqcuASOQ/IAlxdCrQtng7Bq4Szz25xf56 2sSRn33iI3zr3whoB5CtojywBN7umaw87XtZ31u2OKj1h6Bk6afu69d4OR5AKc5ulIAcOaJwyq2Q FMyf6Ntz1hpS65NxfhtXFxEvTwI5UyZoNhPoZI6z83En0ag6+LznQSO+rriZaS9MsOvMeBWCE+53 K+6jkgZsuYuTD2BCN97GOtimMX2LeZ2b2y1Za9tDM9xEhhJLvazoz/jOyN9GaTXdhpRzQHk7lg0h ZoeRGOF8M0+fS2buwaZpBueJvFlFjDvfbM1+mwfyvhvBqYuKYmADwJ2zRGiSEKjGj5IJ0illgRF7 AP8qY54m6GAUZ/DYDBFqnCj3w0/GDJsKdOm2zoj5fLVF3CI0mlOhvedvsi3i3mHmC3/Eu+3qoYRY l9r96Hlt9itxV40WirfiK2WY/c84qCwjmca+vbtCTWfCZFsmAPVxxj0zyH91x+vZUDb/+t87SE1t JZd7k/1V85K1xkwwqXsG8L36dZ9DNX1/TYzh8l1jKy+S3WLAMwJyFa6K8WJDCbnegRBlsDa6tLn9 pGh9hfTgpkVqg3Pa4YF68pKcEXOz9S8mh9ZzxOMIOLgJ9m6FA6kraSL6y5M7tLyOjlIr8sgaZJLI B5Hcc8/1pj1N6edEZKC0zqW8RfpTbJaa3WG9Bx/TdV+vjt5CwbNnpgCDeMFWHZM7K4ZaEErrww/Q YtlIQNAJPfihfrr3OGcJFut3pxhnohBiVe9BYBY5vft8deCLiKgpgBywD0kMCf0zJZkt+Z2RbqUg x08J8Ux5BJlOH3QXBzT7cvN5AkDBqDwcea4Ifpva9Bywi9bw1bc482OE2QtJ3PCao7k6U5XctJn2 dGIJ/kvaZDaVyfpx/HlUzFrjv2BpFlNrdFauyFQYxhd35yog8OrIfFO73CqB9fOYSDZQLSdTCiSO U6m9k5kAWA6admPo6djCEKwLgbBYxPFhwTVk2w01aYdvuif98xkFmZTkEdoUk9C6Xi2PiCwcmIrn B6Va2sZoAQY9FZQxFuUC9cfUwRoPMLfGXHbcFPa3PtIpMq713FEY3Z9NYh6P3b1soQQ5a6EnMNsQ THpN4tVWE+xt2KDYEjr2N8aDQWV0kJbq6R9llWBasMpkSHrjlD3Kig15/OgRPuvdaaee0pCMQ6p7 EvMAB0jaxFn5xoj3yLDB2w8VFzm0rNdZ38ULAM6NufZCIzqMC5DQ65ABedSJ+HpZPqRWvP9tybDT T+GxBtfiuAEb6x4wZ88pb4zQqkwNUA6j1XMV2839tK86CZ1ocKwQ+SOES227ZeLnlvVH19wZr+BU oROma7i6Q4y1c6fcJqGihFedR+AF2dhfVnWsMUnlpa5qWKHNNCmDOagn6pD+62njbxLntytyJI/x VOj+xuF+mxRz46pie+wrLQTPCvUPGGj46fjL0+sKK7rV/0p3+ujX8+auWgd1SSa9a+ipa6rHvqYE Z+gsMkiDEKCiMuCSJgqh3qqSWasog7J9eEy9YoEsfFntzvfH4TNeEAOLQ0f9tRAmskR5OsIEciiH qFFcKxAVNcl7xopv5WMfG/xAsbldDAmsgNlgIK1JELE4B+92nln9sliAwQRySB/JqHU4jPTKUpev 22eiaapy4rQUfBUej9+xCOJLVjc4uTfj8JRnkFN87ch+JY/rU29WfpD17y2o0y7IsIEljcIDhYTw AyRAsMmjC6y6IHzZDSdqXf1/xaHPU6mSZ0eAG8xc5H4Nn4VLY9mSVn/ukIqn3Pm20weqVoHjs4zz n07RINY6FoDNeRAaN1T+qAfaKBmQgiYS3ovvKuZ/ha1ZJ08Gw0erCPPhQky2J4Bye8rkweL71ifV MgNKG6xKoNgfRzj9kltXk0LxpuRG8fFbTVBo5j1cDK/cskWjv/llSvh89/B/soVlnvJ1Zx3DVkzR Z13pVlmAk4JjSPAQW04E3mxZdEW2EayFndS5vSChVjxKSWbPWofiBbFybQz96KBuvpMN7MvXZPNU Iva6MEfvf8Ex/Dpa64MJ8fTk13C3fZ8qfUQdSWJtb9C+LBAGxD4UHBsMrTWms40OveetsrchsqtZ 8S7CUpHYUyDZ2UaG/mqXapJX9qY6cecudkAFV83WvFdsuZPYXP8S9OPMAA3lxOMETbFVhMQHTD5d mCb43osX2Ss6bMOf7baBvRMALGIts3f9Acug9vu5FkDgQFAZr/Qz+IDApDZxBq9L5queUmmFORus XzEA97zORVV5APa4tVhaQZ063ntiQWtCNhggGuBBje4EhJhLmwUF6fBYuUKJ6zVcjKSL695zrl6v mpVWAvEmm3Y7jnEAMZu9GkPPdgmtWfy3hJRLeIT8SmtIl3wz9XfQKhjy7rZBEnSYJvH9cxM3LLC1 bj3Ch2xhoDfPGa8CgnyIJe94j0KLh/FoALxmEU/2nULkt6oT8XrI7ZmP8uBbQ5lqzzUCcJCP1mGt JxhPhRMae26Pb55UmmKKHaIP1qrMa/+B/Fklc+sPR9R1wltNOK7+akWwTw0hhYDNEWF8s623JMoX 0aZjIRIggqd34R0XbMyF1qpctHbhb0Pa+Kv8TSB/TOXh8C/IK2ymBsd/Elyuu3J28jYluTTVehht uHprkPDTtoLcDEynZEMcmWCQVKUyvvdZvQbiaxMjKA22SHoYXKtGnzlyAED621HsO3XB/t/9rjYv KsODRaYBiItNyEv9iUjWDpxgvctXl31z89DniOiZNE6GZUEFQi3N2j7MijW0urtMohfmooFLYC3e +iRhT4FWEJNLwFGJoaR8WFgsPTIQXUTV+hejkrUSqUP4gIjHdmkLrV93t5GDSw7mudkTOnS4e5HQ Dvg7cUz43cvqYxoS6Nw5t24qRbrNxGqubUX3tM1oY1mFYnX0j2GBR+7QidZmzBtOBkbJO7X+Tnuy QknBqCBR3oMRvME9b0D2yN+yKrxMXXLo0sP/KP6iBUuOW5eaZCtjTMlxH2Lkyup/bchMf5+7Lynu p498cUohQHsxNRAyuzZewsmlCgqu/t08uQxtuSO0ToNUoGy9f12c+0QUjmZrj36q6SvASQYUH+xh W1uTYtUbVvbN9FMbp3sdjJk/GQ+iLlVvjNmRD2v1EZwrwWt1o71CfihCjUJeUsrYK6tL3+nMJFrm +s4uFm+Gkbuxroe38GHU8FL//x6Ktg/nQDML/6FCc4gY6Ppw863o4aEVrCQOTuWEwkpqeg5CLBum OAEWsOte5HJreqTD7+D8XQFLtQEcgKqsFMAgh0ic9ErzlFkCYs80vqLLzv8whaxIOs0pM5oenofs 1QsPkleAdbSyH2EH3xr+qwhr7GdiE0cPEFGd+NUoWSdjq7dCJjfOeyHO3jWfQxr/JY09yOSSzvib jxCK6IkV6Zb3UHNYY6K/6A8yVCQhD/Gio6uHKENBBiKu2tfsteMX2pZgYxKZwL/8isi0g+QxycIO Ys3gjd+GQ3VbdIfYctO7hsQBzAXJQkHsAm3oyy69Kq1HFWI953M8G6WnJSPIH+zJm7H/8YghGL3z z9BBAHqKn2PrCS2NQuAcwQJA+T2ZVL7ph/8YVU89RfI9Agm3A5Sz8O8YWTBnfL/rYK3RgAoTepma vwUQN5nXETEyur39omBla8pCh0j4bQgp3OaW/kQA2FHEYXBY74V4O5Y6zuptoTJIlAsLct+S+edt xDVLGS4AuRQAeUlEQdvnwyydkR8X3zL30l6ytQ8rX3bd/og0w62wW5Vaa9l0fIWIUuSZgXSldA75 TF3CoTyRxvv/HlwqAKZTqp16DaVkzZ/wI6Pc/WfY3PvjfZJcfGkViZgPG5o9t+rqAHwJmV1ubDN6 qlN6ZsDuQqsfDHInWD38nMegi3a9pQpckAaN7cSt43evVeFGRjv00ZSCI2wwE4gG538eERFo2mpZ IJIaTgDxOi3V/uA3yf+H6Pxzft3MYhywUbY2mhSdCrG37nDgFHxRtp/LTYHKQrQwErOCdCd52Fz0 l7r+8GK8Gy8wqQun/qAGtAQr3XvM5CNn9Wor8KgkVh0leftxwUjfe4TtIQwDPcrgiGDE3hEWdiHx skhqBmYo1ArbMKKkZAnzt0f+M1CC8spVOY8sx4kCt+XOCQ+f+yYlJPLzobQ0ih5AXelyxlyYjDhB qDjiLi228vSM+2sUG6Sgmu4GqXRbP/9dh9Wo5aT7Tc4mbxJX8KOTabmW72AmfbA5O+U7zus5A4kt 4/xmLSQY+jB7K3NyZ+6qKS60IRJKsLUtYe3M5JAapLUQ2LUPcUvNrjrVrAVq18bU+n0rfA9DE6hY 6FdDtxm6wCl9PiYaMEBjFVYZjhR4jkmT1UpcuarSNRA+zbxdjAtrpa06qb6BwfX8qQF7qFVBcwVg ymERe/1GfBZ2OwoIeNPxQb11pz9ytrRtZ5Wc7EJQnoOST+l2AZsS43sLO03HmhU3lETjnflBLx+v tHpPppOaoYNY5j7bMTZM1o7ccas9ZvQafkLljPw4Pk+wihvv2aKCaF8mFo6CG2w9tX8qdQ3jvP3i x0/z7YdziWkIPzkMtUA2J+OPvrEp05e6zL6cuzWTNehqp27nYbmI3DXefD6kkyEyb4VooV7GF4nn otB79Zi4lstw0tKAjv0osoO/Y49mCzHvQsFKGZ9CxLMn4xtVJZOOxNZ3bqg+ghHxl4x3cpT//BXS foLCLe/FAtCw9nTkqn8BXjRZqY2EGWHI/8rmciwg/De968qA9VeG/VRTUWMFDYTUeGepFSgvF2WA N47DVSoJRqTMM0sZqtEJvauUaRKH7L5KMgP4FUkKjrT9qwYjfeIo2lp2e+ZzzpdfVco4Ez0dx0K/ QKcIezNeRFs9xtbuzubNz9rgyTYtPi3aj0cWPxgzWKm+6aIDhlJZHP8QNqPiBXDafVvRJRqPdLjl IrPHI0MqMmUAXi4z1T5OhcjTd30zybvbEQkebEl1YLd1R5hFYUGBz9g2xqIJOuZFHs3hco78oUYm aaXlAilJ/XJ4umpWY/B6dR25XrvOJ58V1lRuxKNt9lnjxDaL7xAs97ZldyNngyykfd8EhFOXmMFz waOsqXDhvGDTmVWaQgzDyZI6Ggd84j2UlfBBccGg1XOj7naCVLs9ShOqB1IU4xpqM314PKXJBZo5 oBWGoXTElGvgfJLOTumCcNfsNMvLMIHNw+/3vp0gYbgwMSozJVZNZmZ1414c0ymglCL2twIR5TFi po118Mr2W88R9tORHECjnIQesTsb7RNNWF5ZZdTaNHUUUPJYXjxbfQBuXg1rooRvfuPuqU9zqWzo BaY3jYyXk5c+opPTGgach/A87nP9MwdTQmAhIIFGVY6FbjubK5WN0mUDQ9kQkl3BJWNkBsfVN4o3 pZGkPYmtWtyBfcEcmv0bKpuczvHKPwiX1TWwVXQ0mBJ4oSxoUJOObH+3K4enhL6yPQB6dN1RA2+3 gyQBzJsfjnjL8DSI1uyKWWrkJP0HvBB45zxf+JLZoGQan8h2lda4tLfpDT+DW/z2RVPqKFPZnQa5 EMxvYpAzUssqOOry2IVEZ1ZrPUrxumHqMgoCqN8o4VzAtNmTeHKeCm7aLlLfZ3GQNlUAjiZP0r5o WmeMA4XQWUX02wzqXVqJEI+MuG4O7mDwGHjuJ4kdBlJKP7cMx8tAozC5edBS+R7n2UZVk7DhEhrf 0GDIqlUdY3zcZqV68gku9LyEsc8OkHBGUDHwg25cMMHkfxB7tq+vYrVUs1UGf2B1iV0Cevk20wMP qpAr4MIr/TuJQgJ5m4QGFtxNPZBFJqv7ER7tmlWo2x0h8KFEJrMdazAe1jnw4oZDf7SaUA5rpId9 8VSnR6ggIl9MFTfhZvFJun+0WXN1xKcLozDkookHYY16/xtirxr6BnJt3MUPbeXLOsuWDSHiLMjj SjjU5nvx7IvsN+bmYse+73UL/8CVEBjvPgFK5VGvLYm6inDvx6SmlPqRk6mgPY64nM1kJkNFVO2j 6C25LCrZvscm8wZUiV1EUW6061PcR21AXwL21RCElRuUcvwiy4+JqLAplZRY2g9dRh4dI/IpT62s xRGG0/hS+PdpBtFieNP39QS80ohOTOv+/xbpD5wAX8suxire3stFd1NtPmPlXTtHgFMEpl8ezV8F 6Dgzg4XDMb9yqDYK+QG+ZQQDenkJCBgERF9HJTR88EzXrqQLMkyCC4+iX/biMsnntxP9yezj2EZD zVdROE0yamnVJJbk7ishPumzjiuWgukQam1q5OnzKUugzUkSaBiB/zTfq9kAzLWZ70V3oFz8K8yx gdOQ3y+0olIskK/EE3QV1Hswx9KwVkTy1ruTYOysQBPBAS35CylcGfmSMzWOMES+odAw3roGsoEz P8wwTy3GfLvdufPUEFQw3TSkG05exBhra9RH3S3uAQbu5eW9yo0RLpc6IjW0Zkn5Gjhl19A/aGoL lImUR2aKi7OyiTVUvbScx1ZpNuf/vpyRxH7Ae1c+O+N/6w5i5eYbb0HN5seFD0Tf6CtjxveSqIp1 MLinfXQC/+5OU8LnYdFqEQytNK1zqGWzCxC2TaR0LnuheHGjS8n8oLCjqh5UIKPN/fcolrqx1mz7 a3XYEIJTD0INIy5JlwL3IDSIR1J9Cg980yLiWByGNIoRhZzrPgZeTghCdVm1pHpv8d2F8q8CwerQ DkcKJFAV5stnZoG5ESYmMwJTR0d5giKenOOPiys2yRD2gCHgj+9tn71AqD6reIXTXijkt3XmjXjF aMxfpCZo7VHjtHkHYazyhxwKnnHoe4fmI/02DnZI1357JWt5FdraQOwQrFk+uatcrRQ33YKATHj/ pMbneVbILftuU1E4xrbjLU6528WNimjiXxL5EoiPTS/1JcXDw7Lysi2fjOcSQ86PEjT1hzZdF5F1 Ir0VksygdhAiKoI/8whZ0Ri8RRhMmm291agMSWJHizBd1S0n43rbkM/je8S256INTsZrF9bmqfGI +dE/yIvQepExrlzh3GrK4AXoePezaVCuYaKHOMESW8ubUZTTNc1hkreXOJ8mUkt/MeImZXzBAIgs lxj8nRNT+6j2mp8dpO/IM3sxVgqFpHnUF5giL5ydvQj6MlgUHrFdKJqM4JIK+CoETbR8qSAYB5g5 ArTCW1UCCCax9HtqnP9h5VVsibEZv/j13s5cAQsHHlD5GH+/5+sADpHYcw9AmxpH/+QT3TYk+waU X84rg8tHe7PI5NLI8FkkHdgSb/HQA4abdDO1yXJwOCdpM+HL0NEPGS4gnD/b38dh2pFaitOndmdq 1UaTJvBIGKrEl7Byg/mGN8vnkuyQNQRpx8T3KRP8YnbMQhRzb/JRiFU0VS29aCUFoajpFhA+iZX9 dwT3lbrLsZHvovEVhoENH3xXX3QKS2Ldwo254lykXid70CF5EVpbKyNyvB/jkK9vdlvG/ZIGZcSE 4DJpw1gkqsxjPtm0CRoJ751NMRD6xSwDT1P8iKZQwy7H9/lpZQHtDZqSeQHGgqCJfZ3QPX91vYJ3 vAcvCvhYa3DIZGzNy7aPlkkniPvpqYGgIJQiPsidhKJ3v7LosxpDXJS9/CUMlmQoV+FzeDRsl/Uf sIvlaCxfgll8t2i86Kcn60ccIBx44x6POxzqkQ6ySW+l3iNRccL1sXlohQVCtdS1ZdaRMgvtjLA9 J2sed2X+uZOQDyGTv6+O4JrduGHF2O1Yf30oRzUfVYXkoV2GfwCFe7dSCBXdipcaYBgeyCnaQW5o UiK+BSoTrQM2vCdajVgS8ZGXAuJ5cBGUki2MEc8TSrRENrXg2hTAXbdWf+zcqnzzLfcQ2MwyCByo 22Zm8MRjfjPdKwpLRXJQePTzxrojKJi4E0eH5qI7USdnxI6YVYZcCoGK0ObIitQayr8gfCwIlXlM xnK34smM07Om6sVXg+xx0vflC1X/JWYNZiKkCpiCFhW8yu8DEE2KH0jIGC9XaCSXC1yvSN+PezpW NcmbzCoellhiL3xoX11DFn+Lc62AbnGMCkumUgkln4HP6++qjj7QbJkHKI9QI31hiBly4LL2EMhd RLSX3hlTsPFS1Dx7BTm/2yfPahCM2hIV+rRu1ZnHvCQ9S0s2F9olSv6gND+MRhdHIU99bdnJ/gO0 3u2Ce7LxSOv6fYz7hQf2nfl+XWMz166/vDF+XOgf/TziS8mtlwQJjzhdUGf+bS3wB9UZ+vEl41OR 4gwo4+dM9g7Xlv4/LQh7t1SPLqw6CtDEMlwNepvGqde9IN81ROFe4cE0vB3036DPYGQ8RLIg9ypA PzopoDxSXWm1tuly0Xa3d42rgWLu651AtWqzBhbEYCykbJ9dtHX/ihEl33wPNZfe11ZJGVaSyuS2 gY44AElarNz80tdwgjjE3KjvMGIIsIkMrFV9V+LMS+qaHqEhNjGICzXzpi7lW2HZLb7ywUaTwODX WluAID0c3lwlmTvn56Aymtq2p53ztUIYGo6OJ1v7r3pAQ8c4XXw+r46A+Tx0NN8jD+gSUQPOZmWr fqDH2k13sQMb386oLjxb3DVzf30X5Fa0J6LXuVudlUivJJQGSDjjzJcuEuEjB0VUTyEG8wZyqxbi rJDCPXGU+1iTLUSQOdf1jQHzElb/TC2rkP1qX1EtjnL/JDrUAdEw/E/r50TmQ+EaTUHN8+t1GLVV wCIunDVNP1rdLFs3lP2JRxRniECnxVNupnDbBlySAOoEeuPMdabdTCI4NgbjdAyv4DoCleDlUT+e D7NAHTWa6WEMqxS64BKMeyvNiv4TX0F8nYrxIV2g2IKGxaz7+yg1F+6uROcd4r65UT8H82tnSn29 QBKwLNDayZrF/SC9z3WS7DcYMfefg0ecMZg5GRkMF7t3XV+9Bw/RVdP35SkB59tNh+rmqdk3nYVQ iFz3dPFOm85IN5vVnDO36qx2NUj2fTwY8xBER/UMc8cIeHGNvi7Vh32rABFFAeSmhWVM7eOvkPl3 JT7OWh0/a/vqWivPuyoPtOpPSgLTfDqAHo2MfskmRtMfVrUG+uI9WoKjqo/3qIXcQgMX4ySdb1Qv D4CDuXqeKmjBUGPi6Vsy8srQHQnk/a0IEKBKGxs+o+wC0klOY2x9eJFND93vJ3EUmMZlt8eECW2b /a6pGXvVv70o1CpmFBZHoShXkcpqiQizu+I46QlMTm8CtrbVJVEcO2mAQq3B7x7Z766MTSB9Bcbe VZwVOL/cbc1S0ty8zKnRBOVlBGKs/KKOeWLlM2KnPwDxOqKJ8MM0yCzPuN8Ih6UnYMcG3HPeX7G1 BLoNOMzC2L1GUS7bY2IxjhzfVK6xJo11Ueei0D7kDVYK0VgLlIK1ComN4ay4AyUKniMHU+ikdah+ 6GmbKh5pFDGgV2/mPhV7yVmdZVZHXsqRqe7qdSVU9v7K9vCpwsIUHQHk6MsDbPtHGH+TqV97AKw4 hyMfBVNZsP/uT5PNDZ9zAt3k9W3tBHCmi0bX5SUnx9UZ5hsK4hrT5lOjFq44jOgHjyYCjy9XPIMQ Ex43jZkZH/KCweKsqWPF+PJ7kHfwNMsLZvAq6DZ5qVaprL8v6lKIu7pp3K2gUI4n/eYam1nuD3s5 N2CCZxlo9jWq46DnUORwViBCdA8BvA0dZNPr/AcQjBM6D9rhQu3dpMoWSkpqarLgCh34klqpZ4qr GoHBkAdAw66LbgLMYemtyjPyz9NJBgGhsbWvuTLsJlkg+O1vrwH5pVZ9jJs3eDTCt+nQc3jsTnD/ TKr1PijLrzqE7N5jnM7Xhirnb4MaFA/Y/S1mU0Bsyb1hKp8UJu5ckbwBhSFEb+B4i0xNav2hzZ0p A2g3jz9m5FCH0BhH3HWJQ5A4OEf59DJ7EofxkWOUOreEh1WA/LEqA/Djr4fcfhKyJPiCbhrXM5JG shSi4kWHw9nQcNlkiYC9RtjOSKPuu2sc1W0ZPZ5kqb887f4P+RpNqmthZdW26LNGrYXHFPbDBaUJ 0pfB9x2E3S/9a90uhcBiaByeXKCDnVFLU8O3dCSPb3VCC0mRAVkjGb9wnd2p2e98NKltc3Ed1iVV jueoUwq/SMOQykOmjZwTvNBvxLpm9DWJlWKFKE9hgUv+SnP+VX5zzDmVhBrT4jliXHWgUF6JFX/A 4tRq+gshwULULqIncXU9p4E4Q4d12CB7zJkST5mIydzU9Ulyz4HGhyL80swnDAuNlcRf6/TcPpNM Cy+pYFy6XTnOEDLU0A87sY5EFSH3WxHyW8RMW2BJw/xkNFq8KxUCScbL7BSFHW2cgPApdqYdH8CQ tpHeAtYAJKg7W1fBhmfZLC6H1FgpbF3vGCGfNxYtd6SVnPwzo9M8uW2kE+kQXG1wWRf7SgAXXSu0 zc/ZccI5rSElQc6/SmOJE9/x0OQ2YV+sT6YgB3BThSYbABjLl91Dkh3MtAZ4l/Sucm766sA83fo/ CFujIaqbNBsIcW2AQnSRYIqxZDjh1vsmoms2j9kSpISnXeR0KRP//rSA1u+u8nUl8FMAdOjSOxuY fRMjhzIvT7BFrWLP4aQqFzVV5pUGNFidnd/ELDbI20aBUQrPMJ/db+baljFDqnccmI2TbKGsjeyr HLv7QwyEcmfBkAZVXPOiA7GPKw00etM0IgagdMrg3N/cAgQKN4Y4CNEP6y8RYrrMtUX/XmgkSNZA TOrl821p6ipdQhY5t2xEuHw10qfLoj/p71HxjocENW7NMtKBrFL4+a+yisVh76lZg5v+dezPc5Zf HEorel0AScoCDDK6mVak5LIklyM2diYJ+wBu8za1gP9N+elmN3Q0+Lrsd8BuVIP5fHKxKQ39IPiq uaTTGqNrlE/ze0z7SaxjHbGF3Bqg8VjHpc182kclMueSjFz65/caF47WY8PJTKQEbqGAkMKHzn/D 9PF3B6zVFn0bB56QlFykk+3s8PuBpeREbF+XxSSoT5H4oTHmiUYmv11CXSyZ3KS6og3/b6rxJLBA H/KjWy5nPeOlGvBL9D3acwb5WFrpFHEcSOweBYYapQOHMY1kFpUfj/LsOjLvU6bcxvJFRCXIiUZS DoPmZLHcmCFMZQU1fESRgmD+auqBStavV423lmzL1h/Pqbz17cxxjtyyzNpPErd4JJk/YtLzU5vZ Vus03Xruq67thtnKTSOWS4j5tyVG8yTkDio8tdRXp7Ibqn0IiLY73jCoJ+EImFwZE6BiumM6runW eyv+LjS38gsBlxheu29/EEy5KUYYHFmFwy4c3wNHju4LxTbtHFAGQ0Q0urcfWxFbAztdCL1y0OfV sqJJPRsMxsitVHYUjZkBCOaJOoqMUxH5856VFOwgAR+NeDPRVu9w6wsFqo9UtegGo88UNJg+fFne ISxZEhkp+akj0uHZ2k9JW0RFJp8JoGMGclvJ/1+jCjXm4BP9SzBY3V0mMSSid8ZrZi6PCxNPtu08 lAbs42m1+Q7NV8uSfg/x68Iznqcy3zJCVJbcjG3397yJzxvF3QQ3gqMejYsYcdphWYReTkgBPAgy 6aVuWhCtydoUvXMMxTjTt1aX9zZzs6Teqpul+phxh8auo7sEQR0SXj9NAJMxG4i8ByIxfpTc1UdF 1op6n7KZUlOSYaWrN1aWJyGrn6FZiIwgYnGsulTtKqtBVGgi1toGh1zAJ64epXWmRm/ikSy3BM+V LwbKExGKcdVegrfTSNCZpmZfqwhSDdHk4gkLH8kA+PaMljbM8Dzl4rukxs/Qoz4ENDWbeSyIcV1H X8r5CFTH0ZMr5AETrtXGrgpOmIoz0aWbjeL08nhIVDPXaAhLOFN/cuJOfbepUCvuLbogXntGRuDY 3QVu0gJyGb5L/l8uwu2h2EaJqox+ELgCJ1lyIQ4DFfO+Wi08u0xRE6VqObVmmAaFw+3qcJ/UTe5Q 7Grfa6vSunVahdV+sBm04JcCNwaKcwiGFR3exZ628DS7GxMi14p0s93wDUOagIblbFws72qeQcp+ StyZ5ym1+r6iSIM847x9lgXN/ks6JOg82DsLntH9+R9qmz5qWRMmcri3aS3tkiUtxVHCYyDwuO8A 5eVA8B6kBtvyjlez7AuVxIZebBAa9KJFlAMN1sWVP8mV8UhUYF1kojRQJhFzKu3oX8woIjkWTvwH BztchgWSFgcP69lzAAZS1dIrbddUsEs04cV7l+o93V9TQWCctv+Khb8TRs6hqje3Oh5dxapnUGsr rIy1IadxGibHJF3WGOF7SGDQSItsAqfRY2pfR0kylDWUrCq1ct5Ms4iKEt1kcntOrPoMtcnvdyEE t0w1eFvJ+CA7VYchQvBzmDD/t5xw8TAWGWY5/gipzbDALIjymLibjqLPoQbfUZVfykYk93ZCKs86 vUD8AZqau4XmW3finMV7sgzA/2JiLFMVo08xgGkEUey0VoH4fkyGAD2iE72nm6Fb7t24M1q8uS9t K/JF+WBMrFiVE9I5/O14aOho6XDBjP9xbfjmZC5m2LbolfXZ3QDI9i9e9ilSXFmKNWUYDLyND73n mdWWCi9x2NzkX2yx4qLn9EYq8s2cnCJU1w8W8eDHPEJnIqMaTAJCfhJYTLEMgMQSdjsdDBKnDDiM R85aTpXAuKvuYzXmC+4zHFwt0dqRdnwpFEpIbNVVzrpTTSY4DtExj29zwajJt96o2doBzaQbSuIc mcVlcvCpvl1Dp+UllHS1jD5tuhctg8byMOyU6Tz06XKtM+2vYgTrH0oavvjyTX78cWXDqU+frWnP +nmH9RlfuZd7tOlWBgVONrZu2NBvCLmteowGxu9Jc0Pxn4uVShFMiB+GT6XB7JIEAgyyyDHDoqWV JqcGiBSPAHOGRcLleZBLgozsMJELcHr95MJ0th0OxFz+aei+j73dUJL1/cf1xkBA6D+70z64V+ic Y8sNVBTVuMdpYgMlGrFXcvHr/VWEkpxp8oMK8SJDn63t+926oYS/PQBOy+A4BAn4GEsZSVa7ak+a 43bg71H14CRe1+xUHZATIzoT6n3/WOSPNjEHK1Fb00DNM0DTh8h0gMw3qA+HTR+fLhh0SWgfAnf4 Bdn51bvH9O4SJws90cdp6Biz4CrpuoAJsvGsb0uAPhC6I3Lme6+n0D4M2pSkYxzIc4EwM55VwaBU QOdquZ/zTbub4QTF3l5BPh2QnRT/XMv5Yc1fAQLB7cw2ugCUjfPEHlIyRzxOe6OJN5XVyCoIyVc0 pPOtBR4uwVVvKZckFtGHZmTcj4P0aQN5/UutJhzXk6pOFFiCh11YH7jk8dXLLgWQ7J1xtb8kqDNp IKFcZ4DbrLjFB+EdWQqQFFOBjNEJ+fmHc9bAEztr27kXTbDu6+oyvNg/Puz20nSqVAP0RtU+mU7p CoYurxDPj4ZNWmNM2rVFfbH65L9HXRxqV3neskOaJXq+mQq81Qkk4uvecRTJChg9D6rL+eL3+gDK dGugnrEgYTS8kMytx3qYl8kBRoAfSahQF7UBg8pLkybNySr6l1rst+opZ1BINqgRqS6YcvML8q5u eYiVkd3jHdG1CKSngd2kJePWOQN3/1H93BaMdVdG52Ha0+b2LNQiQcbFnzU2iylCVTwifXrAKo65 OWatl5GlfbkgpO9Kv3Ecr6TjnBQdoGHYUPbqX5Vx3/h/jLuS8ikG9mkKyPGwCqdCj9thgCbr7Rnc Hzkiyq8spi/jg3PYoaNVDzS0x7lEMefSXLj+yccDlPXU3dhLfQZUFnsE8Py4jih/Eo+JBHtKhrAN yoezzaP3ei87Qjk6yHGHjaD9fp8D2j5OEmnC2e2Ub6aAp7UhB1b86sZAwC621ZYrMwSFT3h773qS Kl9z9YyMawu/b/pTnVPENDVatOgHCG++WlALA6czoPB0gPXGnBVpeL+yLNEcgnuDb5FUL2om0r9G j0n8fxauVPGZSIKs5tCXN7/Hi1zv05jYztR2iYQ2rJGBeVjYFqkj53inWLTZQdY6bBInVGeEEp1m hus7adYZiZZNTpWp53vqTHKaCZg0zb1bb2twgFjkcBYIbi7BHqSDjU3S8GptE7c+fpEvBrNyR67a Zl5N6ZkNXNDwh0ZwcXYuOW1j8S7Aut/rfwSxGmzmWdjD4bo7uHjnfUAGL8zIbp/tjyjJnRIBLdIy mo5sJ6GB52WF1dGkhySQWW9byiV/3MGE8cnsOjNYVOQOLHkj7H6CVdRDZquT0aHu20WfaakG2xoy cBjmYx4EOZnf7R3Ar6n3MIZ9D6Jv0hOkWN/1grApxL91WuzTsfApyBTrPNzYsoXyYgnK9AE1rpk5 /cJs9l8VZLZKzc+VlRYWFh8cAH/klIQGtUvid2AqqM5f5rMxf59BjpgwtIX68w8yBr/eQPSV5wjg 1n29EURdfojI2DxIvg+28+E1qANSNOeqnmnfKwXYZilIkAprp1o+eaIK0snSsRZO46xk0hZPz/0s FB13ybV08i54PJTqryU5caFESyyu90SEXNiaSIfzruQz0FsDaDCJtobixo1cQK70WsfJx8ZELSpv GlhCNL1yWcjB1y085Tq2M6PRygVARVQrRLIUxQl1Kb7y6qRIFK8ToL6YRR542w813eRygfVJPlhW jbA/gn9CBBUZLe5XEmCXl5yXSQnA9T+LOBcz4WSweST7AtWkLSNKGcSiahjKobHEd2p0OjozL/y0 /u2nvQcu6cyAnIW4LXit8WQ0BbqDwGjOd5OYTrS21hWvsKSefy0npR9j4j8DP6WBwGHESlM1mb18 gjgtx0ZI1rg9fUQeOkqVxwr2wH97pi3Icmn6GFGouwjjO99cU4aNaE3GDjein/D13fbLaJ6UiRf3 NDa3S5/7mYmqA1dotZNDXQzYQgRQ8J+SiVzHocdbSubGfwr70BH2Xc8XYkKgt03Ud6bJIxNyvcuo 4kaM0k/s6M0tVRhBXnIxLxbETeWtcKo5FiEQ6tK9A7zN7FdXAK+E6VpAHB5B52gKHSfwNEiVw3WI ua90OQuUcWPyGxfSZC3kaP7Cr0cM+IaiPnurFxtGq8wlVTQF/qmTigWHs+u1/07eNX4G+ntomieH bhGXK4pUBtc7wrZwg8RtVOjHsFN5cQunLeL/C/+hj9fsCV8RLqhMpWzmRE6Es2fYoqi6fVQ425oW NDpRnrV9ER+o++q+JCL/qkvWAgh6ZW8QjTL+9sRnAzG10nfYXRPtb+x+gaRZT5s6dSIg9SQxfoiK gEkOwlDB+27pvdkA/XlPcART1REoX9y9/lN8dFmDeMhJpWGwYCh/sKyP/OLXDGyziikd4qyjUo2G Mk9MG6Ut1Tvvo5EgTSp8KVvo4GhbPcf9zLkw5KM0GY2ttWii/8WPr1rLSupGUv1cbrD8ojnFY5cj JIxi4pimhmFEMPj7VsImUsxdFPXKgByJBjFqZxyfZwUAmywJZOQIowWV9QnbLa2euEo6hps/GJ+g NENPmUh4EK4BMwozu/kcnvgwqSYBsj1YGNjlZLIV+1bMttfh3XehIhgTJAFAQNMtfbuvIuw4rk/Y SRqn2py9stC6sHGzDGP12hOgd72ZiFnA3iPmXwRm6pso4Id132DG6HB3UI/mgypsKcCVZfvUEiQd QUa7Kpk+YIh1sigivKT34C6ZBpI861/XxQ7pbgB4ETKUude2AQAV4hU3xTHM0EKvZmlNAy7PBUeI g9r8OAXL7Jy04LuLd2WKRJeFMxyaLLFF8AZfRsaZq95wDfz5PfuRXu6OPUyTyUAngpiDcsREUdQb pMIw5CREpz89IHpl5Ru3pNl7djiqR6aN5/gTm59wZqGGTzFpPhEbEyQLMFnZ4Z5nNLJpT4QnwBx+ EBYaigIcj4s1NYiecq68RmNVZG634j4i5BgzOetkGd684m2IYn2RSyDLQkcCyBG9eugUswzPsvIo PvIK8RIpuyRzIS+be7P88MG0BYtFhyxSKYtr97DAZNgH2I2lI2tinEw7np6LI1ias/EkfzV7T4Y0 zJuKJOpZqd6E0SVCAbKyH97lT4MuKhPDfPCEXgCTfl9l5rm/cnEbjYzAtoYGH7rC79H9xC5qjFWc 5nRko0MzgymVHgtMD628YF+EedQ4G3sHFitGq/bMvavBj6eTI6zX7yvIPVTQuCpDOR+NGKJbqpqA phYSq4X5MQcabe/gwBb2lLGVZ1to2/W7eDxbZe6yoIDa9k1ON6hlpKf59YYmCowaxVVnRFwF+Ken VNgNljmhKyelsGrUUbKt5T+zAkiop44q9511NAX4m+ZTRITNP2qJYH85dYLZoaW7bt+NcWlBr7HF E4hIFMr1yX+4phgvbjOfeMjX/gjLSQ5x93YRky1EQROf/2GNSdyQNsJfByQgo68UoMPu17rUed3L h04D5Puq6vnLU7StnjEVCGYg6nUijEWCuPAiTALY5TRNfVDg3keb7Cb9yyVDmnwLnQv/qURTCyz4 t1yJVA+xHGDNXK9I9yaPuHgsY9YOPZxiSF1blCG+E6r/sLxpcwK8POUDvsE6EB/nJpzk+rQsy48E AOqgP0UR9qCjbZpvcLIux9MSoxnW3XgTbaGD0zZjuYicz1Ougx592IbCJCVTlgvMOhc5szmJJuFo g/0eYSHdb7/qKtfwupt+KRSYHacRedGXd2QodPB1810wqy2Z3TCBy+QMRdVmJjfUiL+ZFqJPkQaJ vMKw3KtFD9Wt/lKU++om5dAy8+RUR8R0eGRO6Lq95ac+UXlGTpae0AcneQ8yB+/1kZm4ICA1/H82 AFpmyXQ6oCDZtn8qbhpy0QYOu01M4oq/vRKreXuW+mttsc8oPOq7R8NEyaph5t0d9ehQ2lG8+h1X mFl1aW/cXgQgxPSIqkquwoSYOytjIPTrC7j7Dex39KHotUEd/AdAar8FUztySQOJs1oN7MEgQlUG J1QWGi4r1bGwU1l6U38wRhNoDxI27A1/25IVtbBp6nNjwcEhP8UTMW4erconqcF9/9zyBHA9xolg 0jeLQUxXHoVvfUG2xzr6seGIC5mdhLkTemkT2Ib8k+TQ/aPdS4AwCy0WtKaS/P/ADM8t7TBC3BAH H01C963lM7QfUlai2XrA5O6XBEzYfejUgNkhFj0rqvvKi7vbDqJk9VEzMoA5GxoAop4Pi6x6kjXc En+Ini54vAx9KcFPqQ68/6a+x4SQunVl8qX5AcejfkDHXSZYi23HcrR1dOtsROzEexIQJlWrQqNx s81eYMA/uzvv+hBXufXdhWceXwYu3tssjkpd6m01lft0Tnxc1EPWRh6z7b1lEfT7AAvhz5kcxjx9 xpVpq/vWRuK6tYHBTzYtijto8jkKiMLKwWwV5dTid/IeuaLVhU3ziB5Ho5y3qHFc/l5DmurRY7P+ SWeFjYnEZoGtDUlj2wzLSWeCDZMd2SZrOyJleAI1Mtn063F8QhyO7Q/s97dp/8ur3rMsUIYGEJaA TsOMEHW3cxuVTokEot2ZfAWrCenf7I/yWyRhm9Pa8n957wPZKDbE5ENMHBFB0i/cbBgV/d+qZCUK lTVGz6V5fGBQUWypj2a5m5gHY7C30izolR/8/m3QIaXmdvZvBMe8UldOeio6bm9uZqMrGzKMZcfv OP4zttod+OxEza7Yp7mmvRJAXp3pansVs+ir/8i3cdm3CXAvhKiT3X+9T1P8do+4SAIdxKTb92yO NbEGNxoWWMgDk49iBfY7YK/5rt51h1b1wlKaWTn3amG4wLxZlrOG4g7i5IYyLYPn6ElzEuXTJKUm GEokrSleRCECYFqWtnhkCqiuP8brjEzckd679JYlRwivR+ueZg/qH/+NoyjG2p1H9S/X35f8U/DS cc19jUL+C1gHW0chE0GKfjH7RePM8upqmGVLSn6iNjZp4THCh8XR2nFy1ADwiDt0bj9NyT9mPd3D GCC9Mu4y+BWE6YjsjhcwJgi4MAQr7HM2vMpCpk0CII2depDtm+SgA4H9C8OzR4OLQpBB7EGu3quK nXGeqNF4kIXtft3tJIm69re/hLWOB7pLCb05r82v7AJr9Y43ol4DqO2t7Mf9d5hARSOa2x3AcQiZ hA8Zx2HgnwbEZeZEgiQTP0r1EuxC+2RKEP+YxMRBrrTG6zw/YNHYAYQzpS+4KLi9+Fxmxl1hzb4w 22tJJiBm57I9IZgzMv/SHMTkyfX/0jl/BqUoA7r96NZReqfP2xPa08jDGPDEQ7Ehw3F3xvcP8r7L EBc75GB1pDIEEEwxRuLMSkmaD5dfjeoLVuSL2A/w2YxAtQz12sE4jgNZbQblsGwMdrZUuzq8CcDq gxT+CeQBvViA7ZEk2IPpRS2NAFwYfdNKqJTo0WX4z5xuf9lRA+24nEvbvJsvL2SSC6/1A7K2o39/ syMt3zecd1+i1yG9TKZ6DGNkmMgndPAhMz88/3f1p+kQF1wn/NUuGCGwmzYgm9se9ZV4wq874AtL CDZN6hPmIWNzyezvQT230CJ5Qlo4GnUwzF9oHFEFmk0F0qHVtugDCxMG61x5E8ui5cIoaTwjFOBd 8qR5u0WF9zBXWf9jh5NtmQyHtAE52UPxgMs24IvWASEY0Xl/2h/YJlFs5Hl+8vcvzcJk9LVr6R+q F5DNxsYI+olAub0pWo3AYAxUC9RTSbhVOVg7clQB+HZvsxiYI/V0tqa6aQCGnrJXua9W8SR07VfZ AloPO0/D4mVY2HPTGF7jfRI0sCAO/A2CrrtPRpoZPCFhgqNOddVPWUrYtBp0pBXCgepotzQ78Xj6 6nCmy2ZCxZQc6ghFZPp/2/Re3c+30cCN6Ga5U+juWoHwMSXCyssHsIvTeen9gPMntGPoHz4GDMB9 +gDzwh4+bJJCmsQBiOvrLcOWse/OTGGhkc7o/cAHjnFENSHB3Tl5DhXoLnhgyQlFF3yxFmncPm++ eu/g8HzS36R1fEaBjBZtJDR1VbbXFejPyxJSdKxhsP+hD6zy5waSWSNktGZkD/dfXy1CYMS7x8HQ IK9NhHp9ZPiWZVDKdDECLYtmCyf/cdwSMut15msBCcx/abAK+TEhh9xuhPqTq9xqBo/2wgx13pPw 8WcNZgpeiFqSZgBJ5b0qpoC3S9kqM+BYHmh2jxJT0nrfaHwsE8/8u7YWp5tZXtb6okWmlHj3qDS1 gXNtytBUGuOoz9ZXOd+8KL5+CG+el2YMfoTpt8SM1oApmtd8Y8qkAtjO+M7CkBUlKle+04QuahOk 7DuwTTG0jbNfdaa6YoKzKds7ZKCW77JhsQ2DfWO/K0uru3v3pJQP5VuargDBlos7UM+RAZ7qPmMM sBLYt61bt7z1dKIa64kvDLx8B22mRimIw4QzMjGocWVK8aIWOCCl+e61HiVHFC9xhHFWsdszIzUN I8Ex42F6maVgNxElhMxRG2XETYyje0zrC/QfwqVzOarpOQvbPEG0lVb6+7g72RmMoJNlPjlVG6xn ip8Sf0O0iZwh0OxYNHpWK+gFQa9xZESF6on9kKYSUrVa1GhtIp911tXZ2YxDpzc7Zw4Z/fVW9Xlz Wbf5Wq6bf19rqPE7UBYpYuMZOFLaUi1F4ErOjnt2J0QOLjaODMhRo/MobC7uIx/Sh5UoLvxRISyD rxtZfV8mo0XDzKal2yZqzSTOfFoD+OQBdMCKzJcZsT4OmR/PtRlcQGCJmv00yrDkYCVcgimVXnvr 0J6RMn2m/lKG9o6yFL4MdUGJQhThKmOyybmESizqzJinVl59ZyerasL+VpbH/RZF5ukpkf0SzfSa iFRSswki+cLwf+u9LCPTuFvi6BykVC+AwoQ2KesePm1FUKeKvkKL1JN248tqcOnYk5ZOnFDvKoVz B0A6VuycHVj9yFoAhQD2RlRt3ILOh1scIXoKxFq4nmN4/No6ZuNGvXPEXLTjy8hZil75exFrcejs 7kh54mXnV4gfHI2OGDBAz4dqsQ1+KbchROhareA6w2Q5RWreh7uT5BacmWzXl66OveXUgkAh9PPB GQ2/5g7H/Y95Jke0cv6aQPYQyDjyT5UHUrLJJFFnidbhBx6UKIg0kHbV7USwvJo78URXlIVVjyYY JEV6uFaPq+H8JzFKN9A4lQOqrHaSMUvnQ2icjB9OJeFEe4ueHAoW8c924L3qWXrygJpArLqT7jbo 80DLo9eHCuy4vAPci7Ku2VD1bLdaY4Ob/Ipxu9PezNvrjmKK0F9V8FLKQm3u6xHTXd/LkSlv7TUT HVVX4gXbRQP9DvFQ5HlpOHh8ORvnt+8fXJXVKS0aC9VK4CD1nUB9OFByIUKthWEDNIP6SR+sUZam mtg6e6BZ37YPi+z52WwwIWXeCliaIFktEo5SsT0R2d95XaXRMNKgEUtkV+97O8uY6Zfo48d1UN5t L1uPUjUvOMkDc+H3vq6EbN7s1sfnJVbz23C9ncaJQ6q/YebJOQhxfPgV/GrSc6/aoRZh9USW3dgw NlgV7znVSOkxWCXvnOS3gZSZo4ILd6tpUcigBzvN4frG6yYbaAkEfoFmZHATnh/ca/QCMkhvJGLc uh3MlsTELWLi6UWZzJE5Vwsl69+f8aqg6AdtUR43PtvumwWhHLGU9jYZLty9pP8JMVhBn4JFplqx E23MOFN8dDRWvoyzt+9cQSJ4eai+zLPCva8pyRCuPuictZxmd7vhIqqH4Gpr837fDJif8594fIyE ezHpxsRpX1IrdWnO5MO2Q8ByiP18lyLRNDLIwc6c8AejM966WbVdgd7jhqE4old+qXu/e14O4Qtq VwRENNXj7u05HaSpl1+FlRefQ/vD+3WPYY0YorCHxCGK3eI01HEr4gJX7mqUTwslA426Bpvs5hV5 bAvQGlE9HBF/Jk3vZbr/MpVBhLHEQ5+jJvaQKUgv3EFotT6irj0GwYfrbDHhHIvqq4GtVtxITyUN QZGcM1H2DsK5qLOwBVSBKyongNMtQIPE4fXWUt0PLMyueulD3DhAAf13LqzEKZAfJDVk8p1G1XaS b2/3EtGDjQq532WyvBjRbXmFancsgk/Rq5qXrrNDSzDrGmc5JfMhl+ctD/AOmVSdYPKUQsEkzOgm tGmMjKgHWyzDn2kVnpEVW3h2ULgM8crF7EHt5Tnam5mqazo3BMC6P5W1Zffq9ZLbF6DL9HmXm+aW 4pEO1olVWPgjgGgA2PlD5KGDJpHRoviktuRu3/wF8jijNwQzW6sBgA1wqC1Q7OxMf4EdJBZvkj77 bhrroUWwwVTAlWySb7JQE87waPY7gikltVBoaPjhR5Zt134Yz4iCZLCYbCVBewsPWHKaenyWHx4E ScsyDdRLaeCKG8LBb+qUPORUXgVowfPulgOZ0JHr18Ar6kIdg+8HFln0u8f8ZgloInvDiPpeUP1d oNUwsim20O1EpRRIMKVQhOpDP7w2zoykZG7ZGhZ7fMsu8MLDzS0YvekwAWMsvMiAPteAmvQ6rDhY ilyukcgNqCXBgE5g1N7yxE9EmhMkYlH1dRs7+HfDNMCs9FF0cMQCKVeLnpcgXx7VGimbzVG0PnfJ YEuqHCEblsQWs6h/ffbdk8HDVa4B7lgWqqT/+iUIwe9uZ8oGBeSTuytYMazN9O6UNgz2bkmp2hXY qVDNnfTMDoA1chZKJ4yHatINg+sLPKPlT+tgrokV9JaYVNU8d+amS7/ClH7QZ2DzkpaqJbKRhX6m 2myZ9+eZkrVTFfwKNoVZcDLdpUFjDawmbvwIV5LTCScUfF71DndKK0McrWHQsBQqiczzw/lgi/mK qf+LDfpPdDj4Ecr0GvsAGQqDvertSYVdwVFtLOHxVMxDhmNOR5Hnv1sxsHK0GfNf+EwkckWaZ4QS taJZTlFexl+b1kHEaj0TQfTauGlO0MpXOLgXJyEFOoeS9AhVpkrmsPpMOmci0CerIFDcoXh9dnTb DLV9v9buR+Re/dVc6268oz1VdCGScXwu8D1gvkGmasiNpSQn6tcnUtFoz5a5u9wO5zCyL4Or8eQy hfB7EENMntTN2macI2JgXhk4/kiGx7lw2QrwYdvDpc+LovgLKi7hcwqAWEJWHoXxEc1fLKE5f0Qs jdc+I7+A2B5r1pT2JBAGkTRt8VX74OcpIIfZPiUInLudAzZb72NLvjsCM9dgJV1KoOYwa3PEyqKm Ik+b0eqV/MgWCXd5TuuQIaqscqvf5MyEekuDDe37j2YaakAWYAltqR7+BgSHhAxj+Hp171uaWEal NP+n/iH9wSgruXIiNzoI2jF5yprxNmzVrk6PlcfZix752y2GxHF2B5n9/G7NztnKqeG0eO492Mua GK65noNwvSRjQ3ePlCdbqVgekfNKPgFt72aUu+03nlPtlo5xcdWvfX8a5aObk3+L3erGdteUxNQ0 Wudo/VX8xsXLhwnHnL4p0xeMHXY2USmgKQ2Kgb6BhbCAFjdy95naUfR3FbmNvwAPJeXq5jK/0JHB A4ikGf6F9EUC9XojImJ5p53CV2mjS4SxmlCqBPmZyqo9SogwwNL2emZBncKjB0QjmalJemxX3QP6 YTja9MJ8SOD6lHUikP9k4o9KH+j29ReIR6Gd9T5gg5wLhPCMNjVQc8aJqQJ6iJ2o5vC+c8GfIgrc igiZ4NnuwtJNBoUxeq/RjSpQaHalyiwUOd2ZArQFrE92ylPw3SIc42Vf0lc1X1YrzNbK92QN7PN+ kTi7y4Y9UTUeqqggqUTTQtsWOahWl0Rr7920HTW8Gjupypp0kde9/yj4fh43BnuBOyRjphQrgSN4 gTVD/n/PtntmCGH3dar9nVpI4vaLcDjaegqkCRDa1L/AFz1zrQllchZAEAKrv6K8+9n8o4vU8vlD HISaclzqxE4i27cbQoLsRqUtDoj6nIG6CwM2rs6k9AcPA/2fOs24qGpiVKNjaWePXm/dUeZoeyZC J0mdhsO6kG1fFseWGp42GRNPfU7yVpES0/i/aSYY9VFG8CSSU719VSxw+Vnerveyf/Jcl9gfreBg 8xEHAc4EHBtYnuO9ylNNxI+YJmbGSFeTtVP6MMYTjnIx83MrS8yDM3wfjf0xCXzgXsk+MUSgwi/L eiKv+nDhysEZ8sOoGZ2u4j4SrAYdrUDPoKSyd/6BR7nowzNuhOLsb+i9HZKtrAEn4SdKXQsMND8A o0i4sA+vDitlMFVCLitaEGDDCNkwEiqjRkpE7l0EL5ebuPluGqLo/zLbgE7gOqewxNtXRYdHHkCg RYNdvjban99MUfpUImqIsPe63sNjEvqRV8nmo6yRy7BAfTKu94nHyfdPJGmzypM/OEwUpWn+JxUR 4I2NI9AfdGf5NTgciilfsU2GOp62JhO/2jHBG79QLauUj1Rwtf+Nfzt+C0z6RmFxIUTPg9qoBU+h kJnlmhVsZYJfbPTRutA/u5EocMx1IsYhbsT2sdVQ25T1gzn+pJWd5I0x1Xyz6J2iz12neoJyGRaB DuALvukivNkKRie0pZ0yi7hpQKUd9/hV+HsHzHZz7XlmzDZQREE+Dyl7io5911Ch6Jh8EXIekRMd d2kmqgDI8xZuuTFo0mkWkn1uR4xhIOa1uJAnEf9BgnXkHhUxWYLj2UW9M6o77lyQc36oUHW6flAe 5rbG0rjsA371m39Fa1bxXygmdvmeMceubYRbi4NywIyPgis7J61Bp/Gjdts5P+VDfR4j+ldHytsk YBbjTvJktDiJcEkWWomVJt99DLMS6w3dl8FIDt/WoS/YUPkK261gdv1DjgvEAGEuMzqn91K1cHwy 8yCXMtQIdE6tGd0P7wb6P8GqrUB6nKGJxIKHrMH4Qgx8+sHzhN+FDfNA5LXuh2FkbuHUzhg5yWUn OzwZXx26fASyZ6+2tfO81Bey/h9D7DlOymgAUu8LV/7IQJvW8rKusQi8fANFyMuH8FX4mTdB19BU VMsm01T8NgipaKFYi6E2EBP3iKVi1Eaw5xxmQNieTHjXacGj/Gl5cxA/eAW0eEL1OPL5CamvzNCz Eb73vgBHXApRST21KzcgDJpalNyBjwZglIrBlpdg8VPPw3/cHde305+AVBNXuhGltJPQgraJz2S+ QIaYSIBAh9Q0O/+rOgXAqLk2vyvTlBH+193zi4iAM77wUplDAOEi2Ekk3YiInS9jJ7lZ8CEMKE4a L9Xk7DqTj2vrx870cxV+zbaheyw0hRut4ev0O5lgH+E/WFbDjDUPu8PACadIqQZK4Fv1WK5I9CIf W6Yo/LuX/OsZy8OMXGfoCNdRV15O3Z9u1Ww3UaI4dWopH80cJJS959FCZNhA5Li3d/1+GAIHhklv aO6wFWD0vcoGlrW3Gqe+OmFLbT1YIiCw93kaJ3RBFGXLJL6kVLhDU7o5gF72pTid+XGA6cO4CwQN WAowceNpTtyFYnGY0z/DkCqPEYRnvG2AC8EFm3qLJItHzrPmT7JofKdHEcSs1yrrY8V/quqZmAQN CwN0RKcKmfAE2KB37Q66/zn9W4ch7o5aXbHPUugcneIbVNqwAm1pvyLP9P2ZfaOBTBuNHHKeb3oK X/b3utc2ZCRHfpn64g/71NQsRqcLdhM+/oZLHnopyFjt4YdwMJV6Mc3Yvpw7BgnNaDs47sa0DfJQ 7vgNB1vHOsFSjV9MEGpJ+l7N+sLR42gJPJPmn+RdOZY9AWA+xRGuKNsA3ccDBOpv8cn82Wa2e+OR GmFTBMKR9+OWEqsp2e3gLbYsUd2ZEVnZ9rxTkIbZUQtTa90defohg/eSGHQy7NLHTARIYsd/3Jw1 NCAXUAPgBYv8l6LBp8hFTwxOo5XUTk2UAPfWtVwzh4ZANz5BZExXlYZGNHUUwrO1Ygb9uBqBjPE2 BPUn0u3U1QS3njGdZW+x306vGsQhFwmycYm3JT77ZBl/ivy6s14phyV8ccJyGdmOsXQitaL2Lesf O1X2Q4z32fVEoEfiQtEAuzz0Ed7sDX3/Rm+/tBSm3qyPY3EhMN//XrGh0NXH3doqHEVV53MEsTr6 Vi0l/U4jL4UxU0Y9zINw3m0Z3Y+EWGeCoqbiDksrSZU5Hz/XT8kVU21zWqGxRVOPXQudLdmUJseQ Y43A5vsgZhlewbrSlmD3nIjsaqlDkCD3KUNONzOot6wI8FpC4QFFfgcE8UGH20Wz665YIf0VXA06 PXi0h3MlySmY4lc3B3KPNazVOCLDqxnleCGJH4zEWx0G7npiktQr0+AmuwDm1Ex089IOmS1nVU7M PMd6+gqWdKRCynrmi90CZO9tky1IVl9bvhGMSIcDjFDlhbKDDL7KVhOPlqxll8p0JlyRwDtuxNWI 4+aPz2b+t9GX4dvnT2lifHDrJ0Y3fabY4xsylwBVL9jrfoj5R2nPuAQWVQiGIy+oSblXyLo5vhzm bnG28IUnm597tOR8GlOnsinuvThDutZP89rMLwBqp/dmsnfku36hD+alFd1h0RteyaAhyVPrGQQ0 53kME+TMkn70F/TPv6BPb51IHNXlXv9DNo6v3X91zLFviy65Ona17hxjX0acUwGrO5BftAnlG9rk vrSFXe7lNzCdPyMUY6vcNjgqZEV9/LeWGF63S3eNggi6ahu4RFe2CbKx+MeNyj8ZNqVF2v+nklEq ADU3xpzoTr9YEDOApfm/zGy7tdvE5kH3bF6n9gnb1dKtaLoQig1l3pUuK1We6e08YTHw17zAoMWm TfOXAe/aDwWucRGxbgaG+ESpl30nUo9nTstphbeuy79vqf4EW0IrEZZ4AKD/F+IyEVw16IBDkNFr 7vUaqzF44ePj1qLyxyMN9fJiIEffDRBrGkNhTy1XK36o4NYDXMow7GVGr1q9R/BzAp5HoLifNdlz UzCfVU/oJQR7zWu9Ajw8BMpECGgN9+wnEgijX6MZalZTfvtFflSLgXP4reh/H73Ng3u0hdvH4Bhx +HC4IGFhdgDLOXUHifBiwF+oCAhDUmN5I2Rg3mkZ7Dt770kcetXha3wA1BNQclcFVIftHccEYUus 9o7srzkryrcE5W/bh10U+rnisGlJt+5AbG2TDxnqDT1NYVN84VFPMU0RDU5MzeWsXXR9pp7VVToh KBIbFme2qLWsRJ2fI5XF5VjcfIDMUbuCOdWWfcAOgaJIhDS888NSGjr+MvoLYQjypG+v9a/meaG5 THBV/Q9OL2OmJ2rFtShHG3+tl/i/l4/Cs5YoPkBAFi8d/WCTCJapCzgj66xuXU2UG3UplN5Ab/JB uTaxc0+pqTkWD8i4p/JIbCtJSPfgMFNVzrZ0tqpeetiB7UBWH2C28i+wgIQW6ozDaY+wjMb2vBrz pFpYn0Z8Sty2hoSHAw4J4WaOAImUKsFfBfVtXAV24nm6VaBNCdBsVFTzHft8ufv6N8WkcTBwYAnc 7s0CmOeknPn6pEa9vWE7K/pRp82hoFD6sijGYImje6D/uJCz+oq5quMstQR4htAmjgEmHRpbw9My oL03t/JGIDtkEk4rwb8pPs4gVsLZygS0WbDFD1GK1o5oKHZ2zyiw0vgBOJkM7xQYr/hd7oE1qjhV ZdB3LDGgzkLAbi8yoCQvmFwsfuyosO2L49DmhTzP1FJUHrT7cmPo8jYCHGpmSnFow40CNlZh5J81 sBQe/9tMZH906axJIde18FdprDEzRWa/fEM+Nc/klN0RNNxzd+YsuR3aDzVYKhbe+ytzWbdL+fm6 L8eIoSxK147c5/e4I9ofVbenndpFndWYtRUFGCdyZRyITZruJA/KhxxtwT8/8I/7kwQFktfZQcDh Si1PMFmlb1D/eyzXw9k39j6icJwwCVmrab7GBMUy692LWyQp/L36QjDx8b+Ln87LXwYhD0En1Xmn ov8975eBxewCmL3w5JG34/vpXXnJWprjUyx8HUDjuL38yFZDh5Jb4zS9XhhinuCdzy6JIYJ9oozB Cw+e44dbBTrymobtmjlPF6zbbK9ye5dCSORbE/ljzFIZQKVii+cllDbn/jYpaE0OjVKkDwBgDQ05 sIxjgugl++6jyWnhVF7R4A44Tvp7M4/TUXkcOObUTOoVDBxm/rvnG53aSGjgcWukEq3ZzLdsI/67 rlOa78f32d0Yb6v//Hc9+2aO0A1QjngiX8IVXt5TDEhLoWctFtB0WiUvClmT2men6EdHipTZaP0V l0RPdn1ogNa5Vz+JyWOi7OOViLX7FYDaasJzjAGaZMTGK7D4VFCLD0h9fD92eS9n6PN2B2R4zBaV y3/aqPcLdD845/0yXfmAeL6vo2kmDSNGdDlabkhVb29y8lVaXsd/tf49I2REt8HqGXkwB6vEG8bg BRpPE5uUyoDypS3Kep9AR6LsvkNYSsHalIL206kLNnOJOGzD+lDsJxg4KIH46i3GkMMx1NPujAjr OItt2TwcMsHtdZFCkiCheNq4bn9xpDpp526BX59f0njDNvl7XSm7dY4VcFcpETN2nHvZiltuhmxX RinbjFoh8UA8gylT5GUZhsRVYvvOwFYKQRlRGi+69HW5X58HKdafbaC7psKq8fRjnvev06O6l8CM F4Nu+g7qm5OgKALjCtBwGFe0JYnPVb+m0Hsjmr8ZMApOcOj8ZspmDYebEQJyBIKfoLZhkAYz5iMX x4HZBiB6tDS/S2XdZ0D14YvkCBGv5GueONGBrH30wVNKh0Yk5ZTf8f7IbxPvwippzXlZnSgWo4CE E4Xxu6tlowaib2VR6+HAU0VBUfCGA0OFjBVvckUayeKkOVOKiI3yASHSNWmVb/daSpai18ARu2cj UkT/BwIxcsf4ViOz//1NszQOvFSHLdJ3lwSufHaJsGKC3mbE7yeE0e2SRsXYnDQ1E2wfwNMYIKNQ quzdy6dVBkmpyzOCtyrXO/mySSxH/sx5leeVClBNrh8ROCaZqAKLD9peON6Y/2JX0DFAvtWcpP49 RcL283m0SyI5e9F99spoiBSVT2TQqjt0g4EORsvlHSKo9nrucNlZS8dbGOsm9sO7eAbpbAWlPXbB eKxGqvECwMmvRDn1lcK/K5yrP1DUAO59qgtO512tgRmJwLNgjMOBnPY4QVMpZoj5KLV10Kbm9/sk YB/39x+u49qATIvRhiwY+wtSWP8G02LCs9Eo0s6E3wWEB/Df4YTusWGLpw3GenIzd2m8dArZNqCV 1SKqV4xA2cEd1wHibIOBt7968roazKEZwhAAiRfw55svAA8GxF/mC1bEzSXsVu/ZnhdMabMa1wR0 NnDKvOHqmVenxL07C2EYammlza4R+y8C5peZA5d87Wxk3nMbHnB3pCvNYyd41TJy8EEKKM5H0bph AUA77feyrTH5a78mbGmbXMZDa+whgds6dHeZCxRmSjL6U9oyQF7SJnmP4iW6/CITU8EBf4txc8uN vsN35l51SAWZWzhDkidmS/guV5/e4nXh8Zccw0N8A0OM1gGKuTgQJKD/+RDCYR4UQmn6rBlPT1Qs EzCw21AmYWHeLoIpWhMUPWggoAZlsYfJxKMh8DojVliMsi/o5vo7Bd9lnyvRaj6VDz3dhBz2CE6V oZI0VGpHHk77r2tZD2u9/PwKZkE0EUNQbuPHIo/lKhlMG2XPGm/6reSQarMwLwQl4rAxDkFs4geD uDF3bRnI6HnmRUj9E3bY4BmKnUgR0FpOEFpekyYoyTHNYg8BNwWtvMnLNv1JTQ28tLuyqNiqo4KT YTs21cWFEvaNXQzW4GzLDCR4L9LXyLs3xNSiTYzDcq3ZF7lqkYj7n6nxTkxzSRLRvR2Jymz4/Thx UQ/vKelm2sQ8Tsjh1WpjFrA2kDnQrzkbix7P52TcRgucPG7iwOwXEuME+G3aU/DirVm12EwS063N ya9w/NSxKMmJIvcpYm4T2c9x2TvhN5itlKb63w9QIkBx8hJluUawqvj4JGSUAhiPFF1JOZ3eySJQ Njp8KMdz/R1y1rmUB9d1NNRB5it/56a6kkpFLJrPKnPh72X1Yx6iiK6lWjK/LjVYDBRqC0zzSy/m rbL9DecX8hVvEggD+rzQpQZg4KPjjsknS8gETiof8Gz1zTUAqfEa2WZU7k+yPhAlsZRj52gViLD/ eY+RLp2izSZxCakwQX8gBNa+ZwHfVUw3aouaxdi4pxLjM+SbzmkDQjnWuvFL9gcqUAoGlwtVRkxJ RlFUI22E7zNcKLzUYpek0qbKNN8hSJ89lsUCPOj1nrayXvNdx1BGu90GoBfag/gkzc0+ODqmOuO4 1Ot2Xt2GXC6u6qSGR0wECsMRyxAM5BD6CUEzQGWmPzU90P4DeIWfPdxF7brdUZINrI3LV8IP7OZN AMVgw65bftpT74rIDHp7iTLxg183O2qauQiXxoOJ6nFv6QtrSp4d2aVqARcblLqlESgq+9BaRI0F CK6TvS36Sm1/hbVVPXkdfRvjwWH5nNup3KGeQEMK2YCf/UCpJ1FB1jF45I2Gui1vFFCzgNZzuvwU nlbGdM3x+dWPhoJOTF7cBl9AyGGiB++MZ9EBa80/uSmUbyjHQ1hvHdMK8j14SgAyZv8rcck/6C6G tQpNZVsHgiTWq2MdfZOhm4X+h6EvyJ25xs/yYh9gWJ+LZXPoHT0drbl1N3Sc8hEtis8/WZE96Bl6 oOB5mqq+lI1TUjw7+2vMejDFXKOe/YWuh+AjUgLPRlN7afxKgw7gh3JlELRgiM3+GzGdK2o0k3i8 6dS4zc3ztuGHUKUFTI0SEfhTE8Cw9Vdc/tzkM1HdNUvm1/jAKOajg3ZPBMYgrlDX97vd4PzzcS6l Bln6kr0J5SbWz2m2P86r201eeGV4AxzTylkJQ116Z9sknGTaeXGo/f0DLDTYqBONBl5uZ4xXpebf MGQ+dmIfWUFen85pHr/kwms1e5UjX1eF4PaPs3AwV2vCNs0iPTZxOSgi3VaUkTJopSwSyrJNehV5 fKoFsWGtioeyOtF5GT0BV4m+gtxqdtMVcEH18sL74lO5uru/JVW6FLTy06q9QPcm7oot7Vf1Y0pn gKQgvIROnmW2bF2b478zzHHFftxyQhgix9bnUatYhlYfTg77gGfxkeq61hRDGqsQ7+JccsTnGss9 AkqcToThBhOqJD8WFZSNSSWxsdJJq/vSrJJvsxo8Yg1zP8TkLCP3us1Uk5jnLl3GPvdEyxkRtp3p ickBvT/QUmazUJmPXwNq49+QWWq6Cz9lcPf++p/9NcwPMNge0EEuW/yf7WF5n9JqzIt/sMvNf+Wr yBF2BGSFym9zsW2w7sIBINwh6TjDoJAughdtfoFr69n/fWoS32+jOHE7OP1HH9+OVxh0YHwBcPFX 7L6aaEZmyDmqPbqfvJU5VDAfTjH7VNRs7XxD1CT8J15d6yOtEGoxjswpbBK6CbSe9zAIsEGnPXC3 KcAi1KFu/dAEQOzj3az7YN5k4iqewmQU/YLtLji3TwIP4JUFzxYcBaoIFya9hLNJHl6+mk/gzmG/ TJnos5Pn5314Ag94uVHTVLDfcV7oK1a83P6RBiC4TrNIvAtVrdxt92vHusb9AR9k4LEb3pM3YqXf Q2fZfmFFsaKvH0EK+gC4bDj8zVsR19QJdYzGnoCafukUWNc7Q7jO479lAM+XcQUWXWG2HltDw36X Fr+bVMfjMdiHiumqeUt7aYT8TW6bpfdkyx4QmadS2er66X6j1OH4iS+jfITrryxlk7HkFIC5MLjm bIMSFEKbYJKAecuXmIuaPqBfa1bMTR6WaxBGp5vloRv9OHrO4UIjDwpJWdX6WnDZDS5LUDc9TMPQ QcFUQYVXTIHRr0WxzmGwr4+FCX6UvWXoPyADh85eu5EEOHrt4Ve4qTqOiyrZiAK9YDJ1MmBs6Yf7 4DOkb/3vAbEyASHBshfjiL3FszZWfi5iXoPLYSCYbWmuHhhQNVTqRsyoIyhNVXChsDg5uk0TDb5f fjLt7x74qEuV1LfI04Ziw4J3zZhPm0hfw4K/9sUUlEYVWPkrQ+O4kEMJ2ZaXJv3+qegZGRWL7c2f eQp4eu5K/yZRVAbK2EmUTlDiEThYPIEQ286V5x8zqFA3bb5lxqyebZD6kIcV/MUT9BiR9HVQkTod K0QLm8VddEtER8g3g8GT/sYaNdDDi0xi9ARJuOwBOCcZmVKvoj/QcBBGMMiUDBRm4rUL8FLc7kBf iiPQVpdd0rTbx8+mSnYiFYCPrTsUwPSl+3uj4foPZ5XmeNvxtEesnhoEVrB5QKjFfRBvZ8nHJg/i WhxnZlA93Mld0qZxGfwUK9dU/KS0M99kerQR36t3EugB1AShI+aTO2WktHEiNSRKCxg3xhDGhGYm EuhM6yu374POUmXy/qt1tt7hgl3Q8kWxIvxm++o4X1tkDNYIryyQwTdV8RjsSYMXcDF/9degim5z 9ZAAtO9EdWu5+81q005T1pbFQT6rRCrTRqfr8cwT/iTu7hFQWpG+5UsTG8kylOVJOEWkwthk3due oTgXeLKF+JnY+joBzxNWOjMRaR9ADpWFpZmkhk1A/7ZgXfsqYN9Bzi/JI/1Z4II0OjSiQam19jTG TAYXU63dYgEJhI9F6pims1FEyddX9W7D4oFP8rlx7dIH+jpJ94vcIYPdqklG0s2200t27P1/bT7T adcd8dkR33ap3OUsOW6PjXm7ZUNtVKnTxoYF0Cb7nUuxHYrXwyD/549If2tytN1TDFnYyLLyrVDP QE48SR7klbe4AIZpjS0q/uf9dU7KqfUX47qPQMV+IlFER6zEbL4HtPnu3isr3u1B6e7rOSI1mx/X QEJ0Qf45mvtDcOdMxhMd+Qmgkbp8lAPxpk3QoOIdph2d06Bc+H2xJqYq+oQZatmhLU2626AMBlW4 jxMg/dYfHky/RrHEE2BsR898veXzWGiNIaKs8gbiIiEXtN0L8iu9KD0qmMnPjrOqfC1P0WDTCVjf vhd99dFPpoKla/EZHYBfsR0Ild1DipORK8ZnbAdvpQd4papvacGWnQiG6g4pukfX1Nm28M6uVR57 pidVl1ZDy8HlrT9VKcC/NgHFzb90nlshItzCQdH7Hbcal4QEZcd9z61MKUsnFSgcSp666l8O8fV8 6xETBPOeBELbyt+IikGd1yTM18yNUbpE8zKJXyaD+yaSx4C7pa1NMdKrzZEfT3bmYtq73OCS/aNa Tk7eHvxE2MAIQYmfz5MXqKnHrjFUOkazBKywCFUkfJ/5n5P3GqfYfXZTowPV8daIBxzbRCTGcxHk rQGSOGn8IqwIlGaZJYnJuajp87Js0wP6Eo0mZIrZWExkxmmGVh+Tb0qwpGMDNZAwITKRIRl5Z3Ka wVgPY1+kUjcog7lOm2VUmAZQ50KKNaPgx7YX/GylLgs988JMeT7mk2/NjGdAqg4aSQJ3XtGFBIfm zusshoFaCQyt9SQf3+PIwGzWhnAsOul+/ih1gwhRPewMb+HDuDklH7z/RCi0987dGRu1LB93el5k zpEgKOAHV3HkkyITqGmjOB3LD12yfXhqlMALLAFkmt1kgriCP1f7wO5xpErEbJ3Km/FWlcYFvRrf VOli9qvi7fZbMp+oagGomba+Am0WFHgUxa1xm6dpmSFYzN19dRZJ2XAMGpFgYOWP5m0G/TcC4X5M FkQamlITSvQO4SEcrSJiWQS5fnf2HZRoosdKN4ChF+jNS5KGnaSD8MBenN2h6c8fVQgdYFqZeZrD mtseezh7e8amOiVdP3EmgwRW4E1xsfOZ1kEig5MjxFz745PQU/p+Ls2Gb0xc2+Z/08yei2iYS9iQ 5jY4IYqBE6mfYvtaOKzIMEvE0j/7jSvnN/5AF741PQ4ay6DNniobuf6DOwu6Kw5pOrlDm+zvSZ6M efs/CK3nVqh0R6+anXr578YZvf2RpyHkH949XEJGyMQo/l9d6blfopjOY9PZqw4+OvktoLxWX4tY mvjR/6T3I3FP5UfvAXjSs/HwneGGtXOxwir0SamJ3vsy6xMERhzCvMmX6Rsge0ZgTRDR8/xq3Vma QWMtFZA+mUEkUWRZN3ECC+j9mwElPz+u8MUFxMKBk7nzP1baQ3NWjbWGJcgHhcDcmmUfrNecZbrE p/AfJYg561Lbjy424X5OeP8pAcGMj0onlATNl8oaewqmnMltuFJ665Kj2VMtm/ljxQt5q/YqdoFG KoJZwck1NFpyxGndEco50kgYcroUl0Y/pdL9S5S4bOdZ4LzP5Y94kbqBg7kpFdtceXteIkxRNh3F J1McmgEyW4Ye+5q+/LideKFlIlJxVsSVQpZ8g1mWjdule94Rmbjf6WSAVvpRgS5z9NMyvB/8H35Z Bfa8gzU5mtdQmnJmWDEllhWzxzdbcmXHTsGZblBAs/C/oLjCsk0WyA+9bIvERWWmzmNcgCtEirRQ Zcmk/FIrb5IgXIlgJHNzh+LYIT/tkJ7DV8RlahZ6dlZpFKRrrkZMTWR4xnt3MvDnvrnTzdCZ1rR0 oeETabgXrV6cJuGIMO8+kmyBkQ67hKCePgV8kvk285ue1WHMehVE6YCf02923tzys9dHHwTY3Yw/ zNBOVg0gcLSa/dy64Gnq9w0RDWufXierfdJtvBXmZX5PVtTZmONQvWWfOo1vWR5A0lzOsoPf6+zl CxSlulr2q8RMB9d9DIbBEAaCNaWqoyIMFayHtytbX5Yz2udXI04N2bHJbGxwevQznxDgsDVpGRwz 6xZnxJWmD3zM50NC/c81JG6DiRobcrWdtGx7a2FhRNRp7gqSoi3yiH6jxluJ/3Dp96Yx0KcuFc+W nqqij7+fN50MRSPxS6MopfWfnyTfNek6PGftZiOjmDjNjXmB//U8vB1weasyB/8YNm7A9DPaKWbh iflD7uJmfjPIa/S1yGAnJKuTnmhkJnmGbgUwRI2GPfZSUTZ/MNJ9YWWrvUUF4fmzyCn72WUytsZf 2W82TSGgw/yrQKms26x3sJUNEBKe/N9nFwzl2wT0Z3WSU9SzkEbTbR8hD+zUGY1zzSA0el94wqC9 oAWd2dm2w8sNo+O9ERscO+udMoFkbIfSGlFBlCSzlDDkvW3UWwEvqB8vEvsIl0wBQfOpyaasffqm Pt5aOPaZPqfCR222/zTGlDf2MV5+Hyj9T/yZ5qJjmRCiU6/qFjI4E3u+glCa6BAQSKJUQDvt2KKM qcfqefpBL23LPkjkoLrfIAnOb66TL8p4+VvokdyWNs67i4H5roFwXcSn8+KBv2oMGUgV5jo5lMSo EoeJIk4f1OroxXqhvT3WROyOhQn0v1l2VtM7hLIRoI4upiqBmpBqz9ePNysn+yt4nACRgyKiHcSo /frZIHWy4jZm6+TLvMmRdHWT55gZ5e4Xq/xYc+SiUujMAsES5yGQsWUc00lvt1urlN6x2KLjksJp 2gxwCGg0iahB9kPqPyOxQfc25v+8gFxvY8eFXpXkLx3L9bSgcdqO5+DOB0CSW/1p19mv1dkRD9gb HHzg7n8JsbeIrp623vrEmwAFiRzt0DkzqBXRcT10PaeV4tV/fsZuW6o1gCR3bJDNOBQxZSkCeYCT Ied9GkfQqroN2qvx9nZadgkFM/id7EndSXgxkHX0VCj4qWXuwbEJepqUvmkYuSnn89+MoH60KuP+ VMWaSykNYAIBAoEbxEmnUyeHvXBMMW+rEF3dNbT0eh/Pt4iuE7lgN9csyiJ8/zTIHs3GruspOTo3 uRBWE1vY7jYM/5NOoVxXeYLgWNaZ/WpVf/6/YVGkkOwO6CLGFjjpDDDYSKNHwJdwBk2PotLM78Sg oV/BwXkRQeguGKdRvaXAU731tyavUQje9U3uGLvxNaFy/LOKk27Zb/Sg0yUVz96hKUyAZska4Zcn cduB5A6M9QbnScKojnklew+1/QGi70aczydra0ZA+UIPtPJIP5IfjenM5tuVa2dZzxkX506qhhvj Hh9oreAckIiF4zyUQ0TMviZ2WS997TWReS/Z293tsQ4loKsEZyYkY6LDvSb65pzdbVbGN6DxlGSA AbPSM7An1jsa0WMS41Z6EKXCoIwUPU5kuOs8HtQLX+jrhkDnkA0YzsLLJ3m4jW4CkvmUTSkxHzJz qDHygKz1RoADM6gMF7WFRQvE+ZYEgjEPA3+gCGlvpZKQOX2LhmoD1a5axC2X0+hadlhkOfmCnxLn P16SdDTBd1Q5/SyP6PLFf5u8uW5S+V5HLPzFJ0v7fjEuSXfKtt4Qj8suuEdMVHxhvdMlpaw1y4U7 OY5L2UVZ/HLBOrb9SfWzei4R9SES3afMbVLzjS2u80o9JRuoK9UDd5kyfar3LndJnSjHkm0iTHrt wY6zCkLU0iXU+YDG5wL/p6h0yIrftSQSn4LCUveYY1aQ31fhJZI87Jvzdzb8JYfC27OCw93pwN+n azaFbatffal1bUrT/GpbWFFMMjzkso2+lbQdVWE39VsLqWM+fm660LxcFH9whkmku+1z/VYKXEoo 39uq9EIVmwNWDMcHJtTWHEu4dZbbjOW1F1WFnQe8TjQB3s7OwnZsMRut0MYdsJceSaVHRroq4Omz P2Hu1G+D9MMiHTyl6sP6mZvIofJXT5XROzWc9Na7Odc6Ry1ohF9b1/n73GobY1tMURDBJPxKMv5C GX//KTxweneShj0L1Cz0D3FeqLU1d3HGv63o6THZqQEFlXCdRcliwG22XpuM4krCu43sIwIulXMN XuMvYLqmHj6VbmpNXyUd+XlUwrliMzY4mRNR63WDvN2VCwjlXf2MFL5edhPmN5jMoYm4TglltaKm +fEXwehZlvHrOvZ4iqO9s+W6LK1AWo1JdVe4tPM926Mtg89Tj/f/UnhIMaf/8REiYm6bw4LpsOYX EjaPyFZyZEOLvqWPYD4pKkcB5o7B7nZ2M0tK7S2Yt4mBemJS6jqd8tsTWUdRORfQMEfCh4wQfe4F SK4mae9vTNWyWROz3jz8xBOvpKrs5uojUooQvRN6usp7sTBdt2O2tUgh3WfnryC/ekqEbfd9dhXb Qs3M0GYuoFMIxL3tDV+5fCXnDcjCfROe4+qeKCo+IcvnWe35MEFicxHPPo/bXhKheaICvAB1vK8l ii8/sR+mGptpRQZegTT28ak34o4tCse3SIla750kSkMQfrQch8wBwmox2Nht7bGfIybexbGBvJkb TXYMPiL0opsa299VkntfcR0Wm5VGIEzQEM7/8R2O7PbDvlRx37w0TxEnUn66F8+rJL+s6usGY7cD QaHy6OAob6xKXYCjUGxOcmSQ/ksAKBkSTTWpLL/hH+iOTtLfl1yundUb5eAl3Immre7moOEAkqWL JheL8ZHBpKXF7rPFs5Kw967vC+xvKSbwUcjEPFwL/Qv6tnrYBnD+9DXGA1QzC9nENyMKcOWRzxbb xtR6MkZ2fDqTyE8AcWHmHycMccTBZkMZTFkjJ2OaJuWZYudHZtbbFp2HcyLSXb/0o0wm1HtmJJ3S jFj06ZuW6i2ugH63qoOCZRpK1OPKKFO3cryxi18fgulFKOpisMh1VMp6wJ8tM+IQedewbOTXqtnv ufZWaXQ9zXJjGRhczvMQY5CdL021+yF7GFj9ho2SCs4oJqMnMItJHWUPXItjiz6bXocSU/mHi9Js a7Gacg4Wyoxeci4xbahxBvwT6gQrqKXdCS1dOuxpW8tsPexE8AUd09v8CeK+v74h18KuLNlZMwJ+ KZ5n4KrhBOP/7ZprTeHV9grdFD4gq3x5/m+Eb5in+eDNQUYPEdn9E8TAdiyzt8dBHBTqdFxCvDu9 zNCk7QEdoog8N/9VzIZ4IAKbj1bEJOVFURJ6AMTkMBiq79pIfoeEcmKFY7HhxveRsuedqEfgc6GU 1Oaf0DbkfHQ32Bkl/n29Xp1dATiAOb+ORAtpbdAT7Q4GqbAot9xdUb4bciDKAjDjIrzWsFEAKpGp G1mZwARE4abkLSZhkmhcjXCPAaIlMXuoqLqklWMy8ivgt2wtHBP6NHjpPLnMXcRd7+Lyg2akvgcN kbNIFqBffTCt1/svjkHERDjO9h8sdlxCzCWzhoxgK0JS8NLr1Kj3vZvmr/yKAFiV+/tZeRMPhWfQ xaL9To/Nhm2iyftdAQ9ablyKtk1syus9fu22iBywRtXzJ5gu43iIJ3Upy0/imn60D0kKU7e1TY8k yvuTHMutFhR3GqlYHxvN0jlz00FktBVSzs4jp9x9b3/wvqYoO0pJUvPTCMw/3I16X2y6N/qjRM5O 1UKjI4d+WhRPKlp7I7HzmIBQp5I87ZF5BFz4CiuB6Y03r+sOJBGSJOzhi8uY6xdRcMdBzUwbYjdc vVfK4WTH9kETBs7hT07sbSt0AhUtakoZyTarJnKiOnw9mLxfLVdBBA38zE2VusV1ZRg3MwsMpH0s Uln9WdntVE/6e4XkDRW4gmW9OIneiNFVEcBVVCMzegT+7BXC94ldB7gKmcaEV8WYgkaT/Q5vJNbx li1qSj9AYVNm4aqrt15s10CfsMbSBn/MvFJUTBBpBXVz+tSCjLWFvqdVFdz5KjU+bW95PNe9h5rX yjQxfng35ixFfXGr6SX1zY2zHEDdZrXV6S3Wn4XVQN8PAfa8S25JQfyHEZzjs4Z4UEmQy4TiQ5xA z2Z+ibbayR++KluSYc+4SUUg2fVM9EXt1N4vYd2zuocPrhjfHXo9ZRJ/2dyv5CzLMn/MV4+WbrtE fGM8IyvuTcfgBKsMRSbHiAqFuAc1I2QoIeb8aT0puKYm7NJLIv3g+/T8i36NLORP9JskvHiDGzkF G4Q+vCm+um2IwQbsiwJ/J9EApHS96UJQXh1AzkvyW4QE7VRwLZmxHThfKRRAORQ7+XauwNl3MpsD JiskGjVjOpNqvIZiGkk9teOo6cjq54xRAlxj0OsoOEoQYRxy//7dn1yOupWqaFBub3wG/TSn7ZNs 61V0zMi5GOMZGe1I25pqsR7qfqXe/kyrHvqd3l9Iacjc0g7e8hryUUt+Mt9amwqUEp51NPVV7sku MjDhzlmrXb9gng/IBqjGtXinAeaq2l2k8NBezHweipGnoG5qpjlCtylFpZvWuvMuophpIOxoGdXN JdqFOcO0T/SPnY10TAF1gO6CpGpXFMyK707vy3vg2plzauciLI3s5PReRxJ3akJf5mGYvU83H3cM n5ZLryy6uqwhyfVoDPX1Wddq53baDr1T6weWKCuMPhG+JZs6WlLSUNlVNL+e/3Rijdq4kq9yIOED VPh8ufTAQXZJzg0YLoJlfWLrU1fkVS7n8j2ACiyV+MxeTfGh8+VAQxaUaBamGXTvS/1hnszF/X0M Xn7iMjR7r+vpdxWu3zPwnGx8uAI0djs6oRCLyemKvWqzTjTpE6MzYxYA4aej1xvtpOFx+Ft1gey7 61GA7UrRI5J/MHQusZUrqGKDjCWBOM2psyDh8yZZwLHuLLgsfjBWoAnFzk9MuwuXXxKZHUspi44o XTqzV4SCXTw41GmNfv5ZZS7jQ5KWAnihtpzyf7am8s+jtkz3GHobId/B/6A6uDdwT6iZPsQC6zzC 86s8YqxJAj+RPD7gI5cXmTJJcmeoYsZDhhLb3E1uvVM/uMghxqAbsS5ZlHRAgcnUd9XliZ9pbVcu Yrww/nZyN6TOlvaP9fjBJFn6Lkgpy5vABB+BtCqSbW6eIdz4bWz8+mV8T7YDYpJ3MgfTcSrBGcAC bF8/yrJy/5M5+lb8p73IEWFc0PQqxjhSCnSWWeg1YNo7pJ+q7xW9Y1PzM/TFwgW3p0PFQUlsXwH2 tRMcx25egK2yaXFzFKVKYvjqIKXHtxFUUifUrt62HvUyhxEqJ5D5/HxMeH05gxG1uGKXBpUd8S5A 3/PNc9YOKWqxj+3gOBh4dD4c0Ft6nFA3lA4TsHqTdDpjDYcEllGd3Qml9Kg612rkxjbqxGp86V87 MATMb3STpeVN1vq2n5pgHwEzzkBS2NWqxNq9vhThXlh7sLLZuaS6h6/M6sNXbqvZCj5z9ICBG7Wb ja7jv7HsSyeIa3rUkThPNXmbBTXuXwLTSKHwWD73euvVUzHhq9udGNH5JuILkv+4f5RzaeP619NQ 0Kp7FqWrsvYA6I3LgVW8qjJAkZ0tKhFdvJfXOUnWfY41RVWljyAeXw2vHYpwjjjJk6ldFSbiuvku 7FYZlIIZ+/TlZ5vPr/37jV3mQYqjCr8ZivEYG0g9NhcgH06zoxn9SA2vG7QzqWDTPEKV/30t4f79 y2jUNZiOwwn0Xq1/4AyYo5WoQmTp3/UmK2jHcpVAUM+of6xwjbJF1af/WyxUevijIrAiX/3gLFlm DvbdsEou2plldNUiP59smXPzPq92JcCQtFhUeC+MU2BqaYFLsJ2sH2LNSuCEdBmex+U5Jn5PEvCF Ve5UNb6zb8lGlyrw0lBlTnIlSr5F+Oz4Bk2djdTLMb25jVmnIrrQScxDS/84cUURq8e9aCauTY1Q rtdgADFeiOUPlDbbGwXsnKap/TAmsYG7sOVNBuZfvyfhCJgnZMeOYn3m9xaTSV7tp0Y+P4rmFENB EHVST2YYatO/kczWOQdvARgrPY4h/M2c415pOkcRhO1gcfAyv0NF8ENML7Fvy4Aiay34RnvK2RWz IWtozKiRHh60lRZJYKeqqUyth+EPwwhLQfTV/nyKXRuV3+ZYJloe5bctXiL2aZ3B9fE4xD2umpoH updgsTbbzLApb2s4zC50Zhx3QNnG5kM/ClCWfdUi48vcErTnCUCRMzcsA/YChn5SwQHIfvw6toba kF+b9dD/r1fPGijjHjwB5PMG2kw9OCEWSO615qjbIKCcgA0tY1ILwKfakpCbhVccTPJIdHHu/wp0 itvP233e11lCP3L1BMQhm9dqZMXxj/MaG9d+QTaWGsoG1RADFERGRRyxVCNnKzNVXy22w7kvYjxg qLcbMj9ndOAu3FoIxrQ7tScgIM5iRN7NtsxYW5tngyXnU93M4cD3++QnyUWAp3L3i45DJgyyZFgX VLXf1yITbRlXmvOGyDYHKFUGndg5uYWMyn+8BGXAAElJc8dtgSuojXlpDQG6Iv/stI8/JBbuOUpB nVxDVBqV8S/xZkEoRuSTOkKCis3fJia7JgvUyag4RUNfc4MmzlP4jshiAoo64JXbuyv5IZxXuxYq jMw6edeV8UDbUwXeRuIh/kHHtPQfD1NTbTX2omA62QWWp9HDp46pqp4X919VD69+fOk8sYBM5lUG /cPUV+9J06/O5GCcz0eNdc6TeW73MCO52cbnrkne8X3nFkfv2kOsi0F96gX2nAx+zYzcNjBiYGCm ifdahqqOmpUyLfSIIDRlm5+2Y+hw4hv9+r054BwI3fFD8OhfYDzpajiVsUW9rlkj+09Ymz8WhB61 pRU3bWZu1mubw2eFrU4FMpLweRSlciS9vECXnspjqUoajG+ogqtSlu6UsWRk3Fbh2zt9D2Tice8r jV6LqND+0VEnc0A+IQzx3Q6HExNvJm6R0w+mHs7oAl04+BTR+Z87IvgvaakEo3WJ+COJYOq0cMy+ HofIjk13FV3hVt9IyA+IVpWfkNPloEyEPxxWiDVnf2S6WIvCqWCUd+jnrY3GUib2FYY7vjNO+hyu qAWO8logxLAwynCC2bE3ZraI6qx99P2OxPY229TiJicQtPxs8DbBm9+MXw+ugfqxOOChi4HNo5Ri iPKDQ2Q/QPk+EtGQC9hm+orjoMgvBJ3pppjU5N8W6zlts7pli+7bki94iZ5ouCPcdgg361776VAY lmTf884dlIXmEsiv6e4QU565jW25NG85ipsjLZUYy9c8gRZq4XkbQPUMLFPnGBQoPhZ4gBa2LcDT xkM+D+i4SsiTwSyLSOLzNo1KI1tlOk/O8XG05d9yhQ8Fm9Tc1/qogTaDUv0EkWQXXeDaBl3o1UoP 0/v+vE2rd/8nf3wmy9MOgfN9hElpbWdWt7HkTVlXMxlSO2pwnlotSpCsHpZ4afNF0w2ebnzTgCSO 3z5+Ah7bdcXX73pWWFBZDpFl2RB3PYOXBPxWIYLQ9U25l3uyXhsXTyyZEj8ne07EvKuJZEdDDBKl bMzMh5d15u9f8jhwuQvbp2k7pt+t5pAUxhfSlS7EmnA5uo043XtZONV3K8hxgPqeKLEUAyPMiK19 PIdCdLumjq6Cil2QWTEuVD5nUFHkxJaHgxk/SI7493gKbAIDbCiNdXKZHYEq68/i601+qYWvQENx CEMuKF6QAOpwwHNK+u8Gj25ahI6tdjGz3LlCXVBfPMeA9vn8CFDtwFuqIiXARxZx0N5S+4zSr6kS fFovelblmY4dYP+86caXAxWA1JnjPR4thjadc/N5/B81MOS7YEUwoO1Ug1rZto4VZv0eamPwm2Wb GEJgk6GyXpvwcsV3/KKw6iwrkXeOPbWhRPz43apKjxT2qp1Duv0JqgY3sQGDzafdekRFgKKAU0b/ x67BsFgLn2rn6P/I63W3A3oADcYMu5XSsPP6CokTrKUC5IQr1CdkbIngwTbKmfggvJcaYTiMSaoE q4vGwmIOhgLW/ho0jeO2GSpeX1eh3Fp129+BXFQOuN+T10c5dX1v0WYjMQqYBA+FKJz8Q9CkXVhL Ranv9vPY5UiqnAkCCakBagUd6qtFMIFfQ3u6Sy2/JFDLGIkWRjbpdAjVi/iduFrUmW8w7P8QuThq 3g6iVlX4gH111pNW8in94uCE3oKMX9hzWiS5O3M/lA2x7fK81Cfsb2mmwbQAQ++CVTqcBW84R0dw 3VbKE+J9sxwHZDL5AQNrB0P9l1LzQJ6+1GKhALYFZyZ170pagA0dIO1fyF0o2LREnVFWxADcf/jJ t7+eWyhHd0m+YllPowkyv9LDsE1UKsRO7n5XIUSM/frtsqJW8iOkPIrLgZAPB7ZcAZb2NcsSXzLF d6/mnTf58gXXGqfBfMObtuHtc9+/CJjOhb8v4jAYuFB24EkmVvUbM3VcUrJKc6lR2WiVJpw+Ejzq 3r7TgfpofrgEbZwVVzAAXGuQ2HxGyOMr0caMQafZ7h3O4MNQONBLUlpRCJ+FS3KYfqFaM+r96PZH e0nNMsKDQGbTdYk84KtGozrCMBJFgRk/5x+jTyYj3BdesNjEjVivjdZT6WgruP9Q1LCqjyZMxKQz ETzHygFKds/0sVWjW0m7ZrSrBMrxabeFiTJMryURoigcQkkSKm1RxB2Y4MTc71BaTNblibgrWQYk 99ZEjyI2FzAAFlscNzTkrkvXmBDScMC4irEQfCQ5pjb5R8vtzfFpFxSLKDneGg/AAuBEyf+FHU8r gFhl3fnP6BBPlanVb1w1uFd2W4Y6pOTPb9ZPDhh2sf5al5xRViyErLCtr5uR7osH1rbQVAv0K/su jduPh6ffN01Nv3FVCpk+at8piWQOkz016ZGCV81SCf0ujlyXaLuXQ4sscccJH0CZNZeHku61J27b 8yyJB8RM7v9kqtkJfrc8B64WiRJmJThP56eBONJmEU/l485T+MZysmcY+Ekfxp++bvkFFHtpO3LT iS+1Tp7zEUSgIeXWD8n271D6lo9jhIJPwxQvPJoGT7qvYJsM4S2iCGy7dKMxVvn8a3jy+VyXwQQP SfaV3ARf69NzRWgEJn9YGQIKF0yh73VCKTkYC/EgOGjz8vsWGnqkVNliOzwtSJmpB1mK2iOg+ye7 KeTpbxX0qXf92Uar6/vglyMAFH366954+TpIbjooRhCTVYWb7n/qklkdrqfPi7boT36pBl+b1EkY ZmGgM3H1PlMf+zQB/s0rmfUJ266lAMYXfx1fngKBMZbsyWIH+gx0p1591mreWbKyKxCdOk5nXdxw OIUrM0myaMzfg/GBHEeOkOAaSI3Av/yIRXSjGxYsrkuKcmagCxTbe7D6yUYKp5stJh+6uKqzF/hx hTLQ5KwKITql8tFGuVdfearBIkkKw4upecofSI2cpX9eCMCdxtlK6GrbCQb5rgr2TKRTfcZC9h2A vJZPw8KgrJHN+aKTdkEoeCt8FA/xrYAO0XPd0dPY/EuaZclkQr4j1o1yKO3QImr+kReH+kVGNq6g hpYMYtYZR7NWer1zUYizgz5PAwlyL3qH/7U/jN7tnu2sZx46ePwGBKi85WpfD5mJotynCYsFIdXH Tri0IltVj5Nx7vNcjbpqtwoVDEfTY/+O/jRxPEMEcrZpRee+B3bjmSrdWqYFNeJqDDNE1Aw9Ye2V StH8xlpH2mWme8GykR0M4heoHDBPCaSl6yo8kcY2G0hXsOxVYvGpTRa1JFJm6q0XbOrHBYZ0fVe5 6rwmK2H7+w4tt2OryA12eWVEmkMDb3OopQZsKrTA/ZQhm5A9ItjdLUhBR4Dq9InFAH8pZIzX/IbX Bq1uAWHR/qIxOprP1+b1JWVEj35Wv47MFVh0f9tq04OB40m72Ski6ej5h4DaMdPtIq5KhBSc5bxJ ZOYPjFFtkIuinuZyUy4HUi6SZ5sIOYlYhL5RGgFCgZAVYJNcocTyXfnz0JljhO+tQ+h3yY2m3lfx lOuwlBRnfSwS9aid+ufTG5TeCfu313vRmW+++mb8skKaAJUTgMb55cJyyjcksG7kaazcb8gm7qJ9 ImjClENRnavBYBEywmSmP3FKYwVttNUubUy2LN7NUeUD7MNKgpaGsspVDF9QCLk270lQv89AXels wpkVv5yqd2aOYQRcrvhLJvk8Oo69gNNsvBLmVXOYSP4eZnRTG1kNpsYgnp4AVDwTLsRZsU71mOO5 w8StSY57mwtb1lHFg03jR7vr7yEjeqIeOmv78dFvkkuLnUiDCzE7NVVej/VJi+bJvj4FppQHLB2+ s2d9sjmS4s2C+zTp3P3myz1TuA8rW7XZgUNcFZrj1c0BB4LHKldISPT4uiqd8tOMKk9sGF1Scy8v Uz8ooLFhSTUm1JjrSF1AqX97RXZuE1RTfG8TnRBpmfUncMxdnvgvcgWZwwtx+ko5ksSclSc/PbJo aUxaTLOVlkIiZnqEQNwMq4EgcY5bVUjS4C0zNUAREui8jdD/TimTf/YS6D+VxTkCxp5wHXz+X6qj FemeYdGgVoQ5Gcma6JX7xqMFGvoYBBiNDp/RMUZOL2t/n51adWLJeBtl8dSo5OF57FkuHjA24kcE TNSHQsSZODJlUVPl7Q98Qyyop7gGFo88jdsWZRdWDeU5DPIbF53CB1pgmJMqHjcBB5kUFsoL5i21 2oVRFmLtJY0ID6h3PTQSbuBBZ4zMtZYkkMT+pp+hCxeohuxLFhhHoOzXX8lPtzOTGfrafK/NgZvW 4hUWrYJo0/tFSGYfZwm7zoMKioo0Y0qT/ZAdEeaSO8/E1UMXc19GcPqEXuDrVUERfOZJ3wQytFp3 ELjvN3cikJPhGBwkMQzurCpmtKHLJnV7tZJKz+AhdvPRYPuZZeAtZ3ofiAkPbgD9yhtQZjf4BrMT tkSLxDFzM10kJmTTpcNWLPduzG6aU/359ksPyLZr5w1ROHFjrz8xXPlwZfiMVimBW7Th0A/j6YaM i3eItMUIfJi/pXsu5FoUaOtS2tXCPpj7Jvta5MBHAUP7sCSw1gUlI+dbPtfOuA9He8KWSN5l489m telCVUy+65JyzR7UeCZM8k31XuXaebsyS2oJH1zZhWM07RhehYaRYwV7w1gjzE6jrnqdI9b0omeZ W5sxmejq7mq575UWLq22M47MOyBVZMLsagt91+UiRnfhJPfW56Opie6xVcdJ7Se29k7hWi8LtNXG Mb+aVDij3lxOMkxWDRKt9RsQrkGSM110QwOdAx0LXtb92H8VRvbVaojTqh8sA+B/dWe1UUSDjXu9 dnH/DchEqV+IYeXe1vYsRexjvQVihB2i/H/prLZTxH4zG0m94R0wP7ffrR724XBh0jmRaxSHehfy BHVu3J/D7pgG8pILvT1Ogd8+tH0A2V0SrBjSasD3Qpd/baGt94sG1MPY3jr+fIOhLWbKG75Kd5L7 OOCcJfO/Iym3rtY0gm3xo8PSuGFCPtgk43C01xMpEuqD4XzAubH9UYWDoLxQc6T94Y4quHAbPKTm yvkz5txkCukQTHuBqBk8fvnZW5TH6xvFoCLxvooKbmMFtqc0e2sO87NGbeQryB+xLV8eSf4neK+9 8MEzCbuzXPp7gp/UFtBsblAs0OlY4X2/D8/pPzePvKzBM7XYgzgHX8Zg0fpuQwh72wAiuTwVtUrL XCr3oei+m8EB+UYxWFHP6tHcKpsU36Eksdc8wii/pvEI6kWrk6vEj/fP90rV4IqMm8yxo5o36l9b Fh5xnjKrioDUV/PLOKTdnJFlsbBNm8DhO/lsSlVMac2wyZjRk2hTEf+A+DB+MgvOGzLV3Zsvi6rz fovcyuPXKkhFfOLSZj/11nc09LDvykGIYj0nr6FhH/pCGjdljkiekJqNRD3vsH3hjC3A1X5S5SwQ lnJ8M9F+V8hcv6ypei5jJBultZLkNRfL4lz7MP9JpIh0ytaidAZ+NxnHM4qCWZXATG0Ap/z6mVDI VNpr+YPwqL4MTa5jeWi9slEF8u9Ry+pC6d4mNCDTdYSZIuO/wiMv2HJjGQRPv1Zc7F5CwF2pAx2c z0Oi7M6QXf5ONxfJJx05rKPKNJbla7sYX3/Jz3OfFF6qDCaJXZUzmSIsdUwLAJR9wHTxzMJjWucY /AFlB0iovWlC2XDLVpPFE0aVZtxbpxjPlCuuUg3pa5P7MINpyavKz6s9ZVjNiXHZn8y1DT7C6PMp 3O3HyVzsFC1P1ZU5JpaXtZB9mNceZS0rv/fAxyxE1biYXHkBpe2SqGAskP74+jlmvgu0ZK+7t27h 4nyWMO+daqZptsYH2kLY2kt20VsDWNDLjajAf84w032Tm2O2I2ltVUVIaTdCdvK4fL5z65TZuH4E COfDs5ao38Gt2EJ1YosoLNaer687FUsbY23Yx8xzX6QzLjnwTQXb0UkV3QqZake7AFKcF7DPthNR 4m+o/T3E88axrlrsZVxyD1NjMH8ITiqTYSyMGhSw/C4lYpYNaaNHWsKwAz+Cc0xcMUTGKXfDsXQK DmgI4qotulf1AvgJXIDiMTmUBBavGltesZYJD1qfCFML8X5s/4n0PGv7qlEVf5/a5ipzPDd7o2lL VuHYxDGzdPlHfJqn+K0OnUP0LoAGe3zVPTzyA+r8tUw65O+dAjKGuhYZ0sNNUjeNdnNTMwEF53xw 3DbMGRLQoxdF4/VEvNVBvCHlwtzS9kHrLl2aHtueN4OA4bcxEgg15OKox1rv/PghKPG/4JkvpOzw As8Y7Df/g+uhel3jMZvBgGW/dQsAAwqSv4pYWIUT0eIAxzBq3aYe8xdCq9UMuPYWPlpajnuPHT3L uXyY6//wPhavML5qGaDx7xn0yxuQyiaWszlpsgx6dp3QAiYgVcAEw7eAhD1ple9NmvWTijTPeMWj IkpUIhlpd5P34uVjUyRRXcjGYuBpAvWMAQUT/OR2ojD1DiQbYG6eayyKWu9b2qLyFOZ19AoxxCn7 TEhyY7tRh7Krmp3Pb1GU0SghSSdIWrpxEZZO9sv4E5KUCjKggrDiQCEOGiu9EK14FhVp4S9k3vl2 TpBBsiAI43d+vAEAY0bEbNn7nkp6tUu0QCvxhQFWfDaSn/Eo17CFVwJNHIyarWROcpnbwENaHGHk 9kNN4hZmzaLs4hoccQZwBYIYPqXCWu1BGKk9otXvaW4WSwKVCOzXac/I8ngWQvfI5hV2AVrkI76b QMO5u7WdOz3fmSw4CgKU/doDbcniSRN63YxcO45EOCevRJeVGJJAYfDWX8OL/gIVKW51pnsSweYi S1e62Kr7vfVqfV5LMrM79nQuqoVXGlg+odxRd7tk///s2toWLsWWDZEi5koPAPXs8VTsflCd9uZp AjJMULlUdpFeaX4JNY2ORWWL8f6qzWEGiCxCnyDbj7C9R0mMvqY+i7EqT7p0MRWr4LIdHxM+ySPL +yHcOmJiPb+dx/BWtSBX8kIWj+JURFgNkOpmKbpUwaEXDR25bCIufXIJ4OFrEQDuTXYwtThR0GEr 0QrK4U99bDw6vVQ0PaAvvsUj/0BJutquEcWyFc4qDI80KyuIHZwUnbI73lx9VsfjkNPtjCHtRpgK p5X5fr5X6F2+FSJvc91CYLi7/HGwA/GJcsNgjnpVOPC257PKq5xG2SUqyupOgr0Sg5V12nqeAnv8 xvJdd2N/y226xprFMH8I3Q5/Pp2rSeBDbyIAINmmtUxOJXEWFIGFFXwnNho3kpBJGF9n/4Mt/iKs WSsoF1OUF/Evg1hO/2cBGoi4hkGlRLEtiRXxNWOse1S2pzbKyILkAkfr9UnS3XE4f7Xs2iNDqgry QFBk9pR2jGSazcTX/o0FkPG5guxPVqsQi7XY3Bg0qEgwgEgjS0o8TS8ksgTMDxAVAWxfFm4oxGHK UiDWP8cgnqtbQQSntKa7+JBVKtQeIC8alcndOuCCsLZNjZaSxsHTG/6ZOQUz06WmdzbmZMcjto+Q LZbDKSEiZHcKdjs1AJP8zzvR8jJBSKMkuHKsJ48v4AeYhASVTSgyMCQbvbc8cO2H1jCAMMzV9wtC L5VenPkHqpRBDlP6Jx3qvKzvWCsqZyuc2mqjKeMFSCleXfpp7sakSy86fOpLjUVzGDeTJfPrMwnE t3h53+h+9GrIg9hS9sfFF6hRikLSxE58/WtJ9m7DwHahgaw/vtnFnCrrcXzsiFt5IQR+eMhkxup3 cD7kQS0v6KrNyAj2mJwlpmzxkMNXQgEKcl2XPHVeMDFD+vKkamjpap6ju/V9/k1azfCsiUOmxOAb wS38U9RTgQyIZiiH5queY0GyULpNf2g6PueimmQbl3SjjkKh7qK40ukLUguIotS7q+ZN7bFZNqvw ZF4MQZ7D8RpqOU06zSTO7dkREJFqATua7ZtxQcdV1rC+OrO8otm2m6GN3QW7AuX+4zpvAnh5fU6W pkHr+pcpPZ2YbKnqqbGP5qQsTJ2G3xEvCZ0kokPqtuxkMMAQc6XXAHS1vSp7c4l7jdiXbWSvdzjJ Z0iTGgRnaDkC0Zfo7eHAIZDUbBaRor1INrtyTTnzF6SfILnxFUHtl+knzLW5/8i9liM3+vXCSNIu toDjOcYEZmhtokYpWynV197e3dJLDw346MmAI2gVrhPeTUSG4QVTNe/KhHO139Qbffvnb1OMy3JF tgaCCftAdMJrb0SQYvyeXbFdHRggx7Uc1DI1aEJUaJONMAv9LXRDGZBMmg4FbXeBW1iEP31RDB/x bO2elTjqLC6Ca8R+H9o0Xd7TAABeZRWR6QcUdejLRKtd+4lgD6i4FEkWePCisFeIdw838pRr5nA1 eNEV4qUryiw53u6CQaapKVjbaSRxRgGMligdwG2vfJra1kiBNuRzXo/+YxSSSZz7Z52C63N1I9r4 Z18HiQP4alpaElaOB9ci/p5VyQjLW+7ADcyimtBS28Be8vECipI/VeriRbhHbnAlS+J5V0MvhZbT XbPb/MEESqgofBAmp8xuwpzbwWR12UKAcnrCR3hvEA0XUzWkEJ//oZ4D1xVayqVbjc1w3MMzjzfc S5gl9saWvhhMsiHYKzI2TAyMA7JC1l9Zz7i/7TOdjSAKo6gtqg8H4uJstjqsCkD+h9Hcnf/2zPsN 9EpNiT8McaTT1gpFz37ZdJnDdtJ0YZHnj0aj8ciIGLxPCN84k53bBIk4m20iLCZ3ZPiStoWI+FU6 pd+ARLFTqSUmD7PF1uLvTRpsYYDRSYg3/KjU2HUqQ5Imq4uWorcilx2CFORXGvj4a0jUpTerjP3W s5uLE23uOIA42oeeoWstoIj4ja+HTMJUB5uxwyKbeUTgIc+hBPKOsZPit2P9WBFlXjKGTSBRQTD5 fW4STcpyr3OVR03MValSQ6g+NHq38RVhpVmDBSRiuAAknQxV14QOpERZZbUWM7/PNuwTpEpt5A3y cUHAteUCfKOVQcimDj6uR+Jt07PzNm36AUwLW1rPgL316g04pP9jw6n5LD73/Whb6f0b7zO5vjK4 f3QqKu80e1UwtWvyzWIe1hbl1taHRYSWsPY+596K7i72Qcl9a3/wFaAWMATY0cZjgGrZEf1g+IjU I8SxwtYJiDaJvBhasPN05L2/hRB695B9t6OXsZJHkdvtKwjbQFK3zXNZkSdOPic+1cFWhue7rYi6 gNGwR6U0sZof/BmS+GlRRkCAgEoZyPHS7FvYJ89nRSsls0ncMOdHPEC9OYn5nHXckDer/e5hWkeh +iedj3g+pO5YUzLqhFUgS9tkXEI+frI9VEcVPtBMs32/pHHsDKPNBy308U7fUMV/0swegkW4n3Xc GB90s/o6p+3MYy//yK1GAmDrFfpwdx7e9r+QMspUIYNZLEZBz7eaz96Fju33ampWTfrE1jX+4/+Z R0MZSoOTvC3H1RHYWJ0NEBVrMXWrwYTEzVnSn5LjOCut1LlZdzsebhDIFILscoEZ2iIxrb1+Rno/ Qd4mxSGRrtXO1cugQlP2HgJFWkOh9or7J1T7S51J6O5NQJgFqBeFsZbSqdwRb5K0lij7dwef4nC3 zU3fUI8rl0Ca6QyetXJ01VYoyV650pqN/HsaFxVFlbm0fyBL3a9nSpiMyfMWqOhizEJDgYpkGuQY ZXN4j0L6mt8OIyyl2OLfbU9V5LIE+oEyhpIABDMpbK9X9W4riOVgj4iBhTL+jir1QKz/sS3a5BPm 1aKB9OqkZYLrD3SxFGl9BqIR6oHbEirbYKAMV+Q43KbWgNYDXHHvltbwPhheBEhjxDUSnBsDXf7E jk/0epevArcV9pxA7K9QEKL5IMOms1ttKzOGm/b+NLsSTCNqgS084ZbU92O2JAvfe8bNSHYg1GvI feTXwsrhV8rUvWV4MDuwlwiFKLCvukcK60dbQRt4QRPqToTJHqXlSUAbe5LPGbKv8PnvWDf/fbYX MB420uyBjHktinhRAEvCBPDjvDRm24xYCxG5JWTfdCBv5YG2Zp44xeYid3SMx2DMzJ7ADgPdmAt9 8wmlxzJmj+QfzUdydGRkdM6Jjj41QLAPRgwpQTc8h+Hh3L4QsI4x0U6XgVgXlQ1nkiPZdT0a4sM+ AlLzGevQEw0hEYl50LcxPtNFI2YgJHCg28wiaIugjnx7Qi/d87NCv6bl1pBChPEyYQ7eBpwd2f1T 5lg4tVOpeH7zevfdIka3NRmAXHB6ZcY7UvLXSD9EctHeK3xv8qqNdsewazyY5nb1wn0ppPNuPaE5 bxbGLbvTeT/oeKCWVDrMDCEs9oZ9bsuMGtkMmXmAmD72J5Qi6Mx3ZbuH5Cv4uyTBNXWn6HiGBvCH 1jsU3dGI8VruWEbklSrxSadxpiGqfa6QMk8nN/ETfnksoeurTfQferu7go6VvF0DOyHRC5+WcOgs v09tSVjnXpcXc61dgZ3MiTgFJdfnT4iMwI+CNNK7rxDfMO8AeXraymU7ndpwFbV3wOhH2gCy1o7D W6hbxceLSWRnPGXHJQbEpBTzxwwZqz26mb2K0RW3PxLjWXQkh6XD/hETV02trLo3v38zo6n44Lkn 90HrvBBSdNNaMn2qP0roDtiF5s0yS+G0AT7VZpX1pYiNczbnXOudJ58n7nB5i4NXqzcPHVWmqh7p Odalh+wmmKIaNnfJSK2/Fg5kll4IA+3RW2Vsx1Ns4DeO5zg9BtstGdRUk50coXvFyNwTfTORkwRs v4MPaegcY24GP3Pfb9EN6gwoCoCHe/3AkUjudSjrtB7B/9Ux+7A2PPmOUcPmn0MBbEraJzZcKJFc 9Rx1NKePVlhZwDu0hjtMEFXQleW16Yqjg4omS2qjag183cNtzFyilMlJxr8AEnEh72UuBjSHZCWG uV7xQ8f5/JKBcFm7iz9mMU/eyKtwDdQws06Rff/Ru6J0TxJHSA+GQVVoIQVQBBzA7BFphm07uofV s2Q+mXYuEoH7ulMnbORUNWidNY0RIXdpWHP5PWGKv/0xamYZEi26Cizi/+TFPx/m8z11GKxq+FGq MYgHrkwN/T9AuYuWvcDKo0tzuPYLaZlhXidfFlkCH+dWgnk5hXLUwV4QGmJF17MxiCgFV/ZLcB7X A7bg1EoB36R9tj7Vn8rXRQuzwrz1FR8C61TS4CR+OUg+M0UZHOP1Ajn6Tvbku05anE/rtuZflI0E mdgUmtAeF6oH4So9XCSR4CeIw1srkK7ylxrQmnPxawe2jnJmOIoFeHEaUNvomS3l2+5wwtuS2tEm BMsRlWrsa1iNYFmZFPEhbh+ev+w8M4llWMDGALqiL6hsiQ3B9BcPKhp8Rg/XIHgB/dZPeQMwZpAj Sw3gZ//9flNWgImKBXTy3AYcMG+yQLUihNYUbSzESFVYMY+U4io2b2gLbogQu8Vw6crP+tsGD+y/ 4gf9UJ15GCYmhT0+Xgwfv0rQnmZAeAgVoibj+0PMgV6qCzxFWiEtnxBVjtC/Fe8LO+dM0TUblEM7 TJgKDNJgz1f8Yo9kgrheyLmIIQQ74AoY8BRyXUqnd6ZhaGrq/1C9g33ZhZmZiQ/88ggQMHH3r0/0 kw/Ovu84lVLL7za0VcdinPtZwwCS39acMGGBVr9OmtqWlr5Xrpd0ItLQu6z4Vsa3jzSncLh9IbNd pI9BfRpIo100riXpfYTYvi92MG/neOwOwE4rbouLpNzw2bUkaQVG+WthhWfK4JDdQ81hcp+kGYN6 Mb1DkR/xP4r2Eq2B8BEBfIlonjGu9rYf5LcuLm8SBLSu6dBuXUq0UXrRSjami0qiPV8xtg+Ey3Lz SsDbFiL/YZpdUWKVdYWTgkcazaHEa+5buc8GUugKjN3ZcXo03DgOoURxBfffKAaz8Uo7raLNIvcK Cta//cdlTmYhjHCJoM6R5pk1yAGgD+DKj82PDbL71sXBsjET4cKZgskq3yUgXE9mESBejXN52Z3J 2JYxQVlxeq8pUS5oUeAKY+ReDGOsPICw4mfbm9HQHxaaTF1YqxZKZI5ucNMSwz/2riakvsT3PBkl NWLsh5uksuT7UmDXsVufaN+UM9Qb5KbdtuCewAevd6NZzWwORCWZ0XLeW2q9kz9NHWEaBvuZCnoT wtYuR3FJbh9MUcRN0qaeFXIXL/g2wa9gcT5V3HMoolTEzf9MaLxBeHNPCTmXrGxzAdFXZAE2PxX2 vs6EkRTTtJTFVGYwhipwdO1EtvTBCDDnb0jk9KUrKgQaLqlE0/hmccrSwB6si75vxn82K6J3HVLC npJO4ZUaCQaD0nXgNsq5tzWkVAMzoJiF/XP7/P91GcUK+aW05PxNdeD/juF/0RFrskHUm5TO9nzd TAfO4wAPrMC4MOahfeUmoOBZkY+IhKV+4uA0Lo7Kjey+PoPGwCJJxiztfGKMxNDdnrPdh75F5v1e wCPGXEe0E42TB+kGBl0/6D+OKu36TP60TT1ZVv8Io+mz4GEUX0L/McDBnkYtAVhys2dSSOCH5VhI kL4e1pHL+1X46CTXrMFREh9UUh36TDigUE/3YjJYQ981nhwgPyJi5xPlXlJ842PFb0vEWWgSSSYj UtHLcg2GmZNMSy3xAd5zcVugJdIUtkqjaj2HNQ+oXvx8YuAx+8dqNQTDjRPnV0UEej1pKAhd0ETH ywD93PLWQxiyEYFT8w7bpFJL1Wb3l4nw18IAk6FxmbVBp8qWDFXHcs0TuUVWbaVkntBurHhUzNMX ed6R2GqpO+YAzWTEi3Dw0MtZYDcTSxiS1rIRnCaVXkXY3+Bkm6fp7gqDUEE0BsQFZg+A45lBhEzL mMhysXD01X+oxCy2wU1PcSGJSdpXJN/eNXy52sE/hjv1/8VFYZQH/eVVtpfD9W5rN3AkHM6t2KGR dYKMf6zycbbU+AQrOhgfIrHJg5jrTQsOpb82Mq939h8GzcA2k7QZQiqFUbSplOZJ9f78ahRkGFsA uQJjjpZMIPBC6a3Mqr3jtOFYFtnL9I2a/xkVSeo6isDfhReK0XPl0o6jTk11v0RNmpssiWt0pGzL f+x+O72oFyUOKKF5eSTW5Q0RUTyKAsdPD7UyXjeHpHe6luz/OLPGlsozN5QAFkHfgazXvMFWKGve 96SqVRL0pQW1sQ74LwpEMxvy0sjz6DHrP83Ul3LJk6D5970b/HY70ZqRmVbdyTi/WXHPL2LXo5RZ OOpRFHdlhUtRptXe/nShkZcNvx0jat96pCM9zQ38ihFKLFOFpWd218buEqJ5iU+S0mVHN8ytdwOh NAuyXm/o9Jx5CiNMVd3vhSqSmbE9UsKLugofVtaEGABQRzB4bn6TGwOo9YYNetudKmNUAXzZ4wfy F+/sXWZJRPB9bF3Dd/CNPXJyYGdsdktzc1fWd5Mfy4aekWGdSuUuzNhEPLrDJW/AMIDvcJ5nRweN cfwUYJohOAEgTxRjHxMECOniv7s0rcl3LLv9fYhGUpgAHayh35BsBg/H2IITTWSio05jUt7Fnd/t QfExgmeIXc9DrcmE3LnpWZfeAHJHSbPRG/LgWY4IeKT81F7bWWd7AAneBkhSeSWCUZX/t983G+o1 UBZ0BWTezYqLtwQHKRvGWCHuqZP9JG8ZmRSs0LGtE1isZrNxzXXF/0jPi1JNDEl35cZwiTZjG6N5 MwKZDYZGDOCBo3+k0HaMj7VsozmotPhGceOpRyrDpJ9SLjfT7kif2pFdZepY3r1DY3xMY8ltPsNG iFaHsAMeYENq5C6HWq4C4GzrVBKqct+swTTWjN05mssgQCnJ4U7+7uzB3iWKLTDS0P0ZAofdeV7u 8xUos+710WHqxlT3OFBSAtL/tWHygg+pyPkn41Bne7m0Vb0PlOhLQIlkZdQs8qNfCltdUP2mK4DD citZcN5qlJfPvX2YVThTM3fn9wuDksIGvBXVthkL2VhVjHBTxlnyBfb+SoyaCd3wyhiTFhKIB7of 75yoDEdqn2bBwrszYXBo8iHmoLOfc4W9Z4PAaks94CK7dNdxo0sI3JZILcyTzKDPvrOso3PcpnH/ W8Lr0IepUtldyyAX7zD2ccq1G3ZMSx5j6hVw64dHrEkO19MMtsb+TOgnOI2ERLLP1MlQ0cZAtdoF kSGIivqrem0iLEBkZ5mH7bWQOvZHxsiisaxH78JBkbNjVzCZBD4dsBGneO8lR54NOjDCV5uSxlcJ oqbHbOHoUNcENHjvwkQ6Qfe6nMEpj4gzvmvDjAWfUfdciGaWE21b+9CNUvek0wKEathSLXm6L1rk HzOV13U03Y/vUAsb3vO57mEH3d/EuL12TgW1/vB42P0yBPnWGHB44ibMI3hcVUEAfqhFdBauW6yb QXEhoFHEVsxaJ72NHmtxo4x3zZoinVchiaeIWWge6XTcLeIFcThF29EBIPEdhKNwAHTY3ShhZkU8 VIlgHJDPNg3TyOeVdRpF9e7n+t4hUtw8cEsg0SYqWgyym3M4KGdNmN4y7SC2s6c3yLgXGUtut+3C +TrLO651h2XI3FoZOsPHCPQZ/iIHHmvMWEfDYAiZxBI27Z8H9DIYwnztf2ouSDVlgkCIf/vb3Auk VmiKvR+wYXviuT54y0w7QAkGaXpf6oxcRqkkX9e6JLO7tLsCYJXiHUVEAGqUiLxyGgUrpdYQRmCm rPc9EebiWjryaFLlj6KXZUR6JCPKBh0vYTX3XGL3BXnqEMzhyugmZCXCK8mS80svhpm+o4waxQ7p f044eBRS1f4EyqrPNsq45YR5BC38F2efm7/WMF1oo7uphLNAA7pIIkiy1IrH04C7q5jP0i/dRdfr 7KEdtegQ9oyjwHH7id4m7giXerbxpw1aIHXUafnRlbLaWm+j983kiogRW7DMz4zrcWOmFSNMhuIh G/XZYzvv5XaPOEPmsbWJfHf78IGH3LkZVL3K+0l1Iom1vDHZHiv7Bl9X7UDSBa3bH1xsFAMKXUJ7 op/s6Aqk1lfXtsjB5AR86qpY5p3PfU/hrdG1oRXqCZshsrIfByJhFtLzCrCnZ15odVF1USa9q7Uq MsKTHl31ioA5jGg0Wdx6OR4KKcbZDvSxw1sz6x+qxAN/ucqgQM9oQZRNBOa4YPyb0yD9lQGdRcbJ BPnycIx00jY+hcgA4VbQnMhUZ0SlaidDrQIQ08gBpZ6hWJLjcqxIo6Yb5SQe4/MERu8fJB07dCIx TwrLoAGxaJFPjFFWcBPYE6nhh9VF0uGBEijB6perTADCiZ/drDVXdvvIO+cMcvPP2uyFXEiUSWPb 49sGRvBobC5MjwcKLuC8yhl0O/66S9orlvueH99YedMmaPftqVkbxxYBGhcmf78ffIALEUydXTNk m0W2F1k7ljj7zNk9JtdyHZ8b+QzLbQtGwFvQJqaxsV54tI0zLtrQhWJT88Al3viB8LIUOhlUmMoO CbSJsPjRzRzcE0r58QfhsJhhpGXS8qEx8pArVgilTtoCMoudeEMtcERbc48bFSVKMzfSHUKou0RD 2Ey0u7dc6yPnCfep5VLM//ZYznhvgKvrFJirADhSHlA3RbIBsfxrr69fNR+r1jsSDhJE+X5Q42yK lYa/jxF4QDMIPcvDRqxU56Qtgc4oNJgvfOSzlUV/jFxB4+oCMqLkAc9tHYdL+BXUfSAJz3KrNhcW vLpY9rUWbJ7PM5kKhyynoJngx7uLO0LroK3yogLMYogqbmuV9+0Bm/MYTl3uIAJcdxr5kgydhjrQ k1rjkZFmyvhLSQZeVNDTDsO1lhMyLSAhihPhlL6uKw93dAn0w3tgR9hUj/lWl/TC7XpJTCaS8KSy KTn1tiU19SIBDB0Uh8NR8L1Ps3AFXeHYqwKYqLjv6JRRw/qBEqnRINQlQCfVs9jccrIun55BRuT8 UQkdfsVhU2ny278HzT08x2Ye48dGgdUZKboOYCpGwYmxmvoO+4cTtJfPvCItjHJ1RjI9hk2Ijj4T DUfx9k+M1ISvNfBWsmKBK3RxyPvu8MWv3vQErG1QIk3+xMErl2BfLlAK4jcpfS7OtRl5f488//7q T13xiIqDG5pNVpX4c/8es9x5/BOd5QHD5e6l/vrIc1IPwVoOr2unJi9caR3EGZ/9q5AM+5EhfbHa Wpmoom2IGL5RxqQgqD98/AFGAfnxXAsAwUcIk4hQx5matlLvoHeEGecR1WTlEbC6pmY+nyLuY5xH K4N1Vx2Ex0sej+Rjx5+D/f+Co80uVGXlOPd6N/29uUJsYvTjVAUmD1Fdkin02BghLg015IcYe3Qg XDJ07ytjmocay8yWs82kaPxcbz6vfLhVOiwzRTyx7tu8Gq8xsXSuyjLqGRFEuRC5wTHDbAB9tJdO TUBclzvN9pG89rfCC7+nxo7EHCg/jsb4r6ueM9dMvhnTUJ7WuA2j3lmgEWlRF/blUMd7ly2T3YAu uuQV1sRUiTKeksC/olg/Bk2QukuM6YftKL9jqaZ2P8mkkZP+CE7Q+ybXSXA3azY5jqU2WN2VphgK MhUDzzpddO1+Din1nIq9daUAXB/hO96laRSRsKB9drwMXigcSiU9y43zMPynn48SIvfGEZY38eLY fRwe9008TXTarRbrQvac1mn119fqcFVNOIg3+YuKjV5kPAcMaV5UtrO1KTf9jtr8LgLi33kttxBZ cIwUi9CJI8mRXicXcmOZoFWHjiN6Z4geFV82tA97vYkYM9xg8l9aXrj9x8ulA8fNarZ5Hpiu2oz8 zTMRbdgFtkN3UDo19/yqpXVfYqENN/5EM5evWLYnSe6o6iH63jJfMlqcLFG2yVslFMLgnUrL8g22 JLL3SPxynUxycYGNlB0aYDHOp0X5sUAm20ueTU0CiMI5uZbMUgQxJ/cAdi/W+LjmMGzD2SGToT24 76E5SBP0pj/KOINpUj1jQfrQGrRu+WYusF34m5TNOSNyW7At5Jcfoloizepm28iIceBfU3J6YvCZ gZbtvndHFwyQiHcCNzljzdfmS/r647QCJS7uxZ8NRb5rlS+WWax1XilX5cGsfgALj8wln37u+X4d vvLOzyEImiCJRWcuSJJg9vkpwuPxu3qYp4OHSZwFJdllSao/nIQxAJGM8mzIq0hB8kltXGAXxBUj vkI9qJ2oUauTS+E4E2VhnHFwYyohFDAlz0e3NAhsumFeQNpu9YFsoX3RAeONHM6ajn+bCopon4Bw F4VUrs4PxWTHJsJD8Z+/Bryc/iSuDP01ryq9Yi+uF/SUbq4/K8iHphcwQPZ+drxBhkdDNSwZDIsY FPdX2bi1IKgueq9Xj+7oItz1w+XrafVeuRsSf62WqTswWAxDMiXw5f34Snh+BvbL8i89s1VcQmX5 CPaJCpYSrAaSze2Rsrs0pzsvw74Tj2YWaBHESrogDP5y02nskfyn7ykqPuccnwBZP/RlooIkkn7T iX52mYzDTN1gNQgtDAJtGaC3aUc40Rmi0g845i34PjoVQZOQ5md8vH78l+wbtEBdFAze/MrQl4F+ w2TJ2EBkcFi5NkKWayVnWVlvSFb8facXoTFKSNtslaKY1WZPm1wkTRtnAuO5xCi+Trlaj6D1mcCV yEclFxl35BVro1XKooAyZUHJsjDq88FAJuGYOf6edxT5q51EHeg4UUawq0VyVPSQbdW0fR/MgTs0 Dtr1GW6ms/1JgVqf8GwW3imrBw8TrEf9YkiK8AI54r3qrNNhCQydRC3GjoB5CCHGv6boGZScrcSa yGHJqy10iAiGYzDZzgKIBADUE61DwwbzoGxYTJPQuoQ4t9VFEwUgNVNirwmyJMMJ2eGIdYXOQgEB /VqfI2+3h6mBsh1cRe5ogO1F1eO6WaFkrNd71eKHTiL9Dv3YT+NJn0Vwb2c1TaXMObo9qkJt3Ric 0swbeHyH2tIbrpu55Ovi1L3IAMW4IZHa/FHh6rCwoIbg6HpaCS4Lm/yrQ/BOi38s441UCAB5DoM7 x0QBqwRX23z9rUAvJCMjRBoCuz1BnQ1SOofAQ1MwVIkg4CE+x0wCfRE2cMTF4i/KgtLn+vY8473S QYsSFGKbXysCGBis+d9BgUOTtQkFoGsPWQNPRJyHuLqN3ur3CKvnaWH921sxkDjFEcHI4gFAjmmn kHb9gnopMyeVBidk3c4rSTrdn355K8g7SnGKLLNO7BB5qTidao35VskotZp7mwhKL0DzliFdPKkS tFp7PZeMrw7GG2BeFm/OK/eTrxutebpyAaXD3rhnXRbGuPxszelMaMc27jeFcqiJYQxFxw5fanDy 2/pvViH7Q2AKivRxISUmBeyNQ/qZ62lHdVj8GZOY+gpkiAHxIaJhIQC4QQFkoWb/thh9P/H8Jooy clKBah9eQWsVFpljDYtFMTPzB7vuG7Eh5F9U/rlqcEwHtX8XB005kY2XHObPWMUQXKA4KLsVQ51L IwAAqp0nh/xtijxvOieN/mfJaBbChQTuwCuM5HVU/jP9LUSHGt981U5xGZAiJsOI2+mAQEqwyER4 G1aFYHCuHx5crV306+gBUpBvZ5/LkUljkAcWXexfL/rji81RFCeBlAqSJHTdYIJhnY0ACLR32dlX cdZkSqXM3KFo+Apw8bgJlrqAWGyOOFKrFYzIQLNeHCUAsVcijTm6CJt5Swd6eQgfnCptBcBwgeWD WKA6WzU8S09uMyMOy8ZZnVrVldzW3WiSsGY+R8D9HEqeZ8ilcx2vg90fJNCypvdrzIUvBCAEt4+p 3rnskucDqqPfM72B2/uJXcZfPf093hAmXDmgcyVQ+X4SWERlYBPmGdLA/7Rfd6XNOcDgpPPw7wnb WwEu2y6sKZtiqP/dGwRL3EvWIvW0dt7+719qBPTspTNkdkBD/CJ8tSNYdTM/WLed/Oa4hdk3ptle mIeOxiQ7fdO/oIMKOTnDC6H/ikV/vFr7saS8MU6OGS2GkSWGKSusuygAbqYXpZnkCUIEj2sdurvx Gy7WyjyCIjR8q7naDZKr1RcYSzvgIxfX1LJs03mr8yWgaNOOeNtmj8ogMRx3mtWFbk802QsVvzyC tkqUn59LuiloD7xnoH4cH82AaoOuifmtyFzPgxII7DNELV/ilX4YZIpnUAbLW8Esm2QljbkTuw2U Qn9WD4bX7mFFfIhM/QzC6SCYn39R0Y1o2PjqC9HxAOBjHNFFPhe/205b/48ZgDNbVnV1UwU0vVg/ GwUnYWeap7s2xe9MP2Q7znpVe5lCg1TEJ2/WaqrooWecK4PfuvtW4l256xRRRVCM1E8+BcK0irQH UcYjgibL4fSQmwu+AfNhcfmrYikT2jJNUS2Q+RstNhxnPO6qjEF3vylccZxISqGXM990HC8UnuUA cqzU3s6I/6m3ESLTl/jVyC5+7hiw/QkbCcDkYVOaz5z5eSyCb0YeYiqplohmpAk9yZpeHk2BDWZK 1O5WDLTZIXeJ5OKRtQtDQQRMor8IBqsYSwxDm3umrCtsKiChVQmvO1ZW6FIWuoTm3Am30LsPTDiC lqa/X+8Ka9oi4xBF9atXC7OZDPG18rVmC+6iSh8ZKAvUoIuuGFiOgc5TKSkcR9z24yRkvbP1VWqc UYcXVaZbxIbdMxOCujJNySnhP0KX7STBpccnsKqcj6tJu+yjqQZFVx6kXSXt0LicD3amGHmHKxm7 6j/01Uzt7RpYG8u69vezgQFoamr2lMmSXsRkFoQbCoTWHNGRqekErBsAp2qp1DDtvJtSCqXkLDLM PsuDbnj85Gbme3KSf03sa6xG8JjOT9ykW1/p1smBMzhVSvSfvGVHC7fzDebJbRIyQQpntRVqrjEb quSVTLFFhwYx3i7eEJCMPMqdZLIG6a2+qhj7UlTkP4SbdF6e+nyt98QDg8XWurlgKd0AyiWlrUnR +LrvbtGdXIKmj0CZvl9oVm2H3h8eTkdImjlng6sFJflOAPvuukS+YHCq2MMHKLNW9t/1SxhSsVGx 0mC/MOnpReMWS9tdYVWuURAD40aHLJ5QDsqBN9EbZp8SgQnaPBqBbFBXuYa/DS138S8P8WYuzyS2 piwSlmz/UjCFNgVySZ36TGKlLPdvu/P1JOLt8uONiPycmNls1nMzMYYsbywh/IfcugfmbKQ7C2u8 3Ss06/nuHBUogENnV/ad6k4c4yX7gDWtZquiGhQhYCg4JLyPxZrxwKdllxTSn2+93PAsGFaUvCcG e4ALYADppN9k6ZNAN6IaZCpPhHYd4qozqJGcDi1JzeiI4ntnxLCqrFlQx8A5hZx2v3efJYHkgrZf ZPvjhErdXQEDL4WyZW7AbXmEdfvyAiucnKZhOmT6oIT2JC/VH3GU3bJ8W6tSfaKllsw3G1BAZvdt FsuzGkIb2ijaZHVpcJGo9plbxnXQnfyiIA5upX5SGLKosNAsQhhewMbG/wOIBNg1kH/ryoRBOZ4d ENjDe1fCVcLgtj6kNvQbYlrV13fRP1DfEBFV8Fjs/tKpDcZgjUFET6JW0t4b8DzLasw24fplQbzu t8XxFcGb1P9w7avouNucHwTCiE4b0rRWVeJkTPRtV/uJ8B87KJj6xjmV71id8AgawPKHRc+nsmTL zdRqq/pJ7KKd+bnCdyIIOp8I5BUifg+7XukvUkUXoPoJM6XkBrT2SeVAhB4dlmroXEptODPYhAOn HylL3kRM+u+FaJ0xUAtvObNBoK0f1GcIrCMoYCiXsf/73nK80Ve+/IKNt7Q7kgHXn1SYuiFcJNEp o3O4HzNKHxZTP1Y2yFrGQMa4gCyfZ8BZtHOY4iUhFBNR5zu9/SY1JLSO6mqkKgCZwieU8i2hmIxW 0wsoo+iuCRDo+me2U9OxwJX4jeljkewoSoYqhu/A7jG1xlZy0MwFDMXMF+tsTBx/hDOP8GoS9WYP cbdDMiKOYIfegNVNT9f8sthrgX22zipZV8INRuDxWz+AbwvzEKu39Mc/Y5hANozzSOf8sYoZypvv Z3ub+pUev0P7iJKs7seujlxBYlKR0pvesuG7J/6mrq0b0JRTJ428OB+5Kr12rbV3E105SG3rXugM Ei/IWNfE8pDeygfMEmvVnw6hBsrMLTU9rtrLIQrT1+mn03j+GA9bxeyBlh79VxS4wk0rWe/5/5nj Wvw5gLpH1ttKZ9pHMatd+xbTxvDsGLrkHvExWYXoBxbTdQ2eDBP4AUYD5f7JmUPI0RKjbGtm5nH7 3Bljv02qlsFGwXaixFX9E7EYkmvgP8sGWPOvdE9DGjRkfFjPkrHBVvqJnTHbAq3FpP2wel5ziFd5 rU48sprCdXKvZs6tc9hSVatswn7JJGonpO1NNRrEYPy9pCGRSw7+NTXmmwawgpbiVf0zf7Cu8mue 5yQAdrzkGHiZmsTXjduKT7cxcjYdMryjCYROb4b2M5sAWZwPL5unHGkPX4Qqxq3R2gFvEHNi2/cw wj07EmZyVV+pHt1QsNH2yeZ9sCizfGctrHh6E6znapQZfqLdR+HXLYqait6oK2IivIGl1d0RqW7V LirLeU1Fa28YpIibaxN19EihmJfjtoonsDxsP+Re8P+pZ8dv46Q5jdh86zDpeXN29W4EFwAigTlY XL6f5X0MfXaBZeelzDmB+SfeTY+AGf0NjdAUSPXg1JqlhMusIhkLRyA3a3U3ymlTQtPpswRGFspG +Upn2Xg17vONJ1P068KglPOsvHtkvJx2SvBb7ID/ZCiQ2kwGtATcKpOVV22CIfFXR3rlT5JVj+oq pYrICZm4DQvk2BI1Jhl0FVjNMFGreRbMXg2p05WuUwZHdEw48SCgBxpAS5i9e42Kvl02HB9Z1cmo NtmtwC+MiqfwXvlOPV0EiFdCsQGqicr6gNxNI7J+mlPhAJ6CEu9peAKQVEn8L7mBvxngwXvIOPpl xxaO2Y9DIbRh9aRAzQikqDeRpPYwhI4qICdAiKkeVMrrLMeE3Px0FWZC3HfTiy3aaLbmWqIC55RQ skBIMgd6q5qd2HRD9NZtwGKg2vorcF3TzLcIikwwIS72/k+vvFzu0HV/L45iw3MJhWDjqdWap2Ib VOA6u5mKe95xuOY4QjKOd/PYV6ghjTLJ8vnspoThUBPRXTUiB+0WfeRy5zUK/vPBD58Rsx+Vlz7n rvLKj0w8XyQZ2Qp4rfRZs+I6yFIiS+i2peN30ie1xPXJndxsS/C6Wu4rVeTiF89YUggIwK6Wh3RD TjSE5d0MGNIPfYg5XYlM60fU8WQF1YTMLp903wsyCF30H5WQawi1sDrSks2LpikIU/EOR6Kfj4lP zUytB8RZShx68N+UqYWDpAJadp03nCsAi1hc1Z9HTNbbrb+otX4prrzyk0d/hIDincO7qpnQh75P D+iLGnsNLdBCx5RxIj/VSSEzLniOyQWeZlQWqcg5hBD7tQZ/vs35J62zMhKkF7AJMjE31hpeP9N8 c+qyJAxfQxbnDFSOeuHo4aR7KJPNAhqWMvqMS8N8tnlcc/i7XdtSlDJANiBfb7/VsDqsbpi9gwcv i5iLPv163fwYve5BYbGfaOomr6cYDhtLxmmCcznO7zN4GHn7ONqxrQu77NDupiC1sEVDyeJbigdu kxoAV0siF2w375NhkHptaP0YeHUa/qgtjGT2v46wMgGYqkVoQh75a47XY4CEYcO5yyOToiQLgDLc KKsgd30KtDnzsI4dSTqPoWpQNEjXbiBUm/LdyYPwiKq8Y+f1veIpDC/GEp10Zv9qKe6Musrn5lyv SEb++SQeuVv7zwuj7RpU/L4+jTvWWXbsYEl9ppS1i2OBfzgTyN6if+hVDfnFOltHtoELHpP4/HIP u+VJfbTp56Z5tPS3z1DogaGVN6PTuQVRxWPW7hIy312CgfgdiohnBUwajyc7swN7cEGKMivzsUfn 1J7pfiDXJ6hLK9tqFvK53XzdqV+RB380/1tpFa3XBhhHpYoQT85C2adIysDHe7Lu8k+YmoHuixuJ OAXs4gOav0YxC3rQKq6uHfSm50fBllCxjAbVecDlSF/Zps9j7XIIbQ54Wr2ePOFyJ18IPJGxJIW4 7M1CUrEAPAnlCudahC+EXqtLxnomIbH5QOyiBA/gNIBTFxRhu3zXkI2R9M8upcWYNn2HiNIkaMIq M8K11s27G4TIHeOZANokzk++RvEWyfzYIfaCAZcHc87G/YpesYl5viIFXL08FDwhbhMzqVEE0/iu FPKM+Rl7JEEtjRi49kVyNEjDQxis9ZeIyNdCT+52RTuOyqe/GhAObuKNmTvvppcWEd2li55VOVO0 szbSYXW9w+jiTI25gKpjd4ZuA6BhBcIARv3dN1Y2f70eSsL9VaqCgzUZNo9s8VT4Wr08Gbw9hTqx HdlWnbYDrQGr7AEtdm7s61Utm+zFf8THrBV38N5qSGr0jdtW5VScaei4aojn0P+bJS8Pz7spSbp9 TSZMmQ3HbsBwaedtbK++wkGQMwYNyEaMuL+LToubXyLsS5qEcQujBsDCEt53VwQX8jRSCgi98MIk DMUOAE/ykj94aOqCsVe13ei1zAx4IZBU9rqYR9FvEyXzOA7/I8hwEn8Oq0wYDttdNHx9kz0M6BbF VSu96h7m5E/fJG3v2xkknO1KsInDjLMwqZlMNg/v/lsTHXSVZZv7DOgrhGg+j5+tHaGKVWGivG6I I412gaw/QL7rl4N+Y9zFRBS3dQp6WcWfgBG6/7lZ7r2sGm1fSqtnAJUTRcxeB1xhvUURfKSLPTgY L1AmoclFRBfEk6SGYppuYwhRaggQcd78pxNiQhi1nT5orqPeTjbhT7UvOCMl98PfVBYy5BvaDZtv WrXVTnmUA5GWPf/CaVEXjCe8HtRdeI/8sG6xIpS6uOQ8eSnTo12gDsOgwHb7O5hhforw9ZisR4J3 mKqwrdSJlMwEY3lmwQkzWa7odQ6wIaU5hy27unvgwKCcEn9riPic0lY0LjJ9rBHhXxZlLpHFc9qu KhhiUj+/rbWo/hFYbvzrkLJ441I+U+nR920ULoFi3u3WMHUTAk9p7QMr10sAqlAGfAjDj2Oi0FGN vJq11Lc9zr2gX1vvcdPnm30hIHtFhoZBQk1yoaYRA2XJ2iXtGKwpjY0w42kKzNkbjnNF4BSDiDBy y6rdhxlXO0IXXlYPzYw83DH/BhnfxLxRI1D/5e6NrTwcFPDs3sFKHmp8PxMn+Z9B5AupUHtkMNjg 2ZcUpUreCCshVWo5qpi/ICOuEgQxnjM+xTrZwu0Fqx1hm94jhgf4JHjZgk/KT1qjcTIDMRMuThNR zNM0PaW0CnR0loUih72mHcXdvnUbw5CTkeD7Nt+BV99qO/RqSXYgIJYBfPtGvdtLLlchHzLG2yoo TxY6rIw5SdnZ/rZjLpubQWkFUEm4fy0yFpoWGNT8+WnTmWJGIRzQcPbDCQ9UVKFPXo5Di981BeuT axOa8xzp43r24FdC361jPgq0N+szz0Ip61fSPfxQlE2YYbCNMd+nATJ9PjWB/APW33pgPE/H99Sw yEcKuW8fx/qW2VEERSq7GUk7BI2bpIATAsdvzZjVlL7YFJJNk8gr1ntHi4OSLGN6BhjpIJwdQlXv LyVhzW97PY+m3sPg8/j+hUTqcw27ad1GPUGle0crE3aCfj1j6AenfKp9G6Ngg8LGMEB13KtZssuM 1yQukI5KFaVi7VLARXHBFsw0DjgBYwWFsWNgbjAknu5K+fUjm3yRM6PAApx/fv7w+n5kuWjZ0zto UzPKpdOy7rYxEmsv+J9WkmOo6MPHB26CtRSeZCsI0ZeiaeBhBhk/8wj4gq7wdd2Acdsw8um3AwCY RaocFex/jXn4N92TZjt31LX+C6sw4R8SJouuW7q4aSzjIpwPBkwIpdMEkCKf3pRI94Y/MBnW4Sv8 2ZQZ+CWZ9iQMSiKb4BezpGbs88kBBnCCaKg2/pqLOiCN98GmLTjvkIYbBBER/iz52Xy7+TCdwa3A t4bwqKWskzJusKHizlyF3nrtRykninUyuUI1aBOJxuQdrYAGX+iVc2hsl2SPFTrognFemGvDiIe7 Qq4BSkoBMoTb0vJ88Eb/XwioBsaKQQiNsGFvSidkOGPosRkchc4VUrbFE629fLy4g3W5R4OB5ml6 hLoybhngbZd2SsRZpnErqfPK+g7FA/3HgU0bagFx7U52l4pupN6yub9xjpuCw2eQ3sysO650eP4X zVuDgcch3uZx0iNpPkB+FovK6DmqfuRMh0tyKl7E1O1pyZxdU15UkIQdO1csxbv8AmklrKuxH1Rf WpOx/3Yd10XUFWYuQPlqDmRxtLRH1W14MxrGTpX3xH9lQSCNHnjoI4bhkzXrTZ/u/ZTM5myyHlDl +ibwv4voNtez27po23YW64tRis9i3dRREk15l2Hp8RnssD2lEMFJnzJIz4fNp5uUD8LfRMqmCWLt AappJbFLer/FHCMdIrSMMFKlNa42YwswHr6cxns03tUfNbSLg2N9/qbUrLkVvq3ijrVnvFkaF2yu 0+eWgpIGuAMydf42xTgRuWZ/FpWjcLYk2ND1OO54F1uCmI/XHLKiOJhWaeZRWCWZLDsmwz+24b+B CvuVFytFBXez3kuvKV3sM0onhIQ3cDiUy9kyU6yWhnJqTc7VToc23PFqt2WsRi4enxTWYPKJ3oD/ QjgD4wsIzbmu5FtPxEG0TnTRkaJUQyEAq7vesveWMlYTuUrAE7F9uig4l4Y/MGkNwUIEvN8CLeZV qPL0e6fzT0dhzYNMlBz5oN3kILcKvT6zsykHXuHmFVHHP2DSPjj6/yqM01aPijVPJpt52nAugmFQ u5FzWColabD8Vh7AWHv/tJWC/U+x0cjiz4jAkVreHJIduMlmN2HboZaMBLLC7EGC5BYplKt6WdRi t01ULU4Q9WzmOJg9aQtoX8oaCQ3oam8OZZAcS0IA8TcZCbxPZ/lbxu80j1Fq3d1/43SpIgZz5H1b dsSH4OEuHvXo24MS3n+wmAdM+TZRPQvoohz0ZJY0kxmcjS7vNu7v7ZbC/LH9um1QHONlYpB1iMCV HcTc1MPrwykaDPeTmhMb1wgQ7oxakufa/6naQd8bte0BX1p28Ugt6+DrRKe8gha7ZJ/J1KNZGpMx gjp9y78oWd6iv7SQlMHi14REtV4CIQYYFUlpKsIHfYade2VaUJKYou1Qf7oULviZFWnFFO6A2Xaa GuRKvei3TCNR12+DMimZFQnYakvPq2ShTa4CMqXCiG99FPGWLOQnTP4O15CVNSXhttv/6M2Sx5Tu EiltE4/+VcnrmnpTuBsrjjXD7zSlMownWDN5q1/+b1cSfUPMkLXu25Nlq2LlJtONpDYNf/yJNZD1 qsHepQonOQZaVBgZSjdo7zKHNlr042632m/jx5R5pk3v6RfWVpYej9Sju9BdCT2cwCnVcVIXI/bR GDL1tyIauOt+qJK8OLtTX93+DwgbYA4YmtZA6oCqDUPNXwWMmgWDdNFldsLZODW95bAidiSZcUl6 3uMzNSCywEZzm1ghelYyO8JLpRtKadQCzd2Y1aAuRVbWPEOu2w11qKR0/c52mIflCiurJXSCWY0a bd1NHJs1ghHYaT5OkeUVqOjqNNnt/cvb4FYAWO1vuBQEwvSOQTrFtrOEZa2cJhZ/XTAlXwwkISnL 634R8U5tbE6V2iMlNmbRk2SvNLfYtGnzDuVCLpsWPzR3LGoVoYzvm0sXOODwT455YAMr9OUj/3Hh vmyr/eWEPactA/JMK7prvrJtnD8UclfOQGi7om443GvFlAs1MYIy3MeJ2GZfzn88oPfb/YsfdQ8q 5rdcsCfRzSeB3Y9OrfEvmhMkEo+ZHmCJPc9AFuTdcbRKh5z0cvB1y5kgYtRxVbOPn2BlndditioR vMN8hInRhZk+Wz3eIz3s1+4ZLFzbgC6qKZ3AKdMODhljDszbsk0fTIBrUkr+tki2qQsD9I8zNlnj SVmhWweQdbMdT/ZXsPFkOTlnEBtX7DUfbSATBAM04+rGiAXzYJxYpQqRJCsZKdMbil1RmwlT02dO fmkthHaqua+dbCUa9+gOsYnOIYeYAQgkEejYkLUwvebGn6lKuUbQP4ulHB+8HVjWYjR+xWugqIBw DLGDlZHbDdRxmMHWJqrXSroP7QpSNgmL5w7aqcymCLzdcQrucx4LqibSLMvJDMr4oStkLe8IDkbz aEuyinOVZNr63deULBYGHJbpItpay9Jb/6UyKaYmnd2McBc2RYz5M5iNafkVxfrq9X7trp57DXhd qDQdzt+X2+XUKMdZdfV6uwl2Gt37tmjEClQTt8evAHE2KyLYDArTp5IMh+0CdH9gxdQ2iFGdku+P n55UN0ZpHvLgdf+QwY+DupcmOmL24pn/Oxi9KMlXevHEyupelglCguPctqi/0l9R3c1bnvvhUc/x JvQ8/vt14E241v7snDc2D9et9DTcrKGJ0LYCM60rMChDlW5UQ//R30lhWewBrQydM/PxljZLsEy/ u3YYWFSC+AuGgdkbfC7XxtT7ejNZgYszWEirlcQq+C7Bjzk7Yrrhwz+LB92SJkgT+t/WC9UmVE/A lZdlXEXdMJ5IfEWEjfdVjZwvy04vyGqUmCRws0HJWhDvXFpnMw/s1fnT3FNSuxJoBzsFemRkkOPP LtQGWu07f+iF4DfLLAllrfWNvm2k11jV5UGuSTirLuTd9htDuOTkQ2fWbjs3cdbQNQWxuITjMaMW xbrjQzojT53uA6UWYkSUtIqpo0YGpw6Bn7ucjurO9j/PpcEljXRQI3tagKEewjsr2dyd33HRubYH FzuH+Xz+CMBMDFR/wsIae7yLt8vPjy3La/0zjqBQTGFybwQC7nfOrTIklH//j7/9K/1+eU3FxzaJ lC5zYSlgdSH24P9z4faajSMpN4r8QQ4OzhvHUUR2PgQAcVBa8v1aqJxuDRB0FUyoVFOMKQ+WGQpm iWKZxefIAIpiUTvGdX9MxHgosm5hJ109PU+ciaZdPOhSUtcd8TnkZDO+42A3ebu3Hif8B8XcIiZZ LqsQI22MPLSQDN2G1wnvOTh5YfrT/8OL90pg5gtevvQ6E473ZpGpottG6Aw7lp2O0EYcZuvXIvvO 3ISJZyudy21+6btPAp8Cd5RQG4iFf3gFxs/gYrqmXKr7QrrGyY92HGg47VstX7dOvWDNzFD+hChb +PNVRN74gNAVPjBDnvl/vXq14zrrUbONlL2Rh/xH25LHpUqzhTIkzoXbVAQ5cAGUTMbMWanXKKRe cJH/4vnNG7ByIxFs75D7l60lmZEh7hQL9QosnLwzduHj8YmCXe/WDW8Z7T93/kq/A/n275BEb69B mWLTIi6CBBAAf4HsqziXTeU1+LoV2aKrNmKzBNOZ4W8kExABLOEgu480sXfOdcEE0Pg7ExuVSotU 99/tWmt92aJqBSYUP/QemALrfeVh+EyD6K3FjvJrqG6GJfp8mtbnJHe/mFaI22vGjDm7uVEvtDHA 2uANfzxZUCiYnybgw519j4AJDcCseZSQgED8rfFkif278EJ9dztwJJSpCJSAsPL4iZUhuG7H7PhG k7QZsiwj4F+oFYHc2aIJzX2qMOhj+8Eoio+vkUldOX80RBbnSLeiFevKQAka/M9GUOjYArq842u5 FxCcmJMIFlyWr/ivItLmw4Lpo445jgfRYYJo5vRhyjsxnzCZ4yIbXcoZIz1JIg3+ODrFXWWGuO6t N48iRdopel/jLrkdtIx48w4l82MPF3+n2TNcTNBOn6iso8mKkchS+LlWBj03j6+TXsIuPs1TtgAy baFDE+50jw0Sv0yEm57rVXcipHESLuZYzuDI6eTW0SdBpbflATXRPSXZi7u3Dsh7yf7/1iRxpYyy RoqPDqAsCuRH0iu+cSeaID/fs5MzTwClZ/j4gyM3FQPPNYwikbs2VORur2H81/Y6PiXb1SmfCxyy bU5eC/xIaFfhEDH+ALaLMU1AodkCaqtHgommwtuShP645UoumHFUJTqsnpBdqTc4nLdb1gou5oeb a0sTof/b9SAF0CagvcB80qeuOZZTs+fjy8xFjx7mBvIdN+M3blWt0UUnfaVh13/8D/YbND9USVIw 97/qUKB1Y66+5yRNgEFpV5+UH9AT1vvq4QTKsWlgaMBs9ayoPHOpsRkwoeNK6bnB8DaSzUO4+9uZ ojaj41/c/XmfLavq9q2PRmBRjnv6/MwKff7LnltHYxkXVwzWmLHfP9gpTmz4BTNvXxfn/9VTfo5u nSyrzMA3POiPx8lQ5LDx013jqjq7Of1sGiayqQKFvhSKMQ7wqXMwDT16YdXRpHqM6vtcF30shXOn QkfsWZ+SOmddAntDtDQuQQbyb0+e14iX7yN869ak/P+JYrDVbl9uWVwAkwMRQ4sH6fXKjVBs/3KY ftCGuM9a39bYljgltRk0vS8BKFGNWKVz1ku20FMzllQGl0QMxrtYWdypjS6rMWHnfWKM5ZiMUdZf V0fr8D+lw549ydFhkiCQRDQNv/Y1mxrj+GHubOPIcu3b2r1KzlTyZljEoIjAGzeF5jVAZjBbbEj9 j1AHCdrucsWwGJg7nsmi7dNcKiW17pBKvm/br+YyE6OYFH5/Czqg1zoGzPtKVWOccbuxHZwca0ka LD8SAlfxO6OSDSFKfxpnIKh0KaTW+O8yfCSB8zNCF2qJqzn+97asoEM8G6KJso3RtjwqUmsKRYRm i53++INk82X9cSIaI9gSUuMLMl8UH34zOaw+UW3Bdlzrj1dnR/ezIfJnQ4+fiVC+AKLAjCu+nmhl zLlvGgFlcMJLBJPBSQa2IFy5Chaq0ajWqD+QMzdYr93KSPKdvxxFI6BBY9FxRw1oMAUpncsInQF6 QQBQjNCxlnwW/z5nz0VE5jvTHGnz4MTavJzipq05cb0D4/GGNRsCRlPGP9QHIHq+r+4baBdZcrBo /fhTd/6icybjJtxVG+PhirlgdPn83UyKtfmV8EUTu6eoaV807QyBL4mp7UyKSURyWeTwG0dqdSwP 8pBwtCD7CX9948TS3BzwtA9nO/JLzG+jUpXjHOQNGtegXYBXh/nm+Feavbml+DUhN7IekZ/AUmtQ Y3OWzicIYjwv5cayKPVPf8Ly1t0FuqMb4Zzm7+M9gbLIEEVxP0YhX3cs2HW6k8/s9omoLuIotP5o 6EwmdboKmy2sdWQCTMKgHK0TG/l27kMJ2739/q5Ke94QOvnE0uYKGqJZq9af0d5bkl6TSJxdxS04 3t/o3k4k9VcHPyd3U5LMU9upC2/3IbaO+AgDcsx7cSetChmOa285CTdlUm6Ee3Z6jgPJvfeVrjLW mI7xd7olJxlC0ZZjowdl+YFzC+irddlgurPaQvcucHaKRY1KLvq+bVD2QLK6EtESSNXfil3oXs8S N/5etow605nK59tyY4h1tIYlMsmldxusKwxrEqDCtOtfI9EjSeo6OGFJSs45uwZwdI/tYwSEzGRp OX5vkeIpN1FucX5iA7WkeJOyTZ/5qWgnCjJuIWkd4GXqDro0Q60ICly6K25uJVN/ZdG1mhLN8ue8 Z3d5dhqBcVZPld88ik2tuqfl5+W+Pek4ZAh+sWJJ114XPx7wB2a+WWqn1Gc4+sIVL2RTNMjPpZtb F4/1gtw3xQSr9fDNy2g5eYyLMQAy3wroFrrabvcOF0Cy6tpK6kmmRUmVzq0qFLSizEMTLqi3BQPc s7WDGImGRWD5A8oQVDbaLXW5h0BlFo06RWgYCCbb6xluwjJLvx43aoGWsrXbqJxXtFQGSfMh5PCk 6qiDEZHlZ91vtgNOtThnbXZ7dEH1+amDjIYfODQtreorcWAEvWlFj2+s4A8kr/p52EnM1GMXfzNU lVS0UShwqBVWBIPeNhrdbd2v6G9kAjGrY1y2ZKlqZFdCYqgdaEkHegtmbFIljiU31sYsiUD8mL0A 681RK0MPxtWhC/KlhNJrs9LcB5uB9ng8JXDXxykMwtGPe3nH7c/N4kAUj6FnITjfp9Q00faG4CQ2 UjvB0GEgK9cHyfHHbleiWOnuvAHEUdUXiecLxahbdMj9Btq4yVWihA9hZdApbg4rBuM/51y/9B/H Lgw0t6KJm7j4OV3Q2b4o5njeFz4nc/ZHGHzotrvsecidFqLWxeU82yRTiEhPyPZcGzol5wu86VV4 3AifhDEgPf+IOFJGvlA/2ysehXWgxHC8yQrSUp9Il227sZdrYocNGgQ4M/IrBtSPZwSgJ0ClDV5R a7+bhchgSwLCvPea40qmJaUD7DfUBEG1Ci64qDxZyw/IVX/30sjAfQuiTpV5JpE/m171gKAwCMu+ JqeE1fHtNA3MIhHOrb3lpnfbOudoEbh/EAkPFv8NN1upCw1a7m0ajM6GEdPBNTMX5nZSKpf9gZTH IZNRfBu9qXaKBYMwMc3j7qNi1Y+4pVPkZ7Mpzgkns+ACnLbvcgtyB9CBUqUPim9bw7Ix4SncIwp2 bh5LdFfC0/cjfB5O0SWlCvCDHkVIqgfGpgFAOnowBwxrLAa/nPnvB4qbyKGpLDqqN1Ov7PCx/sj3 wIMw+pPIGaeHeoR4hsUI790fsLijOtSjcca7lZwj5hHGVaZ8wJjSYc5vvVO/7IsdHvGBHQOt6u5W RN3F215aWhm3bh2aE9RSa0vHz69lZ+bTtfIdF35qSkz340lszBbkI7FTfG63nkXHsOfom4dE+zGT o/GTnOEAgIJEgoKCiPfRhMHa9cRheOaQHXRdujoHZDc3344Zo0q5G2QmG3u9OG36WFx6c76htxqn kT7aIjBsQU1YqArxM5a/PxxpXZCc0W7Pvj0shqW5P7/aN43eTR35TI3QRyEiixJwjGxAT5idOhr1 ghOnJJnVJVvQ9/1TxONcaM8SHoc8WuSxZ4wrbz1wa1dKXia5SSxs6Fl9HBG2eugOAg6kPxedhVHW O+44HpKyKXuTuQoVNtp9OUscJc7zYBTKrhSPtu42vueprY950ZoLR+QBsh6bUH8RrGK4/92ME9Xv LbN7f1OfczWS19yPZwey5li5GS5X0ddj4GIWCqxUO3rZ4weTeyP6mr4oR169adaliPaKvAI5rOyN FrtJneqqfe/qudjJ/QSZVUhWmYzgjdljU7fZz39NtQ+xrTfPFj+NyuDXHAtB4ZhSUPJStC62/PYC jEhMoP7+mzWWuAPGmnnRoHoobsceL/D5jRuz3b5WYOdoMgmkiJlKCOtQazW8I0ORTcM59ixWBX/O gy+8DyFG3g57UZooM3EfMlJmux9Zl3jR/icBKchBoabPcw50ctHOk6BI3bkZ4va2gIQxBag9fyAA sGudLna7go5zTXOSAGcx7PnMrFezx0ypav1xWj2l4ngsFiBJrenRD3QT7vY9/BQ4T6+X7fIHNHOC WMUzjc3lP0mQi1GZwDMC4eQ1MQ42n7IliXM9xG9wuAQv3L4OylgObRlohzB0w2ZlmYf7PmlN1AZR Qa2UrlsaauWSyYJxkEI41r8O2t1Lrs0qvRHfDqadn0PADtpmxCNdD0/cnl5jRajGL/rc/nlTSYzz TlATzkEBBCCA5he+NgXV/HNOP4T1yn1c5KXiVigHZftdxhVPWM42Fih2tl7x0SmFVJnTgH57PH8Y W89KdAyCpqaXJwmGKz4maGrwkrh++By5GBQScnttsX1pBJVr4C+IuJREUQMF5glhcHds4OtXxCUD KzOCb3bRJv/DNKNUxj9WQh6g2+QgERCkGPKIA82TS8YOmT9Ex5j792nAHB4vsOftXRV81d+dOz/h 1Pr6ChqzmbCfHUSTBoYIH8ZYJs/zkSPz3nwFTdnDa6rcx0CiKStY5ol40DT83rAjj0j5fyk52az6 M94PUegsasNBA56UskXs1lI+ZjrvoP6PkO3SvBoLupFOejzoETLREtQUJDyXrlUpo6Y66vwJ0ebW 3RblC9JwYSZuJcV93j48gmtu4Qa9Pudmjjl01PIXcfdjttezEv5s9+wJnBR+CV0iTSB3OhSNwZVR 1EBvz/00iq/ciOpzVUzxvr1K6xIfy71WG5SNb6AEhT+3twE30pCtNYSMIEpwmlsrUZWJ+o2vPLLv +NZRzIbxOWyS5jgYzAEANi5G0AX4wpmZL2jb6tRhnA8F9uvp1WqAfC2FzpqEJv7fZisSlUZad5Ev qX6GZ/tZSqtlfwnX4SB29yqZpvpmQ+Zu6lZndX0za7zbI2T3yUOohTYRPilN9o73fMFPWrWollks vLhSuA9QWsUtpUwpI8qa89t/n3nZUqVh9DvhbnaVs1cQw+Kq6xlXPhpV3kfNqdlct+ziktQQUgqA 0mwXJLnfWSTw1ct83jvXWYzsT9CkRoH6rCS/Gx3FoRCSO4kqBJOe7cXVWcgFgh9OgP/nabiOHCTI qrticn4bg8D43aTMLgpY8fVc7KT6NoGJl6oKqPfDMFlqOFONFDwz3LroNiB8V270mojSCAQ80xnZ mtYwnrSFueHQjS+laf3JRQKzcKxg5FgokJuIBJwu6MZF24allAAcqAzc3pHFetNsEobFcy1OrRQ9 wYVzSRXwE9HxEnz+HZdP5FDq7FdZtVK5DNpJgjIkT78iItbgWbTZlgB8nzWIYfnsrCVIARMB6baa eXMCfzeN0kZ5JORBenzxA6Ub5rkDwg9refMtu4/dBbD/lYzSwdWSTyVoTmjKpGb/S2gvPp0uqZrp zfWXKncfiDgg8/kFroFl422F/4Iaj2Kspn2cxjSvS7aLqhEgZvGp/MFIzW4ppXQLiBufpTXQ2yCY mZxGlbTQ73i8X2r0wZdZSwvtZtQhTZXpYSdkRMQYm+VcO8PKWwnLsKXfSXHzs/uEGDsV3dL+CLYc CZSQn9Tcjvs3s3ALg3DbInAILq5eM4/e+S9nOQq4PSOgs7WNUN0LOnjQeUOjPBha+ZtmiJyEVBBa Cow7JLQ8AT3jKXcs5sVnc8GpTesd4FwulVb/1WxmJnzf/y4lxxN3b6hhwtUIVew3tAg8RgSeXDoi 9f8/zOTLOdVCEC1k/YgbeN96jwR5PDSwa1+UIFct5/ZGvEL8u4cDb4y7NiGAG79QIzNCKDDsJZxX e/55uN0LSDXLVvXA0LvY4glqqVaFim9VxCvAFnM4x0mPLkiXwRA1uPprxBPSTgIACZYYTEMdDWTg YlkntYqMkZAUiEC1sQLT9CzcE1CPtx7vqgqG2uPRiUmC99F6/uy3fDUpJNnW+1WjVkgVKD3QHxG7 UIOXcPn7ODRlb63lfLxlPm1PovG1P47cPZIbasvOUWyD675jjKryFW7aspzIKEHPdlVCiihlYUGs QIT2CNE5UoSr0xmA1upAxJY2LJ3A22RJWHTpeMk26TlQs5392VE3i4uYIprN5tyzuJOxsUGlFMll uC5QvelJJ2nFBjGYQ4YpfsQQLmLjFehw0DR0X9i5K+gyyMJ5I4aBQPGyWjcStvewjzTcLBpCdyI1 1bo9CTR/+AzElDhJiJziHD7xHWFowJWPZWnhRObzX8O51MiqjpQJ9axA9OVITxXEMmTajhLa8gCy dbM6g/JTI1xmkJUopFC7LK/lHsuIIzxz07slk9zfCf3pUQe7vFQTyZWCh3xjTaelUZNiJBRM9bDe cHpd1C3G6PNoUvulPSV8E4cY6DpXIrcLoXhZRXk0G0tJkrgoscCvE8eLoSBt+y+2LYG5HL9hbeSQ DbLaPJqDs5EcJ8/Lliq2h6TaQYW3BO6LGq0Zk7ctJUeBd1GotIHoS2VkGDJ/CD6BtK/G4KnbufSO jzRRk8n1CQfRsm4F518RvjLkcnLQH9oC5z0l5qcLm0cnmByhc8h5GfSXQRqfmkrfVcjLy5M9MDxX J00ik2yr9hO6F4dSGtAQKENhV+OSjig2MhY/IcKtV+vLmfC+sFxz/28U2eWyUawel8laI81KgC0T Mtq41D7lm8PoLQptBipoAKJ5nj9qkXee4xjHPgQA/jXfzcfXF+a9wUMFl29vUzFBrndTsJ/Ti1Ce QfN15BtHEigjSuFLOmgPOev26Tw0pSlJkNFJc8CXTXVCBb9+LyQxK/KlmH7bb36I3I5mMwe+ZQVp KXgt+1XyLXNL/B1oDcy/0A4YPhyI+Mj8EpBYhbUPGyLQ6vNhdSbCHm6Uk0r7xon5C55G8zXJ2wXL AVHY3rJ4EUwf2aICnRV6Icc2ss0S8TINWOw1FdqgAZD0xSv5uPYRD+EUatfr49tRM1g9Exr+vuiT wIU3/FYsbvgtN7vONyIKMS62uTmgQIhI7zoqcL9QzucV6q+a1syf/uOLmPYesoEYjRdSkv0WD7hS 0TVnlLpdxMTFKCNsv2wktrypKhlq7j0nohGbARZJH/5L0C1VkLyeJW+sHNoHbmQ7OBxXmb5+blNi IbSkqwWqT1LtGFhyhlPhAQip35TpKH1wPt5/BhClOprgzi0VaTQ7uiQll0xhOIUNSxU2KBESJCOB S5y4oyQJ6EPtXW+Aa+DjDhE5gAAjPkF6KVt6cgS2ujchZP/VVBOKG0fOqTssgAd42kI1ayB7ATPy 8d86sxPGtnil5Zh8qkYZzkaSrE0VkqMBwSB437wf6hZNnCh9hq7Q/0OH1oWfVclGN1RILVGSp/0w I3Ln6azvisYLWFBZUQOZIRY+E9RD+ueYDrYaLTBt43KGRVbhgStTUjJb6fDrY8tJdvzv0VapUZ2m tjILl3pfBd7MJ+lbA2xZS5pAU8Cct/1d7K585RPNz1Fs5Vzce0hGMZUDx1RnvF4i34ufEd4skRiD H0AbWn3XNfZS1NTdOh5m5Y1Ao6y0ZIT6+KfUV4Rt48f/9baD3YEZ+Ll1J7iU7O2hXzgkf7iaZFBz H5yfWJ2O6uZbmxGziV5I+Ptc+x6dvSI/EssAuE78w5cbKUVJI4GMSnG0Eojly7e83utY8E6B0zWr KF1WEtGLh8wcd5MzmyB45L3DTjiDAZN8pXbXLYM0P1MZtkdnvOi3i/XKE7064K8eBBHG4ax3gYr6 0t46Rpl3ilBDCm0pO2I+uS6tusiAkAlenOZDI88PtiA7s9rAYbhIVsdDz3YN1rVQqd4yRCH84H53 7y1H8VR0PXOo/UreSRSGeQfULdYEEamvwV30W0PWZt+bYYw/WMLYgDl0PoyCfcvGhbv3yxBX9DSI BDwjWiYMWFNMjg0bSQ3X0EXTLHAwnJcfKii88eqRmKE0i4tcBwcHIIvETTJVFIGN4dIi/5nmU5uT hgyg5lrhoRZK3Ujr+c1hPpeG7PKgjo+jHC6yckqLXJDqiH+8Gg00d1ap71eoJ99cRzCI+GF5WSCI yQUYpe5n6uvAFMfmQ9DvB8KgTrnAydSZuTRrCyGfdLorLO9vPbb+0nXjkyuwEx7npUE/bVOJQQi8 /8ELsh38jQ+HQWjZ41YNS3wqx9wDTPhkQ0/94XpfFZfWm7UMq/jJBgSxpRCYcEgGATSfuCbjav+9 mU2gnPxi4iG3fmeLbqSCT+mzsNRcFrDZZMs5uxEoe0rPVo4vFG+7xdLiAU3xKqMOq8p0lJehXdM1 oTnq8S8/aGkTBBr8orx+DzuA8VhvG7Bnd15BBney+31inilKhOOg176SEk6ae6/Btaaa6WXGMbPr A+RqvItM0EACBNt6UOeRlBNbIBSzXn86PAfR/SBC2o3qtn5kjl5F04K8Nq4NpJ6wAWmWIqPfgadt Rtr88voNLGttb1DGbMhLX9Wo8/F4KgcofQ5HfKtxdVzcj2CrjpjWBeMeqkCUlcJrXmuF6Z/yShY/ r7+FnubZJ+kZkpIlyviqAIPrA/4G8EzU6D5LYGl1ziGEoRUeAyC6ntgEof3R8AEl8zBXvfHlVllb jCC+eZdbm4skZR58laeIbDoiOo7HQoiY1Tt0h8f3AyY6Rhybk+smXStz28GXYkqLnTohEqm4ageR 2pJvnXJJmLVv7ck5oHAiFb7EXoAoqwCWwT4EawnqPEf5QF4/IH5vgFLxnD9ccmbtGXQWD0VvjBA0 AMWrMsRGfW0QuyFozK6aYA/sJIFsrc39erKwRDbsuGYilT8Jt6LYVuyIdhFTi4ocC0xPWQz/CnNI jto62ybFEYeQX+yBwcF9JEvLmSuv3I5SxU/FNGKuNAiZkA5vqtr4MktHSjWzGxqy4Al+ARffT28W 1rIUgvhjDIYqAmfJPpgMhBwJl8/j2LkzpvHn102bDBWmhYeIcLiV+mUmfVYX3buHlJOPFpuHvHhN HAfOYaAKXDhQDVWamgzryxmbpygp97Derdyq32m2cdE2yUVavuamcEPlO+ikwKrCZbYpstWqNZLR geqaaLK7vY7w8O58+GDc+pJ5TsXm4PyD1RCfuUHU/R1rMTp5ALwytRz00Qlt7dFY8lDGSRPZDhNH 6yzTFiqHFBS7ol7fKZhAhuRb7CXJciPyqHggVvcXeTzg0QRLgPSVCsUo8YfmjWGxY6eDOqzfmApg o9PZUQ7yqoBq1IWzWAIzWmVFC3z9SgX0qn8EWcRVXNb+euj1f9PTaOJqD2cQnh8OYvmxyg4/29gV d2mnTJ+OvlkELFiObd88Dj3iQ9bI0+u6kt3ISLNf4YOoUXTJ2S1vFETCYimSTSPv4kvXTdmRw3/9 oLUK98GtDkuJk8iSmOc7VJ1zVxf3ttD7Foc5xP3lBD5XQu2+kwDc3XZHvgB2/19uvNtr4CsEVZOS cOiqr/h5BVUq/WAS/4/aUuGmBJV1S9QuLY1L4Co3i2Hq7VNiBN2pUSs8zzoWcZT/j6tovHV4s0z9 jgPhklt7RzDbbYiUrs2OZlQYLfrjuGNn7++orXLbgJg66n+FZ6xwRNlD8bs46gnFhPPSQRZop0w0 QUy9If9sHUcMaTkENx8vFIpaSg7TD/+sUFwCZ3+gAI0GpA2qTVykKFnC7jdWYpj9SbbQBkHO55w6 PYoP6ul5hNmo2YhRDmI3FBqGACSUBopcHfhrz1IaBQqLlzg7d974xeo7HT/HolYozSUBJSWPYLKY aqNnZ/ChNBDP11XHwXi5MGeWtnPXQKSOy2XMkNE0nwaZFrg8p2ICutpJw6MP9u4eHexNM6CDgi1C Vo4CkqwHcoVuTIPWsNZLflq8dhX3OYBr5DYx4Zpbe5Izx8e0169d0k1KOlpGQQ1XlORVQnoVB2+H wK9x9y6v2r4v9CTMwBevETpEIThi+aAiXUeuEC455zxDwm2OY8WyoX7/8u1IN7fD1HclS95DUNH+ rhu8LReVoip1NIMwm4XK/CG4/A5ZY1m30nKC6wFpc3Fipq/P7Pxzj4ygdYjvt0hkujB1n9NRrnmb aKcLFt2yFLDg8g69tuACj5Cx0U5zdvV3DNI0aYqbC003LCYIB4f7hxcS3tlm/273EMUMk/nr+iiR VsRgq+ssfXpeHDdsV40qm83k3FjsnKG+Z/diSnoGBjDEbedYD0b64vWQv6jWBOjir25bsMPWjqXl fnJOokITTf98CXevSnDK/N3RRA+mYi4xfz/WjDt3r7A2JNqPBA+VCnHmGSUFQebPWEsqDPMTX/D0 eZTlQNfX2MxdcmnO7eWbw5JL5/FciiqMV96nVgjEWbzf1+G+ir9H4Z1W6mwI1ag2SSfeKybxNq9w fF//2TZvdj1iRJhq67K81Aq+s2nlrCFuYGJJLEFiXC+nRFNAdgsWQqt0GE+xa4LhRSsoGhfdVwno gUE01ddae9iKHOctQuxzE8l1nPlUfjik/ed/8DWQPRyCpVJ0GKm2enUNXJm+Fg8IjAol+nUgupCY 1ePafl2pNYwF32yaTzKsGJegIXkZD7ksJ/LP3A7dx7Y8TMDVPBPVMRai/+MpYUZFwiPFx6cNhNK4 YD3gqGH0XY59q29UKlrB8AGsjfPHZULx5nVAIIYDS06t4itLMbXhrDJrDHv429o2Cpr6Bi2JfSOP oAJrFS0KSF2/brwC0Ccim9xuCV+9TGyePKdAIECmoWrt77RJFQHbqN7BfX+8P2qT2mTzrlWfUhc5 xApDg44oJyVfQ05KoUBoJUTBFO1MZM+Rp0YIi/t1zN52xTkzPnbrxD/RpgoysxZBh4oNmtQ3IFeN RrjQE6FgwA/OO9/zPtbtbU2uXsKV9ROFd1z2X7sJOTHj0s4GCZjy5KC/+yeH6ekOkMH+nz29fjY0 H83XwhjDtRjCjUEoL9QnhSOurF8wYO+JHFf7xkjmZPq5dikk9+jR3AVBRTjUjZuama8ouLjR4BIn 40l60XxL7PYenZ3C2OdrbPjqoouVufdBLkWlVVj7C+L9gSdVN/DxcIkKWmFQt6dN47gD6/Dhmp60 tI+QpzK/X4tKsYnoa/aJZEfM21NEDKD1UyJH+98ovz4BLWG9qHKC2KGZcq9mpqnLgk26QClFbhoo EJQEhxOhMrm+K849KcaKbpPJ8NAbvUK//bi6ijIaWbCaelFZgX8pLmSuYaAAcuriLVMbSJ0iD0nm mDOOAsO80E4BxCXQijoJqIBS2KT+2PbbFOk3U29pAqFHi/WjAaEYRQU/khG5tW0v4WuXo8DDUQhO uhsLZoQra+nPgQBoNMJ+IdSdP2pkB9x2pGr/rbSX/QpXetlrA1qwBi9gZLBYTos/daM30yN0Y6v4 pTqGygNd6M2j16R7tPYfyW+OV1tzZNMGKuftOEq0IDUbCX5U0DYNoU3OQBLSLT0tB87KbcVA7GG6 TxhEHgRpSoe30TUz0lgbG7TESR9Hh/DGADvP8WVVJ1MDyJfrck329HJGFxQDW56MMv0NrUwhC34x xT+4GrCrr7/g6fLLFI1rc+hyp8P5ScCWZAPmLHo1Z18Qs288LYv1uoXA9Ao9V9ioAWSEqrwI8Xz7 rgR1mpHOMj8PDqVzL1FC2UI2ZnasB/z/o7VqMyQzPqxtdFV6f91jvmCHG7ZAdqZrt/zyk29paLEV GwjMK04CC4b9928aAVMAm/+WJ4Y2D2CeiCiQmI950EEt+YvVcLmJ8nU/bdjm0H6cQ3l6TPvL1m8Y PGAmsZxeiI63ml8uC6ks0WfScuro+z/RKhyoMjtlN07apX0d8gZhGNHfRKTJelolD5Rrdcu7AxSI gNK0gsS/H6qSqnYC/Izpo2UT3kKoFRodprS5D27DEmjnjUyDEoxniZJKzCXUMxEO08+H0Tz0/Zug wf4FPnu2feejJ0NLvOAOo/bLdIpbPkD4/iFlghec5hhtT2iNDArOc396wYXwYhn/yrDuh47UhDwN /RrCNWdMgSu20qBhSaY9LBd7p3tfm2FrTjiIcED2UF0R7Kj3tbEm9Y0j2VGkji6SurHa4Gf4ju2E kivGQOCpFDaqyU3IGUyxTIq3aBGp9TZGpTsdsSltKZ/0VvW9h6BjeS1NqGo+owygjI3xBsf5Ew0J WiAMqfCn1QullNXMt3c7esRW8hU2gy3Xi+TZVSAke6cOtlKEzLzDYz42PF8y15kw0LecdlNYb8ft Fh7/wZ72e0D0wb0eaDE+8756gtbBAcf9jv0nxTVeVSsJgyMX6nQgNeJ0zN9EEnVpmctr66qgigXG Jw0TaTLfo0K2qeqC4NCPvYCPEcSzQpK01TFp813ToZDBrw25kKJDbp0EkjJV2wfuf3R3GEPEJS9q CIcE9bcmKU6+Vih4a3LKhNhVqbh0UUmxj2xhfuqYQO+tMQ+6tspg6C/vprwW3r7d/8Fbg6rDns9N IT5ZzbhX+JTONflNTX9Zy0Mt+poPL0/yY8hRnZKMq2Ggp8+dVWMD/L927e9QEQ1EdptM+ZKkSly2 evJVy8l9WohL7FiWOMTgcy9iAHtyjZN3dnYBMYtOP+pOaOsefGusiTzsIqzycVJ52PMACRSI7a7m qAjIURjrsvNAaENVlzvWZkeKLi+s1a5nV7r/IJsbCDq9WuHC/oQh4ygZ3iu1Iwlhd4UrWRpJXN1c MF13LvSNkR7FOeme+Z52os4x+N+4kfYr5myHm6bVEA9R9ZW1Fo0Y7UaeuCNr5kZURRVKoMeKaWzx clYHeoLUgX6/XhIZVZibh5SQUlL3K1egmuv0O5fKX4Ttnbwt/bEg1PFXDTb/XkOz8PX/OyjhIrrb aNYwHKBauA4mHah7DGNXopsOvQVvipwcTaRfh7aNxQ1z0ZcUlEph76NFTI6VqNZFw/2dkIDcLR7E EgCFJ+H4AjMlqZ1gY+q4h8Xt+p+iOt6uPm2OgvTovJN8jjyP3U/W48XrbBvf6euBhlam/nLyThNk TwCIMnlNFOUt7OjYB7Q27a8O8GleRWQQgGA78VHOfyriONc6dGD4pJiOL8KNsf743AzyUMocWdNw lOYFlsmzpAxoLH0SrCPYChqI8W8LZVDRZcmT1wzzp2ulcEKKvyBlIjBw9QY6oRG78B/koqkXtxHL i9/K8mnFyVhnwSI4G2kjRhRG3NXeYEBXejbly4p9RV9075HEZhj6s/MRo4jKpunGlNk9KZsxmw8d 3GiSbr80Uy1/absJ4aCi184z/sL6R4VCpoWI1OINsNeBF1Kfxp57+tJSzC9i1j16F1OgnRXngO0q TKp3mDUH9qwLOSsYQqyesoPdcyfYg3dyaFexUBzAVJ8+hPtYnKbEnTP6ecHxltLoQqI6e2+xvmpE JRLRG0G2ztv2xtStoqrKlxocG29ib+1BENsloAlYW8Yzde9Q8O9x6FWZ9fmCY2nEdNFRCS+ZXW8L gKLr+QOwUyHa4rb5P2Q0HHrMQ0rdvjjz6TqBpV2scYJITI4s9YXB2AWW9fDY41lfrd8pJ52IZNRH Zzs3TTo8HQG6uNx2Xx/AJhH9iQ1PvE1TSebdG4rXdNLky0X3Mid2KR/uUho6wdrDdZthidIDoKRa IOKKNhdBjDeZMWL/Dat4ysTS7RYHWMH5sXgdoux0JoZFobffw6mJNdiS8K7RpM52sWnxEBvXDfDA q0OMTSI0xizkwokF3PqqpZ6ERlMrsURUYFZebYqh8ZANpEfuEryaXNXRfU2WXSXReR97YpIxaPSZ xvhT5pB+Lxs8tmM2ADcnZ6RIDv9HMju3d5eKyTKZSyulGR155xg+RZFSvZs0oH8oCgVoNQtaHX3m 843m2OvqyWnEMjOfMqyEWh3oKAjm356+bTN3NA5G0LPXbVn5CuUfM77bZg+XVpCUQfYdKVnF580m /zM3rlmvStElVTVFIxPDgUa0RZ/SrOSGyzY+0XJVtCNIMJdCoLjwrHGKuzAbNFZEZCZvKZfbDSp8 cRNXaasFJ5YqhN87CScR7/9fOY9MxlnxJqaU5hgPPCQrqJybgVNHGOgyCZY0kboOANc91949f8At eNPuRRx5fZNma4a1bE7gNRH5s4ZYQ+ayouiNJJdELWkbMKDWFEF+PbZB/+bWzL+r3GaSI9fhYMk5 rhwCsNaJs8aX64zkdl/cM269cdqgshCQ7d0C9NJcxcSwVLEB/VFcqm0Kk1VTii0ozVydvX4UHjU2 XdItyzM5bCYPGYmwoVfOOI7QXsRey6zB3ZIUFCAAmWEeVLNCX8XUwBtZn+6HRaBDXa+nObbhNtz2 yeS7ntc00mi3e7NWJ78iYpE/OBhE3Lds5sNUEoTbDDV7CC5Apv2A4I++mhnrA4nJopuwj/0sBiK5 8zS7wdDXMJkcp3OybqvQxdsYz9IEf+6/+RR/h5JGDYDKjD4svEPwj2aAMXtmuh6UChjcsU+UkBP4 dV+GwUnSgMxkQVpIOVi264N6PKHo2SEby79TsXzSodBdGO4fyRVccXgemef+hqDWL4UWPirq+vPO C2UMCqWw6/sJfpYsWKncIwt0PRZbtdDWUKitcnNgdAml2aUV2AcuDKktAM7qMduuwiuO6sgdtY13 ipcdw86zb6DzHbO7n/6GxbzptnoGU/hmN2e59iSZSRcaIdo9jFhUfNhZ41Kioeeukzwg84pLN7JW xYVHWIWP7ni2/ijZUxVk2tCdu3Op8fJtUpOe6pHNv6vz8+UcDAUD3IFZ6t4ADmJPOvM5RiP4B69I xSACsCweFG5RBmidWipVMdXrUEFIe4Rkq629t9m0XU6iEEhb4WZrod5jtB3h15sBCnCkO+1unbEJ WnBtiQa0w3QbbLx6nIK5pUN0zOg1u4kj5YjAf/D/nKfzWyTqDSblabZ0W4ViAaL2RvXgNoXb17gm EGDHbiRGrMinxskeS3GQV+9KzRy6orC86pS1J+JgvhigKtTSRdKReMEsqlwysC3xVkbSY+PvWukX 6+yBgY7G8zsPNpXQbJyyxIMnkI4jqzbxZOsNbq9aQjazirGEJSnQI0iroKq08JiO1HZZkduEaQeP +RpLhSZaia0Cleo3gKVGHwfHORx2FahJuSwIuNmOGI0vxYIsf9DUAyaLUCY0VUTGJyufN+HivDd5 GwHOjtLS9+QlDHDkDGDZbY5jlVD1cmcsrTWN+5nOa5xofTE4yrFpTXLlcU2XDNZncXmbhkKPROAj vMtnDlmMNVdNy3tMLsHmvnGm2a5qwJg5MbeBsCUkz12UPoHaXA/uaf1KvJQxGNw+2EGywr3VXwMm ssZcJt9jq0fvkFZ7Dw+8V3BLO8HK1swiwK/xDX9Oj4crmk7dw15VQqGyKlCm1k7++oiZp9qbYHVe h6l4cEDFowB2+eEq5giUmNcCF4Jw5lPTdZ6t0hDADHzk9vp3aQ6BqTvTywlUINdyU4HgpmYTRkrH Ee7VhYUpnG74C4PTgDuYKzjqGFIKmc+gtdBWwBUQu1SEqfeDBRnE6q6UU7S1PQK692BZtBp9WuVg Cqs8138YVQU2vP0fRie9qL1u5gy3HrxwNvcL/67w2JA+R5BUAaPUPLQoPwGiOhHBLAgNZ6QU3u7f 0cZGhGQJDw4hHyDabajeYbZCEYzmEGpMQXJey354Q61BFbw27X3ZyYZMzQxBQUuoFKUy0UHMxg+g jD2T0LVqD4B+0rBn+U+BgJEaiHUV8LPn38jD0oFPIx/QFe7qrtzKuxQ/W8cZ8WY6tfPycJqUHHX5 kbUNDqH1Iroq2zswOUsvdgfrm7jd0Mz9fv/9kJ9ZxdZ5sPeMtFwOUtiVgtgtAByzaPFyJd8fkEAG xqwzN4vmzg0eH1H+96rE886GpNe1oMyRbRwcus60MZTSJf3QWWWCysfMpGR2+hG6R1XEzqFXEOHB Y89FAI2pd5tk81nXdYdHbmw+jChOn8D5oJrbQWChm5+BKIv1644EwC/hVaniqH7PWEWfYaZzYtTF LfOBScw9PIhwGD1jPfZleCRrF2wsTNF48TfsjORLc52cCpofze7EIuelcA3mqDt391YEwGw9JQRC wz3pKB3u5xwdUXdjBG62jHhYaiCP8YYWYR3PURI4ZN777xXNxPpNs5EqUSavMcXjuJLH6Ox9vkp6 ChprLaM4cPpH30FOO3BzFIeAeO/2udbGgbgr2A7UhQE2gWpQiBQKq90ZytTu4DNQPpS2hRmiMssa YrrpiSADtZ42f8urDBgQgVVnXh/69MlaMCTx7ArGittVVkVo+7x4hUQ3lC7Icgz126lojTBUx2Y9 +DQswGfrJb2kjv418l0BSTrnKxMG2B2Ol3GoSwM+ogHHv6bcGcTMga14JS+Ydhi56oHRRwsPQBkp 7UQ+9YC+g+w2XKMZrtwbcN2pWs7VNIZiZBC7GKXztjziFoaA31Xv8tgyL4w/LnsNhCoxLRbuRWLw ScnoV+DQIwfCqjcbpNetxEwvRNfA8X+O/XNIYGPYr2SIuPYCd/fWukonRPCOnaJuNfX0vi8K+Kxr dT/ftjR2B4BLvhz7rgkVmoATAvlU+AQE9j7wsG4Eg8PZ9Eb8yy0vchoJ6eQ39/BSrFdzeXkHnloC BdYCSShexft6UGVL+GykV1xtidJHPPn+zp52htAK8XII61g3YH9je9XaHTW74SYPRa46LEUbu5b/ FZ2SwtQL7h3U49AetRxQVHuRAdsSu48q7RUCA+x+1aL7M3BZXnnbyVYOcZTx35T6PuYrVcXFvEhD GQzzyev7yoaGfP42wagGV6BWyYwB8vA/D/qgXWHjmpvIVcfMwl5EkzIzBbnTfFGeOAp6z4bSGkPJ P0/Td2wmkrpTkKxkVn8OWbmkQXAGkW7UeBYWIdRldhZTn3B3lqv8nhgnBL1SrmS10aA8jqnDDUWo XzgmbHs8mYWW+1HIbu0FkSnHYoANQsOiNOL0JGTEFz78Mo+D4nyvxVBAiecK/OMH7ya+n29D9zg/ tb2KtWlaHFJKng1JNbIMq5kLb7l/Yd/APu+aeI7ZarVWj4t4CCUdM0P1dXrALiVZhLl5t4JxMUxa 8a7/+pMh1vlH8WfAmRePuE+h8mxteByl/0vA7bNXd3FYzWwjOoA9xKxP06DmDHUExKTV+r1Io1uO ZQIIe39mbXZdq1yQYuGZva9rIrmojgWzPuRxBNMQ+EoailUQUx6mgZPH4Gs1lV7LFmC3Cgw2sxdZ egXDE4V3VoY7sjJLwWKttZxIN5wJGF8V9SpdMwGi4h6lbdI6vtCMdrnZXQqLxXNjgfg6x2LNnLQb NVAMr5W89uyan7HhQ4Uywkc2QxO8V/g2xx1HNurAnw5AKJRqQ7eJRbQz0dzElv6LaZZjzI1GVO1l HZypImXdOfLwnZmTtjT7+MihZOCjuwCfL0CBgEpKlEZimyx6feoydK/vLH/f5fCyUcnDu9NRD/ub ITceZAvYtJumaq1mkk4D2WXd+efvJ2RdeTkicj3jB7OiMmT6N5LWqG65o0GyyGL0LxsVtAIGPjPr nDgWKTeettIt8rzZ3IkRYh3GOrpeNcJn5bZn+6OINdyQMKlAEWNWwxMX0v0ZDJe+EXJLlym9hXqO UQN1jahlzY12VN65THnnuXHFKf3ZCjEDS7LtK11HqyCgU6i2PjkVjdJZbhBvilv2dQZwPN/x0X1n ueDSm4dq4h7f1Y4Vi7AzZg0xfRyhd9HD1UFQtqvDovs55nBfrcUQwjrCMOSpuQEIZ+f8SL1LH4fE E0un9a9YbdmiCnameNHIRqmbjmelyTOvauXJaqWJtUq6vWt/YTh9wiZrNijMti2fZWVvDh4vp3WF xrS2+OHZsm2teWOPOvOFmqa6vmWoolXLDWvsetmTNr1OOL/dNF6JUr4F6nKa2PckZMqvuZEBuja3 88wPG/8wWhdoftgQLIwanIjG10mVrbQswZpDNO4QkWmxXLM5NkXDsivj7mvQRh68zqEzqG+uAU76 GFpaQkVFum7bEGZ6X3RhXNTUJgvl1e75rOiZWo73n/1WYBeU7BGR0wCcdrMz3Wj/JgkMLzvn1rxJ VE/FqeST9BrQ5HZnv1AP3UnGPbGuC5sJ7vNxVX2/k0wHGMoktMtaXNpYV7jYOQIp5+6PGIy4KjiI 8Tof4WFZiZwrKNsACYfj5RqPjq3oHV+HKaLH4WgK3j2ULotNxRrcEn7UfdGfUrfuC8tMEHrna4IJ Y+a1jbjqojqyd+NIGe4NRAMVxaDM0ZQ4zIesiSMgpwRrtrFw+TXcSpiVoI+8Mw+f9a9+ABqIZbad Yzxgr00xOl4cEvdDfRabVbE7t+mFumc70l/NKreXIJjhzDUySNanhZ+2WLtXMoTOfJ4EuYmWbZcb qXWyy7aOUU9Snn6YXRz2vieFW0iSoW4HRLj2vrzO8bDwYyKHs7M0DciYQ17Gb6tMBTwU5n8Qw9y6 ukipT84871UjlpRX2dbDcdZvPXV9eiIHUlsI4ICBmfs2vZfkDrxrcaVZaYYIz3B+Rw5w+kJL4HNm Q8d5eB2B9AeTcxgnf0SYCOHFiIyOX2jDZLlgtcZzkTmN8kq95TSMdjPK5rVL2pch2NfzerOnT1+t LX2B+p7L4qnUdMaadhk5bqfMyKvOwzGcWrDsgOfbhSv4u30cOfDuiEiTT74fB0PPkNEHK3WVndnd 0BjAMJzwC1kMp2Qcs2tGsEn2WObr+2tqO61SdSjOAMU15S7uAIMX6kBw0niIw35gcRU62lIWlyTP 6Ri8L88kShsfml6TFZnQIiom7kCp95g/P+KAGJ6gr+KC95aKxTNvuKCuoXPpMHPLRd0kVE0k2UyY W8mwJvyMPjsLGx4egqFHEqdJPBtY3kH3Hh2m822+uOuYoFjWJLyjBpcdUvmENRuKsx7V3ySVk6c9 0qYas8ygtcY8B+/9Ih2TSL+u7ercC2i2Sa5Ethyi3gdMQqCq3+aFwAtdVcT7dG4UzL5BnsQTddCB PdcUFUGlqqccQkckFnJwPrziBoHfWVVsoI/Aj1pFqik7u47Xm1pP+w5oGWdbozgTJj9dsQb8YEIc A6ZvEv/2qt7fuOIlngfnPx3Wv8gQJN1rBxEfaNwusd/tHgyMe+mYTXtRpuICQjvIX5NgJSW/PWw6 B/7IikVqqzlnOglMvqkpXhIm+B8k77SYug1/TeFoGzB04BCxQBHVu4nhTbvEQHKQ232VR+pEoXHv obXFohZHL192WzeHDlYsCBqq8wLc9FwExwSlSTCboYKUOs7Gn0y/R1+uGSN8P4wq6SbsThwBJYYh pyuearT2ZpC/fQHuoEukQkTIgoZPy7Jm89WkG3r0H2/N2pzo7//0EkjcI8B0nRdusb540IflH8P0 STESvqJmriyiGYaixma5edsl6e55z3cNhj2+FyxxymQNojTF7asd3xwgpUpJL1QG1tBNy71GJOGC 7v2xLIwM8fvjOE6Ow+nwYYXoYBTcF5v38A8LSwzI9s6dkuCibUnAj2UO5tRKmFlqlVIWuCzbMqEx roCeRZnItdh2DiNXR2s2NUTiucs3yxgmzUxE/4sBy2EZft3yzP0SkcVi56r06P9UBxQKldRectWl F9itFc9hyyQtJVvEAL2mvvig0ikpxuEWGTK4h0Bu0bD0Mr1jmy1QPY+V8KToExyXAh4Jl3/wgvD+ u/ApFXP1wjImKw4uPB5vbgen1qXSbAUCnxFdZxLkmGgav4IDwZG4bm1LypVSjxURnGfnqO1PeMrx 07BzbmiGhLVRwUndm+vG91RjNMBgXS6C2qyQfER7TRG9OW6MjnciDwcNU6HKgWxQlaCI/+Xc6lYa DpmoIX4shR+3nI3aA9KeXh+oaSiY74nqRojrwWCkpf77bKk8FpIVFemWpkcLfe2R6Y2nly6jDg1t /GDW0xg8SrAPd9TgjrxCI2s2CJwBVth9XiylsxqCC0vCoe1dEpNBlJULvCjEaQd7xjrhGXnMBj8C 6NKnW+g/c9wWy/DiBXLVvzWbv3p96tx/PdFQ5D68jrZI616XSexeP8695AGw/6YSW5Nhv01UQ6/W YLjF+9yMoOELFj164OC6GE29ZjCbdt5vN+2uWdchsk6lZ/suAG7IXXeBNKtoFuORrtTRK7EnkAaO R+ECLUGPWsU2OxeMPUr0/8dNMSXNt2mA33U8nYxC7yN9Mmg6sgw99H5Ibv6zBBIbedEsveI9z5SV oUUyxwNSeu/a537N3MMrwYfyLeYM9u8IlAKD/3LpLmhB9HEd7TnYUY/+JTVs8GGuB9osdHsSP16u B/1ekHCWDbXALS6Cq5jyibrbfL7QFwLle25FzQN9ngMwzVEhT/2JYfgKhoNdlGcEMorEQk0awVpq L4fj7RsX7V9SMHxrENmaQ+iNrcFfvKhUbWwxd5SLD26CmG0szAhG+h76xb2pKvtCcZAsR5w0Uobm jfgHuK0e+Ptqg98nDs0+ZxvLR5cVdovmAKVq9GjCv2/wNUYOgW8XCQw0qDjblvxQBPWp6XRwUbBU YRbp+AZVsUkK3s11of3mrtnbcz/uxVvrAvarvvgj1wb8PXzvg9AeaRfl69Fqcz89IImR+2FVd60a zyYrslZWVBLCKvdbENo+O4fDMzpqnguOb+fy4/cnSzdJbqQweUTCsC6V0ByzGxjbzHgYQm+RBQel Hz8Dbd/m25cm/KuTWwLTIsi7EQByl0WDTqPqmqf1iUamzyAKkKyyWGcVBfydLVN32Y7Mjt6Purm6 qm+G2hwWTvyD+ITBHLlOW8f53AnB1qW1DihCC8I6/O9NgBz8G7WIGhxtUmcQoeSAQQ624oM0q5e3 p+jbennMSrc2VFuC+7v7eNIBc5VMISqVMzgMsKep+d/oOyJ6l/1XoDo97Z3U6rw8Pc/1kAO5oTTT mPceXzdRRuhQx+HqXcNVqgce3Hkb2MogPnjZD5J9iMSquiU3pgTVia7W6hny5elj13GZrq9zjp24 g8hjTZB/ofHOiA9LLaNHUEIUUP6TuwBcaRyy0lzi8xjTgEcjywz+iLa8KhoqOIBMmzs4l1O8ExGK XWdg8lKI2sh9OEnvq3Pp6k4TonEj+g+p4OyvMdj5woGdOC+TiT9ScguZShJ2Tp4vgJo6g0J0UzFt Mce2kOpRCajanqUwEhp34Q1Gy4oPjLQYS0/H1Xjm9I7iBvdEr6FCdXvtEKlEvfoqJdw28Ma0SNCX F2KsGXIJX5VHAtwF3mVs8gt7gHfjtIo69/dtfQkbrft49VKcwK65Sz6kjLXNE4GjShghgPtkUxBD qSE5kfyk7WV1QHBlkyHDGgz8DqUSRQ/UDZAKls1qLtOz/Dwmaurs+9LJQ4CP/y9bD7pSCTwNpxvq agBAYrk2f/ZFVUmImg2r8e4/TBOWPGgiRqtU8BJuKvPE9sf0/HDXjZDdzxzQvg0sq1Rc6djeX6P7 KTuTU0JODhuRUwN4Ktku+uygRPiHIrZufL3Yw5vSOOksZGM7u6h2v3aQownNf8PBVNSFqvUJy0/9 arChz912EQt1VTKri41IhhTgTtHABtHM3/4IsAEVOwYOwS9a3bOMKxAHdpbDizB8tKgTw/oAeRHu Tf8kIslrfscQGJZMVYAzC9Z90S9tliEQsrho80Uvdua4wIalqDuUj4iMu4n4/T76N9Ou2rHdV8EC eK9L8joOa51f8nsWOM+VUu69BrCRXNHKWvhJdek1xY/iPn0XCkFiJfSKWp2WHpVeatTlpPKymuqI 7vjPQGUchIdt4UbzE6w/tBxI+590LmcA44DSz/fJfckHVtAATbVyfDWGDj3eYdCPQmJT5YfX7h+c NB8y72oCP5em+tu3557WHa5KKK6DDO+mSDwdmYA9MDwHo6Oe4iqh/Iy3j41YvXgJzeI5mtr69ECJ k91aBLE+rjOzX101J/ZbRDc+u+Gsl3RvWadA5Ks75PRdZ9EJWxGlwTCck244MRbxOWkaVzwZDhXY zMtq1/z9Yfp0BPwADUaMUfugUReSqRK71ssECqACqCY1+kjpUqrZpkdJde7jk50skHe2gD8/H56y wuG9FZrVl6D7s4FCg5BvmI9MuS1uzRlCAq5sfNGhbpf2smyCDJdogXC7WnC23UkddkkZSI9QRH9Y wuU2c6MnOAH9mIS7S5yrJkglyfF4g+8qYL0Yi+YeGcmrgs07YhmrrlpGMojYuDF5f17jyJ1Od5ke +e3NjuBDkweGrQSudvFBL4LIV9ARYlNmvhlaxXSz+NfFGiJbnyrBsihcAVe9fIE62AD9rOXToFr9 wPIjb1fzRuqEjvaglxJA9SXSKGWPGArGA7G12xxtn4iAbYyAMpmd9BYyUybEM6fPs3hFsT4p60zy FTTceFRfMltE0mAK7X+Ku+17Bw0Z2oYgkG7+mIvtjNCyiV/XNeUYJo3Z/Ei/jRIj293QZNtNdRcj ItxfKDVOjvmr3MwnwVR/vbBT3vxwMquo7CjIP3eSDFBehgYajsVW/G2s+02qeEx7a28QnKsIuhOj b9hXz27+FOiSrU+MbStsm4fIxVfyOQVkBNPUs+fvFm6B5hb+N3ZV49s/RrspSiOlT4ljHXZvcOXu skvdOq81ZiSCStl+r+BbGmTryvPK+xLQA+dVxb2mv5Kl6Yjf9TqevHW5aIyJAIZwWeajdkm8sUc3 Byhz7DiwVner01iicd2XzAFelX6C3Qz3Bo1UTRgwBpwUebHxVZPRpveW9pa19YA1EyfyLn906Iln cZzFuQEDP+kOfDZVVJZtcs6SQ2MQGAsxi8UYon+zES1UYaaJ670xpT/VGk2I2aVvOTm5Kox54zO2 PMzqSvNbGHyyxV+XHa73PAJCUTvsNh/wBn4Q0rP9jgSaM6CJle0omK28mM5PG80MU0GZVcvaep0r GdNvKefLIxT5EPp800SoziIO+gdZ7eGuQxl84RnerP5YF/p9Ds5GQJUpu+qnX6QEiiSzzg9kwNNB X1OnXwlsWyOs1EFmQp2lpNBXpROAXa5hmKDwOP2Jnahp6tbiwwDHmixBBwru6dYstcugMD/A7k2H gXARK28Bw7l7ZtVIOiYR3j027OMKwu9XDbwKl8OU2+W+M0W7/+jbjvRB9n4mypFFTNDQXbrccM7W o5ExP9z5WMvZlfJaJ1fB27g5DIHxTana/4vYX64gfELPak66GxLpqX3I1IQK3wWasTdh2FDPaQj1 QIElExncVQZ13Dp6F0QRPqs9tJC3JhubFmjnWSdvVeOHAI7mqtWzkRAZXgL6oi3wPWY8x24phnlS BiH5EjvmLO/X5FzIwnleweUD1xvbD0kk59sdkGDPCvIER+Z4ET/NLyj3lYWl1zivUNdNo9St5eLe gA/v3Tg/1DPuEo92BzpUsxfz7GcmroZi8cedwtITdPNsZ/aLF9HmgN1TEjKv5mwtFuruBMmD+Weo mqaJdidGOQ+3P5HcdTjhA3H0HLpKiH7AphZ9wPFyMJsPh12dXYGuEkjK8Gj3vW/k0c3UQux47BwE 7lZeG8dQTpCgMgAfR9hwEpcDqShZ6ZFgRA96b06op0f+B7FwioD/CerUl34XKJ45P9QyOEf8g8aU czbI3Uj/nqQoyali16W7innrDp7fXbP54zUAHmzg4/RYyUY/+xY8zfxA1+/Jatp7bQGZlPEdhZAB 2lOlxA0rvRbDPEETbk6lkY5+Y5SW2Y8diOFIeQHfHg1yvWluuUD4pd9OFWL+xhLNA0XrxtQKNjWx 0UbIkEatBg6TTM0YitiOnp5a1SQp8FYQXYCy3sp7h3omXSZxp6J1sQY2UGh9w5vxPxCaidVu1Ybx gzebj2IZxSa5y7nM4TndwFINkMrdR5Eu4l7JUxCo5MaGKMIspKvsIUTf8k/mLWO4GEvsEo/FvZLj EdcZZIiL/XUV0EUvU5L+wtTDxr7FpTSt+TYR6GQ0Dv00XFvpS0/QDbIrsweiLf5JFfX5DSAA4n8P n9EV+AgoVBAjV9vVK8Z829imIT5ZogBrSvcJjt+yUMDc/Mkfudt2FFx9jY7BrTyBb7A9QiHhrOuI 5K62GD3rXZQrjWD6bISpFz5nZw6yBosrepr2yRS+jLGOsTNc7dPCIjb0fgqwyjzIVeeFqWCsn3yf h47srtrhGQHYgrgsjgBixlw39+CJYvAAZMMW2sKE4fWmWYTpL7AFBG+dDIJ2RTM2BJ4jPdcNP9CY XxECITJO2jVdVQ1Q9vYPeF8oKhS/zakybRyz40/zpZVfNcPJCePjuPMzzASVPJeS1vINsmq1d2zk c6kXT8/dHriq+wMgxqR3ncOJ9dH/0HD4D/VWacTN/ALgRCNUrisFHS1Z/uELBtZ9+B8I0V5jsV6a Bon1ACazxK4toYreDfWrRml6fCBYRlZDzRedQULRAUjCdnZou459eHecKlFmZul3VrgIHanVA+S2 4M0+yN2A9amcF5xA8eQuTeN9YBI2XZ/HSI+DymJ1xIPvE45hPZnCjNZClYVlAHzXxAau8MSvX2Uw o7Rvj5hUU6QquHHWNqPVqY+pXhxJUUFIcSScXAcXlieGInWrYAi0e8e857UaTkcJo0A7Zzeh1WAD JMN9KNc8XDfWaUX31e+3MHMCufs4xoUp47WNR+RW/RSpu8pme1RbqQKTW/ysmcaGRCWtQcnpc5fa nw4ISJ4fQyUNZEmPJLuOaktjez0QtlhTyUy3ESumkDT17cpkSr/LuqAzhmuORaxM7ZD9roG++s2H 7wf1w38zY3cXuoRsyTjUEqH+6X+c2ODw/Rl0cm59uoGG2nWuczfbFRuNIHG2a684zvaChIk4gMJv nUy9HBgznw/5QqqvKTBD+IsG5mnVMLVJ6HSWmalDMFmIIX8J2HxfNObT5zwNME3MqM8xdTLb4jSC YrjZucf7eNIMjptMzfKd18YSLEu65fwkTlwZAM/9ztOjyCTNPhF1XN29sSRSJSRtjnEVLyF+WI8J bG1ml5IMYyR6tj3z/5Zk4mHhCfnnEiWFRIywIhKJS+y/SY3aEkV/U+XH/J078w9dihh63l5ZuWiW RbwhpDCiNHca0glc7aIp748s5GrQh5SwY1lqf4m/0+PKrnt7FaODACaCMZXtbq4A6VGefiaUBFeQ S61Lzf8Z41xDUkaq1ltaDWk/h0av1eVrtRS90fLcd9bksgXNQ71VbnkvFhOICQkG4C4kz3Iw4gqe NWYAxLNdKaVj0cXtvq8x3p7wNDW5OmM/AgqBSJUeO0pWeVRDroLT5APvVGULhT3QyRjiENMCDPLu AHmE2NS/Xo80d7c221qlyc/IDuTIx2W9nFrWdEbvhQaE9k5hiDKnjmljw4QgfLnQV4EYWbpT78Re Iem00Trb9AkW4KAVZsBzbbYp+oUn2gurVrL/4WkeGLjdIzxYjiAPCkN/vWy5Lq/tzwhtuV6GvNj6 kPole0Ke+zJtshUDQ655aHjY2xtCliSxrOhzsA5h8yafAYB9Y1wDul9+dto9Rdgi86y9uWwVRJ8w Or/HFp9VoNSvN9QW1aYulJEFxLqz89yHE/6S91wbBMbZr+BgYm4fTZWaAeHm9h2KaZxFuJxiAd44 UQOok55to30l/oR5e68UDcjaLY96ScS96dbBce9k/6oRG+oOEf591GWRSXcV20o01stVt9l/G6Mw i/9bbSFQp5102180T/QIpRLCkt39O51kOqYDmJ/VjyOJhWDZ9GJuHZZx/+0kMYewSLrI3WgaeclW HK0ZGdtuKoc5QYIQ26b3LZLnWJ9eh5MV6d/VkFY4aBQ58Ha8cI9CYxugyIhUlfMBoY+1TrrWKgJq 3j7f9qkTDCWQW0g+4HKSZBS2n9J541TJTvqI/dnP783ARSY0NOthR+Mvix3TlaWprjRqhFxM4OUe JOcwXf5OhmbkFMg8IZZdINRmBEyWpWDp4uTjcheNC23/OaYchdDKrJzgn2BerF2QOTxcj53pUkSW +9GTbjqAYf6CRFz8s2/YELBV796NoBD4fRiUCvNlRwDzX5dRgqtZ9bFVvnhp5KiY1omidzNylkFO Lju2EnVkWwRPFWo8OGP3hStis+ZlDdvIUijFw0K/S1qZOrLZQN8TJYr+u+Cferw0ncyIwj2G9/oK jar527x9Ctn6oDBIUxjVgx8+yImwUiMmRSmmuhCqIG1pgyPFGWpGgGMZdEdlKV3JzkdLL8sT6Fem KcIs+p+XlusDxhRLYd64tfiYoJ/TDlUVg9jAiaFAMfxTRSGCz+HOXf1CCVDe0yFXJMZ8A9WucG4r tjXoIP3xAAyHtnLgu/dvf1xplPYaRjt6v1BYGHXVWU1cHwvdFYdqR9Zczxy9aO1guoDl6iMW/Qtr m607JM0OSbPiiwZODwhKBaTJrKFSJoas/+uWb7F8mIFg7NNgh0dJ3toNWAtXkjnU61oV0hea9C8K dibv9EwEuyixHqrTUA/7P0sogFDDdSMyFp2Ny6zwnB2Xq6yigJxmmQ5Z3W8/YlD1JUzWq57BErr4 TZsXSHkT3W1OfsXP7jYGDY+IXxyS9tgBZv9ihA+NTC/jHsy1hmi3VHs2ZFEbzxfd16O73B/fzQES ti2NmO/sOo8melGER6IfsLJS2Qo7iXKtoejWmQLjqgn6F2U56O1anSwj6OxA+AO7zkuGeJlAL7ng /aLu1UaprBiwnOOUUwDjuYoKUnjc6Y7Rc9cIr7dltnYeMBfjhMITmWQjg/u/tFPTa/Dl1IUN7Vax qWltu+aAiGd3Dr+9Ecc0FQreAKkekJFpmhw45Td/Ap/hrH437atqiK/4sE8MotMfSGtY8VixLANN tYWAZQA9QNGVRlmd5ivuz80Z28UhW7FgdbDTsINOPxYh6VcSdXdQWGEIWfXdMI/mO7oD3yHSAwf1 sM6ebYk/yrrvME9ljActIUr/1U9QleDsh/PSZOqAZ8l23cpbR0ADceOgRaZSPmlcVVXDDLv5WBJy d2OY4eceI8IPIakis8yxzOcPZtGgAoKCLiU5STZadGgUzTvxwUsB2gc/vsSVz7ysXf4+Hx9N349N DusTHe9aH78kSjU2ysfNqfsNszk43mGYbobMm+t46ffJZ3q4FhFmEvGgCKwcGiy3JC8guS3UHYOy xYHw73eq83fVtnc1rNGujexOO/dvy5KuJvU+D5Ep65U7sgdlE7KWfq3/jXUlj/TtwT6ZsysON8zU UKiajirTTACxWsFlKYtwzno3NKkNjNCML5vYNFIbvkLbMgnUFBbe4+ahB3tFmbTlvzX0ru2n2fHy De24Wc72CKRtEOpSEtq8+RY3PPgrD/6St27wihCUlpXv99RQOvRZJ5YfYZP0IiU+IWHTi/tb1RVe AYF0/tPjM42o/xBttv+zq4JGvZpoyZTKTjeKEyaVm6eXjhwqHnvGZ4eRuJ1sk6DMcTMyMByJ1yxl U/sVaZJ+y0RFpGQVDvvSd5ZCHZkLSzkuj6yRNbNMxIlLEvdVauch/OvS3q8ZQfuydhn7B4Zr5+Ch 7GOcmm8C/YzYsdyXcUDebk5EHrB0YsMD+MEgwjmvUszQ60B3vq92exeyi27QkdI5nx7EtpHI2bB8 4wBNZX1WmQ7c75dHg1ITGza940Gj0tYbj2h3xOmjMyesSWIKqmpqYlgzn3UnqL9u5O1iMOmYOMxq qid1C6y7cnuWEGSG1o1mdeT2VBd2q7453jaHjhmNLiYTAqucPru1BDwJLQYcCEOpNO/ckVSLRF2Y vcwKzdmwiPzx/34BuCkebawFej+g66VMf20PRtI/qQWFTnvGHqCicUtnsesD1xJgwv8iMcR538iY Yhp06e8K5DvwcUtcywMZpTE4gaJd4MHsaABgzxgc9J+MA7QGROU+an3Dt4nWPASlxREFmYBT8ZHA Czgy8QjD+ZT8u04yMvMGuYf9B8Z/BP+/YgHIrT5xgnNEJgCcdodVL30IOfoQt+zJyjkEV8XEVIhN mdROO6LzNx4LIB+kcvTysGEFAlYgS9e5fuuPsBx+Ar56o2+9MZALTT0luP/PTwEipH91aAUzys9S ALRK9YngUdXDVC94fsklRoZZUpImoWbx7MZ2EXR7ixizSE2gDYWhxrdhNHjUrn5+uxlfT2UfWj9k pI2fE3weFkdho/QyzJ2IHdegumsOsNnV36LBBr9SnmL+CgmeCfGJyUU0p0Xh7kI8i9lRyTKP4VfY GNQ7uPaNfVpaty0hcdII7/khzUQXXVi/1Wyj5ZHODU74SIHgH2eJXpCXxobk60LPuaAsdguxUUlz dya4nBPdA9V1X6gwjYVHoBbn7uIwBSoON9sAv+LtnxV61hgBtkPIhgidmqUXISaQKop8EHSzDYRa QQ03wiiy/bSM5+y7A33bKx91EhgOz2iV56cZQIWpwV/tV3u+xdITzAL/ngaN8vu8XBVZnNbJDZ52 nP4JuhfgIULeZSpBF0j00maV1SHsaCMHfdkKU7kBJrfxtoZ86KkGNnZ/5V69veySNUwu2Ho4OTdz 6VPvGgtL7Yp0LDtsxUxuDIM0Xx4BcfKoHyuIsIWQHGLZbUpqDs0EBe+qgG0wsQqehiwMquivySHr 4fF3z1e/mh4ONVAQqAS8xU22IYTrfop01KNea9X7tkG390hHDfJ047rSO+jteajC8sA4M0UMzDYm cU+cRdrH6DgkqzF5GCGYKCpFss671Qj6LLVVKX0zeeb29lK6cv/82mlVgWTQY0zA/vFO63Gx9ImD 0RDJy89iJ0thn9xv2iuPtJ5GdrtmZv2FAbcYBG0XuaDGx37hCNLTvlqS7wSJc0gV2KFSuzJg7X8M JYHDb15pvrr2ysV1QTHVfbkGt+QnwbLoB40wgSqcqyQAnk/stG6+Aj8oaLfDVpp2p43t1hckklDN ISHsL0hGl+6sFOlcuD/avK04QWoWky2PUptnN1Z2L0KUBs2o+EgjQzoTIIDKqAxu8DbE5mLjdC5h 5Rr9wIDW+joEkp5QaZ0RVblKLZCbaNaSK4R2PREGOekulQ9sU0VfaZBzAIOIOdPNfJq6gXZfTuy4 JzTSFHec4J6PN91ZOnueHSPF+ohwctg3AX5EPzX+3HiLs8mjkbzFWRnfpgtehdRI2QGKB9+pj1SI IebuT/iQoMPY2cf9hsQkTo2XG9u53n6LGpnf1XdIivVlUu3s14QC8ZX9hhH0ysvdHQsQLlK1ZFLk D1x2dNpFdkE/zR/YMlccadex114TQZgJzYvlQRsOnN077bs2kCTXDhP2zwFywWdhwaf3MdmAfrix zt+Q6rJ3GUFo/aZsIKB6LdbTXXMFzfVKyyDU625Yfq4JEHx3Zj9Zp2ew1iFQLUagwObYOHsCu7hz lpVnkazjAVg58GPILElkMprqFP37M8FKhCJ/MJTtp7tNA7434L4I+gZmdM49uZ52K5hJyupkW/yb HDdgERfNU3hm4gZ8wrMHAyzOjxwWLgdpUkF0WUIWK+UGEi+ci+aZle+7O3BCy8XmHgUehZtpcGQp u8R6cF4wHCVF0S/o+EmsjOQClZIOBXaZtQU1Sn2Flvgm6G8DLjueGG0WLl1RL4xxJjqDym371Czp Dic5KPhwlmuzUdBLwz1oNF2zYL3m5fBX/3AmbkqNzMpB7XgIPYY1xk9qUxSRcl32qTaXZuRVa9pl j95LorQ9ExM/89M8/fn5YwYqlQs+hDeTUJGB8zbdiQaBaAgTN2xU65T+bW6rRiRGlBNkNh7VgQRH V2mYDbTCFB9mxWWbz6fKRYs73xsITHfFTZ390ptllRF3GMbAsZA1lnKlbdGGR5Xfn9wX4wm3XD7/ pSZID9Gdezx1wH+i4H32uMZ2mOsHVz47ft3VaKa+wy9oU3rSRz0j9A20pk+DVldFTEYhV0Wg7BY0 TqiNt5ld2xFhVBVhqT+rEUhJWL/bR1c0BgCDkvV43BXCSs5b+h3eANFEebhyJVXYpr0/32uA1BO6 5ldcvIiKuon7TgRCyUcn/2/okdv/M/3gnx/yDd5XmXzo8gHFs2mgboqhzZhG++OQmkFBkxWsAM2G VPoLc+7VT4KITtZeGF8WJsBe3tOL8P3Hlwpu8G+PQ407LqFMLwnK3Mo2gcLZFvSTrYXNFR9z/qrT gsXR45Tn8MVHfPCHTrEnrfyyFCeTYkAnRKFtz+/Iu+fbTg7SyHwLGbKEBsEerqxD0dvQ+dhLVkdR Qe6NyXGUOsjc3hGafmN39kb0/MkJN25vC4ZPBPM9VRA4W7nYYiM5dFxLSMUxQDYvsrCcMX61g5yQ bXYS+iEd1Z9ZYlu1jq++kPzapHbQZ5IsyM3sVxJtyV/5r7udL65j8MmGg/4D/5bSC3CZ3TrI7UMx zamzzg2hgLzXG3225kWXPSOYZhDY6u7BI4JC6euQgDeZ2vDz/WQ1+XWIQ2vBdBCalLAP3anSynij vEmzQN/bZYzIP1AJE7ERsOtIbm5fV7rxRfdlBkek1+TkmUBEtu2/3G7tn+y3KywdWNf5J7ySm28c pUDabxPQNxf/csgzyr2lf4yJETo4KAQfcWFOtSNmb1GweOeplTovS31MlmPTyVQIlIdRZXar1PZu QIfRs8bXMMGg/mw0RwmPKUMgNVDxOHWCQU3nSuDjvpFxmld2mKXDGx3LIsp+RgQ4wG7aA1KsmRbK g8c1q4IBphNUpn/PAWoRiZEtXbvACjk53FY7tGHHqV4pyok6f3ROxghERvWc3HbvvX5laP9Zq3PJ U4eZAM4B2xDIu7QN/+NSns2Y3S004aI3E7giDgd1xJkZ01JkWzPpDycQJe5aGSgDYfqNDNQUUh7p EGXtULkMNKLp/FYuIJrfQzlgyYq0xbA2cA+yLed8SwO8PfHBemyvMr/Qd/TgiGqHWUqwCdvBK9Lz jedKbTo5uNXGCDB6hTenT5DW7XWr/gPg4XRCAUsA2FRv+8LYxqYc77qmxmhyAo1Pn7gvtEr52LMa AquBRI6DgRphrr5bS/cklY9eVIENVRPSr2cQHR78homNolxok4FTBWuWsvM7nSECHTQKADOk5mFE 0qBcUGiFD5q9BSMn8NCZ7bc8GyWa6oq4/dd9/ZZh2uaD9dZQPMEHywSQuaocrUf3eaQM97SylNch zTgHrKmgeOxfzQGZueFNd2P6TALqmnNw3ZbTIfwn6o06l/MDqRqYESxDEzMdW71/9Twkcs81n0op 0PV4l92tvSvd855+mO99rFsOSlF6tsZm21XblMwFSalBHUlV8UVcVYw7s+w0Cz0mb8pJ/oc6TsX6 DHVEsalmpxSqCLUzuJlRaCIjghqzY1vWOG8W5sMkxyX4g32LLkqvBkHR0hJLJTiDmpzCrZgfzXlu sEaAHot/DGMY94TqkbZgjPwmyUaSWkWVBt1G+isGLjMyhrGPsfJpHLo0+hUtL7EiTYjuzSHOQnjl WDoTbJKIW+ubqjKnCFXqdsq3Sb+3f+XJ0EvanAatZQvgS26ueDxS5/HRxwLaaUlYfQ30cWO0RU+7 lpr6mVM0E2iJ9uFCFeDmSJVIvKnNbSKdFFZWPwYWMBM9z0a9Kh1pOsQORUTTDLPVl1hc2njyNpvG tGIFvrCBCVQCx0Bb5jUO7MuL5YBPKDMwMXHO/ZDQeD30IUJ4lUPuMpRpxQPBjOekYsnt5AXVR9Io +XaKX/qdAOLTltnIp0r8IOzdFhFV22ZQQe/nLjUUT7Wwy4qwxz1MOaFJF3OGY07MHbxMLKa3egM9 cdjP/2Cur26WjsPbKwvlN3QBjV7FuaYLq/2385UM4HWoD7EpnGeBFjEPu2QNhjCtw2HUVcR2N2iG rEGOCd0vFmUSYzNCYrjfHbLCz2dmwAjvybg7Q8XciPGmvOS7wEZXnCukO5nz4O9Gl4ZmnfxW1b1S YqDUcitA10LrXxUzVmMCgafnP7pdvt+GhSXQn9PRlVWr2KqZHAeOKrEO4bWufqP4YbiGqzIOtAV1 BlX+wHZvRoaBQJbz92g5SH8UP9nJTqFspTVQW9MjjMrl4pkPcUwgJ6Pbida6QNQjre0eeLkFmVnN WRhxAcVShM6/vPru5u4X/T3FJDlJRQmI6rxydL6HY3iwdMxsR73sMHGauL715M3HgZmtz4XUFd9r z8zdsuGCZTrYWWgb7ky+nUik8n/SvacGhr9PTIYshpZlFP7ePIlLDR/RRrkit1F/JWXzVoW4rjBb jGGt4+uVoLLXif1quyqKJwofB2EVG6W4fB4uO+ODbzO0lh63XJ9gtxvaiE0FmNLyK2WNVmVmRkOV J8t3EJT1xhOjWapgCTs9NaJBbYRPApmuxwjj5EYBttCIivcsP/Z+gS5KpPJpPvRbc+o6XZ+317J8 smmseRefHUv/7GLVCXbkJDGfnKiDxnC+bE6OfOSvvP8nYKMJ/xQxO1GUK+lkxl7yP3zAe1+TOEvh mmhAFEu0++W2c1lhZ4eqWp4VRktLXWf0MsWUBBi8HRWZ5SMoJVSXREM1N4slqWLb4KCB1YZIMY6+ pw3KlQJC+y85mXUfSta0bZj+uq5ChqebW0xVnttUn71dVOFM9S3EuGX2A3Zzzf/Ut6mxPJsv0Inb 4Ae5bYdyksvQ4xz5zfsfhz7M3HPFrfU2FkT/v+L7L4f85mU22Uz0LwdDKpNN6RiGCaRDnANjNyRu jxuKUef1DyXvpUTynOkOb0/aNgIIek8+JwzbGiv95WlrkvPsFPD5cYPk6FQU8Jl8pgn5AlwtNHZT yoTIP9aCFU9FsuoQJHgvGJj4v4OsgKtC/XEz0Y38JyihzsIhJqu4XXYEJlSkx1mT2pF0QXpmS/9E 5j2bC52WKn4tjQ8xaAzVpQigLrbTg2596sO5qE6sfeQ8wRgeEpVzVHNG4qmOExtTTP3vFdPmOP5K IfQnnS7b7Fa5124SrmCLTlqcAY/EwPGvKrOaXnufLqDVA0NKy7SrxQ0Ql6llMX5YcW7TMOWiO8KK tFtFuMCX/z2COxki+k0rHqWhzwxb7CIYcZAwh4UbDTVnY6No2uI1TMo5nP8RiPJthUSBy5uBLDpj rSZUJziZvCpiyKfsHz8gnoSBzxpCog+MxxUTqGt4aUo7bIwI07LU0pI5LE8XBNvJElXsgfodc026 umCPyTdiPTY4C1QnUy0dos0OXCup8pLowaoEbOHGviucuoeuPv7iqx+gAZfjPHdIP5wp8hXIcgbh vAAoIw6kK3MPK6MCLfPJ4uSiNOVKq6OygNKA4JIBv5U/4nKqh4LwdNhoth4vF+IE7XBVKaBZitXb ADSS4acBYW9pMb6LDNu231qy5nvD9j2ywUHsY6/GJZzZLAWAI1+hwuk9mVtF4S8IncrvrCGQKslv 0zaiY/iBEIbEjWOJuTB/Zt/gn/Ei4aJGJ7BnPJI/uy4BYGtnQjW/BKHlweyMJ3dHGLTbv7BrSb1O CQTZ/jku6BJyo78Vl6+Bnin1bauz6nl5gb7gXUHe/JeqZR+4HPEI8JZvog0v2wv7GSIFRmznGTzu qNILIqDD4/xbY8CkrO5kYPbV88npr0FQPQfaHEFCOkOR5yHcHHsbjhn0VNwBgXNXLWjJs2Ptb06b aNmH5ltIoFc0AmZ3ZFk4D2yRmMbNEprj3w+Men/7qzcR2H5QsEmAA5vDzJLDIyzGxcKckS+YgIJ4 o7QyaZVUXh3XVjegYMn9HsWzi5IunQOYaGx4Hitn2DLVCW43ESYv42KL/mYgmYU9gTCyEakgRCHu Nft398J3VEZasIgnp//wHU/IlyFK75EbsE/rlfqi562HtZwUKHH1qpTCxvgpAQDRlQA/v4oVlVyt PIObDllt5/P/M7K4tNgVmMC2Yppzfd/ubuOd83+uyPx6b+QYjqQwP+zj8Qn9s6BU9Pk8ttW80qam d+FlKqAvuyzT4SjqoFbmlM1i+lZPOOcWE3dNVUrM0JBbjWRgoEn7E+Swu845StTluFQ296a2j3e9 C4Pmgh6eSjaYlNNNY1Jzmu8DEyhOR6WpFpG6hdfPPHC6BlPMAdJs6zGWt+L8rvLbzmKZDnC/Vez1 R/Q6cEEeafdTk1fKBS22hUlZT/CTTjbEBHS/myC8DhXSq/sengnIv3ORSaAkh5PPJuatrJMo8jI0 AkHNJJt7jEZiOGYMnZIZpCR/aw+crj19vWLQyMj4AdeOFPZMC+EJYXJQJ5AH37EQ1VBx13sHepkU d/I4EbxRb5C79rKaLnH2uUk93OMyd4vWgB6pGlV7K39y8PK8qlk1k5dOGV1X0+LSpZd2plz2l0E7 +Q27TnhkCZbVzFVm8E89sIBXopEuMzMeUv+RX1Prbb0RulcydqOL8imcSbnZmAen27PbqcHFWAuM 5o/EP+F9CmezgpdDADJWTeSeR7uRveOplkl+00ZYL56D3tG17jc3dybYWTVcGVc7AZoxq3exttsf 1tpvMquKExCo2tNIFpXzcCsB3S7Dq9hzaRZZ794KzanpKWb4Z03fXkdkNF84OiEijeh+/LigS60V YP3A6kuTqZSyf4EpCGnEfY+fMJ358JCH5ZVfS5xwvQewhzwijo0ttPPqWzBZvJsXhQ2x6LcbCVZ4 xbZ+OwKyTvYMUnV4ejueHYz0xuitCLZF71ythsy8YWpTlS023y06mFRcIrTjnf7odUQe8k6jEtaS XoXyufCqJ6FmSLSBfxbYtxD+KdTYYBRayDtt32eriF09CKiuzEPoMZKCURJ6IFH78qUDYix2Pjd4 sMTDOxQ+T02vK+zIzBRvAAlqcbkEZ+2iVDEIaqhA0T9MjCuFLhHBChfgvYPxOsitVghRktYD7hpf yZ6BLQbKTdvstyObb5giMRt4efvjL8mlJj0ROV8ma83sT3YcMzYUMD8Kd4FRWhAGGjUvSsp9M1ys 5AGP4p0bvLcrauVkorSSxgmBXXFrpfT8K/G4tr6rtkJDkDvOkgPelQx7HVYfUs6Ew1FKzxFNI4fZ 325KwRfPQPUyF53Udphf32TrYv4XoFTkS3MsTP/IYKrYBjn6iNckemC74AnP9i5ZOz67ve3l6pU9 VjMKgmgYHqqiYqJjG6eRBYaPpK5PwimEk0gPV+Tpauarok0c12hpfqdF+d+VXT77/5oTSO84xXvL qPylgYJugdN8AFE0I6qSADo8erLVTKvjU69N3e3wsE4DRvTgmDR5i+Pubhe6Npfw35NKuHTatFB8 zN0Z72Dhi1OO74fyvlGRvCA7Xj6wHdpyc4j2Zd8NXUgllCGp3IQVW47iYFUU2fBn3dKwkm3T+ICP L6P2wZscbEXJoTYvRZx/rBZVioR+JSmqtIkREk0MHMFJHEk2hg1r5NPV5S85VG5lXtZmtGmrIffr By9VutlphJ9j1B/P2Q9FuRwgHvL5PJoM7xLwGdz6TYg6LiIIReL4aOsSqMULRHJKQ7RfhEOPwCKJ wbAKbSMcGf6+DRabKwgpMbeckOFcN4HWqXLk6TJk1PK/M1TbR/4KxuUTPQdvlURna90T64AOyWTV 2awe3k5HGwP01HA5lpt3DuwhUszuErQMw8r5kY/1AWzX3NVD58nlHTufpx3rv9arUldo/P4bbgYU KSnVkbZ2DN9hlZUVjbhAWJsUYwB5ZcqzJ6fEB69hQmq1dfxlRwRIj6btsrqxNJSrZ2Fh0vzuE0fM fJ+h6PJZaRn6zHZgyqPIzjZET6QPunvDyLiwxWi7oWkzbaCqvP4ew1ghWurBQQpdNXQPy7g8LFWy mtd0hF/tgGwByvoYFErWAbiboKi2GZ7pBdIj+25xXjU5dwrp3kkLA3Pef7CPq3nhijKGZGEZRpUo PWvp1WKv4NENGAoQRVls5DClivtxfjTYV+lNAC7l4DVw6GgU7o1CDJsqWKgjicnXIvjzLRXocOnX PcZGmI2x4c9OiL1G9GgIlMX4GRZoy+4RRWbmu6Sj4JmP2LYcUlM8q1+tKgREEA/VcfutqevHieVn TGaxJBW60ml5S396crNVceFeAE5M4Q4+qgNIvYwNt/jAzC/L61xn7KiKkK+94aeGruoMwqvBYv2l PcaljkE+xfQVBfRPSCyFKYMD5VrZoLHM78p7vTap+WlK1IDQZ6JM88/RuBMZ1m7uG6GjebdxxjGy rlgOO3i36SwiHpnX/jjMZjhAfZn3d/N8qA50SQbFhbltwpYqfTzeZNtkjWTa57PBRW3J/CtMWhbT oQh6XtqBCQYGp49ynHEMj8YC2iaBEnLoBsKnVSEp/6j51TE0XmW/zfYxMIMxLBH2aENnb/PYEWv8 9GLf9mO+zOsflON1yf1/Ul5gwVm7qgFjqxTM8VwxJiESqk7979rfx05O5f86IHL7rSAo8onvqaVz hQwmMZQWX/Uh61Iq7DE/P3u+IdzXnRE7veUjngq+IlQoSH6FPPNuQ0BruUVoHHYDIDzQcOFs9S/c MrK46/c92N7Mx4p8e8sshwjGXd6KSd3Uqdicwp5oqeLFVkOVg9vFSxVu10efBFrNsK8Z6nPjXaxe SUb8kgEjKEduXW+aCexjDj3jQqMSn9eu0Nh4ELetLrElEHgN+dSKGltGqoScqx23RLZUBKcP0GKw HUu43YXFclhpP/qsZcU4BlcvNQiGAoTZMhGcABebA/75u0QKUWmj30cB28x6dBcmxmYuOVNN2Nag qcKrWI4+cZbdh94sKpg9nblDQPEdRa8w9KrfJDdTtyPK58kcjtlOir2ENGaf5rmyREqEgiLQ/8Gm l2WSpyiOZNPDGIXPxglvjH6AbYApKAfQ/FRG6ntOwIwnslL0FTbPzBYxU9YyH3k10LZNMQQNnv6l Vb46wtB/t7G5nLdvtd7TfaB9RTeij0lGYCaLtGXTye0eHjgLyHtG/3sR+CHdos6kTD7YSwyjhHJ+ 4vZhzm8R4AM3OogRLzEkrRB7VfCyiwo90NMX9Obk2Mq7UiM22XeP8zTFJ9FskKEnJzo+kK7lH8of afL1XIfsLZadPV1b+c0UveKWa3tHg431cwP5lqqMw2X7Rr0abXmD1jN57u4cpem4kPVWLkcBVmju eTqgFJDzYerMZswGaeNm+E01xNarweG+UFXYniz6n4qVIL3A775LK7ipi9UCcgNcwj8l22919efk PQ5gAbCJgIfcXm4yPX8rOQH4DZRQHNswU331LXzmmEpyttBAnfIGl7FUukEsvjZuKcM9vPhoEGta EbO2fouEORRb7rTrFmwUK/SlC21EhIhmdft4sRlI6Ynn0gc1rmM7Y33cio++2EXf5r9x9Mx4THzA LMTcdvDazRwTlHw0yWXl8/87nfITfNrPSlv0QyqXsyxwLy9Va0jWh1T7KwS/mVUYE6bj7potAtWb IGaEvtFdOinfGH1d3AY1Ao+4lGXNtjSyJ6ZIi+gKckt4Mq4JLrpiJVWGjLluPZk4oCYytTTWHarQ R5jhjnu5KYOPYZKpYk9kaDx8K5nVKONzOf4+9+rsltD58vURkOfvZGjcfAbDGIsLMtOtPOSDYkAc 4Qb0J+7RDb8us3u3utdYTcOb7QxKeUTbj4nIQhVV6hQqTMKvKIvU7y6dDwc3JYrc3SgxyRASohsh 5x6Ot17DO4DRnhmpghqtmrSt7AxLCpekiMAto5DMAe7cDpKsxVSwVojLsg6rRa+Ki19urgJnhS34 teVObDwxs9FBe5bnTiRXKh2kkKkBqnDzbDxUyqtasMbXPIWSeNY6Sl2KoYOIKgvrVSlhSKEVGaJa FrksT/XQHqAriwvEKrfL/TdJWRcQpv0GSd/dNUi3RZnYx45FRLQqrcUp4V2bMWrltxzwBG79ETrS GJjQtkN3xSpp1UkNbqmuIdUgf31eEE+Vc7PHOqd6Tg/cYQeJctNxoUDj7U9/bugq9xFhfxzcFMKd UYfFvMq/4qcAyLpaBBR4jsCZf+RoTm2qAg3COQKgT9ZU+R9RwNE+rBMkUA20IsAa3lSylFYft6Wl hTjK89xQIMwF0NkyjX3AfvGTGryuU3sSI+fncHZzsYb10AxNBFUkHYVqijpFUNVa6l5KYBTZkc5D 07vjVG1v2troS7RfayLefkf6iJkjDeA5eJsQMUDUOqzvFFYNhzOTBgtxbk7Ao4wDo3zFAcfDZcPo GG1WITUqDvTvJw1kNWSKTjs4C2WlVN2iyw1y94OSfPqt1b5E5aglURl/efVxa7WljGV/73mWzekY baiFCRYOrEX2INaQycDaEak0GxeNiBSAe/FlEvzgMYu2X0wVusOx7QKfPcL8IJqG98onBi3tijM4 +gfdQ86IIeNaMFMsqtHDiWhTjB4gHocJnSuFetAvC0F8zffOJJln/OcmONgRcDdSZM/o7AcNWnio HTNPvqnQo6oeDQXJC5VS/FmlQxVuPL4rXaOA4qDw3VB5UBjfONVVPV4ifkALnw2wXWkyq1K6b6jC KK9LlGS9Tm+RdtSnmiRJQeUV10W/MK11HVTHa+UpDHyN1c8gsmd64CODL8SpnvByDylCJYPTIjSr o4651ePMiXWMZKeKJpQittDxRMh5TwAOXdsiHrK2/fGkTG9b72fKm3gUbi5zAE59MLgez9ZB2DX9 6WxsUEQQzUFmgCVKPcNX8fLXJqr/kXa4xJ4AS1fnhPkFwRC0J2xT3UPr6znuohXT79MBaKlIU2kK quigC0wJnLCK7DlmerrZKCO9N5ZS/bJ/aDtQGR0IOz9EpXeYqsZOcCen1CWX03YxCjPTKe5upUep tBPVFqT3um8z4/RzjR1AhKJbCCvEWdyIWA961zWyE//i2k8XHABaM+5nwPDcf2150trik06GKL7r zPDP5Pq+SosACG2w0r/Lmy5D05hjnTECAUX+3oFz053Yjg6DpnJqahv/jy9paGz0Skbeaw2xsSWK gm7Jk/eE9AbZgMb2320x74Q5WMh/D5RmPu8Ga7pPeLRlQ+cCe2LSCh3fZgi5LIAQvLN0+MzXfNl8 uq5sYO9JRWor1FciH9ST5WMuz4I+OClLmoudw+cfrraRmDf7fv3oIRmnEkXrlVWcCiaiFXLsjuV9 JPcH7Fan4lukZ+OKS85GQFqmRPOqJemQ9e7nVsSavpOod5yZBGYGFK+vI8leBwJRRQ7iLwUFe/SG PQuPqqzSNt7sNjldOAYPPrxn5hrSjgrgJaPIzbJgWDDcABGGmOV4QeYjJAw2X1hCRCjO0/gtxW4z JVSDnVVP0UN3NNQa+VbfXAffXzWTWGfY5X8i/BFZnfs9B2f0m8Jhq+Be7NTEgURorgFGb45ZT1z0 Lrwe/tc8YbgY0Ly9hSTKqfugczlVQgAnyIDgGdKA05Ac1mIHf241NeD4qHX9Ss2ybs1Fc9iFHITT iHtPXTaweUQeTc6TrheZMSoBVgHqipd3G9CCxg+juIajNmtMcSiDQ/5+Xdg7GhH03H9CVitfBZ8C kzFMdjVIqoyQU1ZBMtapL612KAvr2eov8cBt2691X6KY+WBhugiY4rGkz0AaaV2DZlJxybRrZYp5 im+tP1Ei2klNYY/UFBxXzsQ75E3C92Z9wzt/OClv5q0gOk21LAQJF6w5JWdJGd4djV7OrruAV1so k8b5Mqhem+lYo6Zqv5NlpoCU/JO8L/wqK7fU7vVHlM62x2vUiRblvABAlA/DWSIm8VB7aketVfaT u1xzkT7YzZuZVD5FKm6HpH4U++TF0tjUFNnPcoFSo45OgGMoUCiETZ5GfArWJYZ6DoGeLacHfChO nqSuWZXoCTfUOO4zfgiA1Da6zxrzo2JRwDGv+jAbywI7+18oqCXM1IOM5go5jOZudMzSRnTbuTG9 NdEvrupoh9zjCNWanJXLN1A/MamuxdxX41JEI2eRqmE/hwHIFXKzFpWhhN14pqljvQztbdVUgcaN JXx4c1YOyI0fz+oHpIzdn9Z5L46nmOQeH5KkVUvjDhlTt4ICyQI7E+fWrR5kRU3V6C4yumPBvl5t 8IARsYm95sb00riQ/Ig7uJqlf6Dn0L7l0uzH2yn3Ixe9e+P7EXfGjnXsJy6kEkopdv4efDKbkrZw 0k9t0GcAoSSpwDhcmQNjRrkqOjji3QxsRYpXLD4uPPOnGIdEhnKf+aYNs6GT1dNvI+rnlFFIwvEN CQlvViavZUKTcHQCEBt9jC1CiliiqZyCrLIHzhT6EPE0pijJSC7Nn3cER/uRBwHU1xVwH8JNTeyI KjIRLT4QcAB6KtRww/Z8k5FXkmGw9vuLrqDwXpA2UHcNMUHCH5WRh8MbEfGBWCdd2U9TGsf3XPv6 40wyreKc706EHMTp8R3NEjUqFLrn3rm7c00XKb+JLTxA2PUXPyptbmc51Um8xDb+WFJbrvkTGFke zcRJqafK9FQniD6QJXGYPFMcXOZ71Zn2P3uDOKwo9ft+dUFxC1jEn6UVT2r+RNcv7xs4qFa81LJp Z5di78oGkJ61QLMaYl0YsIEwj1jsK8ttE8norlbFcgpuoytYNR7V9M+0A3O+Bd6RCNGL2THg+eIC gp0Nc5KlXYIWpJ6vLyH+MuIEjXsaqCp4C9IFxvU2fSjy3850S9NepKU6liR3Bu194P/8tWmU+U4W XIZpC32RNfcoc1UtitjVkQcFeP+cPaB8h9mjbR3bTIElgJ/mNQ0raVosm4XvcFmiVM/pEJ0oCRPY ezeBACjNTb4KuFVMxUZjYa0gFYiZDPbOT8FXRom7aZDsqtW/PjsDAXxXRhbyiKoFGCjD81sEY0QC yn8czgD1b+YXUvpb0OdHIEeNimAi69zP9BLuXt2y/jS4CgPiCHePCTMSgtQK/sP4+CyIHivcO7eR cFITMOop4cnQaU3S70sudl1f+0y5dedaQTpQXBPoCmusFnxhBD+nUpwBSgQTn3zI33box8p55OrK +rsppvhchGzHAkJn3C09oBWCrxbvfTZ1HNqV5/MWe/Nwft7dMrhI0Ta2e0FgvcPlI7StmjSoehG0 yWk3FHH5B6vnEYxwrAd6CNSU7P7nwqyqMSrufHx5+HPU3ZDHU22Us7b10J39EDoFUdMgyDFFitNE Jp/cd2D40yUNucYHKk2I/XDkurFhJxAn+fpEE85l5CaZrqsLqSJmevp6/8QH+VC3JxZcYzqJPega cA7lU2ASLDzSa6P33dd6HXs0qJmWfHiJjvpcgOjZAMZcICKn7Tm+pifM9kpgyTFLJRLEM6m4shBD u8rXGbqKerHjUzBo2SsS4euySzb+Xv8Ir1Ju1qHwj+x/8wjnVIyVBefGRktnOKIyaCJ9HG+iVFWw fLbvNUbV/OZw6I8sfHX8/reIlzmxUTcj7pMxzJt2luuTO3Oskyec8toVm6C1/o7464nGF5NSQuSd 24Vyx636X20HwpqXg9CCC5knB7nX+yrMXE5vzZFVn+OXXKNVT1N8ttdLOD+A9Qk4lgtcpptRJOn9 mCJsNsZLOeiBqYlk6zJlb3YcdsENI64zPZ/pnrXUBg9krHh12XzR9e1Q5gXXu9iIBpB9qaa4kbsc j4yC5y2dPtjnyupjYOB8nAZsKjd7QB6I91iGf/4Pt8okbctbcl8jA6ST6SjuJzKkiUv1+0dncBQg Etr5DFYU9yJeftpHsHe7nBFM42hnk6twmdMV2kW9i0VYAP6vlU3h4IfgWfBVYupTdyJQLqnqF+Ct ySHVG6oxzEwMLQdUfZd864KaOjnUX06HyzwsuIoSpqMVnbDDGGe4CdOpgnpEfFf87mjGZ5tTECx+ 9u8d/dSa8+gpz/k23gtvrsPf5vqylSt4eOJytBlPnVnttSIwcuXU3mYQZtcvxcMHFqine2o0YEFj aWNwugO8GeaJ/oiu+3OlJVcBYzOuympQbSPoux1ge4dIikPtWj1qXe+r35opjcoxqvJR6sNnf/aJ l1sdIUk4NJJOVlkyJXkY79KILHKmIpF8vqXyyp8cdUTPuIF0X9DR82jCxU6J8jgCZzHmNhJ3SaQv SK2+4ltwIDZvaGFNatunOMGgBSJOVCbqQh4GyF7Mjt+9kqqwb9OGlflQbPfMfDoa6Bd7QXY3jtWu +DVWzsRWqQYTF53X3AeCUpBcs2nMg8Y6QALo/PUjYxIYCw6sw08y3kON++IW3tr3zwe/TVsv7FeT tcmZnonMAFoKwJY1iRyM74szot1M6M9BIbtjPL7+ymfCahhrrubi+Hq8taTVJbRbecqVBQGA1Wl5 Zig1KpK4Z5H3Kaygyq3auTz3Og/JBfrPTftobhz6CbLvykvKEFXzjF5s7dxlyCrak9jZxPDFq3qN GTEwMryi5Igc/PkCkfcfL0L1mvyis0AE2Huyreedg5HDLS/JxtRO1eFHe2QJrHczwQhyg/sEU6fn Gh8pSgtTk3ljmeCgd7R1xQ5TjulaTQnOIoRn8yxMdbBuK5DP0X/Nr6mDcKM4nJJnweH2BToHD1hr wsLazDi2xgHFrSeBaJ7XV5gj3OZwlzpmrR0O6JPIcMFDii7aGRiDW/ABBly06Pv/bgzqwn0T0g+R MX1yBzfobEE6HpHR0MBdmO+PfZIuMxFdd6s1+DQulW5pQXajgVwvFA7p9HYtOlSOZgfTAww2pQFH E52N3XGhj9EZUvSjSjsk3p+ny2DilTtWIRXJgPxXZYpgw4B+3ZPqq6zq/whnxIulK09ekT4EIaYW KIZZqyfnxZa4Uv9MX4vSP0NyETJJVJh9LxiYyjATaLA7m8iR4dhOivKxn10rqB63bJmzIvX55HNK H2oajw9M24s/98gbGEJV0LmEcAOtO9fwmIeXrZWC7qhdufxIQ7wC00OhMS0H7D7yRtmIfbN/9o/4 3vpV+mhjxCXYhhm/RIH+QPPAlwDMGj3pCYiIYqUV7LZooJ0ZekUWaLuyvpNMDbg0R9AenpXHHU0L eYBItcjlkrx+6b0bLU0KM0spDWyPqS4EUSHs15Z5r5jFHdta+mBSmJeIgZMw3Bgtp+1h7U+vFRWg C0GmAvUCOKGSkns65hiUrpxNgdLv1YMLlSC0ga1DGUNMSRcKCCVJD5jVfKhKtQy1g3sxsjAidgdQ FgcP+bxJwRdiKtcGzitrqPuZFKoBiqecfbHybpDd440ZYpCnlwmO5smD6twGncwKnFjDhY1R+fav fXRbEr2P6sOWyaOLbYrSej9OOLp64hAo8RN6n6K2YTykmHYAi9niJhrkj1HrTo0jWfu86FzD8fGj L2fkTM4Vc9Gh8a9plcwubzv9sYZRFdNwlePoRA1WqrsqXQm1xAQ4VwTgNz4XarVgjqC9Z/TYeejv e9/oo9B1LOav49tcsYb2DcHHVSUu8Z4cnCfZZXf+AXJO9+Lb7YOsz7nocuyr56y6A6ElzdZMq/Ls qvCaJNsYWVS+kKAHkXm1pXtbi8hV3tOSdsW9mUXizOW5ziy+NjYeYxXJoSV5dGZ8nIUbCXTWT6nz DoeAKud7WWTvuAuR04OPpgULb+nLljL10pria+79svmdAVcl2fW0vKVhLOASzGFTT0hynQtKkDyo ubaGuAGcHPBt2SA7GZWo5H7zctzAxSs3UsZ3b1cSqPqdbwqhJQUT0oEu3ZbW0d2TCcjA8FXfgC1S uih/qc7unYuku2ET61oQzI9Amt3MEVVCEG64gCjrfhP229NU52Z46jgLiz0GMXRzrhXDbHGlLOPh g8VE0s1uktxW3WE+LHkil7mwRl3bhhfccFh0fxnQNLaCvDH0Rx73BGumA9IKZkD2O1TsgSEqIp6r i2B5mcGSaQSMwGkg88ZFD/nqVsjkp8gJyZ82pU5Dapp5S9Er/ZNPSDnrXIBIV3QSCOMqO+vE6oQg WHzuRnEHKwvLq6yEgecuAfC+YFBMwpY4M33yQwThipU362VZ3a5b03iMod0PXsvffehg3uz33TgH DrmCque39AysnQz1WbOZpPXTGARqM1NWhfV24YNHgauIbr/ILU0dMwPb2AajN2qIps5Qvv0PHjCM 4XxkGLAEpx5XzrWHhuAu6uauknxUt3T9mT0VdpP5x6JsF8yCb1jXmZgUwHBevEfFAiY8kQB+Gfqf EwsGsGfSH4wU26s5+yIaieV+qFfzIjCmy0z/G6irOol8B5azzn8SdYYP7reIdYzE1Ocn/DSxdZNQ k446Loy94KO72W2ST2FCBLTbX7Qf4jHCMX7ZIXsKjcrJMNSt/pAtdGnT6K3n76BwElkLp6BQ9m+N VDFIC+bitBBEeW3S7Pnh0vDnqmDlGcCoXQ/ysJ46ylo9fwq4NRsDowPoZC8pzCntr1u4Nmt2rMaT HtqVkbqAiLDFncjZQh6vzwJmZTb7PLC05yCN5wzESF2dKqI+Y015K/H3ObpxDKWDWMuem6T3M/AV 5kOSIjRAa+YSj2nWtWQ6DJCAvFeu2ojBxQ/Q+CEg+id/xONcHgusx/oKXbb5Kf6aFuaxwWn6Xg5f IdrU+IDa6F0DYTf9sxrF6QUXE0vu/WiWCdOzz2DaZyZApE39c/ty3G+A9wfzT6QHahbDSK5/S8JQ 64br8TX8OaOL23tPZbjf68Jt/3A4nrYiwU9COL1NDOQ5ShlKZIcv9LCuCARwseWzh0fd8VMXdZvZ pXTLwwMX+WvT9bRNney7Nba7o1LmPQmgGhPuYZiElT6yB/ycHYNIRrvyJS+52uIdhgCghRYqhUjW qCnP5b6O9O/EZPicPI2s8Uh7HxAnO9Q5Z9XOF8HLsmf6DNzMvG/Odnu2BjIJ+snSP7NNJUaPNihy Yz9l91sRce+W6pcM7r4E1XXuAwTytX/g8mMkKaC3ZkkZ46EJCskEbKc5ODhuLMZ8ByI0VpgJV6Bc tuTYwtccn/l/9Wv7KhNuKUcSING1er8M5/VD1efMH5PG1dUTsEPC0cgdSY4PfQDbGzF/EaLamJh4 NlDpXoSesnR1GwyfHZW156jexgamBk0qRZpMdvdCu/cHkilz8ERPpgOTjHvfnZ+PLV+XQkBXV0tP G7K4d6A6/+RBqX2/QnYrHejkGH789lBR6KTyz/tdHobH+XRR3sDtYDJZwCAZU5DA70UHL3od03Qd nxR5gtsW9VdwmY0cwZqKTcZ8JRnzC8ucy3ay2bP7YcPVEwVeIf9g5oVRXZp5cON3chRJQ4ijplpO c5liFpulSw3X02aG1R2RSUxsPEGptH3pPn1vPLRpavN+Os19XzM+nZE0J86xoHfRdWcgjQ0ZX5Uj WBu3V/WutWoLso6IqLMoF+hdlxknfY1o9NYievDdP7WCLdUqScjpdOfNikRn6FkWtFEXBvti/OBq eaJicJsXct3gQOcSxvScwkKOCTgjuR2fTGmymZxngMSzQmwsRexEscg/bgr6EbPpF2JughVRJukD cB3F26dPsk/mg63jh/29gT18aXaTknNOUFVuSnx6AsuGlm4SOL9ea98rx63zXYtWxT2fQQhcyT1w THRVENSL6rD27Ya0Kp6ehRe9b+Nl2TwM6XQo1TeKdSOTes31FEUVuWPQ2YzQSjpHxsceguCpZsSG j/E8GAVyRa+VkgJt57XkfHdqPNLvdgd4HzU5PnOiAPTDwH9zLxWEM9gjL+X8ohICXjNY+Dv6CoI3 nYObpqSLd1NhNeI98b/Ne8cYvnol0NAUp3EnnfyQlR2XkXD9iWmRasbM1oL1+BYrK5CkaTm9F1R+ jgntHC+iShuUhKpRwylS3YCkPAksabpUc/DHGxE5s37O8HIgz9IwzG/HFEI3psTbUSJzcvhHOv9I BkW1ORT4/4S9Rm+KZlQ+aECB1kuG328B7Dt5F8JK6STJtfKjiKB9hwlq2QXr0hyrqkgfzSOFiRmG FD7HxNWyzulKorBp4uuQr1vm3/IiWNrA5C2IB9fhPn/4Sjp+xSZ0t3pPG5OW2/v5iAvc0ITkbqPI KLs/w2++AGp6jT/0XHJj4YYsm34wNNVtAu0DBPLPOc6npIlVmw6hPzeXdNXG7jZQTQzkHINJhIeQ zXwE6Fo5DT7qlQZs4J/z2UiZ2W8KMlnwNULA0X68S8tPyUQ9VDnpPl+3YZ70JUNVZBJjPLahfZyP xcfs+iyhGRS3dNYzpLb1JytvSaDlibOenwucAtqeBfFxEIwvOtzJKSH4u/H8GbQY/U64rJNhHLNK ZInlyFRB0VH6tw1Gjju6OkkjYIe/iw9kq2FV5VdTPE5aJ2VR5B09pc1Poo+gzldQtKn91+EmAbDn 6uj45WWExOkB0lrKd5zh1bspCPB7/odvLDNlRNEjsqBi29ms1Sgdu8nIn6MYE+cKWgp72Tc2Ywz6 wZ6FL1RuYl3/xL3tg/e5GfwkAV7ITefY2sLIMwkY65zHAy+cRDEzl35PQyB+BblcyUj5dGzKHV3c 7sqjOAhtzhXTeuJpitNd7v4h5rl+W4P8bSt51K6sfedKUFCgIxafzIGTpcE1IjzD9roV4Uto8RHF pWWTa7Xmxv63HMeMKrAQjt5+n/PTGYebJem0FSWAxZnEzrtSlFtxXkJZuj/pLtwHnNRWrHDrV48r gxHkgzrXhukr/MZqy5gcJz/qlQwbqQAo8TTtlHKZFTzY8nZgkCzRkeFXORIXA/wVvIZ3ZTlKkLrm Lugjh1aSSqlA1sZ52Hk0/XVW2NPHzZvIKXbJNsh1yBAYQxIOdzQCB1h9jbiFH0rvWdzpJCwKCjyD 2cNizqtqzi7ebankSaVixJIaEwY7FL/aBP48ovEXYSw8lowTxJn7yI+uTMzcnd7csmwo1LtlyD7+ TLLzKstwRL6VXdgLNn1rmeaFOsJa+JdbfxoSGSsVYbV1GogPowRvPPwe+X5WGava4csGdE4RMmVA grUxyaKuW80RtyOqkhvOFshxtBU5vGU3/nH4lM1S/wHpTZtOmVNlK5k+DXah+RpwaQ5eU9BUFWd4 GuuZM1Q8I1DmvVJ16gi0GZ7zTKvga/63EgkT0ISylQ+1ymaByEmVRS5zMPIkCjGeQ1Hjruly3uod 4+nB+Ofn4OFAURm6DN7PEj+KJjE9MxFQkjnf2fphlwjSa68cXFc+uNAfxeFn5wZAZ+4i1ddNcHwV 1/XXzLFqT2Uhg1C6eFL89gGbihlAS4KQTrB/5+J9WRMh9vwdq7nEYZQgFyzEiFbYDMz39AMuQYkX sZ29RDDQGgNsUQHDxaiM1q8fJODdOi5oi6JpzX+19J/lpINzN62vza6E0CMv/b15i15p2mQyt6aQ HR81kTi6zen4fzbZsYCrRFcJiChaofuOlnu0tls84SuaLsz1aYH0yzd+GDZyNMeCXE7qQc+sX0r1 inZqt0/W2Wcj8pK6HYaVIc5YPXOZh1tWRCiPb6Tw5nNk+1+jX+D+nfuYI7ZO8ixpF+95DvehTNRn /Gex7G90ejG5N3/YjOoIp6nSGLBwB6Gi9b5thy84P1ZVfpqCD5BTZko0Mm8QoSZWnM7QWwYpymVC 454spTjPTB1ymJyaaimWZWVmiGJbUwvPBtLhWDwRYsI54c0hCW2iNZWJnzxgQGSqSjvXMw3939Rj MZ9BFqAXuydbOcsgVCd920dAgPjye5WOzsZJHawVxhW3AwxpJTOzZ4dmfmOMQuQST89kKhCQT3Ez PWE8CxfyN2XmxYPBARcA2qdkrzF7aed3a3lJEVW4XebEI2BugFJtWS7P9Oo+xsZinZ4Ch+kXaygC pGCS7cCdxnoihNKnjmQnS+XVU7NEtHhXzfBYqIDIy1H/llk7/L4hzUJxzJtsExf1nOESPruaWuxK uGrWQz4G73kpfQb38PNbcipe0vBDz7qDTmkQXTH1EIetI64s4whoWmxO00GybSzSX8gxRAsjnPVu 2RyQSKOEF9xQpwEom5gnL2dLTZLW07l+sjhqP9pwcSALLYlLx6Cp5AvCJ2rREfBCqqSr8zSlp0CV Hz3G8lvYD1wASALykqiDVcBhiW8tFza0Cw51X8Rl69ul7H6++YRvWAdQF8i0y+qPgIxFK+93zaXt bYV0S5Oag6YUCBHcjx1/HX2BZ872z7sKb+9W5NTyZEKweprHmO2Hld0Zsr+JgR3xksBYAzuzd9z2 sP0+zgTILFDnCshxCSprPxBdqMzfN2+CaZRo2v8WEvBhZ3iZ5oPD4NSNn/C/X+cxdp/2fbyy2mjp KQ1iBZfV9GlrjgUsbCHRZ4Drft/Lo1uw2Cqh67TD1mQvbQEWRAJgU+Ab1oZuevYsa636qOYcudPU imrskCZHhLBwCak34sjbATlHZo5alYeODa8kgcCrlmPL7HBk1qtL5ruCWvKcWDPpnB5neKqoFq20 mi+p0nKdWQ+Tof3GrZeWVp4QMT2smDnX2cQ2ih5mur/+xIvwVjR4rI7aYdvjhTnQOmh5Z0F6CQWW +tM8ykBuYFo4E6Lu77ViUMxl4Gt8g6hvi1r+DMLA65ZELdnLoX+FJo6lI3EXaHRrl02o8Qj5OcUY eK1WUOuEd+EQMBpzTbL/dS7kKC/fut7FCqZotMaA2sVD6aeTXf6ewji0ueiWDABG5IFDS0DlqUEG ZSsFSNCNz7AB8IK8Idkd418DiDw8hlWTjDAu4mrn6/WM59VzP7V66afaBBZy+1KeHTJxnSzPNzlJ Y3/8CbiRYHBu2kGLDc8RwxjAz0XTu1Bygkgh2FZn/Yom7Acvbh2iUekrz0cHaNNiHUBfSW3bckaD wJzvadlJOBHgOwI1aVTH9wnuJKR0Id9KCVg9o/i54kqE60HBRRWL+MvLNNkVBlWSVEijiLDac0Jo OJq9LjrEsD5EAmPTSZdGJUcCIt59vCyM4KSNvxsGnLo5FV8iF6b9iQQth7lprCDWPixjYD1vTooG t4Z+yHa5Did5a3QqJu+CWxNiNwt5lbhr1Ars7bT6BuYG2Jw5y7eYSJdZy+/CITSqAhuuRgcq+i9r yPzEH9zvo69pb/Ws8svDOTM3/38rIzaSSDUEo75PsE+OomM+jYcTd6u+Rld72C1qlKzrWaAWzkeL 0axuxa8FEboyJ6BEhh1lPb9bs6KfbKEVSqA79/XwWf1Od8obcvmgmca+yFM9R7i3HnkKP+C4Eekj TQExesBh3bjDfRwwJEihtdXhvaw3GpaY2rh8CxBDcNBf4piKFPwoJZ0xGCo5h8bq93sVrUvlIuy6 gQOdbUKsbOdWc4j6T6RvUVT6/cBYxmhOY00qh8j1VsXOhpi9A4CBYwvdbmg2dSslR+IxZGIaTAng lCvvkLwUkrz8WK73sg1mimsz5pcdWSXNi1NImIQ15khUcN8S7/yB7nJiNZyuVfPthTCeICGWgG3t iiU+kZvOJq4dZT3Xtz+wbIVwOm/h4xttkk53dLNMfuJydSEJOmVIG2rh3LrQeT8gI9MowbSACt3+ d6+FYmP7bJy8mIrdDxDnRwNe9dMmoqbcRUFNJGVJirSDGNFDZNNTLiDMpaWee4vxfJSo/nymflRe JoOZ7fKqT0AbHII6S9XRTPfcxV+14EFnhN6xZpsIGj6ONc9B6qlg0ukdR5uFLibfAGI0IP4/XK+z hUSqlUd69v6rPGP/KdGdiaUi6wZROnaXZ7eZxZIeGR66VEkIQUAADJqoRxViDM5uDND/R5V41n9G ZPxKFfAlv8HBeJgvQQ9Wq+fJEs5EZB8qe5CeKLdL85PhK8VxFoE/ie0DYzDvBASmFZN5iNH5s+Dr jWApj+ft8l/JJEpV9opbpM1ctDfBQf6IJPOpb7sU1bF04Fc3W9e5LV4nfqg6kDp+hXQBzluvVCeV 5NYA/ct9uAMB7O/09EkM+vS1Sbhe5nd+ytTroH73so7MDUGoKKKksp6nx+/sze/ubBp8WVe3gBE/ I/JbcQvFHF+Pe5tAfME/KADx/FTckK5DH11r8dXklwTqqvMshJH8J44O5TmIuLfnNyRikGebc0a2 WiL7OxraywJTk5sHwRMLpLrEjNJFvHeTGleaHhTZ5dq1L3HPFMO4rDKY8bI6MjupVQq29FcaD6p5 aZXDzRX5uf+rCfilXJv0/F3idKaXdPp11+LSwq4C65L4hIij0fp0sbkD53N6737KFxNDuLQfwLaY DcrzkFsVDuNhBiyNDLpsSdcqkKxuELg8Vn/83AgTqpKnbTc5qNPGfN8ceWqNqdi4dR3km9vco9tV hW3IZiZhtS+jc/ZZ+V5UHRJbfhihoJh5/rIEOqOldvd4xphNOUv0AuqT4vzJh4d+lLJ3ZuiBeFxL SbOlLWeXgSqHH790/NMJjtQVvSnqAWsj9j4yRA8WLLbQ06s08leNCQ+YM+4Af6qWrjnWu5BfGBPt YX+IOqR7X5fXrGyXIzRBq2ANOdtRn7aD7TITznsY6/ILdPaxpWUteyN6HFApu1A5FJMcj64dn52T CeusnjsIKolv878pmLcb1FE7JTFRxuqHI3YMyi73r2kVKTwyUOKxqPUR4l1jgWi5aLGglRpfmyrA /te+ImPRm+msuHN2LPqN0yCIdgbTtva3jeU36tbbIgSsBMCCuyKNgf2uS+fGxEhVjNmcGph05cqp VBG2p56s+n31DVcu9riaAcSub/KPk6kDD8eJlhf66cgiUt6DXBi2Mg38g4BrDrKXibWffK9g+MUP UYDhZIcUwtGYJLu9IqCkoMdXkz89g2EbWsXt8jdCagB3LZ/Lh8kFZXJ7TdQTou5Htzg2jyGbM6H6 S1UQ55vKhyr834QvQQFmp9rqtmv4rAp+04sopaUmqbae3ERpSgVJuDnuxseEsmzJb4+9l5LdTc5D U8/kh5B/BhjJ4Z2m6I4aU5uCGTDGBOab2foE5j2x0sg8JHWR8KOFHh5g4YB+ahuw+MQgDuciqwhq ft2W5WwsaOuZPUvO2/D51l4wqeWOKcNxPwaaD1T6wgNIBVE6J7RZUtQnTSeC+WZ8Z22N8IV52pGo bfuTn+NXTLv+gKGDx90rPBVfQrmYe7IboVgJVtcLr8iS3k+2Y1uiyeG/yf+US6jmFar4dliyZsa0 Y1INiiLLAoz/rr2EFxXVPgMzJ1y8kVebVSdH4m7slzbEJlBqJRjWhdPWFtolv9Gcf6DsfZqv9Hom 8Skflpj5Np9cF+j2p66KkOHFculj8V20gbol5jCgKRjZMx2l5ONDFD0RlZm8mWPvL6kfooEe9/a/ oETJNJ1RUL+xSDypu43iZFU88ZY5cZTmdXUCCPmnrlepTuwP/MJ/mp9lcJWelW/TPHlj4UyeZYMi x2+Si3pDjKjjSK47Z5gQ1Zd06WrMeC4NpIEPSUOzj36CA3k1Za1CdQlEm32pZ/GZHOiBjfZPtK2R iPXYOdphKlOY0Rz5mOLrElP6Xuybv6Hj24usKRx/kgrs1LK8fFGUGF1GgS2D2CNV4/VkRSRmvnIn 4tNUZPw2d1FpSdoL9biWWpZuPz6Dsb+unNZbZM/MJuQIykuATgeqDTjb9SSYW1gZgQgaPwMywxRZ zmdOQnSmNHhM+uYfmTc400rqIhMoS4GG9O6rQ08ZyI0YlrGdfPmyG6QOay7j83WvM0+NT7tn8Wn4 iuql9+86wuxuCe53xp7JuR32iR9jO0LBR0Eu0U8qZOjHvk3Dwa/hFDn3zo8O4VtuaV+CsC/+wlaK KZiKFdOog836XxnwrLvGa1/CvXcBJX2E9ixwU6KzjtEG05yHXbxN8SGmp4e4auT0A9CFffgZABUi N9k3Gn02ETKkOHqetA8K3mBr+M5OfQGWfuWEFBqMMv1YMuugegcwLFlb0yezeYOlOtvUX/qJw8yI 5KnAM2mURUp25uZLftZwTEJQoLi+qSZFNInP/QlYDQhC2i71O2EnIHKbm9pPyH+RXVI1Hj16BNIW EfA3OZGk3QU3+CcUEkAVyq1h5EoOHl2PU3JvWRxkP7R5tETrglxbukb1cfGx+Mtm5K6NUAmqXxx3 ST24UkSLA6Ge4esw8wg90Z5oxqokbrf5jHMgdCqNtG+lKiMqiDXf5vR2iWEfrHRLWoQV5JQSflAA EBOwEMv8QEjWfuLAONt/oO+zvALPcdeZh38dGSlLSo1NIB33px54FMWs5lcCuJfgPxHkVVba/T/a NSUS/GF8V0IH6y4R0ANW0Ovhdgr2f9kO+yktgqO+hnXwp6PQS5Q0MwnG0g6GX7OZF19lT0xpBI+C +c49L2oZRf8NDQHMXIRxebqovfI+8Ag+KnRP4yE5QbEoJda9+Coz+hZDyuJTh1QNWDRHRFjOuAuD V/vjlzh0+h/MXxccbsoc5vT5fDu3/krPcKshU1xiTz400pi8b2uxO6dRBZDeoutE7gi0fVUELHO7 zNek0R5GoMDl4eOCftydgJn4Gu8Scb86cnR9O4pwh4+TkINrJa/0N83PVf2UpHqS5WXgVM9Wm0ub IS1o4oByf/NXIgJx13JcIxa1Yusbr4tfjYw9pEEGXuWRW7R27rtCcOsRfymHqbLWOuNo6brFQWZr ZFXi+vi4408/qykNwTIc1Ln2tkQRiMy9x0OT9yvoYiET3mKV54k0abvbfiO2hPuR8f5l7A1GJ4tA rrJtkPGV0/PpCqKlOVHssyECBkmPvNul/e1eEDBcXUw3eEXMwKRFYN6c0cV5we+ZhtoNAkeKVZWu ZN6XF9TfcxWYiXnev4VHQ5snKs2cdBfAFVaXJoWFDtzcCzSFxn1ym+vt97OGY2c+9GXHcIyy/Qdi tf1x+6niS6mEcdNnHkGwJYGvxiyorqvSR0+jF5o5UK/6M2ksUSspYz062xdxO8+PUoit0TiKNZQk aU1gmZ2qMOMdq1qce+Z+5oiSg/fFCl4xCwkcjm24tvLLSoFe+g74SNTWsPs8txPhHBcqMY0KGZj1 BNONXOeIkeK6cOLEW2yakbD1YNJeuDBI+IryPN/2DML8D/6m/jCyBfQTo0imFjqVTgDxg6E8KR3x peUNaSTMcIpxETTaqGDsi7bGnEstSyQ7x4RLhqIek4/pNzuLTDYR24ekq6d73KqMX96OTN63HAOy 3mda1kZkD3eYLqq/isVU2blfcFrCvzNZUfXHnfVT6O99gwII7Y7Rmi2+t5rLLswgyjWWuvpslmNH 1Ge+c18UGk3PVorpTL9oLBzL5v9HziU31O+pHn3ccYOrD7ftKoWLgTZJvnHnytIXFU7FcP03tc3+ hM1inV4H1FUJCaMOMoO20fBY6GZAmYlFCF/FSr8/GvsYGhttYT+A1cJJSpEwgQBO1ujHSCNwyklO sMTcgj3rpaTjXIzXaJVFYpsZphCqAkJi2jJgPwOOGqov++Tz8llKx495kFC2+z/vq9JVHWD7rGMk 6vcxbmnwvmpUDVp1fd98D2U+i0/cSKfpkVVlTBDmz64SB8Je99NjLnHK6CnRC6FSOl6kRcry/yMX tnCgnGr6hbvSf7v0/Nj78et6FPZY4Uqnns4VrIjtBW6Ibnir4FBasXny4EnROFWF5IW3NGp8W4Zs KmYMm+j8MF3/oLLVQq6yM3Yu+lp8XeYuTewUC9DXNPLFRqzV+behnWzH5qYLzl9MavCYGl44uBnh aqvwq5Havcj/1ZErhNUUmEjNh9dFi3WgcAnvmfE6gY0D5ZQWWtJLZAzfqNSGwA/5a+kyOdkOX8gI gkcY2+fJ0FjYng02oHL4soL1R3HQjHcyI9nGw+9RyvyGOrm4cFDjOZBpmCxmTNurYwBuzmcmz1OJ TueEjMqYEJY9eb4Sw+KfyNnbRyB0iWwvEbYt2ozZYr55kaJ+gHg6akWzgd57XHaz0IJS+K9R3wLp 9ofm2+qyiRqLkmcRdxcLUlj6Liv1TRIhzMGOcFvUu6tzxwebN0LY7jtfJRlHJf2tkRIIaQ5uiTsR j6oesQE5FzKL4+P+zpHY/lGavdfCQATRW5tuZqbn7hOzxdNfz7uMm/kXNR2EhP/0MuqHGyfDcbsY ItdsuJc68HMeGlqUS5ic9sAy3G3Xar/GnfGcOp/pGy7kb0tejkHCVmkOZle9+NiGJFWA2cp50YZ4 l38FnkgIeF/uDfRwPhyoxeNduJnTWejy3LJUpjcqLfj8vzoRHfK6Rfp/iBKeBhmhdo/6Kecon8nK e9zsuz0eRGwWD9K5CWKXrnnRRoJVY+oHsguOuSJwTEzpZUJP1reSygGMcRkBpg6of4bBKyCU+Z9E hYGA0x7yxoLkk87O6FE0+bK+eKw9X40uJEKZdXuogmSA2T+otGVZ/MJJC8lZrFTh96KkplsjJteA Q5opWDVEWfJa+bewUJQjkP6JYbYvXcXESAinvEoZ3dk7/56OwOHayI/gsdOZQzQwJ7EkQdduf62x xU2udmAFQ0bo1FPpMppswuA6DXITiXR3/HiktEk0mohiK/M+9x5aa22DTPoujXJ9IlwRtcoOvcO/ HqmeYvQMi9MNCnG030xk11NrpVzZziuufm1M6pnt0B7wsw+34bq1X0eHdNhSCYUDwVQzOlZud/Qm u/mjiMiS9Zl4qy51ZY4geS3yLowrzX22MT3a8gLipwDmNpVdDy/3Tkc0cdDeV/3+R/SFP5JK0+WA FN33jSVs+i96azTkfn/1mO+u/heBhCvGR5ynHEiNsHl/yTz9a+824V9cV/iJdwJfrD9Yu29YbU+J pIAliepPR5jhnjDb1iBcqiXIsZnnjEGElBoDgy+hh3iqTKMqUBj/lU9cE6YUuUFLPS6M924HZs/n txaSRiPGmlt3YsiucY2sVYAKsSL/2nmd5CXkiAFz4BxdeT6fy49rjaTt9I7JqtQ7YMENp4WRWjA9 r59R7+g9UZlzWXfNh43gZjipMbcIxqU0uPgt0mz5VYSO1fkykJDpIypoyUx6A3DvlnPhB/PVOLJi TJZh6Oh8kur0rLH9zhz3Odntw8+c2QWVOuDrRh5AR6Inmy+IeW6akLJhLnwY3R6Yues5aIEe9ogn 8k5hFBeKg0AHCz+1/XihOaLTK1v+Y/HGaXEJSMmaRWWSiAyjrvyVGuPshIvCPclEbjmiP3HN7WhU fe5dMsd0blIpik0bzu4vgVERqufQxP1XdS1101ycc7TcwKa1uzzSjEgvGZqEQpL7mHerN5kDBOg6 IP6bWNmE8H9PtgWq7w6DNezAu4ohdq2qvSubp/LWovBmISfEAttEp6qUCX1B1mgNOyI12DaD7V3o MXwo934eMFIE4+DsVImRF/J9HH4mWtCstO1zO0i3uywdLvFf1iykzBwxJd8Ty0nWC4CN34+rXfMb lrnuokOy6jDemjEZ+4v35FiYxcqPvH+6ovRjA1DPJfS+7JcSRKLx+p4GLSpbO02pq4n2KHSXWX7B Szf101jLlzHkzAQ/REriwx5mCAmdpjQIYgmIH4UQ9G6iEHDegf19UP62XVW4DVWpEcPzsMJPGgXy vIcRPa3JML7/wTwwMKBaN6NYI4kUDDjvNLUbPardoRCmSr+/Ygj7vGb1PZsSPBKZVKpivuUkna0g hgf+/xWmWCI7GLWeZ5KSECk63uorKgbz08KDnxKmPRSGJ8TbOaMFiFWnJ5C5IXpTHQMeGubLgikv 9AqnN6z7ifct/SIdFMDpFe794kdgmOeOW1I1LnvLdPL1cH7JGT6TA94zD/Ancgn3fNnkCjSemYNd NElWRlSKibMMHDAMRmdtzwvdSJK4IG/d84MaiiDHONYI+EgoQMKmoTxPP+VDTjm5Jxc55LekWht1 ReOIfonoFXu9HjklqVaMFSVP1PyL3FDR7EiNX8cUM8fcY6NuYW4NmLmVCnueYy7C3j2e40PVkei0 CeIG1Xj+z3ZWnbaYefS4b8jN2mwBb1W3uGZe25gdYZ34iANE5FAy+EWddhobHlRkHAf0QFTWPDr1 nIIsmdadsUuc8jBMDETEbLCAqJsLrxt4wIVoQ04Yjrl15BDUr1QdfYKMpGXvOAbZIjRzdawQcslA 8S1CujwlFq2Y2i2OJp8UFUnCZvbadaN0Q1ukwze1lHxjqGtitPwkICHbva/glKKo35cPHZ4N9fBN g4bDaxD0k9wGv8nr2wPoIx/5FB1Wt4CcnVN+HXiW0vIptZSYQpyw3rNnJWV6boFpB5lQ90MIqkdF mr/wzUedZrOsU8yNOaJml6QPpQTkygieOXao3J+urkzOH3yGptq1asL6MUX2i28gfHpwz/HEzMgt F02MMwwrHoZG50o7vVwCgCbJSCKKUkDCZiWL/3TDQE24TRHkKgftyhzND0DcDQ5frDYrXzIwdoE4 aiVXxVYebfEfkV7AZo5+NLKfg095tv5wUEMu4l1Y09H1dqTruoCU/cqxcYkiDfB738vjBTTpolot vaPqsYorSRmWMnklauqJnzbzNJ5Gag+MKW5YQ79Fy6PXcjzeUeS6ArUOleWg5TKxjQG9CeFrVkv9 c+UrMRjAd3pVNZc59NZXFI4eF7usu1sUJopFnUVVPqSGXPgChRKcKCiswgDvGOPsLcl+ZVz6EjZv NvAtbaJ+zthLz6C9N5MET2MGPIpdwJ8jCQJFaGMPUKXlxbxn6VxGKI+avnyAUiQIvkfgYxNQZGnG gbc5pKp1J8Rctf6SVBPSsI++R/TJota/ivJCl5w/yHl6mhkQTCS460NmvfExbRIM2RlRa33nPrRr hAh+RG7j1A7N6hRdYRxjqhafiEroKnJHMAgRSGM8ccIhANt2KfP+0Sgi6GrkF30u53Ig6cv22AV0 iMNm4Y5ZbD4JgrmTAldV16AMMAh9DnnQHAlZtU0gf/peH2BuD9SZu4MK6a0ldGVh6t9k5ytAyG90 ov7SME2dcpDHhJe3vGkhASgwRhvMAGz4f2fvDW2sEHlQ0KFz9o/4oPWm8lmXy6kIQYvK6dq4E5AH t0v4usJAlvBAk2tZgYZFHeIAjfkjD9m7kB/4l6y7GThkDv56IJxiFHRtizLFpSzIFsuFspBdKgXw C0ETEoPP4pdts/p/vZYargd0dlwDGcrgxGW/m0p5BDvzWBKCu0ByC/+7k0OpfzWfdfhcB8C+olBG HQJntn7PcliAlKEyCz0vl5TL2NYUfV67Jf3Wg4VM157UDLgdhpz8wVF+KzalcF8XzbuhgzF3WPAa lJaUHbicl+U5rC7iQgrxLclz5FTClqzlM2rf7jWV2djk0V9icCOLLdc7SqRap6GdNpait5HescHr cnj3/HPVbGlQEH2Mvyi3TYnP3oWj4OcmBf2YovUF4GXKSWeyrnCazheM1GOjWQOB4y3/xn04Naon GSMPLsCsaBcPM1nub3hmZio0JRxCKVShf8DWmy30gOPyR+MnTlNlx1TXZ/eP4JOIbCG1FBtDFjvZ cMMleKpeW+skM7oH93/DQXSHiblneT5Tszy5vqkPsyXjPqnUnk2OPmxiaXCeab3ITOTfrA6sV0qh iDSiglQ486gTknuLEa+N45ieQEYTNjflPaQWdMZK+uS7qPzXDGsJWN8qbhSBGodGGYXNkKPqb57U RrjOU280SHZirKPrVPFMnekTPKqWeF+N6fSxbUmWgzuUxn5Y0SbwHcPOAYos1hu3L8LmuZpT67eK uGyBfzuGCRGG967RRV7su99qht+Pnl6ctQqwTTnwbVi3L0tu4N8aVDfiWbA0pop9cwoGT/9w3wHt Hs7FuCnZ6Wh/vElK1pui2lJxjBRLmZwVg1liww9dGuHTJbqsHR8jtERSUJ2Q1+GLMHTPj6W8hFxU FtJlQZ2B+sfoN5vY/BdAa1T4VaSskYxWkYVBj+yyNlVUvc19fuJ9swfW++RdbyXNrzMbmjFPd+bP XUhBjGcr6wHhIFDEvR/w7R2QEE5/9GZhOYuVcqqtI+EkQ4aeBWtPMMAUb+h4TtXTstTO0fRoSW24 /i5Zc2HKkzr3+xHYp+lL/T9Wh+SO+YeTXClLWH34dKoifhgSWUkau+Z0e5zUzNn3UWXqNpA6U2+2 P9K6v/RPcQnjOifbWgujS/YC9zY9escVnKkVS0a9cMULL96VVrZUtKEcPHazpyvENsZxTU0e6KoZ GetCz/DboewkySTqQnkeIuCEMalhgkUQehN0WcDMNLQW39KVoYMkjUIJ7J7o+c7bTWVjI2IYJvBp 7EDC0mfw3wPSVznlKTTLGadLtK914r9VDOeyz9WKfuYX8dmrhnfXS2/FoTZTcYSvncydGzHfEaYG Dz0vrkIzxJrJ5CpZjSr0P4ZFRKrkaMPTToBZTWIPZ7BQgLnUSxA+w46OSlD/81jjwcdBwnW41bLk j0ve5ky3mnU3xFd6KgH4KcPTX17uYKldkT3HDuRQuIp2M+wutHIzvGrD4lTymag7BXB1IhHLsXyo Xs8wv97ksZv8nBmx2SXwAorIQbsgP5ibfvuhZiTTyrFMxVN/o30ExR1/CEk+Ke9j/TDrpaoN91DM YTI6WgKMaIdoUaAmbG8cXbm8dtFbRBAwk3cCOorI+tnn2VSHGKNDvAe1ICmsVbZZqxpuxrymCZ+Y bgCAAgNgHkC3bZJWCfCmochg4pdvNcySoqBBfxEM8sNgabem0qI+Fb6RlSekBjFEEywp3EgDLND9 hsswrI7Jlk+RJyxinOlN3+2YwDYRwDR4WuwF7QeP1/C7MHpMj8kgVn6k76+mO0oDzUivYTpQl6ws bsh1khOpbWXXmtIn9dcSejULMTHT+B2D2NH9G3VMPiv1exev5Yd4COsH1TUV31E1njPCS7IPKKlr LWgj/SmuY3QeXF4PWMSVKdTMD05f2j744BvfzqngKWRFrd53S32kMVHA7v5qtUZcnZ/jK4LjiNMh HGRRVJbt5dBjrmdNPJnyL9z9eYiAP6/d1uym/wY7Tp+P6T8CXfnA+lD5SQZWTFns/ua4bWtVj0pQ mJ3A+2FVz6U9QaJVz8rDfq/OLeTFl5+Ntga+/QPde+QS4RO+93A7LjxHqf1Yb3OKOogmK4WAwcyM fb4qXai3WpBRRvlOD4g7OhONeuCQtPlOCctdGv5wptw/5OTz8FRGrjxnmjS8dvsmoy5bT8+LB7BY 78Ny4VYMM8Rit9T+mihjsoKoiywUdFra/JEt0Z2hMH0H/bQsGm8YUBM+bvl5svCkGtTmXv5HQaUj GYM5BMwSzSCnb1oIO3C2FHzveDJAwmKeBxCqTcOKUzx4btE3Jf27106YS3sZWwLfHZudMt9eNhju AIZ/wMNwatZVyM6OIBq1NX0jrGkaLLAMI/Ftf52lxLSe/gJvV8K5CniouREhpJLE4C48fw7e0Bpg ycD65T5b4npaQAAkAyAKZUhZG8CmmCUKfmKKJhhijcmV/aHWlvarWDRLnk6fhVwpDvK6ZxwuNVGs KyvydS2mypWTGaDLYVyYmpGxJfCaXOyDNI32GcMjhBY4ve4PIiKbrA2yt+32VQlJDKWGAqoCkVOl 1cOcJJw90olzI1ae6qnFTn1MTmlZNL6rIx/47DQPFmYYAGjH4SG7tyxRz8PyKllvYv52vMavAmlG lHfunPCnBie6M1iNpZg1Nd7jL6TJicrhDpQzL8XBX7Z3poQ4b9R5nvf+CvbR8N8rSF00O/fRjBiJ 9hyFmKB8+j/QqBFvQWYc08O7NhNQJ2VMcqISyb11TiGHWGgZ/HBQSlsR+Yi1OXZrDnQJkdABYyNM 26Q/StW440nTdBkC1AMRqPI3wa1lwK/x1dC2X1/MuQpEKRkOe8/az6pe6w2XByw7LeQF+3s+OfNM 3zxHKqodTnX4My61m3mHS+UWkAQuDBfX/GdVolhC5lNBBvWXZ827EZ6kuPWgy0RKSb8F4pls1Kwd sryQFkxfXbKyjtwIUalgzK9h/21riAI4tZhM4lyWJ9X+FQyvI1E4dGl8M/PdTLmFtBs86kB96rcP cOUVF6pNCn+AjJ1BfMUgIdflwxCZKa5gcB40+EDXa9Vfga9LupBTTGTal2fjWu8mvB4y1g7SuhgC VcE0MlTNfnqOjz9n8xv+sNkDGrtPf/35VZVyDoDubC91gygaXQR6BkGIvDa3xJerg+/f/NbFwDA9 dG/RkM44k57/eqyoeLhCvSvLxSC4q7SP3neOu4NB5F+YS61QsccIm08/1Kyhz0o4ALlewXt/iLiK eByhi3o04wpO7KslF8E3RWoaly12fU6iFY/yoGLNbQjt3a64TQQkoOUAAbncQgdIuDYSPM06ITvg +P+bxttzwE8F+COsEX6apss+Fh4y0lDhb45yP7opAiKA7cqaxLdv78jSbzJBhMFzJYqX0NAasFiq xOT1QjWvXsVogycOvD1JNeIK0p79vuW3KFOvw7T8FSn5dqbd+RdS513KWrykaFsSp61zMut5Kq4v 3VPvdYNrgNQDPTHHvwNhjx3qdO7FEw75x3zfRALz6bVDtpLbwdi8K27azYEWLOIC1pOAjtgv4Cjq 4TcqFX1rdDVEDLY0nifR1CWkHHaS6Cw9Rzc+63c8uv7kH/MtAHYuVK1B1Jugv5sPK4pWBm//8Mi7 de58u3rurYyrPrEwcmZcxgn96UHNtQu48eLu6zQ7gCL9fvE6/x+K2gODau7YKhikZvmJFE8RlF79 JimwEYfrEcJxXEmVMWhuf0wm+3keWiMM4qqYnPobVdK6It75/XjnC13C+Tl9g9K8NOy8tL99UpLw MI2e59dkZ1lb2GE419KsqlhBu1353J6XbKfxmU3nMD6JJa5/jsfRAXvxLTdKvCGlzKli7+u2rYn9 yntwDJpnaHoUy+KzrziqD0eu31y/5EAjx49rERfnI+R3A1V1xWfFILHYf4A5rrxm2m4BKfwHIrfc mTwUXEjbFdS015ilX31og2bYxGvHk7Hj7XKwyxq+md8z3RhHtaMX5tgneSS4+PRFjA/M2LkaOc+X WjlYDgMoPE4UsUIM4CKnA1LZcbH6e/g1oTFzpAdOdCmkp8jq1sB4a9kfDr91dorsgTY5GCGZJMgK +eWFx9PuBlSuAG4WPNCz8gIRtrn1DYpcGDMy9UGWJGGIB4ZSR5fipeNIQsXYXhTIhG7syhMij/uY hl0L2V7oo5CfRDxurH6wDF6otm4ZoxXEJNGyQAq0pbYZlYrECQMaAaAD1Hkd4uR2ea5D8aC58LSw mJ8So1LgJz6cUoAk3p6f5UrnI39MZ8d+luISzlmjzK3FynPki1lAjCAEVF0hXaXIlQedjCazcny6 m6kmSwFOdmbOdU5TXgFV9m7NB6LpGl/bVOXFAhWKuYuuwxr8OFLyg5w3BEUlBMQD9L7tFdtkL4By iaMKJd1++CrEfjSwnN5tT7wD4l0lmRk4qMsSBfg0XTrtMNhow2XOFgklZ9dFtCZNv3Htc33ILG5X TtywwwDV1mNBnSGq0nXQ6ssdaGD0yOkkjvvLmAgIs5Che0qU+ltC2dNnzQvyQZooaF+3E5lbG7R/ 1ryDg6DHpf0ZgWq+8AktPhgz8q33G+IbvzapdtPBikwsqfbQAA2hB9jq/4vozepLeVYUm3U4Qth7 WP35IV9z5i80sET7oxj7byCjAJcMv+h9wdITzI2DNhVApiUglcF3TW7Xtk/7qZeioWGJUxGlflhF oHJ6jprp3JyS22H8nRC0XA4d+8b8sSUCP3WTZ/Vq4rSIqAO5bjBc5zlgRaqyWAVWzj7FN6JA5l6h 4dkLzTY4M7pWprzw1xQkLbGWZu/uHUjvtEZ2yQfOclw23IUbZLSV+BIhApLwlBWELbCy9rGnn/3j NWTOIm5d6q0fPceeWIkTL/zSjxhWBmNJ4zTef5cYQjR5+L6CiQgmVIcRwTK+7NNPkoHbrQkTEb4A lcE6jF5doianrvPP8oz9HHSqsPSHKTfPrNk/8AISrH6gY3FtS9L5vaHTgbMV/NDgDyDPREelPZUP XTMWQzFJZ3hLVGc0G9hB3Xgh15MK7SHvXXkBZ2k2kqde+VUa60nNRN3WuQ9Cp3V4e7SOVMOtsMp2 TBYBbXW21hKiesHPLTc1rZ1E/mBDGHLuKjUb9ZjPjYXRPYEmFtnOGxQpU7w2W1Cvjy25mxU3mfUT RNWLT+Q4KxXUKgZhHG2p0ILRjxb+xX4pBiSk62zc9khZoLYVmTVuJ1lQScdq3KGp1wWEB3+k6PjA v9uWCuhb9o37MrlIe0c4nW1Hqp7Jt6Ty2/TW6YgWpEv1reHWqWgy6VpZVpMnfvTase06EQX9MxCu RIzYLXeF7TaiFb8w+CJoJu9Qhr0E7zRkJz2/707CuZ57Q7vX1B8xWefgIGE+GoKSWuUmWZbpEYoV 4F++/IeFaGDbUFgeiHv0PjAURgHtxQLsu02ZN+IPdS1ZjrXdAmQQG44Kimi0vCKL3WrrcMOBVWLu 6VI/bJ9fXjlzuqBSQswi2XjIAuq07CDxVoKeThYYsDlvKGtetlz31EhO5jnDniXDIXRHAvQRYgYq mxb4DEbWmBw6HcrORcAMNzOipaQd4uzqCKiBZLoSX6qgv4v7VlFKf4nJi911fEvy/rMEqMk3DhUI blg5mG0UuTojdvZzVYapTrCUOkZiP/0DxXwF6/XwipUfR2C84HRtM9CEza2qcQJXOYH1S21IcZdh uQi+w+8S0kcRqBKU71qzKCxegFsrubQq9WbqCjrY+Ge6wGd8ZcMHJ9YlTisG/PkUJCLpdipSZ0Kq PlFYqUj0hGnjscJhZRxLwOTuwyvnVlzmx8uKDd4E+dVeleM6cwb3+4SS6kDOOnCNcjAlrnus/uJ8 3mnXknevEZsAa1EEP2tJfIGdWyHSzVYdg28UTITnbqoQHD36VNBbwyjOZVhzRNcroR3KtnN0DP4P lpUncK2Bj+2njvsbYB7nkCiWVIqfcxQs88uQu0rIZ6/MIXoIJRj3skFxBotijGHH8kRUgRi45Bpw yebZgI1UZ4lJU2vDgwdmFVLM+PqUHNB4SLPJ4RhGVg/Guhndgn35mHZhGBrLKXxXP8WPwboZrpSl JkoK/6/BgATOy0Jgf3GWPFWg+zl4SmfPQaORjt0Cm/ToPyARfFBYUP6KxfwNhQLSrTCnqfW+uLyq Jdci0Ar9fLqbIgrtlD/hMMywTNOyndcvNfRQILZJ0aN8T13mtpYmuwzQmLubgNVGo4jknZ0dY+PV lSRDHIBs53qOpQSf2sNzXQC7WtfU0zXPHU/h/7s3YnAX1AIZCjoJ/7zb2OxpPXKJC+hsoNkzLfHP +ZQ9PlAeUQ2QNKdIg9+Q16pehYWTeL2GaQq3ZThFpP6JNTNY/dKiiVwyuCWTdpswH0c8zmAom78/ qJJpJY3OsGkGXwqTiD18uy8MAe3u5EwF63ovPEpzlwpqxVq8L2tJpWc9oJxhuJPxFbWZk6ke7FtL 10YSTqKnG3RkWU5c7ehkAI4eEHR8SOmMNc2ilGZVmZYA8IRPmz4N6cxgOTnNpZrdY4StaJ4x3Esw 0VX3ov5jgyOSHLlrZQA9u1RQgq73QITRG+WarSZOEc7RHq3MRZNRQrsD5P9PLKP8xWIpKax+bBdO lrgj1V2+T1trDkVm2mEJXW7r6KjptWNtyFF9pLHN74XsbY8tmZ+nnwQIdNOLCQUE5FlyV5lgBCOG hHbSo5uj2/J2qnXAYs6R0xIX9GwMG6WT6H1W/mgfJW6KE00cGG2dsgmmoh+5ENg5AshyQGShiVjG obZWsN89wawsY+XSkS9EL5DQbFTU+DyHcif8DzKj0RA/qur8Cufu1Zt3p+aZ74ClpLj4EOz7qdBA ErC+R9kB6MQHPdG+x3tJWwrNa767dfd4krkc195px4l+WN9yPdFRP3LTx5/cgIkipjgp4O8iJbHT UvAIrOwNa3uooHRd3fG7rt4+AzVFHE1BxPyfYRhvTlgkAvHoPXxptCiD4xtv6R0cVV0Ne08sF4yQ BXT0iYRzg3IWdziC0saBUBgB9O4LMa6gNZpTV7nmSkA0vDnQ9EzfBseST3oWvCq7nfHhcnCZyQIv /gyugaew5TB8eL5v14kzKF0F4trC7k7jeK9oNJDrb0GPE9yZQX3G8kT+jZJcYdseuY5Jf3/dhb7q 9AQAa3FGp7Skc9whFPimCZipkxfJhrov37gSw2WKEjKBQf8D1DHMbF8n9Qh2+Xt/Fa/aA0McfYfG mIsEg3lO1/Wtp9L6EhWN6OmtWUVnyO46HxSAa7zTNZl0/miMbor2wD28Z3hOxnI9hi49pJK/Abwi QphYBRrc/buI6y4B01885IwtCSC1M/+hbbN1fQYcnlW1/x9M5xeSUaQuhXIob4GxZPj7KJ1VvSsI faYkA3KyWYS/9PZoF4gCNKIcSPfxTZLtqFW9Nc6CoTe7By97cHBlbdx63EKG+Mm4uuQS/aVmxVx7 iy8DUqVvTVFb+Wwq/zRWmOPV/xSr58o/uGE5waovvBvsKSOjyZX9xBDYY5MrpHj01OipcrwmGHpy hgUryYsm84Apy6avFOfalijdZCWAESopr12NGXjjsyjpJ+7Xuy7kW33Uy9Xusr+sAQiGpwm1Mga2 8F3ZeGda8Z0K4nNnMx207i+vetvjBt2ITULQJxfBqYYibjOzU7z6KID4fpuH5I/h6C3t2Bk5kc8L GPHiSaufBSQ8qaajKG4vWpQ1vLydo8/BUBKruHpoeW7rCIUNwSgYNfGt9rNX9BpmgeE7phIxkFUf YGtMt1ZZdRyCGHhCE98uGqIm4miOicyRj6ZoNHy1smdPapC1qAAmDbNu0879sMWfktnud3T7K0e5 V6Qz+M9KZbVx5/aVlZTZdfxwZ54WoWhSJcVtoMffah/qrHsBvBHlFphfGMbnK3++EkXlf50lv17E g56lPCitc/DeMBNr1BaRSTOAcmCJaDvUEEabIoG7okNrIFmA9exmGEZmxllFl9x6SXqla3xBpgSd 3Pq0OEqEKqgMJ0DNHBm3MJCnoEzwR5LvDQrKCh/4FdMQ62XHezfzUvM/0UfVzt0GKrfqRT1FlF3E KBN/YeeVYwqKm/Zkrwz8bY/dGVt+oB17FCgP5aNAvLMOQ0AXxzd3ztIgfN9Uk5Y1qqe7nV7gAQJa QBw+OjEn5I3dymuuFtpI/GevZcMnWXndtA35TUCqolpX+RicFw/qZW3RhUS2br3fjp5rIHYeU9J4 x49288E4DQm80cuQE3Mgh0pp+sHdXysub9g02bP8wMhnymHKPow1ypktxigBEJoe+/Cr14zPTM/f uzy8RqJRmwKmkF3eqUpU1u5JrDHnomwe/NeRdjxZCExipofy1BwjDb09ah1OS+REFm3wmvv4GX8w nhf0jR2v7WcQkVeqdeQCGQHH5Bm5e4Yr13eB3Lp4kjNs63t7yIE48KYauzNCYpeNwiaYD5oGAgR/ Z8d5lvhKf95p4qFMyKNuDeY99z5GF2P8e58dhSWrAR0Y1QqLjPAUrRpRgHM8T1vYJQP+AmUm1Ip8 rgIGHmFvmTATRBsPKDtEKjKU6UeY9PSpQ+Y5H6QQRiu7RFIWtidC8jkEwFe5Uy9vL7bwO5HpbUM1 6ycK4yStCsUoi8xuv0DlFLIZSyhJy+n/RkjQg0+AertNJbANBv6Revo35OT1b+iMlAX9SSp3JBVo y7UktHD8uypVd5vBitOLP/X3QZYGfP4SPPqfwMYypl3L+vnriRdCB+G3vSm0lzPiGhJgmHxoKGMz E6Zh21ot6i+7qIEiABRurkOg+X08h5C+hZUFfjnSKO79a3iG+GdyzHcp2uFiBoiwbrkd+IHXi6Px puvDjL5I3dDa3xLsi6voG0MmJqlsnbgSQKeqvrmX4ZuQSzfyfWL54ZmVgZpbcvOw00P0xE6jpACZ iQwBQVkD/5AavJwwN5T8KcspEeH6bd0wdCiz2Ujk65voXDms5icJVtizE7izPyChR/pek/wvhck9 dx0bmg6QFrtfHaCwm5ni6UGuIP+jNSrW8jbdJ0RiV6+F1L6v3X2orjLhD81EmydAnSyHd96KnexG m1PpnwOJnGf9L8s/5gKfM80i6Twyw1jL+sh5CCjXUUkclwH2+QUeF0soKshJPLDeL1EiElgR6L1F 0CLu9kHl0dxK0qCDMOVnWur2YmJUGGTwuF6Efe9iCsQerg2zdN83xlGQIKr+lyN2tPjrxaK268e7 V79RkgXbQZCm/cXTmqoAXwfvA4S0DLkhcQyg5taYLl4MWHiWyia9Rm38lofP4S8ebmYj1jSPODLx Vn6lAhthyjQ5VkRlrd2Uo3Zu2u85fA667sCwS2BFBdPRUuEbVN8xV5Yd12u6kbR5SjIm9Fw2CjOu bJCgRgHBOiFX53E1dKRXT8Hqh2YBpsA5YnV3UW4IhahDhykw6dXl5uNIbDvwg8xbdpo08U1eiPSA qVbsTh5fvetka6nO6sZiVhJpAKceezBF7ZeT2ARfQuFBhJ0yr6b/VfMQgiUE5gorVPu8FAtJBinO rUkhwvgD7GllGiv/Buwu1TzxUjSZ1ZM9gTDJNInbAoi9wRW1xKpQbrtRrzKEQ34JZ02MZOO1hLL8 BBvask2AG72TrTAid8UGuFU8+oV/f94rQ9aGVYp6fbofKrUVnAIRxGkmCYVAXV0T/sN8ZlmsAsEE AP7fOM4I0mHmtGMqq+oYKFxoEmXXdNv2H/4zoLx0qqda7gUPi/R3d1cBhoR4YKJ9FBLzJ0PseD5q 6JSaSfJilIFZbpRJGS/Q3ivHKMGhoSKn9n/FZZ1mL0WeGk4Z0Dw84A/CEioCXUmYENEWKidncWkV 19SXKU0Ve9yJdbi3SPpgrBom1CJLrKaie8RQEBeloe22XIN9J/5hg6UmspduTMLe91Hl0CBJ/4L1 +DwzdsqFGeGLfiAp3zA8Mrux5NN7lvNxa1Vx54gTJ49wf6Fx/H2sB6VSkm6bilnGuFvrTioU8m2x vXJz9xysKezudWUMqV1DICJui7q5Rl3ozvmXswxL1e1BTxtfvaCF/vBg6qpVKFYi6Eu/5FHFGpNF 1LoKXilRYGFMQGyz8OSLqaL9hQFsNkpR5vUt0VYkqxbEfyPthEiJCon4VfDcCAcL3kWZBncIGw8F 2/kRjbMHYkOx0Agnl85LF9Psmnbmef2eoLR4E7C2azHaJarK9GaqSfu7HRdHfrCp94t9rG2m+YZx rL+GtHm97BAH/cqeBAExkiy7HxGm2MLIfdOWm7vAiuGflR8Sz1hdR4+OjKaLZhOwp4xcldWhP1cx S6ts6GSyiYn3XbPl6q6mJHdYKb1HZlnPyxRA3fh+g0DZSxVcsJ+eodNvyPwtAxz6mPxIphsYoeMa ACKqfbuGEYl4vOsKCgZqIqUwtm2owffMMchiYVsYNCXeNxmShhRl8I71W7Wir/1fcEzf6BOigR1x zeKTJ7GqpoGaPk9KNNcJH2hRx/wpggyzYbTRm/XRC7i7fK83DmO1Av94Ihcpq8tE/M4CbKNnjy/T QRlyzstUnbtnVqpMBo4WfZAwP4PP2LqIQELOTsQHXntNHETa2fwD/5e6DhHNG6UllJUG0/pO0tT7 UDEl3DWjm2YVUemRgbgzA0sIKAWAzwbYjAJ7DGfjfI/EoDy5CjvZOfSkls/PkEhT88+Ry47SOd9+ BckX9tOxRFOCOGIYfFKVLkbjBJBpReNZdHt5RdZwuPO7nKOA1mzfjVJ/43DUA3j8JG7D9a2B/Pmr 8lOG4QK3gv8buZAPoWR3Zd4G8PlaHl1Kk1GBe65UCNtH1O2PtMtOmCdwZPtFuFJYOfJ0SivFL62r r0j/d8a1Ea5NNwMZWJU5sJE/8i6tWufCQwW7mxSCOdTk1nVOpebhRQciw5hBR9DKFE+6mVjHDHbf UVY0w8PL7PJtJ+c6VrkD5sgui7fBnjveVUVDZGGSxkN7+7QLZSPJHoOJ6JKbd+n6A4/myz4Kg25u e2PpXkborlkBcC0tK/hFn3vUUhpl8eYCAsb/Zr4DcZFQwYZ7m/hMQLF8taCPYax9DwtYpMWfcB/M 8dpdX0kJoVUw2vddFrtnQ9nWC8DijPzooGkGbpF3OFQcWDdiuZmMdqhRjLmoqzPMQBKpjCHdAdSI R0hYlSVcfHrDDtTYYI05VACLFqOeptUOQPHUZhiL5wKMpAUnKDsT08D0h9JEKfPOWejxyZJzRufx 7lUNZNAMinwrjWnRRCL/PMMeq6CW/dGnOzUipIXRBcVhmU9cqrv3C0Xg36Dz02mvYdKrad4dwufP dRH53TZEEtNWuH9GXr5CXWvI5zClO0R3xzCaE7o62u50Bu7mxM95JJmsgfg4XsmhmmN5kPaF9z36 JlSaBqUWHwkYdYpNzMuMJLShmdL5XXOHbumXC7/CiC9yApbyENTeQ8ifTeCoyv0bLvx3x5E+hHia 8IQQEKeHt4iqQLmaglDdyhLL1u40Ewxww9OQ/KZ43zjAip4MdAQywxtQJVzma/AQ4WvNxtBPsJch nouKXvMf7IoUx2OgOf9/ABcbQ+CJfR47vO0UqbrIkwRi3gZ167bCbWhNy89b76PKJ6geYIH26Zes 0rvTxxIODGkYsr5TAMu0Behs5G1l1E2f11aCHoY7kggccXqkX6fnU8sBv46G2Jl4DuiHf4uSqlEd EVdCaq216mefq9ktz2tBLn9tHoK0Evsi7Oi1SFWL8QCv7P1XMIQOed7mWztQ0oegfMGwNmjPT1o0 dCW26F2kdSkLesaJEjbylvbvRd9mN74obY2Kq4xyd7hXmNGN/ZEjeSLp7X0+C9Ztvl5zXn/4ee+X 3QQq02TCYlyxNKR+xt4lEyKNIFxrEK8HjQQIv/xhFy8ghniZWFy5rKf9PaFqov64jGMlrsHk7OH7 a+OliS5Z8Fwzf1+ypAXZxjfP10kU+BU2n3xxL7j9HWjj5Wuag6SoOk7E3jq/anLxJJCCgrJRLeQe ZifGO631Nv1a41lU5AUR3SStPTGzJRI0nmmgUS2MmMzMM5HyvpqNEsRl1o729dlLSAIEKdK4NmsB N4DvaULknANPuBhxKp+ug5wZIJhikG/LZDSh/3gYBpObEcZ21Liaa3AkBcz7TXlOBVjkVcMrpJRk M3pI2Md7n7QSupvN4Rxc8vulaCLhWSN4bVJeqrArtR+94pQKbOh+2vamLDz6s7NrY/otAn8WNxf+ haBAMC0MOJJweX0gPTcyvnNivXz8splNbvRVkrK1V36ts17wSMFd0dG9K6elNkUooLB36ZMM81ip N1PQRVV3WINz3MRTGqlzTnDCBy3xhvEzOOiIJlyMVqzE8VHUQ5ccnOMPRzeYDe263GiNgmINayGf lv7hLljTVOiDhfp+c9hrmLDsEiBKz62Qp1i+bjyu7btJDwUHYwyiMg/Y5MdX7tBQ6Ba9hmBnccZu Wg8tIXtRw5ElR2xL5g9aVkijYcV+L2nXDZq/pTSb0K3xBYzsks+nMh7E3ct+40u0Ecw8d8Wep59o y6Z7Qq/Vw0fd/IoaTLoCMBaCOBxLpsxzy641Rd3YHdJYUZ+Q3KEdY4tJfv7Pv/aLjbHGdtsek5+P gsBmlvPWnsQRcGbNHcarPLzPuwDmnL6AzG+DCP0jSgzxE95wlbZ1CfcePWSFqyTQ97ZXj9L2sb2D UMLlmrPZC9+X0X0YcRZEHsdQA4ERc1DxdMCEjSF/Aqc9O4f+7MGnBsyHh4MRv4dh6Y5Ly0xTjtUG 16JXAQGajDcYUoqSB3g0YH648uEKAVbbLfAqITDEpbuKjGKy4oiQlYeNKvrGVw72Yf/A7gtk8erV Q4uOCtg5JRAdw4y1oakjjcakTdaYM6o2aJxIMHiGBfQv4mnbifRY+fHIVLuzf0JaiGI/kAC+SmDl Uj6qyawyBnfWmQ+JlTEd1dJzQExwg5aI/IUt1EoDRMYCyflMW7wev7WC2OXHolh5mvllpWczU4N6 fNL4slFY1HpZHK5wJ4QfFbJSSnLSlOpoXr7c3qIv8QZW/6hNiL4SkOVCL07ctqndbCr9gGt0vJh+ J/zmk0mrsSvbbB46cYbPzLF/wMPwcxQCuSntzPmyQ537gogF0FkBS0YUoiuZPmOKom+z4hj6cY8U UDB+xXACr+59jNPgjOuiqvOmp4x5kSAe+w2xnUJGSAirKQmXJ45Eu5SSP01XSWrAHxnjXOf8BvZs 4H8afSZqnps/Ky/CDUOH0P3zmpR9CQTKqRqil9tqcTFamDkE6Jd16kr1TS+SxWubbmvlIEIXqLKG qRh0wkpzx7IJPCXQNuL0D33jDhe6BQiF/1VkVIHqReYDYHKQZ0c8jKlhlir0CdYDOaJxrgt3/S0+ do2137UWbAJvoFPrxEWGhu9X7tmj6KBD8v7O9jxCDQpGTVXW0wAVNoIZ9zLWn3hiZ0YwcVLrxlZb S8pb1PBjIEBzBaJJ05q72oESDi73MdYg+Q7bhW0qRQlCYm3HzxSRAbEMg8/9epDT1Hwf+/ZVozDR fADjvdYZbk+OgE557BeZMkVtUsWj6KEPBoLOYKjUYOn7A27rdWVm0ww4tYdptmnghXQgM4nWnuIo 5xVV1StsNndJibQNFsIr/uS7ms1ttijPRzkbptJymdQ0+XNS9zhxdImtorcPrR3hUVTqCfCvoMHu ASi/ciY0WXegRGRPmSmcs66A+6FIhafcxgJ4687iXi9OJuErCo6aLv3cDNZAU2CSc6nVxHqhYPcT /vRJRlHpelZYPWovpW1THTwe0Pw1UdFQwr4UKSy4K8T8EULOHToTr/kFG6ialsatI8L2cSQf1P76 hy6IQysIPH08S8MC3zhMhVJ8ht2GCBZvfnM7JCFfkNbWId8FiRYHpm2sHVu+VWQlsTfBZ/7uZ7sZ 14VyAYcijEpaj3WeyYnQo29wfVWbthgz+58cMUJ+ARTD3L1Rwf/9xDKp3FNnYi6nNibY/uk93jSz BAO7uq6TymsMEzlbY63p15+MTDyUilBlfNieBQsLt4vnS2ie/mkFPqHpwS0A3zVBXEFCWkfEDjrm BRUTWn0mf0pKoEF/BVpGgVeDriuY5ovMXGXSt71fU1YgvaocLMiQaS6lB8dzb1J8G+jF6QTExici +f0b9xy5npN4q/iayc6gq+c6Ivx5E9QwYOPzFyXMSGfMsqjTSgf6wd/pavuc3WpbX5NqcDhIzCg+ Lk7OhKBsUJrSIrPc5PwrQLY9SAIy0b3QQtpTbFSXgLE8gy0myLppWr0OsGO4nwRSglvtso7Jl4Ek E5mIKDDSStI0EAJz3EH2x+vAIGFnlisN8yOkMzZj0CnDs8w+jLozbBAVzS2TzxEewZA+NRTuvfJv P+fkO+WDz3jUo54Zjmy0Ej8B34EOOYDg4gxRMtDgQ6pb3S6XhmJBJehrfngZ/NIeJfIktyWwj70z nPAE7BkpM9ktVfNrbC8OgGSmoOfYixB4F08q8/RtCnSSLxnqRkUFd74B+25uCT/SdZ/fQy1/HQWa eHlHA7yCtK8CRtJVzL0k7jVqXFOR2iHgqobqrG17LsuLTkZ94QfofwSdSLa8zUKZS8IkUNqyW2UR wvD0dpsrLCipu0dz72C3U2vzMvWZAES4zvbUClZgUUsxoi1nXMupJcI49fi1s+kEZ8RtX8xX72ay +7OlezcAjf/TPwfxjkTcCj6ZGNk5I47DLUB40LlExq6CmEKnkbhue50X5Z0yPFUfz2j+2UvTMlb+ A7ERuBMV6L1ITf010xIbmmI9Dlc0TYEZ6MmOgpuAhB59cMK2wwUcEZABiNINPDRuRlxRCGmJiJRi 3KSlw+TwePSWt1EShfxZDZs9Px8QPeW48ob1Yu7sNdoLjwUtcjJF2Tcc/i5xBttlx8fAd7ifEbmh VB9zwSWEv2Yuno6+1k0wl43R4bVP1WlGw/ctN71ygpFxYLKyipMvWBxbp2/N65JTUoObB23/oDa2 Sg8reB7N5xuLMebS6YmCPVfjE/wXZYV+52xAME14l5GdAAi4dVnhixfSHgiwEun6+r584kmM2bW1 nirs7gxFRnjneISaqwqKtutJrONhawuBK++XfzDNAlhT+ff1JupCs+7215VH/autp//HgOiZfK1V kjLMYBZwGmE7dgAfx6711LpoYgA4jahA11DrrP4t30vAfYOK4vQxbwCMUGF24ADiP3lsRHvrdneZ ioypxJKeUWoS3Lazd3YtRV3jzZ3mhR3vA1mRiAEMAlEOdp+8lBXJ98BOORr1PD9BeD6ovwoZsQW1 HyA4+FGRjVBXRiNEDRK2FYqgzcTgNB7CaHIxBnfTHWp0FvgvCNpCZFVobh5Dlu8L1nld1ab0yOmU xbtoMbzmSvUukILLtsPIj+xuWhDkXc8l76QCgkJEce91+JAQuhE3wHEkvgTFnJ3WNGq3y+4o4Rry dpVsTQh9kitEJRnIL6rzlx38gEgaJLCHipLhSnYrbQBBJhr7MpFqlfh3Y0mOHtLBooqCty4i8gTX F01vJYC3+I+S1sdrXfP0OmzTwq+n0sogAy2sxLDqSB73yujMFgTJBCAQtPgTtrtdFWLAWNp6DC0i epa2uaueGBY4caddG6fLQLteN15dDocSFl4J3D6KdQFqyzChh1v6sQlf/72VK8HnQ/YMOiFXFbMY QFbUUNjrOpRRpfzAO9+UCLy033EPE00iqA6S9fIR06caMe+lz2zED2dTdN8r8km48khyHoUd66MF 1vqquFwN+CjHygHHOB5oUVqPUgxT6alYwbuTxBT1BFXGZ4fD2Ws7r8w4bfk5xdCk+2uKv3C1k9Z8 zhChOoKkw1KE8/gGoNMMI5ByUquQeRj6tm7b/vGMfhxwhEilTAt2Qzt1gB3TgBC3Wn38LdBDTNnF 2Lw+ZHvhLWsBWm1H1FmEKh+xS0idFPWXhnhdKfUSORK+USKaELwuWxy667XGjz3h0rFsoqx9Xbht 7cQoVSFEnRZsHJ/yaZ/HFxqG/nATU9Sdyk+e15vTV3dtONtRMN+jZ10CXD3Tslz4/0Be74YSHcsa r3Tn2zx1t8aRiflUV1kMoD1/D6ERS8qljRb4rGp+Uc8Qmbv4nj+/dwqC7Qz1NL+aOb4vyB29kJkK KaKbtSfB2zMp5vj5pe40hqxxPhiLn1HKJViRftab8eCmlTV8m7ra1oHXP/FjyA2s7fz7EXZlwMsb J8vW2V80OxVdIStZTIRI8zi01wbCSDGi5aUJO945p5Fntlz11zCwx0oIW414nEV2S34HW8OH3qO1 2u4u3uZ6voxAa0eui5FacmrtgjWvDw1Uo52+NTwKA2gRsQ02cy5kw0HoivIi8Q/OJAnIMWvOmtY9 lCefKGF5ToSl7oOwYGLLL7BezHIB3VtlTQhePOTOfuj3B6XqX+qK9CeNkh0q6kjHrrJ4tIVHCNpa q1Hy0oLU72p9XOPViT7XfHNxFsvtkVZw3sy1+M96xUMSfPLjOlycY7rZADhTh4DU8/iMiu1za94o qeQ198cwXtrAycuBiYYkcsMtEXKUSCImuztHRRxGffZWOHYkumQqFZcbIEE4Bbz+TA3Ofy4VNCHJ ZqS9prx1QH10YfU2souyGsFf8pHvfs3IcXVp8ci75SDRWTtKPGqc+n0ZDBB7mW+6CGi8oZ5I6Pon rI9MJPE5SAGdsVY8l5+IAXw8xigpQhqL6VTYSjogWU7HwiOcNUkRZsvEAuJ985VMNDz1+l7xDrAW w852vGPtQzFIq3Vym2TUCWFo2N0b9F2x+ygyrsEfcXxpXOBCct8lAvmp4Wjo9S5BHEkLfrnxr/Zc su3LqIVc/fHigB65lx3QCqZgUVeoJIob1sHwO9z0A8y6sHcPmHckxw9YztJ+v3VYQ6NByWkeWrWc 3GgfAG7wEbgIRRirgfgyTWg9aQnGfUipnOKA29I7ff/UgqmWyOt1cLxYDrX0y7Jm8ikkmUIy772b 1VPoYRvHm/zvgoXie4sViVda0/juDef6ZMBuSC2HS/clgPekmFQapZpgEuILBbu1ufxkUIu1ATkq 9oZME1h/+mdiR4JWMLcMImMnSDEjH4gdNSmUcJLeN7/HvphPAHSXCpX5zaUhkD63YTGYJDFzqr87 qMam3UzWSqN/6Y0GZmGDqiS/XU0E5ExxEyWshZav9Sh3exFRgR9JNbYB49/pF2OaBssMynBe4N5o qe3X9XEwtdVr3dzztprgNkg3EAAff3ZHLmd9dOoK9yGVAltAqQRngK6sC8b1Z/UrZkDEaZ+IlZ89 7bN9SDwrOUvzl8p2tWxQrlqbtx/+oZDtULINSYPcPfu7ubExYImEhlE5XINQWISpgBhPSDWaL+uZ RkGXGENgKXrmcjwGvFqGEwi8NvfrkfmBtbXMg1ZhEsh7/H+eaSth7XTSeh6WbCtVqRMbMv+WyKX/ JculHutKwUs7bq+DHKHejRC79ymOEd9Alqi52GdW7Og8sg/mqBXYdDx3g0XG0PGwAt5BjTs9M81S kXOgirPzihwIVSTmCzqhxwFjv9oLxjTEXUjoSHDJSwwLRnS9/zLKK1tmQv/2Du8IlhOLPsDWLcem zXwyBZf+Fus+FnFy8ww8fhu7eo2vFG5DJdT73igNWouWz9uKg2s6K/3pCH5rS31g4CnZpWvbU6h6 nUY5xI2PABwjxwATdMGHuNnPX1SQ7iQ6v1RfgYTPn0qZSWJFQrcDcux2hvxWLOGCETpOXmb3X8sk i0CLk8DyCNP3QO7aXOrsn1aY6SoeRn20Simye14nfK5wOvTNw/ejrS2BcAT/g1zL+KS3K0V0GryJ 8WOr6LlGHEU2aVNmV+7Xp+2MG3VglwqsqbUjC+eWqs2P4fFNvDgwZ722ZWQWhSqtX0k2VoArx5WR C2/iQYCd9JG27AjYtVAYUdNNj3gfT8XopdI5X88/FOlaB4TMhOu/xNKte9u1wo03DmokALKtftI8 1UYfsG6MFJdkPr9YGe4L+s+KOTt1eVmyDrvC3ptnet8sOlcy+B01fMf9m9VqQp9UeGJiai43EoNm vaQQRl4MagAKTWlR/EqRwvKSrvczqtUxjGNTqmEKv7dH4xgVJhOlM0Mxx5YFPO3MWmrYPLGJWqi2 91AlJNyrRUmleiod/w8OqOWyIRBgqGkvNY/g//LidiK+i//Eyc+wRy9ybT17hBRk8YtRJdbccIlb 0LPGAB9uK3+2XAPcxrIT+sEWI29nbm70WefyL0HVvJzjCtkkItg2wTLfE81MymN2sGOV+9iJhEFI jhVjFcHPlXWkg7MFpIFhfCZVq5Gax8UWFqlxY6vveD+hd+zJrGMlkpp7MkZDspcMZj2W1keNOqup q7mm38S471c/u/RAuHC1pMIqPJu4E71Ek2KKf0Qe3Fx9SbLcF4dS/95K2UFWGHMVBBybGuYrM2N2 a6JTggS6E+HN97LAkBXx4mh+9eKuiZOuUlWGijPXYOZ/Z8Nk5wi5wUJosNDsQOBIlG9PEVrGsDvN ZK1J5Tpyg25/MeRO0YNTT7TCL1Xuqyt1lrwMAyiBXd+71eFtEkEJ/7XI/Tq+nQz3b+g3sYJGWmUm T7GQlRM0EGUIawbvAp2RkCs4UJJ+e0jt2kavIkPGDVOask0gD4VMsGxkGVs3pg8axYnF6dbsD34O qCbv1qj82k8CQzBP9jpPpJ32KiTFFjZvg/VuO+PYikhD8FxepKF/vMWZ+FDT1yk/cUljz3N9p0EH vnVhU4zLnNapvA4EXL3w/zV13fRRczlQKDwW6CHdJuf23S20E5gPoMYofhV0j3MRpR0jXRfbE6As nVE3Gp1ahXgi3yDJNslF5VfOeE+VPPjitOj9UdbiO5JGI2rmLcS1zL198ljfHMbdspeWrjxXrxPF IN7QlpVJmoQ31XKrn6ccwoKaUIfOGgT/X2QuNEkpCWZfadUW6o7dKyy2cUcqKNAh5Od9o+0GXeVK Q8BRgsYMDrPZB51wG6e9sMautrmdGpv9NPG3YnFEhYvxhoob3XqzoiivRJ8f6P9Ebo5SpfPaAQUi v4fHOPa+fQaUog5Hv1cs/pAapHgEcd0GUcEmGzM+EnAq69KlOc6Hhnsa1tLip06rMTMe7w0GcOuU HifKj1WJ/xOeYyxLNdi8M9G3tuf/EIl+LifmJ76v9iBEZJd+nA4SHI4cqZr6DQb32yi0THjjEs7W W8HggCV6LCFnSMGs5yaEDfgc/qHZwgmu/ZOwdqcjQczqE9CFMfzH1VmR11K/GIV4h+tOMMf0LRAs e1aIyLMzEFjE0SsFWQWlAcp5t/ltyZd/xI5I/m9lIE0tHiEQqIbYU1yMnXcQeYeuv5fU0BfP4+QJ NLX73d15U94ITx/w2ebat86unLjL/uUq5mGm6fmM4tQQMEjgxHJ8So7EfHUiIpqUuuhxFtS7h5yE seygwx9StU6MEJ5lIVsyyo98rWIA2GuUPegHURr2Gjy+FdORkhS6O6P54k0FXCRc71KpeHY3vVMY luE303YTeaspNAkUNilV6oT34xMJ1DaLOXNzF+kVG1dT4DXlE0vR9w6TePXr9+pSMD6NBZcAPJx+ EZX1vC5uW5IbxJXNe9LdrpV8oUht3TiQPeRWK/fqweTeHxGq50d+yEhI2llmr0pDSeyUF73er4zC MUHehynQuVHv3LulbZlVaETAwlpqJbdY14IR2nMb0yJdt3uwNLf/WLTgGZKmPeWOPakobScPVQ8H Ab7sJczdyp85hRpLQH0q351+pXVttx8/YnZuAZ7zWvd+vqQG1gLpTyce4+WC8P8dkU7y/RKEuuQz G5SfueivJLKmB3YQNnp9cQZ4FPlUTrLFb/Vn/e+bYTIcrAhjmwfFyVgj04VUqVGFT7v2gRS3KR7x pdy6Fqgz5b3v+9si6PbA35t0hOvWDvGfgE+OVkRE+rWRrsehXQTyfJCY+9Fx4FUyG/MIJMObwqQE ZatlipC0tdXkSrRWLTgpgh27G4pM2C2C6lieN5ww8hL4qCSnwDPDg8TX92JoEg+HwG+uBO3lLPz3 5I/VnSThjEcbeqW6ZEj2iR6HqWz/edhWcqkxH1FLBnOhnlG3ldWAjBqcyqIE3lZgA2u9TmFYeIg2 6riwb63NYcpcZtwh6wpwDTzT3zpRdRqqS8Jr92bIWu5C+is+is0RsGWiMSDI6F45L6hDLiwY4B+e uNK6PjtZAd0g6QKGxEub2yENOrHdqybXsVTgmvUABBGzJ/qGdtI9br7ozMBHm3Twl+BtgtAylE/d YOW18swfHH40xm3KVb27Sc5CatZw8jvXFO1W14Wm7G1nUVPmQdGVdRO9a24XCe0L975icLwoduUm 37dxx1ByAoU7arK6bAmpIGK5HAJYmdNWUNSGM44dlWRHkgr0IWUdk9lNvv1SBiuk8vrD4dmBvceS tSZNe2EVxJxh7BfYRDRQd3BBSwFZUUawSiD856Hxl3WEcvSjJ83R63HjZ7AokGWd2nyT9/I/aix+ EDyDwnOapMGwVnCtVqTEcNAU8Ctsi4NzgmzMeGjdBPS7vYIlRzkLpGlauDMNxEdVjBacf3R+cGQL DwX3nlzil+SPN6XF5/1MJCupGWTuDukxja5xj+7NAlIryAVA2or0gUk86NfgsSQJJbUN5JtD7h37 CaD4Yj0mEYfuE7DKyj7WhcQl4qkZAcxUVqz5Cnz+T5+dXYlsfAS+M5qrrPT18xfu8z9wwP5ZFxL+ +wc/pkVZbz0pAhyOkA4iSPBVEZyw9Yynt7hktHxo9ZTDIl8kUZ+itIolSJ1fpstE4vjjH2VGtkc2 1/1vTPixXrIrd4b8UZmcUMSrMuDOCXZhXZgqS8U1xxjnJ7KdovqwlEU1+1NtNqBsjTLdrEFrR7FZ 14rmbW0xjcF4+XZPWhPeRastTs0mnayL4dLRs4Fc3BLtOl8nSMpipWnbzcI8luj/J98lwwEro/6y FHv+1x0TL07mQvZ7UBtHTuPg/SHK71WKLlIJsQEErCP9nvpMnxoyQP+fdjzYrePrB293KBHVMOsV jAWGLHJChwX7EI5WTagHQohqu69+E3vpBxBJyEAuo1DgcziZgl27+6amJWpCs9UfljmuYfuuo3IV e3arHxKRONqArhbIo4vNrLbl+J803Az9CjH//Y+avuiHmOu/v9LssuFPtKMb46X1QEy8voovfBsZ 48fMVhfxUDAbGTBhh7YprjWXNXswE99Dsp8RdSOgJl3Dc3zpF8OEqSAV7yIYguGUSSXqNIgm9J6K 06jflQfa8oQKkOYNEGNg+BWcfDDouEeKHmnq17148BPlZEL+b2OGrEkqgWFQ+hH35FKqtznIupG3 bW7UELoeYu2KBsBinnbUH1HRtCi4dhAW6uXOUWZWubNrY+5MrxxdXNOfY//bQ10KSVGyD7vb0Svv eHTlk+M+lZ04BAiaJohAB8wrXvB1PrMHqlvi1bPrgyki+Fz/3KT8xS0UMxNZ/LYxv8zeACfV1bYB Bjthi12XWp/R1OpnJfySATOm82waL9WLmG9hJuH9KEHSK/4wrBs5c2Mm8YuAZ8oC8qqlbG/og0Id OLg3mgL8EU+k4SwJBgEtkIt13wboxXUkyvkrNJ5AFqSKD/IMpjEqzRtIP+get6MeVd/BrEatQUnD STlipeOmM4qQUaZPH3SUfr9dgjhlHcxlendjRK24a44gLj+cQhlDL5U4Z3zjVvYG2XF4KEUExJiI 1fQ6BXdS2g0f3ECg6JppVUq68/GcXYzIzuY5SRcahPhICjr12EhSLPKXbjWnkQdhMNPUH7vWkVWX +r2k+h0vMkheMATLmN/GNG58xlHY8/rq6FjBhelI+Lld+EB2QtrUvjwA9YrwhBlZ/cwm5/KX2c01 FSWFuxk/DIUMp/ubl/5uJcXHcb97lLu/WnwxKTVOyQVrWO6om4GuIXgTrmvktp5smDUZru6f36dW Fg8QpQ7muKfrO8ks+/xLJLh9/OhnyCh4MSzzlMSRc/yGeKdpJkcVwuPuhYvOzmEGITPu6Wj4EjmT 9Gk5jPHOG8iuUaj+TN1Wp+3qhWlWooksuZa4qKRBlUFFwIcsa4VWPBcon+p0B7+zp0/QV4A72MK9 uR2gleabXPHdB7ZVWvaUTW8uykIvRIYPoSh995WX2VRzICIqU9oK5Hj3t5CWdx6hOA1aZml2Tnfv uvySdvRhTqaEzB04OUNcKJgYwVSoA+77UUAeVI0V4vLpLNsm+T8ia10NeHQ5JM+pDYZGcE76HKth VF3l6oQGSt1fk6wueWo51jS+xdwczfyBUhuyrllHodpOUm4e6yBRjTcHbejKoiVIC9T+5E/U4cEI lA8qKqLPCHHkgnH7nGHVe2+Wrp+oXbix+z3J+aZXBypGXQFkW7ClhgfQ7KfcCNhshssKSXH5Nt2B GL61Uy439KMGqFpF09tql7bMQgO/i9twTjA9j/76qOBIv+MiTsio5GiIFSBG6xhFmb7tEn5Cr3F4 70oJaCbjtdROK6L8jPr231HZP4SkTCE3PEp4MVuhnwTl39V3r8pg4m3tBTDmEglFgX1h3YPYp5Pd aswJwonqZwSklSWgFFtHzWrdODEDSxLkUrIGk8mopRnJX4Ob3AP+qLF25J7bWt3aCmbX/ConPWVw k0myBGLDhHCDvnVdZImxJYYlj1HRwaoeSDqYSuqtb7rD785dxpqPGSoR2vyFtx98SYOoXQ6inBLw cKpjgypPvGvQqN2QtuiN8yBmbTNDIszeOShMdNb8X4aeka1HvSDP0lXSWirHCwv1W4oSEmWfIftF Aqk8gohinD0/46/lKAr7d1Szh9fK7TL6cx089JNcK+PuhKp8Kf25M/62biDgjvAnm4bGPGxE4QVI kEfg67I8T+ZlL8K1EcOJ7TeHeFVOsLvcO/W/3046FDJ5WCrH2jwnUgYQnwU5BAPUKgVbwHx8G1+g dq7fQpApmEBVIXZp76aVxiH6fjzYF919bANnxesnhwn1iowdKjbWyftxkur2XtevDIvphRwBiem9 VGxlGbuZ+dK7lR3KN/tatiZEA/dj4xvnXELXLax4c4BCFHLtoQsyjKEK2Lud2Zwtuicux8r1qGxv tGjstxD02zgsxOCXSiC+4TDYKtYdq3iNaMD16txuVHo9ElNweVsdnWxe15zyL3444HBI2zMLnjdA 5hOGjKhB8bqHsZ2jNoa/rNbwUVfNcpVpAmcSn/3yGV+xTLsx3jTB4FQSdytAawTRM3iOMiUCgOGE +wtxz6oBv8cTijFUchHDchPcVCHMjaEHdo7QXeVFm4yPsvCTnL8BEB8Slf/uqiWGrufejW78FyMH b/oDE1QUgbZQxrPiH4K5MzuLET2ZVB/eTTRNZU8REcEK3lfpvMlG/u7yObMncHcEpTchj2I6pay9 NujVRPmi0q1SXckuLoM923RgsghIBYKfmkLmaEnVVG3DTrkgaOJVKhsuVmWAak0dmoIUzlF5R1UM /jEfOkwROXUpr9knHdESdbFtNobV3pDpU/IiTxS5LSv7d54+rrEzW3OjtgUNvJDuACSijOs8fK0V pbZj47YSy05GtLLC5eEDjEBymuave0Y1iNtswS7vKDj3h9axEGS6J1ablLmUi0fEAvuL01nMss+/ a5WAA4M8KsihuMtXjFsVLAw5uDjsUEJlxfgqaKq9MnTmMvaMCvyWwSUIF5NtlyQOMbI3ok15+r+o PnWm7z0MXs73g3ubx3rNNm703DAAnc178/CaTAwMlUm5GALZ0n9XqPMuNVqM2IEct9rI5uQhnYTv x+vWAroA8ahySy0qh40l2XhTg7HRcM3+BioYZuvqQkcyIXHyLNRKiH3zPhRLOUFoDG9rEQeXtRWP qZ1MvYZ2vTbPmIojDYdWZjlL/lf/Mx+qsGoKYWnDOgBzVKdJAJfPXl8ggT6XwhyD8SOvgQBNIyMQ JYBOpUyjnLXWIO0JsQ3PETf1B9nnYSlHtzC9lawPXyhO4pWLq//nRFVxm2Ow3OStXxjpVLq1fEGB 5Lqn+YVg76xSTfwvo2S4Wq6hOak+cPs+cTdwHNH7v7sw6ZCe5hGtTUEXlvx166/EofhWLwyrlkJZ crvp9vpFzqZm9Owizf7nM76UJpw6bxgZhQH6TzicJQkoz5OqDynoZPltXteyT1ipzCV3qPNzSEqM kx1zzU8eM5qa/q9XdMbpfiJKeTLQbCqDGcWPyKSVhfNlDnW0QQxYGVijgdOm7+5mxk/tBbBgWJSg mfRWttef+QA3xKhmsgyYh6hsfgjqK/yHUenAX7lsMmoQ3e1tU1WuztoTmOD9Tf7rpLvNP+Z4hmxk w65FFccy6lbSds+ZS1Nh6rgZ8SpJwB+5WdEmVDd0o4AseGobVuOXykLohzFvGNeN+NCnVdx4C8cX Eb3UXT4GguKZG+vptpJ5FyjFPAI6/7LbmEsqi8jHNm+Os/y4sKqJR47tT564NhEXrBDMpkQQifU1 /YHXusKAm0cx7Tujfu2HazTV+khN86yexlZZhiraPuB18e2ZG2ti6ayOmrNtXzbaYgDbpT8DaXSH 3dIbJSm4INDl2UicBTFj+KIiXNrpNBI4itfBfXVBahL3itl7XO0WKvXKl2lHkNJavJXr/3r+BO2K uboa9/Bz8NeK6J/jAulMKd9thFExQKVXi5o3c/avmm3rzbBtCWbgu2SVMi8DTebTNpsoKnsKJmR/ hg4MKWzah5kmzn0mooL9NHMo7qeTHoJsqOnMZk/tLUdlYjqMDV5TqUgp/GMT2IwGOIj36xlhyacZ dWtDyhJvXvhmbTDdHKDuNBZgcaNkKeXODzz2hA8Ef+LPuy9sNyYBqPrZhjiokQzlySeyt2Uzq5rt Xz6OPJOigHS+ZfWHwdGbBq7fZvABTSkzoyY63P0OlRummHbxS0rWqIGYKgBrMxyTHk3qMWWIj76I e1y8gFze3aPIY1JfEa1hVGWXzPQ+6A95FnfLLXsVMAmT1baDl139q0lvMrpRrWjOHliUOdo1EAcj fUQ+EjA= `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_sys_reset_v5_0/hdl/src/vhdl/sequence.vhd
30
22215
------------------------------------------------------------------------------- -- sequence - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: proc_sys_reset.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- -- upcnt_n.vhd -- -- lpf.vhd -- -- sequence.vhd ------------------------------------------------------------------------------- -- Filename: sequence.vhd -- -- Description: -- This file control the sequencing coming out of a reset. -- The sequencing is as follows: -- Bus_Struct_Reset comes out of reset first. Either when the -- external or auxiliary reset goes inactive or 16 clocks -- after a PPC Chip_Reset_Request, or 30 clocks after a PPC -- System_Reset_Request. -- Peripheral_Reset comes out of reset 16 clocks after -- Bus_Struct_Reset. -- The PPC resetcore, comes out of reset -- 16 clocks after Peripheral_Reset. -- The PPC resetchip and resetsystem come out of reset -- at the same time as Bus_Struct_Reset. ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/12/01 -- First Release -- LC Whittle 10/11/2004 -- Update for NCSim -- rolandp 04/16/2007 -- v2.00a -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library unisim; use unisim.vcomponents.all; library proc_sys_reset_v5_0; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- -- Definition of Ports: -- Lpf_reset -- Low Pass Filtered in -- System_Reset_Req -- System Reset Request -- Chip_Reset_Req -- Chip Reset Request -- Slowest_Sync_Clk -- Clock -- Bsr_out -- Bus Structure Reset out -- Pr_out -- Peripheral Reset out -- Core_out -- Core reset out -- Chip_out -- Chip reset out -- Sys_out -- System reset out -- MB_out -- MB reset out -- ------------------------------------------------------------------------------- entity sequence is port( Lpf_reset : in std_logic; -- System_Reset_Req : in std_logic; -- Chip_Reset_Req : in std_logic; Slowest_Sync_Clk : in std_logic; Bsr_out : out std_logic; Pr_out : out std_logic; -- Core_out : out std_logic; -- Chip_out : out std_logic; -- Sys_out : out std_logic; MB_out : out std_logic ); end sequence; architecture imp of sequence is constant CLEAR : std_logic := '0'; constant BSR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "001100"; -- 12 constant BSR_END_SYS : std_logic_vector(5 downto 0) := "011001"; -- 25 constant PR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "011100"; -- 28 constant PR_END_SYS : std_logic_vector(5 downto 0) := "101001"; -- 41 constant CORE_END_LPF_CHIP : std_logic_vector(5 downto 0) := "101100"; -- 44 constant CORE_END_SYS : std_logic_vector(5 downto 0) := "111001"; -- 57 constant CHIP_END_LPF_CHIP : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP; constant CHIP_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS; constant SYS_END_LPF : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP; constant SYS_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS; signal bsr : std_logic := '0'; signal bsr_dec : std_logic_vector(2 downto 0) := (others => '0'); signal pr : std_logic := '0'; signal pr_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Core : std_logic := '0'; signal core_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Chip : std_logic := '0'; signal chip_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Sys : std_logic := '0'; signal sys_dec : std_logic_vector(2 downto 0) := (others => '0'); signal chip_Reset_Req_d1 : std_logic := '0'; -- delayed Chip_Reset_Req signal chip_Reset_Req_d2 : std_logic := '0'; -- delayed Chip_Reset_Req signal chip_Reset_Req_d3 : std_logic := '0'; -- delayed Chip_Reset_Req signal system_Reset_Req_d1 : std_logic := '0'; -- delayed System_Reset_Req signal system_Reset_Req_d2 : std_logic := '0'; -- delayed System_Reset_Req signal system_Reset_Req_d3 : std_logic := '0'; -- delayed System_Reset_Req signal seq_cnt : std_logic_vector(5 downto 0); signal seq_cnt_en : std_logic := '0'; signal seq_clr : std_logic := '0'; signal ris_edge : std_logic := '0'; signal sys_edge : std_logic := '0'; signal from_sys : std_logic; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- begin Pr_out <= pr; Bsr_out <= bsr; MB_out <= core; -- Core_out <= core; -- Chip_out <= chip or sys; -- Sys_out <= sys; ------------------------------------------------------------------------------- -- This process remembers that the reset was caused be -- System_Reset_Req ------------------------------------------------------------------------------- SYS_FROM_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if Lpf_reset='1' or system_reset_req_d3='1' then if (Lpf_reset = '1') then from_sys <= '1'; --elsif Chip_Reset_Req_d3='1' then -- from_sys <= '0'; elsif (Core = '0') then from_sys <='0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This instantiates a counter to control the sequencing ------------------------------------------------------------------------------- SEQ_COUNTER : entity proc_sys_reset_v5_0.UPCNT_N generic map (C_SIZE => 6) port map( Data => "000000", Cnt_en => seq_cnt_en, Load => '0', Clr => seq_clr, Clk => Slowest_sync_clk, Qout => seq_cnt ); ------------------------------------------------------------------------------- -- SEQ_CNT_EN_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- count until all outputs are inactive ------------------------------------------------------------------------------- SEQ_CNT_EN_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if (Lpf_reset='1' --or --System_Reset_Req_d3='1' or --Chip_Reset_Req_d3='1' or --ris_edge = '1' ) then seq_cnt_en <= '1'; elsif (Core='0') then -- Core always present and always last seq_cnt_en <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- SEQ_CLR_PROCESS ------------------------------------------------------------------------------- -- This generates the reset to the sequence counter -- Clear the counter on a rising edge of chip or system request or low pass -- filter output ------------------------------------------------------------------------------- SEQ_CLR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then seq_clr <= '0'; else seq_clr <= '1'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process defines the Peripheral_Reset output signal ------------------------------------------------------------------------------- PR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then pr <= '1'; elsif (pr_dec(2) = '1') then pr <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for PR to use ------------------------------------------------------------------------------- PR_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = PR_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = PR_END_SYS(5 downto 3) and from_sys = '1') ) then pr_dec(0) <= '1'; else pr_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = PR_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = PR_END_SYS(2 downto 0) and from_sys = '1') )then pr_dec(1) <= '1'; else pr_dec(1) <= '0'; end if; pr_dec(2) <= pr_dec(1) and pr_dec(0); end if; end process; ------------------------------------------------------------------------------- -- This process defines the Bus_Struct_Reset output signal ------------------------------------------------------------------------------- BSR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then bsr <= '1'; elsif (bsr_dec(2) = '1') then bsr <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for BSR to use ------------------------------------------------------------------------------- BSR_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = BSR_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = BSR_END_SYS(5 downto 3) and from_sys = '1') )then bsr_dec(0) <= '1'; else bsr_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = BSR_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = BSR_END_SYS(2 downto 0) and from_sys = '1') )then bsr_dec(1) <= '1'; else bsr_dec(1) <= '0'; end if; bsr_dec(2) <= bsr_dec(1) and bsr_dec(0); end if; end process; ------------------------------------------------------------------------------- -- This process defines the Peripheral_Reset output signal ------------------------------------------------------------------------------- CORE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then core <= '1'; elsif (core_dec(2) = '1') then core <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for PR to use ------------------------------------------------------------------------------- CORE_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = CORE_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = CORE_END_SYS(5 downto 3) and from_sys = '1') )then core_dec(0) <= '1'; else core_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = CORE_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = CORE_END_SYS(2 downto 0) and from_sys = '1') )then core_dec(1) <= '1'; else core_dec(1) <= '0'; end if; core_dec(2) <= core_dec(1) and core_dec(0); end if; end process; --------------------------------------------------------------------------------- ---- This process defines the Chip output signal --------------------------------------------------------------------------------- -- CHIP_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- -- if ris_edge = '1' or Lpf_reset = '1' then -- if Lpf_reset = '1' then -- chip <= '1'; -- elsif chip_dec(2) = '1' then -- chip <= '0'; -- end if; -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process decodes the sequence counter for Chip to use ---- sys is overlapping the chip reset and thus no need to decode this here --------------------------------------------------------------------------------- -- CHIP_DECODE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (seq_cnt(5 downto 2) = CHIP_END_LPF_CHIP(5 downto 2)) then -- chip_dec(0) <= '1'; -- else -- chip_dec(0) <= '0'; -- end if; -- if (seq_cnt(1 downto 0) = CHIP_END_LPF_CHIP(1 downto 0)) then -- chip_dec(1) <= '1'; -- else -- chip_dec(1) <= '0'; -- end if; -- chip_dec(2) <= chip_dec(1) and chip_dec(0); -- end if; -- end process; --------------------------------------------------------------------------------- ---- This process defines the Sys output signal --------------------------------------------------------------------------------- -- SYS_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if sys_edge = '1' or Lpf_reset = '1' then -- sys <= '1'; -- elsif sys_dec(2) = '1' then -- sys <= '0'; -- end if; -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process decodes the sequence counter for Sys to use --------------------------------------------------------------------------------- -- SYS_DECODE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (seq_cnt(5 downto 3) = SYS_END_LPF(5 downto 3) and from_sys = '0') or -- (seq_cnt(5 downto 3) = SYS_END_SYS(5 downto 3) and from_sys = '1') then -- sys_dec(0) <= '1'; -- else -- sys_dec(0) <= '0'; -- end if; -- if (seq_cnt(2 downto 0) = SYS_END_LPF(2 downto 0) and from_sys = '0') or -- (seq_cnt(2 downto 0) = SYS_END_SYS(2 downto 0) and from_sys = '1') then -- sys_dec(1) <= '1'; -- else -- sys_dec(1) <= '0'; -- end if; -- sys_dec(2) <= sys_dec(1) and sys_dec(0); -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process delays signals so the the edge can be detected and used --------------------------------------------------------------------------------- -- DELAY_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- chip_reset_req_d1 <= Chip_Reset_Req ; -- chip_reset_req_d2 <= chip_Reset_Req_d1 ; -- chip_reset_req_d3 <= chip_Reset_Req_d2 ; -- system_reset_req_d1 <= System_Reset_Req; -- system_reset_req_d2 <= system_Reset_Req_d1; -- system_reset_req_d3 <= system_Reset_Req_d2; -- end if; -- end process; ------------------------------------------------------------------------------- -- This process creates a signal that goes high on the rising edge of either -- Chip_Reset_Req or System_Reset_Req ------------------------------------------------------------------------------- -- RIS_EDGE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (chip_reset_req_d3='0' and chip_Reset_Req_d2= '1') -- rising edge -- or (system_reset_req_d3='0' and system_Reset_Req_d2='1') then -- ris_edge <= '1'; -- else -- ris_edge <='0'; -- end if; -- end if; -- end process; ------------------------------------------------------------------------------- -- This process creates a signal that goes high on the rising edge of -- System_Reset_Req ------------------------------------------------------------------------------- -- SYS_EDGE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (system_reset_req_d3='0' and system_reset_req_d2='1') then -- sys_edge <= '1'; -- else -- sys_edge <='0'; -- end if; -- end if; -- end process; end architecture imp;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/pf_occ_counter_top.vhd
15
12619
------------------------------------------------------------------------------- -- $Id: pf_occ_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_occ_counter_top - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_occ_counter_top.vhd -- -- Description: Implements parameterized up/down counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_occ_counter_top.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- DET 2001-08-30 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --Use IEEE.numeric_std.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_occ_counter; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_occ_counter_top is generic ( C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; Load_Enable : in std_logic; Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Down : in std_logic; Count_Up : in std_logic; By_2 : In std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1); almost_full : Out std_logic; full : Out std_logic; almost_empty : Out std_logic; empty : Out std_logic ); end entity pf_occ_counter_top; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_occ_counter_top is Signal sig_cnt_enable : std_logic; Signal sig_cnt_up_n_dwn : std_logic; Signal sig_carry_out : std_logic; Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1); Signal upper_cleared : std_logic; Signal lower_set : std_logic; Signal lower_cleared : std_logic; Signal empty_state : std_logic_vector(0 to 2); Signal full_state : std_logic_vector(0 to 3); Signal sig_full : std_logic; Signal sig_almost_full : std_logic; Signal sig_going_full : std_logic; Signal sig_empty : std_logic; Signal sig_almost_empty : std_logic; begin -- VHDL_RTL full <= sig_full; almost_full <= sig_almost_full; empty <= sig_empty; almost_empty <= sig_almost_empty; -- Misc signal assignments Count_Out <= sig_count_out; sig_cnt_enable <= (Count_Up and not(sig_full)) xor (Count_Down and not(sig_empty)); sig_cnt_up_n_dwn <= not(Count_Up); I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_occ_counter generic map ( C_COUNT_WIDTH ) port map( Clk => Clk, Rst => Rst, Carry_Out => sig_carry_out, Load_In => Load_value, Count_Enable => sig_cnt_enable, Count_Load => Load_Enable, Count_Down => sig_cnt_up_n_dwn, Cnt_by_2 => By_2, Count_Out => sig_count_out ); TEST_UPPER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable loop_count : integer; Begin --loop_count := 0; all_cleared := True; for loop_count in 0 to C_COUNT_WIDTH-2 loop If (sig_count_out(loop_count) = '1') Then all_cleared := False; else null; End if; End loop; -- -- Search through the upper counter bits starting with the MSB -- while (loop_count < C_COUNT_WIDTH-2) loop -- -- If (sig_count_out(loop_count) = '1') Then -- all_cleared := False; -- else -- null; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then upper_cleared <= '1'; else upper_cleared <= '0'; End if; End process TEST_UPPER_BITS; empty_state <= upper_cleared & sig_count_out(C_COUNT_WIDTH-2) & sig_count_out(C_COUNT_WIDTH-1); STATIC_EMPTY_DETECT : process (empty_state) Begin Case empty_state Is When "100" => sig_empty <= '1'; sig_almost_empty <= '0'; When "101" => sig_empty <= '0'; sig_almost_empty <= '1'; When "110" => sig_empty <= '0'; sig_almost_empty <= '0'; When others => sig_empty <= '0'; sig_almost_empty <= '0'; End case; End process STATIC_EMPTY_DETECT; TEST_LOWER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable all_set : boolean; Variable loop_count : integer; Begin --loop_count := 1; all_set := True; all_cleared := True; for loop_count in 1 to C_COUNT_WIDTH-1 loop If (sig_count_out(loop_count) = '0') Then all_set := False; else all_cleared := False; End if; End loop; -- -- Search through the lower counter bits starting with the MSB+1 -- while (loop_count < C_COUNT_WIDTH-1) loop -- -- If (sig_count_out(loop_count) = '0') Then -- all_set := False; -- else -- all_cleared := False; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then lower_cleared <= '1'; lower_set <= '0'; elsif (all_set) Then lower_cleared <= '0'; lower_set <= '1'; else lower_cleared <= '0'; lower_set <= '0'; End if; End process TEST_LOWER_BITS; full_state <= sig_count_out(0) & lower_set & lower_cleared & sig_count_out(C_COUNT_WIDTH-1); STATIC_FULL_DETECT : process (full_state, sig_count_out) Begin sig_full <= sig_count_out(0); -- MSB set implies full Case full_state Is When "0100" => sig_almost_full <= '0'; sig_going_full <= '1'; When "0101" => sig_almost_full <= '1'; sig_going_full <= '0'; When others => sig_almost_full <= '0'; sig_going_full <= '0'; End case; End process STATIC_FULL_DETECT; end architecture implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/pf_occ_counter_top.vhd
15
12619
------------------------------------------------------------------------------- -- $Id: pf_occ_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_occ_counter_top - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_occ_counter_top.vhd -- -- Description: Implements parameterized up/down counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_occ_counter_top.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- DET 2001-08-30 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --Use IEEE.numeric_std.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_occ_counter; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_occ_counter_top is generic ( C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; Load_Enable : in std_logic; Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Down : in std_logic; Count_Up : in std_logic; By_2 : In std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1); almost_full : Out std_logic; full : Out std_logic; almost_empty : Out std_logic; empty : Out std_logic ); end entity pf_occ_counter_top; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_occ_counter_top is Signal sig_cnt_enable : std_logic; Signal sig_cnt_up_n_dwn : std_logic; Signal sig_carry_out : std_logic; Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1); Signal upper_cleared : std_logic; Signal lower_set : std_logic; Signal lower_cleared : std_logic; Signal empty_state : std_logic_vector(0 to 2); Signal full_state : std_logic_vector(0 to 3); Signal sig_full : std_logic; Signal sig_almost_full : std_logic; Signal sig_going_full : std_logic; Signal sig_empty : std_logic; Signal sig_almost_empty : std_logic; begin -- VHDL_RTL full <= sig_full; almost_full <= sig_almost_full; empty <= sig_empty; almost_empty <= sig_almost_empty; -- Misc signal assignments Count_Out <= sig_count_out; sig_cnt_enable <= (Count_Up and not(sig_full)) xor (Count_Down and not(sig_empty)); sig_cnt_up_n_dwn <= not(Count_Up); I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_occ_counter generic map ( C_COUNT_WIDTH ) port map( Clk => Clk, Rst => Rst, Carry_Out => sig_carry_out, Load_In => Load_value, Count_Enable => sig_cnt_enable, Count_Load => Load_Enable, Count_Down => sig_cnt_up_n_dwn, Cnt_by_2 => By_2, Count_Out => sig_count_out ); TEST_UPPER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable loop_count : integer; Begin --loop_count := 0; all_cleared := True; for loop_count in 0 to C_COUNT_WIDTH-2 loop If (sig_count_out(loop_count) = '1') Then all_cleared := False; else null; End if; End loop; -- -- Search through the upper counter bits starting with the MSB -- while (loop_count < C_COUNT_WIDTH-2) loop -- -- If (sig_count_out(loop_count) = '1') Then -- all_cleared := False; -- else -- null; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then upper_cleared <= '1'; else upper_cleared <= '0'; End if; End process TEST_UPPER_BITS; empty_state <= upper_cleared & sig_count_out(C_COUNT_WIDTH-2) & sig_count_out(C_COUNT_WIDTH-1); STATIC_EMPTY_DETECT : process (empty_state) Begin Case empty_state Is When "100" => sig_empty <= '1'; sig_almost_empty <= '0'; When "101" => sig_empty <= '0'; sig_almost_empty <= '1'; When "110" => sig_empty <= '0'; sig_almost_empty <= '0'; When others => sig_empty <= '0'; sig_almost_empty <= '0'; End case; End process STATIC_EMPTY_DETECT; TEST_LOWER_BITS : process (sig_count_out) Variable all_cleared : boolean; Variable all_set : boolean; Variable loop_count : integer; Begin --loop_count := 1; all_set := True; all_cleared := True; for loop_count in 1 to C_COUNT_WIDTH-1 loop If (sig_count_out(loop_count) = '0') Then all_set := False; else all_cleared := False; End if; End loop; -- -- Search through the lower counter bits starting with the MSB+1 -- while (loop_count < C_COUNT_WIDTH-1) loop -- -- If (sig_count_out(loop_count) = '0') Then -- all_set := False; -- else -- all_cleared := False; -- End if; -- -- loop_count := loop_count + 1; -- -- End loop; -- now assign the outputs If (all_cleared) then lower_cleared <= '1'; lower_set <= '0'; elsif (all_set) Then lower_cleared <= '0'; lower_set <= '1'; else lower_cleared <= '0'; lower_set <= '0'; End if; End process TEST_LOWER_BITS; full_state <= sig_count_out(0) & lower_set & lower_cleared & sig_count_out(C_COUNT_WIDTH-1); STATIC_FULL_DETECT : process (full_state, sig_count_out) Begin sig_full <= sig_count_out(0); -- MSB set implies full Case full_state Is When "0100" => sig_almost_full <= '0'; sig_going_full <= '1'; When "0101" => sig_almost_full <= '1'; sig_going_full <= '0'; When others => sig_almost_full <= '0'; sig_going_full <= '0'; End case; End process STATIC_FULL_DETECT; end architecture implementation;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd
7
7208
------------------------------------------------------------------------------- -- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $ ------------------------------------------------------------------------------- -- upcnt_n - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2010 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: upcnt_n.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/07/01 -- First Release -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_SIZE -- Number of bits in counter -- -- -- Definition of Ports: -- Data -- parallel data input -- Cnt_en -- count enable -- Load -- Load Data -- Clr -- reset -- Clk -- Clock -- Qout -- Count output -- ------------------------------------------------------------------------------- entity upcnt_n is generic( C_SIZE : Integer ); port( Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); Cnt_en : in STD_LOGIC; Load : in STD_LOGIC; Clr : in STD_LOGIC; Clk : in STD_LOGIC; Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) ); end upcnt_n; architecture imp of upcnt_n is constant CLEAR : std_logic := '0'; signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1'); begin process(Clk) begin if (Clk'event) and Clk = '1' then -- Clear output register if (Clr = CLEAR) then q_int <= (others => '0'); -- Load in start value elsif (Load = '1') then q_int <= UNSIGNED(Data); -- If count enable is high elsif Cnt_en = '1' then q_int <= q_int + 1; end if; end if; end process; Qout <= STD_LOGIC_VECTOR(q_int); end imp;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/soft_reset.vhd
15
13928
------------------------------------------------------------------------------- -- $Id: soft_reset.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- --soft_reset.vhd v1.01a ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: soft_reset.vhd -- Version: v1_00_a -- Description: This VHDL design file is the Soft Reset Service -- ------------------------------------------------------------------------------- -- Structure: -- -- soft_reset.vhd -- -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- -- History: -- GAB Aug 2, 2006 v1.00a (initial release) -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; ------------------------------------------------------------------------------- entity soft_reset is generic ( C_SIPIF_DWIDTH : integer := 32; -- Width of the write data bus C_RESET_WIDTH : integer := 4 -- Width of triggered reset in Bus Clocks ); port ( -- Inputs From the IPIF Bus Bus2IP_Reset : in std_logic; Bus2IP_Clk : in std_logic; Bus2IP_WrCE : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SIPIF_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to (C_SIPIF_DWIDTH/8)-1); -- Final Device Reset Output Reset2IP_Reset : out std_logic; -- Status Reply Outputs to the Bus Reset2Bus_WrAck : out std_logic; Reset2Bus_Error : out std_logic; Reset2Bus_ToutSup : out std_logic ); end soft_reset ; ------------------------------------------------------------------------------- architecture implementation of soft_reset is ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Module Software Reset screen value for write data -- This requires a Hex 'A' to be written to ativate the S/W reset port constant RESET_MATCH : std_logic_vector(0 to 3) := "1010"; -- Required BE index to be active during Reset activation constant BE_MATCH : integer := 3; ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal sm_reset : std_logic; signal error_reply : std_logic; signal reset_wrack : std_logic; signal reset_error : std_logic; signal reset_trig : std_logic; signal wrack : std_logic; signal wrack_ff_chain : std_logic; signal flop_q_chain : std_logic_vector(0 to C_RESET_WIDTH); --signal bus2ip_wrce_d1 : std_logic; signal data_is_non_reset_match : std_logic; signal sw_rst_cond : std_logic; signal sw_rst_cond_d1 : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- Misc assignments Reset2Bus_WrAck <= reset_wrack; Reset2Bus_Error <= reset_error; Reset2Bus_ToutSup <= sm_reset; -- Suppress a data phase timeout when -- a commanded reset is active. reset_wrack <= (reset_error or wrack);-- and Bus2IP_WrCE; reset_error <= data_is_non_reset_match and Bus2IP_WrCE; Reset2IP_Reset <= Bus2IP_Reset or sm_reset; --------------------------------------------------------------------------------- ---- Register WRCE for use in creating a strobe pulse --------------------------------------------------------------------------------- --REG_WRCE : process(Bus2IP_Clk) -- begin -- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then -- if(Bus2IP_Reset = '1')then -- bus2ip_wrce_d1 <= '0'; -- else -- bus2ip_wrce_d1 <= Bus2IP_WrCE; -- end if; -- end if; -- end process REG_WRCE; -- ------------------------------------------------------------------------------- -- Start the S/W reset state machine as a result of an IPIF Bus write to -- the Reset port and the data on the DBus inputs matching the Reset -- match value. If the value on the data bus input does not match the -- designated reset key, an error acknowledge is generated. ------------------------------------------------------------------------------- --DETECT_SW_RESET : process (Bus2IP_Clk) -- begin -- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') then -- if (Bus2IP_Reset = '1') then -- error_reply <= '0'; -- reset_trig <= '0'; -- elsif (Bus2IP_WrCE = '1' -- and Bus2IP_BE(BE_MATCH) = '1' -- and Bus2IP_Data(28 to 31) = RESET_MATCH) then -- error_reply <= '0'; -- reset_trig <= Bus2IP_WrCE and not bus2ip_wrce_d1; -- elsif (Bus2IP_WrCE = '1') then -- error_reply <= '1'; -- reset_trig <= '0'; -- else -- error_reply <= '0'; -- reset_trig <= '0'; -- end if; -- end if; -- end process DETECT_SW_RESET; data_is_non_reset_match <= '0' when (Bus2IP_Data(C_SIPIF_DWIDTH-4 to C_SIPIF_DWIDTH-1) = RESET_MATCH and Bus2IP_BE(BE_MATCH) = '1') else '1'; -------------------------------------------------------------------------------- -- SW Reset -------------------------------------------------------------------------------- ---------------------------------------------------------------------------- sw_rst_cond <= Bus2IP_WrCE and not data_is_non_reset_match; -- RST_PULSE_PROC : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then if (Bus2IP_Reset = '1') Then sw_rst_cond_d1 <= '0'; reset_trig <= '0'; else sw_rst_cond_d1 <= sw_rst_cond; reset_trig <= sw_rst_cond and not sw_rst_cond_d1; end if; end if; End process; ------------------------------------------------------------------------------- -- RESET_FLOPS: -- This FORGEN implements the register chain used to create -- the parameterizable reset pulse width. ------------------------------------------------------------------------------- RESET_FLOPS : for index in 0 to C_RESET_WIDTH-1 generate flop_q_chain(0) <= '0'; RST_FLOPS : FDRSE port map( Q => flop_q_chain(index+1), -- : out std_logic; C => Bus2IP_Clk, -- : in std_logic; CE => '1', -- : in std_logic; D => flop_q_chain(index), -- : in std_logic; R => Bus2IP_Reset, -- : in std_logic; S => reset_trig -- : in std_logic ); end generate RESET_FLOPS; -- Use the last flop output for the commanded reset pulse sm_reset <= flop_q_chain(C_RESET_WIDTH); wrack_ff_chain <= flop_q_chain(C_RESET_WIDTH) and not(flop_q_chain(C_RESET_WIDTH-1)); -- Register the Write Acknowledge for the Reset write -- This is generated at the end of the reset pulse. This -- keeps the Slave busy until the commanded reset completes. FF_WRACK : FDRSE port map( Q => wrack, -- : out std_logic; C => Bus2IP_Clk, -- : in std_logic; CE => '1', -- : in std_logic; D => wrack_ff_chain, -- : in std_logic; R => Bus2IP_Reset, -- : in std_logic; S => '0' -- : in std_logic ); end implementation;
mit
frankvanbever/MIPS_processor
testbenches/Control_tb.vhd
1
3724
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:24:09 03/13/2013 -- Design Name: -- Module Name: /home/steven/Documenten/Codes/pcarch/MIPSmodules/Control_tb.vhd -- Project Name: MIPSmodules -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Control -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Control_tb IS END Control_tb; ARCHITECTURE behavior OF Control_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Control PORT( Instruction : IN std_logic_vector(31 downto 26); Instruction_funct : IN std_logic_vector(5 downto 0); RegDst : OUT std_logic; ALUSrc : OUT std_logic; MemtoReg : OUT std_logic; RegWrite : OUT std_logic; MemRead : OUT std_logic; MemWrite : OUT std_logic; Branch : OUT std_logic; Branch_ne : OUT std_logic; ALUop : OUT std_logic_vector(1 downto 0) ); END COMPONENT; --Inputs signal Instruction : std_logic_vector(31 downto 26) := (others => '0'); signal Instruction_funct : std_logic_vector(5 downto 0) := (others => '0'); --Outputs signal RegDst : std_logic; signal ALUSrc : std_logic; signal MemtoReg : std_logic; signal RegWrite : std_logic; signal MemRead : std_logic; signal MemWrite : std_logic; signal Branch : std_logic; signal Branch_ne : std_logic; signal ALUop : std_logic_vector(1 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name constant clk_period : time := 10 ns; signal clk: std_logic; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Control PORT MAP ( Instruction => Instruction, Instruction_funct => Instruction_funct, RegDst => RegDst, ALUSrc => ALUSrc, MemtoReg => MemtoReg, RegWrite => RegWrite, MemRead => MemRead, MemWrite => MemWrite, Branch => Branch, Branch_ne => Branch_ne, ALUop => ALUop ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here wait for clk_period*10; Instruction<="000000"; --testfor R-format wait for clk_period*10; Instruction<="100011"; --testfor load word wait for clk_period*10; Instruction<="101011"; --testfor store word wait for clk_period*10; Instruction_funct<="000100"; Instruction<="000100"; --testfor branch equal wait for clk_period*10; Instruction_funct<="000101"; --testfor branch not equal wait for clk_period*10; Instruction<="111111"; --testfor error wait; end process; END;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/proc_common_pkg.vhd
15
18735
------------------------------------------------------------------------------- -- $Id: proc_common_pkg.vhd,v 1.1.4.46 2010/10/28 01:14:32 ostlerf Exp $ ------------------------------------------------------------------------------- -- Processor Common Library Package ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: proc_common_pkg.vhd -- Version: v1.21b -- Description: This file contains the constants and functions used in the -- processor common library components. -- ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 09/12/01 -- Created from opb_arb_pkg.vhd -- -- ALS 09/21/01 -- ^^^^^^ -- Added pwr function. Replaced log2 function with one that works for XST. -- ~~~~~~ -- -- ALS 12/07/01 -- ^^^^^^ -- Added Addr_bits function. -- ~~~~~~ -- ALS 01/31/02 -- ^^^^^^ -- Added max2 function. -- ~~~~~~ -- FLO 02/22/02 -- ^^^^^^ -- Extended input argument range of log2 function to 2^30. Also, added -- a check that the argument does not exceed this value; a failure -- assertion violation is generated if it does not. -- ~~~~~~ -- FLO 08/31/06 -- ^^^^^^ -- Removed type TARGET_FAMILY_TYPE and functions Get_Reg_File_Area and -- Get_RLOC_Name. These objects are not used. Further, the functions -- produced misleading warnings (CR419886, CR419898). -- ~~~~~~ -- FLO 05/25/07 -- ^^^^^^ -- -Reimplemented function pad_power2 to correct error when the input -- argument is 1. (fixes CR 303469) -- -Added function clog2(x), which returns the integer ceiling of the -- base 2 logarithm of x. This function can be used in place of log2 -- when wishing to avoid the XST warning, "VHDL Assertion Statement -- with non constant condition is ignored". -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- -- DET 5/8/2009 v4_0 for EDK L.SP2 -- ~~~~~~ -- - Per CR520627 -- - Added synthesis translate_off/on constructs to the log2 function -- around the assertion statement. This removes a repetative XST Warning -- in SRP files about a non-constant assertion check. -- ^^^^^^ -- FL0 20/27/2010 -- ^^^^^^ -- Removed 42 TBD comment, again. (CR 568493) -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.conv_std_logic_vector; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package proc_common_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; -- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; -- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63); ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer; function min2 (num1, num2 : integer) return integer; function Addr_Bits(x,y : std_logic_vector) return integer; function clog2(x : positive) return natural; function pad_power2 ( in_num : integer ) return integer; function pad_4 ( in_num : integer ) return integer; function log2(x : natural) return integer; function pwr(x: integer; y: integer) return integer; function String_To_Int(S : string) return integer; function itoa (int : integer) return string; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- the RESET_ACTIVE constant should denote the logic level of an active reset constant RESET_ACTIVE : std_logic := '1'; -- table containing strings representing hex characters for conversion to -- integers constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); end proc_common_pkg; package body proc_common_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Function max2 -- -- This function returns the greater of two numbers. ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer is begin if num1 >= num2 then return num1; else return num2; end if; end function max2; ------------------------------------------------------------------------------- -- Function min2 -- -- This function returns the lesser of two numbers. ------------------------------------------------------------------------------- function min2 (num1, num2 : integer) return integer is begin if num1 <= num2 then return num1; else return num2; end if; end function min2; ------------------------------------------------------------------------------- -- Function Addr_bits -- -- function to convert an address range (base address and an upper address) -- into the number of upper address bits needed for decoding a device -- select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits(x,y : std_logic_vector) return integer is variable addr_xor : std_logic_vector(x'range); variable count : integer := 0; begin assert x'length = y'length and (x'ascending xnor y'ascending) report "Addr_Bits: arguments are not the same type" severity ERROR; addr_xor := x xor y; for i in x'range loop if addr_xor(i) = '1' then return count; end if; count := count + 1; end loop; return x'length; end Addr_Bits; -------------------------------------------------------------------------------- -- Function clog2 - returns the integer ceiling of the base 2 logarithm of x, -- i.e., the least integer greater than or equal to log2(x). -------------------------------------------------------------------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; ------------------------------------------------------------------------------- -- Function pad_power2 -- -- This function returns the next power of 2 from the input number. If the -- input number is a power of 2, this function returns the input number. -- -- This function is used to round up the number of masters to the next power -- of 2 if the number of masters is not already a power of 2. -- -- Input argument 0, which is not a power of two, is accepted and returns 0. -- Input arguments less than 0 are not allowed. ------------------------------------------------------------------------------- -- function pad_power2 (in_num : integer ) return integer is begin if in_num = 0 then return 0; else return 2**(clog2(in_num)); end if; end pad_power2; ------------------------------------------------------------------------------- -- Function pad_4 -- -- This function returns the next multiple of 4 from the input number. If the -- input number is a multiple of 4, this function returns the input number. -- ------------------------------------------------------------------------------- -- function pad_4 (in_num : integer ) return integer is variable out_num : integer; begin out_num := (((in_num-1)/4) + 1)*4; return out_num; end pad_4; ------------------------------------------------------------------------------- -- Function log2 -- returns number of bits needed to encode x choices -- x = 0 returns 0 -- x = 1 returns 0 -- x = 2 returns 1 -- x = 4 returns 2, etc. ------------------------------------------------------------------------------- -- function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------------------- -- Function pwr -- x**y -- negative numbers not allowed for y ------------------------------------------------------------------------------- function pwr(x: integer; y: integer) return integer is variable z : integer := 1; begin if y = 0 then return 1; else for i in 1 to y loop z := z * x; end loop; return z; end if; end function pwr; ------------------------------------------------------------------------------- -- Function itoa -- -- The itoa function converts an integer to a text string. -- This function is required since `image doesn't work in Synplicity -- Valid input range is -9999 to 9999 ------------------------------------------------------------------------------- -- function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end itoa; ----------------------------------------------------------------------------- -- Function String_To_Int -- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end String_To_Int; end package body proc_common_pkg;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/proc_common_pkg.vhd
15
18735
------------------------------------------------------------------------------- -- $Id: proc_common_pkg.vhd,v 1.1.4.46 2010/10/28 01:14:32 ostlerf Exp $ ------------------------------------------------------------------------------- -- Processor Common Library Package ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: proc_common_pkg.vhd -- Version: v1.21b -- Description: This file contains the constants and functions used in the -- processor common library components. -- ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 09/12/01 -- Created from opb_arb_pkg.vhd -- -- ALS 09/21/01 -- ^^^^^^ -- Added pwr function. Replaced log2 function with one that works for XST. -- ~~~~~~ -- -- ALS 12/07/01 -- ^^^^^^ -- Added Addr_bits function. -- ~~~~~~ -- ALS 01/31/02 -- ^^^^^^ -- Added max2 function. -- ~~~~~~ -- FLO 02/22/02 -- ^^^^^^ -- Extended input argument range of log2 function to 2^30. Also, added -- a check that the argument does not exceed this value; a failure -- assertion violation is generated if it does not. -- ~~~~~~ -- FLO 08/31/06 -- ^^^^^^ -- Removed type TARGET_FAMILY_TYPE and functions Get_Reg_File_Area and -- Get_RLOC_Name. These objects are not used. Further, the functions -- produced misleading warnings (CR419886, CR419898). -- ~~~~~~ -- FLO 05/25/07 -- ^^^^^^ -- -Reimplemented function pad_power2 to correct error when the input -- argument is 1. (fixes CR 303469) -- -Added function clog2(x), which returns the integer ceiling of the -- base 2 logarithm of x. This function can be used in place of log2 -- when wishing to avoid the XST warning, "VHDL Assertion Statement -- with non constant condition is ignored". -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- -- DET 5/8/2009 v4_0 for EDK L.SP2 -- ~~~~~~ -- - Per CR520627 -- - Added synthesis translate_off/on constructs to the log2 function -- around the assertion statement. This removes a repetative XST Warning -- in SRP files about a non-constant assertion check. -- ^^^^^^ -- FL0 20/27/2010 -- ^^^^^^ -- Removed 42 TBD comment, again. (CR 568493) -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.conv_std_logic_vector; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package proc_common_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; -- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; -- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63); ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer; function min2 (num1, num2 : integer) return integer; function Addr_Bits(x,y : std_logic_vector) return integer; function clog2(x : positive) return natural; function pad_power2 ( in_num : integer ) return integer; function pad_4 ( in_num : integer ) return integer; function log2(x : natural) return integer; function pwr(x: integer; y: integer) return integer; function String_To_Int(S : string) return integer; function itoa (int : integer) return string; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- the RESET_ACTIVE constant should denote the logic level of an active reset constant RESET_ACTIVE : std_logic := '1'; -- table containing strings representing hex characters for conversion to -- integers constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); end proc_common_pkg; package body proc_common_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Function max2 -- -- This function returns the greater of two numbers. ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer is begin if num1 >= num2 then return num1; else return num2; end if; end function max2; ------------------------------------------------------------------------------- -- Function min2 -- -- This function returns the lesser of two numbers. ------------------------------------------------------------------------------- function min2 (num1, num2 : integer) return integer is begin if num1 <= num2 then return num1; else return num2; end if; end function min2; ------------------------------------------------------------------------------- -- Function Addr_bits -- -- function to convert an address range (base address and an upper address) -- into the number of upper address bits needed for decoding a device -- select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits(x,y : std_logic_vector) return integer is variable addr_xor : std_logic_vector(x'range); variable count : integer := 0; begin assert x'length = y'length and (x'ascending xnor y'ascending) report "Addr_Bits: arguments are not the same type" severity ERROR; addr_xor := x xor y; for i in x'range loop if addr_xor(i) = '1' then return count; end if; count := count + 1; end loop; return x'length; end Addr_Bits; -------------------------------------------------------------------------------- -- Function clog2 - returns the integer ceiling of the base 2 logarithm of x, -- i.e., the least integer greater than or equal to log2(x). -------------------------------------------------------------------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; ------------------------------------------------------------------------------- -- Function pad_power2 -- -- This function returns the next power of 2 from the input number. If the -- input number is a power of 2, this function returns the input number. -- -- This function is used to round up the number of masters to the next power -- of 2 if the number of masters is not already a power of 2. -- -- Input argument 0, which is not a power of two, is accepted and returns 0. -- Input arguments less than 0 are not allowed. ------------------------------------------------------------------------------- -- function pad_power2 (in_num : integer ) return integer is begin if in_num = 0 then return 0; else return 2**(clog2(in_num)); end if; end pad_power2; ------------------------------------------------------------------------------- -- Function pad_4 -- -- This function returns the next multiple of 4 from the input number. If the -- input number is a multiple of 4, this function returns the input number. -- ------------------------------------------------------------------------------- -- function pad_4 (in_num : integer ) return integer is variable out_num : integer; begin out_num := (((in_num-1)/4) + 1)*4; return out_num; end pad_4; ------------------------------------------------------------------------------- -- Function log2 -- returns number of bits needed to encode x choices -- x = 0 returns 0 -- x = 1 returns 0 -- x = 2 returns 1 -- x = 4 returns 2, etc. ------------------------------------------------------------------------------- -- function log2(x : natural) return integer is variable i : integer := 0; variable val: integer := 1; begin if x = 0 then return 0; else for j in 0 to 29 loop -- for loop for XST if val >= x then null; else i := i+1; val := val*2; end if; end loop; -- Fix per CR520627 XST was ignoring this anyway and printing a -- Warning in SRP file. This will get rid of the warning and not -- impact simulation. -- synthesis translate_off assert val >= x report "Function log2 received argument larger" & " than its capability of 2^30. " severity failure; -- synthesis translate_on return i; end if; end function log2; ------------------------------------------------------------------------------- -- Function pwr -- x**y -- negative numbers not allowed for y ------------------------------------------------------------------------------- function pwr(x: integer; y: integer) return integer is variable z : integer := 1; begin if y = 0 then return 1; else for i in 1 to y loop z := z * x; end loop; return z; end if; end function pwr; ------------------------------------------------------------------------------- -- Function itoa -- -- The itoa function converts an integer to a text string. -- This function is required since `image doesn't work in Synplicity -- Valid input range is -9999 to 9999 ------------------------------------------------------------------------------- -- function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end itoa; ----------------------------------------------------------------------------- -- Function String_To_Int -- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end String_To_Int; end package body proc_common_pkg;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/ipif_steer128.vhd
15
44922
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_steer128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- IPIF_Steer128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic steers data from the correct byte -- lane to IPIF devices which may be smaller than the bus -- width. The BE signals are also steered if the BE_Steer -- signal is asserted, which indicates that the address space -- being accessed has a smaller maximum data transfer size -- than the bus size. -- -- For writes, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: BLT -- History: -- BLT 2-5-2002 -- First version -- ^^^^^^ -- First version of IPIF steering logic. -- ~~~~~~ -- BLT 2-12-2002 -- Removed BE_Steer, now generated internally -- -- DET 2-24-2002 -- Added 'When others' to size case statement -- in BE_STEER_PROC process. -- -- BLT 10-10-2002 -- Rewrote to get around some XST synthesis -- issues. -- -- BLT 11-18-2002 -- Added addr_bits to sensitivity lists to -- fix simulation bug -- -- GAB 06-27-2005 -- ~~~~~~ -- Modified to support C_DWIDTH=128 -- Added second Decode_size input to reduce fanout for 128-bit cases -- Renamed to ipif_steer128.vhd -- ^^^^^^ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of host databus attached to the IPIF -- C_SMALLEST : integer := width of smallest device (not access size) -- attached to the IPIF -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of MAXIMUM data access allowed to -- a particular address map decode. -- -- Size indication (Decode_size) -- 001 - byte -- 010 - halfword -- 011 - word -- 100 - doubleword -- 101 - 128-b -- 110 - 256-b -- 111 - 512-b -- num_bytes = 2^(n-1) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_steer128 is generic ( C_DWIDTH : integer := 32; -- 8, 16, 32, 64, 128 C_SMALLEST : integer := 32; -- 8, 16, 32, 64, 128 C_AWIDTH : integer := 32 ); port ( Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Addr : in std_logic_vector(0 to C_AWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Decode_size1 : in std_logic_vector(0 to 2); Decode_size2 : in std_logic_vector(0 to 2); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_steer128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_steer128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP ----------------------------------------------------------------------------- -- OPB Data Muxing and Steering ----------------------------------------------------------------------------- -- GEN_DWIDTH_SMALLEST GEN_SAME: if C_DWIDTH = C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; GEN_16_8: if C_DWIDTH = 16 and C_SMALLEST = 8 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-1); case addr_bits is when '1' => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size1 is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1) <= '0'; Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_16_8; GEN_32_8: if C_DWIDTH = 32 and C_SMALLEST = 8 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-2 to C_AWIDTH-1); --a30 to a31 case addr_bits is when "01" => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size1 is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1 to 3) <= (others => '0'); Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when "010" => --HW Rd_Data_Out(8 to 15) <= Rd_Data_In(8 to 15); when others => null; end case; when "10" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(2); BE_Out(1 to 3) <= (others => '0'); Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 3) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "11" => Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31); Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(3); BE_Out(1 to 3) <= (others => '0'); Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(1) <= BE_In(3); BE_Out(2 to 3) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_32_8; GEN_32_16: if C_DWIDTH = 32 and C_SMALLEST = 16 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-2); --a30 case addr_bits is when '1' => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "010" => --HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 3) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_32_16; GEN_64_8: if C_DWIDTH = 64 and C_SMALLEST = 8 generate signal addr_bits : std_logic_vector(0 to 2); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-1); --a29 to a31 case addr_bits is when "001" => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size1 is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when others => null; end case; when "010" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(2); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "011" => Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31); Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(3); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 7) <= (others => '0'); -- Rd_Data_Out(24 to 31) <= Rd_Data_In(8 to 15); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "100" => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(4); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(32 to 39) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "101" => Wr_Data_Out(0 to 7) <= Wr_Data_In(40 to 47); Wr_Data_Out(8 to 15) <= Wr_Data_In(40 to 47); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(5); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(40 to 47) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "110" => Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(6); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(48 to 55) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "111" => Wr_Data_Out(0 to 7) <= Wr_Data_In(56 to 63); Wr_Data_Out(8 to 15) <= Wr_Data_In(56 to 63); Wr_Data_Out(24 to 31) <= Wr_Data_In(56 to 63); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(7); BE_Out(1 to 7) <= (others => '0'); Rd_Data_Out(56 to 63) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_64_8; GEN_64_16: if C_DWIDTH = 64 and C_SMALLEST = 16 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-2); --a29 to a30 case addr_bits is when "01" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "010" => --HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "10" => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "11" => Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 7) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_64_16; GEN_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "011" => BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 7) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_128_8: if C_DWIDTH = 128 and C_SMALLEST = 8 generate signal addr_bits : std_logic_vector(0 to 3); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In, Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-4 to C_AWIDTH-1); case addr_bits is when "0001" => Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15); case Decode_size1 is when "001" => --B BE_Out(0) <= BE_In(1); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7); when others => null; end case; when "0010" => Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(2); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "0011" => Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31); Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(3); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "0100" => Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(4); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(32 to 39) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "0101" => Wr_Data_Out(0 to 7) <= Wr_Data_In(40 to 47); Wr_Data_Out(8 to 15) <= Wr_Data_In(40 to 47); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(5); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(40 to 47) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "0110" => Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(6); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(48 to 55) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "0111" => Wr_Data_Out(0 to 7) <= Wr_Data_In(56 to 63); Wr_Data_Out(8 to 15) <= Wr_Data_In(56 to 63); Wr_Data_Out(24 to 31) <= Wr_Data_In(56 to 63); case Decode_size1 is when "001" => -- B BE_Out(0) <= BE_In(7); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(56 to 63) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "1000" => Wr_Data_Out(0 to 63) <= Wr_Data_In(64 to 127); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(8); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(64 to 71) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(8 to 9); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(64 to 79) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1001" => Wr_Data_Out(0 to 7) <= Wr_Data_In(72 to 79); Wr_Data_Out(8 to 15) <= Wr_Data_In(72 to 79); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(9); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(72 to 79) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(8 to 9); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(64 to 79) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1010" => Wr_Data_Out(0 to 15) <= Wr_Data_In(80 to 95); Wr_Data_Out(16 to 31) <= Wr_Data_In(80 to 95); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(10); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(80 to 87) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(10 to 11); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(80 to 95) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1011" => Wr_Data_Out(0 to 7) <= Wr_Data_In(88 to 95); Wr_Data_Out(8 to 15) <= Wr_Data_In(88 to 95); Wr_Data_Out(24 to 31) <= Wr_Data_In(88 to 95); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(11); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(88 to 95) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(10 to 11); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(80 to 95) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1100" => Wr_Data_Out(0 to 31) <= Wr_Data_In(96 to 127); Wr_Data_Out(32 to 63) <= Wr_Data_In(96 to 127); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(12); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(96 to 103) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(12 to 13); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(96 to 111) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1101" => Wr_Data_Out(0 to 7) <= Wr_Data_In(104 to 111); Wr_Data_Out(8 to 15) <= Wr_Data_In(104 to 111); Wr_Data_Out(40 to 47) <= Wr_Data_In(104 to 111); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(13); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(104 to 111) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(12 to 13); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(96 to 111) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1110" => Wr_Data_Out(0 to 15) <= Wr_Data_In(112 to 127); Wr_Data_Out(16 to 31) <= Wr_Data_In(112 to 127); Wr_Data_Out(48 to 63) <= Wr_Data_In(112 to 127); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(14); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(112 to 119) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(14 to 15); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(112 to 127) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "1111" => Wr_Data_Out(0 to 7) <= Wr_Data_In(120 to 127); Wr_Data_Out(8 to 15) <= Wr_Data_In(120 to 127); Wr_Data_Out(24 to 31) <= Wr_Data_In(120 to 127); Wr_Data_Out(56 to 63) <= Wr_Data_In(120 to 127); case Decode_size2 is when "001" => -- B BE_Out(0) <= BE_In(15); BE_Out(1 to 15) <= (others => '0'); Rd_Data_Out(120 to 127) <= Rd_Data_In(0 to 7); when "010" => -- HW BE_Out(0 to 1) <= BE_In(14 to 15); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(112 to 127) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => -- DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_128_8; GEN_128_16: if C_DWIDTH = 128 and C_SMALLEST = 16 generate signal addr_bits : std_logic_vector(0 to 2); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In, Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-4 to C_AWIDTH-2); case addr_bits is when "001" => --2 Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31); case Decode_size1 is when "010" => --HW BE_Out(0 to 1) <= BE_In(2 to 3); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15); when others => null; end case; when "010" => --4 Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(4 to 5); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "011" => --6 Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63); Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63); case Decode_size1 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(6 to 7); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "100" => --8 Wr_Data_Out(0 to 63) <= Wr_Data_In(64 to 127); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(8 to 9); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(64 to 79) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "101" => --A Wr_Data_Out(0 to 15) <= Wr_Data_In(80 to 95); Wr_Data_Out(16 to 31) <= Wr_Data_In(80 to 95); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(10 to 11); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(80 to 95) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "110" => --C Wr_Data_Out(0 to 31) <= Wr_Data_In(96 to 127); Wr_Data_Out(32 to 63) <= Wr_Data_In(96 to 127); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(12 to 13); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(96 to 111) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "111" => --E Wr_Data_Out(0 to 15) <= Wr_Data_In(112 to 127); Wr_Data_Out(16 to 31) <= Wr_Data_In(112 to 127); Wr_Data_Out(48 to 63) <= Wr_Data_In(112 to 127); case Decode_size2 is when "010" => -- HW BE_Out(0 to 1) <= BE_In(14 to 15); BE_Out(2 to 15) <= (others => '0'); Rd_Data_Out(112 to 127) <= Rd_Data_In(0 to 15); when "011" => -- FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_128_16; GEN_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In, Decode_size1,Decode_size2) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63); case Decode_size1 is when "011" => --FW BE_Out(0 to 3) <= BE_In(4 to 7); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31); when others => null; end case; when "10" => --8 Wr_Data_Out(0 to 63) <= Wr_Data_In(64 to 127); case Decode_size1 is when "011" => --FW BE_Out(0 to 3) <= BE_In(8 to 11); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(64 to 95) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when "11" => --C Wr_Data_Out(0 to 31) <= Wr_Data_In(96 to 127); Wr_Data_Out(32 to 63) <= Wr_Data_In(96 to 127); case Decode_size2 is when "011" => --FW BE_Out(0 to 3) <= BE_In(12 to 15); BE_Out(4 to 15) <= (others => '0'); Rd_Data_Out(96 to 127) <= Rd_Data_In(0 to 31); when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_128_32; GEN_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size1) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; addr_bits <= Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 Wr_Data_Out(0 to 63) <= Wr_Data_In(64 to 127); case Decode_size1 is when "100" => --DW BE_Out(0 to 7) <= BE_In(8 to 15); BE_Out(8 to 15) <= (others => '0'); Rd_Data_Out(64 to 127) <= Rd_Data_In(0 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_128_64; -- Size indication (Decode_size) -- n = 001 byte 2^0 -- n = 010 halfword 2^1 -- n = 011 word 2^2 -- n = 100 doubleword 2^3 -- n = 101 128-b -- n = 110 256-b -- n = 111 512-b -- num_bytes = 2^(n-1) end architecture IMP;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/axi_quad_spi_v3_1/hdl/src/vhdl/axi_qspi_xip_if.vhd
1
341829
------------------------------------------------------------------------------- -- $Id: axi_qspi_xip_if.vhd ------------------------------------------------------------------------------- -- axi_qspi_xip_if.vhd - Entity and architecture ------------------------------------------------------------------------------- -- -- ******************************************************************* -- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.* -- ** * -- ** This file contains confidential and proprietary information * -- ** of Xilinx, Inc. and is protected under U.S. and * -- ** international copyright and other intellectual property * -- ** laws. * -- ** * -- ** DISCLAIMER * -- ** This disclaimer is not a license and does not grant any * -- ** rights to the materials distributed herewith. Except as * -- ** otherwise provided in a valid license issued to you by * -- ** Xilinx, and to the maximum extent permitted by applicable * -- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * -- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * -- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * -- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * -- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * -- ** (2) Xilinx shall not be liable (whether in contract or tort, * -- ** including negligence, or under any other theory of * -- ** liability) for any loss or damage of any kind or nature * -- ** related to, arising under or in connection with these * -- ** materials, including for any direct, or any indirect, * -- ** special, incidental, or consequential loss or damage * -- ** (including loss of data, profits, goodwill, or any type of * -- ** loss or damage suffered as a result of any action brought * -- ** by a third party) even if such damage or loss was * -- ** reasonably foreseeable or Xilinx had been advised of the * -- ** possibility of the same. * -- ** * -- ** CRITICAL APPLICATIONS * -- ** Xilinx products are not designed or intended to be fail- * -- ** safe, or for use in any application requiring fail-safe * -- ** performance, such as life-support or safety devices or * -- ** systems, Class III medical devices, nuclear facilities, * -- ** applications related to the deployment of airbags, or any * -- ** other applications that could lead to death, personal * -- ** injury, or severe property or environmental damage * -- ** (individually and collectively, "Critical * -- ** Applications"). Customer assumes the sole risk and * -- ** liability of any use of Xilinx products in Critical * -- ** Applications, subject only to applicable laws and * -- ** regulations governing limitations on product liability. * -- ** * -- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * -- ** PART OF THIS FILE AT ALL TIMES. * -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_qspi_xip_if.vhd -- Version: v3.0 -- Description: This is the top-level design file for the AXI Quad SPI core -- in XIP mode. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_quad_spi.vhd -- |--Legacy_mode -- |-- axi_lite_ipif.vhd -- |-- qspi_core_interface.vhd -- |-- qspi_cntrl_reg.vhd -- |-- qspi_status_slave_sel_reg.vhd -- |-- qspi_occupancy_reg.vhd -- |-- qspi_fifo_ifmodule.vhd -- |-- qspi_mode_0_module.vhd -- |-- qspi_receive_transmit_reg.vhd -- |-- qspi_startup_block.vhd -- |-- comp_defs.vhd -- (helper lib) -- |-- qspi_look_up_logic.vhd -- |-- qspi_mode_control_logic.vhd -- |-- interrupt_control.vhd -- |-- soft_reset.vhd -- |--Enhanced_mode -- |--axi_qspi_enhanced_mode.vhd -- |-- qspi_core_interface.vhd -- |-- qspi_cntrl_reg.vhd -- |-- qspi_status_slave_sel_reg.vhd -- |-- qspi_occupancy_reg.vhd -- |-- qspi_fifo_ifmodule.vhd -- |-- qspi_mode_0_module.vhd -- |-- qspi_receive_transmit_reg.vhd -- |-- qspi_startup_block.vhd -- |-- comp_defs.vhd -- (helper lib) -- |-- qspi_look_up_logic.vhd -- |-- qspi_mode_control_logic.vhd -- |-- interrupt_control.vhd -- |-- soft_reset.vhd -- |--XIP_mode -- |-- axi_lite_ipif.vhd -- |-- xip_cntrl_reg.vhd -- |-- reset_sync_module.vhd -- |-- xip_status_reg.vhd -- |-- axi_qspi_xip_if.vhd ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.conv_std_logic_vector; use ieee.std_logic_arith.all; -- use ieee.std_logic_signed.all; use ieee.std_logic_misc.all; -- library unsigned is used for overloading of "=" which allows integer to -- be compared to std_logic_vector use ieee.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.ipif_pkg.all; use proc_common_v4_0.family.all; use proc_common_v4_0.all; use proc_common_v4_0.async_fifo_fg;-- 1/8/2013 use proc_common_v4_0.cdc_sync; library axi_quad_spi_v3_1; use axi_quad_spi_v3_1.all; library unisim; use unisim.vcomponents.FDRE; use unisim.vcomponents.FD; use unisim.vcomponents.FDR; ------------------------------------------------------------------------------- entity axi_qspi_xip_if is generic( -- General Parameters C_FAMILY : string := "virtex7"; C_SUB_FAMILY : string := "virtex7"; ------------------------- C_SPI_MEM_ADDR_BITS : integer ; -- default is 24 bit, options are 24 or 32 bits ------------------------- -- C_AXI4_CLK_PS : integer := 10000;--AXI clock period -- C_EXT_SPI_CLK_PS : integer := 10000;--ext clock period C_XIP_FIFO_DEPTH : integer := 64;-- Fixed value for XIP mode. C_SCK_RATIO : integer := 16;--default in legacy mode C_NUM_SS_BITS : integer range 1 to 32:= 1; C_NUM_TRANSFER_BITS : integer := 8; -- Fixed 8 bit for XIP mode ------------------------- C_SPI_MODE : integer range 0 to 2 := 0; -- used for differentiating -- Standard, Dual or Quad mode -- in Ports as well as internal -- functionality C_USE_STARTUP : integer range 0 to 1 := 1; -- C_SPI_MEMORY : integer range 0 to 2 := 1; -- 0 - mixed mode, -- 1 - winbond, -- 2 - numonyx -- used to differentiate -- internal look up table -- for commands. ------------------------- -- AXI4 Lite Interface Parameters --*C_S_AXI_ADDR_WIDTH : integer range 32 to 32 := 32; C_S_AXI_ADDR_WIDTH : integer range 7 to 7 := 7; C_S_AXI_DATA_WIDTH : integer range 32 to 32 := 32; ------------------------- --*C_BASEADDR : std_logic_vector := x"FFFFFFFF"; --*C_HIGHADDR : std_logic_vector := x"00000000"; ------------------------- -- AXI4 Full Interface Parameters --*C_S_AXI4_ADDR_WIDTH : integer range 32 to 32 := 32; C_S_AXI4_ADDR_WIDTH : integer ;-- range 32 to 32 := 32; C_S_AXI4_DATA_WIDTH : integer range 32 to 32 := 32; C_S_AXI4_ID_WIDTH : integer range 1 to 16 := 4; ------------------------- --*C_AXI4_BASEADDR : std_logic_vector := x"FFFFFFFF"; --*C_AXI4_HIGHADDR : std_logic_vector := x"00000000"; ------------------------- C_XIP_FULL_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := ( X"0000_0000_0100_0000", -- IP user0 base address X"0000_0000_01FF_FFFF" -- IP user0 high address ); C_XIP_FULL_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 2, 1 -- User0 CE Number ) ); port( -- external async clock for SPI interface logic EXT_SPI_CLK : in std_logic; S_AXI4_ACLK : in std_logic; Rst_to_spi : in std_logic; S_AXI4_ARESET : in std_logic; ------------------------------- S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; ------------------------------------ -- AXI Write Address Channel Signals ------------------------------------ S_AXI4_AWID : in std_logic_vector((C_S_AXI4_ID_WIDTH-1) downto 0); S_AXI4_AWADDR : in std_logic_vector((C_SPI_MEM_ADDR_BITS-1) downto 0); S_AXI4_AWLEN : in std_logic_vector(7 downto 0); S_AXI4_AWSIZE : in std_logic_vector(2 downto 0); S_AXI4_AWBURST : in std_logic_vector(1 downto 0); S_AXI4_AWLOCK : in std_logic; -- not supported in design S_AXI4_AWCACHE : in std_logic_vector(3 downto 0);-- not supported in design S_AXI4_AWPROT : in std_logic_vector(2 downto 0);-- not supported in design S_AXI4_AWVALID : in std_logic; S_AXI4_AWREADY : out std_logic; --------------------------------------- -- AXI4 Full Write Data Channel Signals --------------------------------------- S_AXI4_WDATA : in std_logic_vector((C_S_AXI4_DATA_WIDTH-1)downto 0); S_AXI4_WSTRB : in std_logic_vector(((C_S_AXI4_DATA_WIDTH/8)-1) downto 0); S_AXI4_WLAST : in std_logic; S_AXI4_WVALID : in std_logic; S_AXI4_WREADY : out std_logic; ------------------------------------------- -- AXI4 Full Write Response Channel Signals ------------------------------------------- S_AXI4_BID : out std_logic_vector((C_S_AXI4_ID_WIDTH-1) downto 0); S_AXI4_BRESP : out std_logic_vector(1 downto 0); S_AXI4_BVALID : out std_logic; S_AXI4_BREADY : in std_logic; ----------------------------------- -- AXI Read Address Channel Signals ----------------------------------- S_AXI4_ARID : in std_logic_vector((C_S_AXI4_ID_WIDTH-1) downto 0); S_AXI4_ARADDR : in std_logic_vector((C_SPI_MEM_ADDR_BITS-1) downto 0); S_AXI4_ARLEN : in std_logic_vector(7 downto 0); S_AXI4_ARSIZE : in std_logic_vector(2 downto 0); S_AXI4_ARBURST : in std_logic_vector(1 downto 0); S_AXI4_ARLOCK : in std_logic; -- not supported in design S_AXI4_ARCACHE : in std_logic_vector(3 downto 0);-- not supported in design S_AXI4_ARPROT : in std_logic_vector(2 downto 0);-- not supported in design S_AXI4_ARVALID : in std_logic; S_AXI4_ARREADY : out std_logic; -------------------------------- -- AXI Read Data Channel Signals -------------------------------- S_AXI4_RID : out std_logic_vector((C_S_AXI4_ID_WIDTH-1) downto 0); S_AXI4_RDATA : out std_logic_vector((C_S_AXI4_DATA_WIDTH-1) downto 0); S_AXI4_RRESP : out std_logic_vector(1 downto 0); S_AXI4_RLAST : out std_logic; S_AXI4_RVALID : out std_logic; S_AXI4_RREADY : in std_logic; -------------------------------- XIPSR_CPHA_CPOL_ERR : in std_logic; TO_XIPSR_trans_error : out std_logic; -------------------------------- TO_XIPSR_mst_modf_err : out std_logic; TO_XIPSR_axi_rx_full : out std_logic; TO_XIPSR_axi_rx_empty : out std_logic; XIPCR_1_CPOL : in std_logic; XIPCR_0_CPHA : in std_logic; ------------------------------- --*SPI port interface * -- ------------------------------- IO0_I : in std_logic; -- MOSI signal in standard SPI IO0_O : out std_logic; IO0_T : out std_logic; ------------------------------- IO1_I : in std_logic; -- MISO signal in standard SPI IO1_O : out std_logic; IO1_T : out std_logic; ----------------- -- quad mode pins ----------------- IO2_I : in std_logic; IO2_O : out std_logic; IO2_T : out std_logic; --------------- IO3_I : in std_logic; IO3_O : out std_logic; IO3_T : out std_logic; --------------------------------- -- common pins ---------------- SPISEL : in std_logic; ----- SCK_I : in std_logic; SCK_O_reg : out std_logic; SCK_T : out std_logic; ----- SS_I : in std_logic_vector((C_NUM_SS_BITS-1) downto 0); SS_O : out std_logic_vector((C_NUM_SS_BITS-1) downto 0); SS_T : out std_logic --------------------------------- ); end entity axi_qspi_xip_if; -------------------------------------------------------------------------------- architecture imp of axi_qspi_xip_if is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- constant NEW_LOGIC : integer := 0; -- 3/29/2013 constant ACTIVE_LOW_RESET : std_logic := '0'; constant CMD_BITS_LENGTH : integer:= 8; -- 3/29/2013 ----- -- code coverage -- function assign_addr_bits (logic_info : integer) return integer is -- code coverage -- variable addr_width_24 : integer:= 24; -- code coverage -- variable addr_width_32 : integer:= 32; -- code coverage -- begin -- code coverage -- if logic_info = 0 then -- old logic for 24 bit addressing -- code coverage -- return addr_width_24; -- code coverage -- else -- code coverage -- return addr_width_32; -- code coverage -- end if; -- code coverage -- end function assign_addr_bits; signal nm_wr_en_CMD : std_logic_vector(7 downto 0); signal nm_4byte_addr_en_CMD : std_logic_vector(7 downto 0); type NM_WR_EN_STATE_TYPE is (NM_WR_EN_IDLE, -- decode command can be combined here later NM_WR_EN, NM_WR_EN_DONE ); signal nm_wr_en_cntrl_ps : NM_WR_EN_STATE_TYPE; signal nm_wr_en_cntrl_ns : NM_WR_EN_STATE_TYPE; signal wr_en_under_process : std_logic; signal wr_en_under_process_d1 : std_logic; signal load_wr_en, wr_en_done_reg : std_logic; signal wr_en_done_d1, wr_en_done_d2 : std_logic; signal wr_en_done : std_logic; signal data_loaded, cmd_sent : std_logic; type NM_32_BIT_WR_EN_STATE_TYPE is (NM_32_BIT_IDLE, -- decode command can be combined here later NM_32_BIT_EN, NM_32_BIT_EN_DONE ); signal nm_sm_4_byte_addr_ps : NM_32_BIT_WR_EN_STATE_TYPE; signal nm_sm_4_byte_addr_ns : NM_32_BIT_WR_EN_STATE_TYPE; signal four_byte_en_under_process : std_logic; signal four_byte_addr_under_process_d1 : std_logic; signal load_4_byte_addr_en, four_byte_en_done, four_byte_en_done_reg : std_logic; ----- -- constant declaration constant FAST_READ : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0):="00001011"; -- 0B constant FAST_READ_DUAL_IO : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0):="00111011"; -- 3B constant FAST_READ_QUAD_IO : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0):="10111011"; -- BB constant C_RD_COUNT_WIDTH_INT : integer := clog2(C_XIP_FIFO_DEPTH); constant C_WR_COUNT_WIDTH_INT : integer := clog2(C_XIP_FIFO_DEPTH); constant RX_FIFO_CNTR_WIDTH : integer := clog2(C_XIP_FIFO_DEPTH); constant XIP_MIN_SIZE : std_logic_vector(31 downto 0):= X"00ffffff";-- 24 bit address --constant XIP_ADDR_BITS : integer := 24; constant XIP_ADDR_BITS : integer := C_SPI_MEM_ADDR_BITS; -- assign_addr_bits(NEW_LOGIC); constant RESET_ACTIVE : std_logic := '1'; constant COUNT_WIDTH : INTEGER := log2(C_NUM_TRANSFER_BITS)+1; constant ACTIVE_HIGH_RESET : std_logic := '1'; constant ZERO_RX_FIFO_CNT : std_logic_vector(RX_FIFO_CNTR_WIDTH-1 downto 0) := (others => '0'); signal rx_fifo_count: std_logic_vector(RX_FIFO_CNTR_WIDTH-1 downto 0); constant ALL_1 : std_logic_vector(0 to RX_FIFO_CNTR_WIDTH-1) := (others => '0'); signal updown_cnt_en_rx,down_cnt_en_rx : std_logic; type AXI_IF_STATE_TYPE is ( IDLE, -- decode command can be combined here later RD_BURST ); signal xip_sm_ps: AXI_IF_STATE_TYPE; signal xip_sm_ns: AXI_IF_STATE_TYPE; type STATE_TYPE is (IDLE, -- decode command can be combined here later CMD_SEND, HPM_DUMMY, ADDR_SEND, TEMP_ADDR_SEND, --DUMMY_SEND, DATA_SEND, TEMP_DATA_SEND, DATA_RECEIVE, TEMP_DATA_RECEIVE ); signal qspi_cntrl_ns : STATE_TYPE; signal qspi_cntrl_ps : STATE_TYPE; type WB_STATE_TYPE is (WB_IDLE, -- decode command can be combined here later WB_WR_HPM, WB_DONE ); signal wb_cntrl_ns : WB_STATE_TYPE; signal wb_cntrl_ps : WB_STATE_TYPE; signal valid_decode : std_logic; signal s_axi_arready_cmb : std_logic; signal temp_i : std_logic; signal SS_frm_axi : std_logic_vector(C_NUM_SS_BITS-1 downto 0); signal SS_frm_axi_int : std_logic_vector(C_NUM_SS_BITS-1 downto 0); signal SS_frm_axi_reg : std_logic_vector(C_NUM_SS_BITS-1 downto 0); signal type_of_burst : std_logic; --_vector(1 downto 0); signal axi_length : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); signal size_length : std_logic_vector(1 downto 0); signal S_AXI4_RID_reg : std_logic_vector(C_S_AXI4_ID_WIDTH-1 downto 0); signal XIP_ADDR : std_logic_vector(XIP_ADDR_BITS-1 downto 0); signal one_byte_transfer : std_logic; signal two_byte_transfer : std_logic; signal four_byte_transfer: std_logic; signal dtr_length : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); signal write_length : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); signal s_axi_rvalid_i : std_logic; signal dtr_cntr_empty : std_logic; signal last_bt_one_data_cmb : std_logic; signal last_data_cmb : std_logic; signal last_data_acked : std_logic; signal last_data : std_logic; signal rd_error_int : std_logic; signal Data_From_Rx_FIFO : std_logic_vector(C_S_AXI4_DATA_WIDTH-1 downto 0); signal S_AXI4_RRESP_i : std_logic_vector(1 downto 0); signal S_AXI4_RDATA_i : std_logic_vector(C_S_AXI4_DATA_WIDTH-1 downto 0); -- signal s_axi_rvalid_i : std_logic; signal s_axi_rvalid_cmb : std_logic; signal xip_pr_state_idle : std_logic; signal pr_state_idle : std_logic; signal rready_i : std_logic; signal wrap_around_to_axi_clk : std_logic; signal spiXfer_done_to_axi_1 : std_logic; signal Rx_FIFO_Empty : std_logic; signal IO0_T_cntrl_axi : std_logic; signal IO1_T_cntrl_axi : std_logic; signal IO2_T_cntrl_axi : std_logic; signal IO3_T_cntrl_axi : std_logic; signal SCK_T_cntrl_axi : std_logic; signal load_axi_data_frm_axi : std_logic; --signal Transmit_addr_int : std_logic_vector(23 downto 0); -- 3/30/2013 signal Transmit_addr_int : std_logic_vector(XIP_ADDR_BITS-1 downto 0); -- 3/30/2013 signal Rx_FIFO_rd_ack : std_logic; signal Data_To_Rx_FIFO : std_logic_vector(C_S_AXI4_DATA_WIDTH-1 downto 0); signal store_date_in_drr_fifo : std_logic; --signal Rx_FIFO_Empty : std_logic; signal Rx_FIFO_almost_Full : std_logic; signal Rx_FIFO_almost_Empty : std_logic; --signal pr_state_idle : std_logic; signal spiXfer_done_frm_spi_clk: std_logic; signal mst_modf_err_frm_spi_clk: std_logic; signal wrap_around_frm_spi_clk : std_logic; signal one_byte_xfer_frm_axi_clk : std_logic; signal two_byte_xfer_frm_axi_clk : std_logic; signal four_byte_xfer_frm_axi_clk : std_logic; signal load_axi_data_frm_axi_clk : std_logic; --signal Transmit_Addr_frm_axi_clk : std_logic_vector(23 downto 0); -- 3/30/2013 signal Transmit_Addr_frm_axi_clk : std_logic_vector(XIP_ADDR_BITS-1 downto 0);-- 3/30/2013 signal CPOL_frm_axi_clk : std_logic; signal CPHA_frm_axi_clk : std_logic; signal SS_frm_axi_clk : std_logic_vector(C_NUM_SS_BITS-1 downto 0); signal type_of_burst_frm_axi_clk : std_logic; -- _vector(1 downto 0); signal type_of_burst_frm_axi : std_logic; -- _vector(1 downto 0); signal axi_length_frm_axi_clk : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); signal dtr_length_frm_axi_clk : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); signal load_axi_data_to_spi_clk : std_logic; --signal Transmit_Addr_to_spi_clk : std_logic_vector(23 downto 0); -- 3/30/2013 signal Transmit_Addr_to_spi_clk : std_logic_vector(XIP_ADDR_BITS-1 downto 0); -- 3/30/2013 signal last_7_addr_bits : std_logic_vector(7 downto 0); signal CPOL_to_spi_clk : std_logic; signal CPHA_to_spi_clk : std_logic; signal SS_to_spi_clk : std_logic_vector(C_NUM_SS_BITS-1 downto 0); signal type_of_burst_to_spi : std_logic; signal type_of_burst_to_spi_clk : std_logic; signal axi_length_to_spi_clk : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); signal dtr_length_to_spi_clk : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); --signal wrap_around_to_axi_clk : std_logic; signal spi_addr : std_logic_vector(31 downto 0); signal spi_addr_i : std_logic_vector(XIP_ADDR_BITS-1 downto 0); -- (23 downto 0); signal spi_addr_int : std_logic_vector(XIP_ADDR_BITS-1 downto 0); -- (23 downto 0); signal spi_addr_wrap : std_logic_vector(XIP_ADDR_BITS-1 downto 0); -- (23 downto 0); signal spi_addr_wrap_1 : std_logic_vector(XIP_ADDR_BITS-1 downto 0); -- (23 downto 0); --signal Transmit_Addr_to_spi_clk : std_logic_vector(23 downto 0); signal load_wrap_addr : std_logic; signal wrap_two : std_logic; signal wrap_four : std_logic; signal wrap_eight : std_logic; signal wrap_sixteen : std_logic; signal SPIXfer_done_int : std_logic; signal size_length_cntr : std_logic_vector(1 downto 0); signal size_length_cntr_fixed : std_logic_vector(1 downto 0); signal length_cntr : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); signal cmd_addr_sent : std_logic; signal SR_5_Tx_Empty, SR_5_Tx_Empty_d1, SR_5_Tx_Empty_d2 : std_logic; signal wrap_around : std_logic; signal rst_wrap_around : std_logic; --signal pr_state_idle : std_logic; signal one_byte_xfer_to_spi_clk : std_logic; signal two_byte_xfer_to_spi_clk : std_logic; signal four_byte_xfer_to_spi_clk : std_logic; --signal store_date_in_drr_fifo : std_logic; signal Data_To_Rx_FIFO_int : std_logic_vector(C_S_AXI4_DATA_WIDTH-1 downto 0); signal SPIXfer_done_int_pulse_d2 : std_logic; signal receive_Data_int : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); --signal Data_To_Rx_FIFO : std_logic_vector(7 downto 0); --signal load_axi_data_to_spi_clk : std_logic; signal Tx_Data_d1 : std_logic_vector(31 downto 0); signal Tx_Data_d2 : std_logic_vector(39 downto 0); signal internal_count : std_logic_vector(3 downto 0); signal SPI_cmd : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0); signal Transmit_Data : std_logic_vector(0 to C_NUM_TRANSFER_BITS-1); signal Data_Dir : std_logic; signal Data_Mode_1 : std_logic; signal Data_Mode_0 : std_logic; signal Data_Phase : std_logic; signal Quad_Phase : std_logic; signal Addr_Mode_1 : std_logic; signal Addr_Mode_0 : std_logic; signal Addr_Bit : std_logic; signal Addr_Phase : std_logic; signal CMD_Mode_1 : std_logic; signal CMD_Mode_0 : std_logic; --signal cmd_addr_cntr : std_logic_vector(2 downto 0); --signal cmd_addr_sent : std_logic; signal transfer_start : std_logic; signal last_bt_one_data : std_logic; --signal SPIXfer_done_int : std_logic; signal actual_SPIXfer_done_int : std_logic; signal transfer_start_d1 : std_logic; signal transfer_start_d2 : std_logic; signal transfer_start_d3 : std_logic; signal transfer_start_pulse : std_logic; signal SPIXfer_done_int_d1 : std_logic; signal SPIXfer_done_int_pulse : std_logic; signal SPIXfer_done_int_pulse_d1 : std_logic; --signal SPIXfer_done_int_pulse_d2 : std_logic; signal SPIXfer_done_int_pulse_d3 : std_logic; --signal SPIXfer_done_int : std_logic; signal mode_1 : std_logic; signal mode_0 : std_logic; signal Count : std_logic_vector(COUNT_WIDTH downto 0); --signal receive_Data_int : std_logic_vector(7 downto 0); signal rx_shft_reg_mode_0011 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal Sync_Set : std_logic; signal Sync_Reset : std_logic; signal sck_o_int : std_logic; signal sck_d1 : std_logic; signal sck_d2 : std_logic; signal sck_rising_edge : std_logic; signal Shift_Reg : std_logic_vector(0 to C_NUM_TRANSFER_BITS-1); signal Serial_Dout_0 : std_logic; signal Serial_Dout_1 : std_logic; signal Serial_Dout_2 : std_logic; signal Serial_Dout_3 : std_logic; signal pr_state_cmd_ph : std_logic; --signal qspi_cntrl_ps : std_logic; signal stop_clock : std_logic; signal stop_clock_reg : std_logic; signal pr_state_data_receive : std_logic; signal pr_state_non_idle : std_logic; --signal pr_state_idle : std_logic; --signal pr_state_cmd_ph : std_logic; --signal SPIXfer_done_int_pulse : std_logic; signal no_slave_selected : std_logic; --signal rst_wrap_around : std_logic; signal IO0_T_control : std_logic; signal IO1_T_control : std_logic; signal IO2_T_control : std_logic; signal IO3_T_control : std_logic; signal addr_cnt : std_logic_vector(2 downto 0); signal addr_cnt1 : std_logic_vector(1 downto 0); signal pr_state_addr_ph : std_logic; signal SS_tri_state_en_control : std_logic; signal SCK_tri_state_en_control : std_logic; signal IO0_tri_state_en_control : std_logic; signal IO1_tri_state_en_control : std_logic; signal IO2_tri_state_en_control : std_logic; signal IO3_tri_state_en_control : std_logic; signal IO0_T_cntrl_spi : std_logic; signal MODF_strobe_int : std_logic; signal SPISEL_sync : std_logic; signal spisel_d1 : std_logic; signal MODF_strobe : std_logic; signal Allow_MODF_Strobe : std_logic; signal sck_o_in : std_logic; --signal SCK_O_reg : std_logic; signal slave_mode : std_logic; --signal pr_state_non_idle : std_logic; signal mst_modf_err_to_axi_clk : std_logic; signal mst_modf_err_to_axi4_clk : std_logic; signal Rx_FIFO_Full_to_axi4_clk : std_logic; signal Rx_FIFO_Full_to_axi_clk : std_logic; signal Rx_FIFO_Full : std_logic; signal one_byte_xfer : std_logic; signal two_byte_xfer : std_logic; signal four_byte_xfer : std_logic; signal XIP_trans_error : std_logic; signal load_cmd : std_logic; signal load_cmd_to_spi_clk : std_logic; --signal load_axi_data_frm_axi_clk : std_logic; signal load_cmd_frm_axi_clk : std_logic; signal axi_len_two : std_logic; signal axi_len_four : std_logic; signal axi_len_eight : std_logic; signal axi_len_sixteen : std_logic; signal reset_inversion : std_logic; signal new_tr : std_logic; signal SR_5_Tx_Empty_int : std_logic; signal only_last_count : std_logic; signal rx_fifo_cntr_rst, rx_fifo_not_empty : std_logic; signal store_date_in_drr_fifo_d1 : std_logic; signal store_date_in_drr_fifo_d2 : std_logic; signal store_date_in_drr_fifo_d3 : std_logic; signal xip_ns_state_idle : std_logic; signal wrap_around_d1 : std_logic; signal wrap_ack : std_logic; signal wrap_ack_1 : std_logic; signal wrap_around_d2 : std_logic; signal wrap_around_d3 : std_logic; signal start_after_wrap : std_logic; signal store_last_b4_wrap : std_logic; signal wrp_addr_len_16_siz_32 : std_logic; signal wrp_addr_len_8_siz_32 : std_logic; signal wrp_addr_len_4_siz_32 : std_logic; signal wrp_addr_len_2_siz_32 : std_logic; signal wrp_addr_len_16_siz_16 : std_logic; signal wrp_addr_len_8_siz_16 : std_logic; signal wrp_addr_len_4_siz_16 : std_logic; signal wrp_addr_len_2_siz_16, start_after_wrap_d1 : std_logic; signal SS_O_1 : std_logic_vector((C_NUM_SS_BITS-1) downto 0); signal WB_wr_en_CMD : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0);-- (7 downto 0); signal WB_wr_sr_CMD : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0);-- (7 downto 0); signal WB_wr_sr_DATA : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0);-- (7 downto 0); signal WB_wr_hpm_CMD : std_logic_vector(C_NUM_TRANSFER_BITS-1 downto 0);-- (7 downto 0); signal wb_wr_en_done : std_logic; signal wb_wr_sr_done : std_logic; signal wb_wr_sr_data_done : std_logic; signal wb_wr_hpm_done : std_logic; signal load_wr_en_cmd : std_logic; signal load_wr_sr_cmd : std_logic; signal load_wr_sr_d0 : std_logic; signal load_wr_sr_d1 : std_logic; signal load_rd_sr : std_logic; signal load_wr_hpm : std_logic; signal wb_hpm_done : std_logic; signal wb_hpm_done_reg : std_logic; signal dis_sr_5_empty_reg : std_logic; signal dis_sr_5_empty : std_logic; signal wb_hpm_done_frm_spi,wb_hpm_done_frm_spi_clk,wb_hpm_done_to_axi : std_logic; signal hpm_under_process : std_logic; signal hpm_under_process_d1 : std_logic; signal s_axi_rlast_cmb : std_logic; signal store_date_in_drr_fifo_en : std_logic; signal XIP_trans_error_cmb, XIP_trans_error_d1, XIP_trans_error_d2, XIP_trans_error_d3 : std_logic; signal axi4_tr_over_d1, axi4_tr_over_d2 : std_logic; signal arready_d1, arready_d2, arready_d3 : std_logic; signal XIPSR_CPHA_CPOL_ERR_d1, XIPSR_CPHA_CPOL_ERR_d2 : std_logic; signal axi4_tr_over_d3 : std_logic; signal last_data_acked_int_2 : std_logic; signal XIP_trans_error_int_2 : std_logic; signal s_axi_arready_int_2 : std_logic; -- signal XIP_trans_error_cmb : std_logic; -- signal axi4_tr_over_d1, axi4_tr_over_d2 : std_logic; -- signal arready_d1, arready_d2, arready_d3 : std_logic; -- signal XIPSR_CPHA_CPOL_ERR_d1, XIPSR_CPHA_CPOL_ERR_d2 : std_logic; -- signal axi4_tr_over_d3 : std_logic; -- signal last_data_acked_int_2 : std_logic; -- signal XIP_trans_error_int_2 : std_logic; -- signal s_axi_arready_int_2 : std_logic; signal Rx_FIFO_Empty_d1, Rx_FIFO_Empty_d2 : std_logic; signal XIPSR_CPHA_CPOL_ERR_4 : std_logic; --signal mst_modf_err_to_axi4clk: std_logic; signal xip_done : std_logic; signal en_xip : std_logic; signal new_tr_at_axi4 : std_logic; signal axi4_tr_over : std_logic; --attribute ASYNC_REG : string; --attribute ASYNC_REG of XIP_TRANS_ERROR_AXI2AXI4_CDC : label is "TRUE"; --attribute ASYNC_REG of Rx_FIFO_Empty_AXI42AXI : label is "TRUE"; --attribute ASYNC_REG of CPHA_CPOL_ERR_AXI2AXI4_CDC : label is "TRUE"; --attribute ASYNC_REG of ARREADY_PULSE_AXI42AXI_CDC: label is "TRUE"; --attribute ASYNC_REG of AXI4_TR_OVER_AXI42AXI_CDC : label is "TRUE"; constant LOGIC_CHANGE : integer range 0 to 1 := 1; constant MTBF_STAGES_AXI2S : integer range 0 to 6 := 3 ; constant MTBF_STAGES_S2AXI : integer range 0 to 6 := 4 ; constant MTBF_STAGES_AXI2AXILITE : integer range 0 to 6 := 4 ; ----- begin ----- S_AXI4_WREADY <= '0'; S_AXI4_BID <= (others => '0'); S_AXI4_BRESP <= (others => '0'); S_AXI4_BVALID <= '0'; S_AXI4_AWREADY<= '0'; valid_decode <= S_AXI4_ARVALID and xip_pr_state_idle; reset_inversion <= not S_AXI4_ARESET; -- address decoder and CS generation in AXI interface I_DECODER : entity axi_quad_spi_v3_1.qspi_address_decoder generic map ( C_BUS_AWIDTH => XIP_ADDR_BITS, -- C_S_AXI4_ADDR_WIDTH, C_S_AXI4_MIN_SIZE => XIP_MIN_SIZE, C_ARD_ADDR_RANGE_ARRAY=> C_XIP_FULL_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_XIP_FULL_ARD_NUM_CE_ARRAY, C_FAMILY => "nofamily" ) port map ( Bus_clk => S_AXI4_ACLK, -- in std_logic; Bus_rst => reset_inversion, -- in std_logic; Address_In_Erly => S_AXI4_ARADDR(XIP_ADDR_BITS-1 downto 0), -- in std_logic_vector(0 to C_BUS_AWIDTH-1); Address_Valid_Erly => s_axi_arready_cmb, -- in std_logic; Bus_RNW => valid_decode, -- in std_logic; Bus_RNW_Erly => valid_decode, -- in std_logic; CS_CE_ld_enable => s_axi_arready_cmb, -- in std_logic; Clear_CS_CE_Reg => temp_i, -- in std_logic; RW_CE_ld_enable => s_axi_arready_cmb, -- in std_logic; CS_for_gaps => open, -- out std_logic; -- Decode output signals CS_Out => SS_frm_axi, RdCE_Out => open, WrCE_Out => open ); ------------------------------------------------- STORE_AXI_ARBURST_P: process (S_AXI4_ACLK) is begin if (S_AXI4_ACLK'event and S_AXI4_ACLK='1') then if (S_AXI4_ARESET = ACTIVE_HIGH_RESET) then -- S_AXI4_ARESET is already inverted and made active high type_of_burst <= '0';-- "01"; -- default is INCR burst elsif(s_axi_arready_cmb = '1')then type_of_burst <= S_AXI4_ARBURST(1) ; end if; end if; end process STORE_AXI_ARBURST_P; ----------------------- S_AXI4_ARREADY_P:process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then S_AXI4_ARREADY <= '0'; else S_AXI4_ARREADY <= s_axi_arready_cmb; end if; end if; end process S_AXI4_ARREADY_P; -- S_AXI4_ARREADY <= s_axi_arready_cmb; STORE_AXI_LENGTH_P:process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then axi_length <= (others => '0'); elsif(s_axi_arready_cmb = '1')then axi_length <= S_AXI4_ARLEN; end if; end if; end process STORE_AXI_LENGTH_P; --------------------------------------------------- STORE_AXI_SIZE_P:process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then size_length <= (others => '0'); elsif(s_axi_arready_cmb = '1')then size_length <= S_AXI4_ARSIZE(1 downto 0); end if; end if; end process STORE_AXI_SIZE_P; ------------------------------------------------------------------------------- REG_RID_P: process (S_AXI4_ACLK) is begin if (S_AXI4_ACLK'event and S_AXI4_ACLK='1') then if (S_AXI4_ARESET = ACTIVE_HIGH_RESET) then S_AXI4_RID_reg <= (others=> '0'); elsif(s_axi_arready_cmb = '1')then S_AXI4_RID_reg <= S_AXI4_ARID ; end if; end if; end process REG_RID_P; ---------------------- S_AXI4_RID <= S_AXI4_RID_reg; ----------------------------- OLD_LOGIC_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin STORE_AXI_ADDR_P:process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then XIP_ADDR <= (others => '0'); elsif(s_axi_arready_cmb = '1')then XIP_ADDR <= S_AXI4_ARADDR(23 downto 0);-- support for 24 bit address end if; end if; end process STORE_AXI_ADDR_P; end generate OLD_LOGIC_GEN; --------------------------- NEW_LOGIC_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin STORE_AXI_ADDR_P:process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then XIP_ADDR <= (others => '0'); elsif(s_axi_arready_cmb = '1')then XIP_ADDR <= S_AXI4_ARADDR(C_SPI_MEM_ADDR_BITS-1 downto 0);-- support for 24 or 32 bit address end if; end if; end process STORE_AXI_ADDR_P; end generate NEW_LOGIC_GEN; --------------------------- ------------------------------------------------------------------------------ ONE_BYTE_XFER_P:process(S_AXI4_ACLK) is begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then one_byte_xfer <= '0'; elsif(s_axi_arready_cmb = '1')then one_byte_xfer <= not(or_reduce(S_AXI4_ARSIZE(1 downto 0))); end if; end if; end process ONE_BYTE_XFER_P; TWO_BYTE_XFER_P:process(S_AXI4_ACLK) is begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then two_byte_xfer <= '0'; elsif(s_axi_arready_cmb = '1')then two_byte_xfer <= S_AXI4_ARSIZE(0); end if; end if; end process TWO_BYTE_XFER_P; FOUR_BYTE_XFER_P:process(S_AXI4_ACLK) is begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then four_byte_xfer <= '0'; elsif(s_axi_arready_cmb = '1')then four_byte_xfer <= S_AXI4_ARSIZE(1); end if; end if; end process FOUR_BYTE_XFER_P; --------------------------------------------------------------------------------- STORE_DTR_LENGTH_P:process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then dtr_length <= (others => '0'); elsif(s_axi_arready_cmb = '1')then dtr_length <= S_AXI4_ARLEN;-- + "00000001"; -- elsif(S_AXI4_RREADY = '1' and s_axi_rvalid_i = '1') then elsif(Rx_FIFO_rd_ack = '1') then dtr_length <= dtr_length - '1'; end if; end if; end process STORE_DTR_LENGTH_P; ----------------------------------------------------- STORE_WRITE_LENGTH_P:process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then -- if(xip_sm_ps = IDLE)then write_length <= (others => '0'); elsif(s_axi_arready_cmb = '1')then write_length <= S_AXI4_ARLEN + "00000001"; elsif(spiXfer_done_to_axi_1 = '1')then write_length <= write_length - '1'; end if; end if; end process STORE_WRITE_LENGTH_P; ----------------------------------------------------- --dtr_cntr_empty <= or_Reduce(dtr_length); ----------------------------------------------------- last_bt_one_data_cmb <= not(or_reduce(dtr_length(C_NUM_TRANSFER_BITS-1 downto 1))) and dtr_length(0) and S_AXI4_RREADY; last_data_cmb <= not(or_reduce(dtr_length(C_NUM_TRANSFER_BITS-1 downto 0))); RX_FIFO_FULL_CNTR_I : entity proc_common_v4_0.counter_f generic map( C_NUM_BITS => RX_FIFO_CNTR_WIDTH, C_FAMILY => "nofamily" ) port map( Clk => S_AXI4_ACLK, -- in Rst => S_AXI4_ARESET, -- '0', -- in -- coverage off Load_In => ALL_1, -- in -- coverage on Count_Enable => updown_cnt_en_rx, -- in ---------------- Count_Load => s_axi_arready_cmb,-- in ---------------- Count_Down => down_cnt_en_rx, -- in Count_Out => rx_fifo_count, -- out std_logic_vector Carry_Out => open -- out ); updown_cnt_en_rx <= s_axi_arready_cmb or spiXfer_done_to_axi_1 or (down_cnt_en_rx); -- this is to make the counter enable for decreasing. down_cnt_en_rx <= S_AXI4_RREADY and s_axi_rvalid_i; only_last_count <= not(or_reduce(rx_fifo_count(RX_FIFO_CNTR_WIDTH-1 downto 0))) and last_data_cmb; rx_fifo_not_empty <= or_reduce(rx_fifo_count(RX_FIFO_CNTR_WIDTH-1 downto 0)); LAST_DATA_ACKED_P: process (S_AXI4_ACLK) is ----------------- begin ----- if (S_AXI4_ACLK'event and S_AXI4_ACLK='1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then last_data_acked <= '0'; else if(S_AXI4_RREADY = '1' and last_data_acked = '1') then -- AXI Ready and Rlast active last_data_acked <= '0'; elsif(S_AXI4_RREADY = '0' and last_data_acked = '1')then-- AXI not Ready and Rlast active, then hold the RLAST signal last_data_acked <= '1'; else last_data_acked <=(last_data_cmb and Rx_FIFO_rd_ack); end if; end if; end if; end process LAST_DATA_ACKED_P; ------------------------------ S_AXI4_RLAST <= last_data_acked; -------------------------------- S_AXI4_RDATA_RESP_P : process (S_AXI4_ACLK) is begin if S_AXI4_ACLK'event and S_AXI4_ACLK = '1' then if (S_AXI4_ARESET = ACTIVE_HIGH_RESET) then S_AXI4_RRESP_i <= (others => '0'); S_AXI4_RDATA_i <= (others => '0'); else-- if(S_AXI4_RREADY = '1' )then -- and (Rx_FIFO_Empty = '0')then S_AXI4_RRESP_i <= --(rd_error_int or mst_modf_err_to_axi_clk) & '0'; (mst_modf_err_to_axi4_clk) & '0'; S_AXI4_RDATA_i <= Data_From_Rx_FIFO; end if; end if; end process S_AXI4_RDATA_RESP_P; -------------------------------- S_AXI4_RRESP <= S_AXI4_RRESP_i; S_AXI4_RDATA <= S_AXI4_RDATA_i; ------------------------------- ----------------------------- -- S_AXI_RVALID_I_P : below process generates the RVALID response on read channel ---------------------- S_AXI_RVALID_I_P : process (S_AXI4_ACLK) is begin if S_AXI4_ACLK'event and S_AXI4_ACLK = '1' then if (S_AXI4_ARESET = ACTIVE_HIGH_RESET) then s_axi_rvalid_i <= '0'; elsif(S_AXI4_RREADY = '1') then -- and (s_axi_rvalid_i = '1') then -- AXI Ready and Rlast active s_axi_rvalid_i <= Rx_FIFO_rd_ack; -- '0'; elsif(S_AXI4_RREADY = '0') and (s_axi_rvalid_i = '1') then s_axi_rvalid_i <= s_axi_rvalid_i; else s_axi_rvalid_i <= Rx_FIFO_rd_ack; end if; end if; end process S_AXI_RVALID_I_P; ----------------------------- S_AXI4_RVALID <= s_axi_rvalid_i; -- ----------------------------- xip_pr_state_idle <= '1' when xip_sm_ps = IDLE else '0'; xip_ns_state_idle <= '1' when xip_sm_ns = IDLE else '0'; rready_i <= S_AXI4_RREADY and not last_data_cmb; ------------------------------------------------------------------------------ XIP_trans_error_cmb <= not(or_reduce(S_AXI4_ARBURST)) and (S_AXI4_ARVALID); -- XIP_TR_ERROR_PULSE_STRETCH_1: single pulse for AXI4 transaction error LOGIC_GENERATION_FDR : if (LOGIC_CHANGE = 0) generate attribute ASYNC_REG : string; attribute ASYNC_REG of XIP_TRANS_ERROR_AXI2AXI4_CDC : label is "TRUE"; --attribute ASYNC_REG of Rx_FIFO_Empty_AXI42AXI : label is "TRUE"; attribute ASYNC_REG of CPHA_CPOL_ERR_AXI2AXI4_CDC : label is "TRUE"; attribute ASYNC_REG of ARREADY_PULSE_AXI42AXI_CDC: label is "TRUE"; attribute ASYNC_REG of AXI4_TR_OVER_AXI42AXI_CDC : label is "TRUE"; begin XIP_TR_ERROR_PULSE_STRETCH_1: process(S_AXI4_ACLK)is begin if(S_AXI4_ACLK'event and S_AXI4_ACLK= '1') then if(S_AXI4_ARESET = '1') then XIP_trans_error_int_2 <= '0'; else XIP_trans_error_int_2 <= XIP_trans_error_cmb xor XIP_trans_error_int_2; end if; end if; end process XIP_TR_ERROR_PULSE_STRETCH_1; ------------------------------------- XIP_TRANS_ERROR_AXI2AXI4_CDC: component FDR generic map(INIT => '0' )port map ( Q => XIP_trans_error_d1, C => S_AXI_ACLK, D => XIP_trans_error_int_2, R => S_AXI_ARESETN ); XIP_TRANS_ERROR_AXI2AXI4_1: component FDR generic map(INIT => '0' )port map ( Q => XIP_trans_error_d2, C => S_AXI_ACLK, D => XIP_trans_error_d1, R => S_AXI_ARESETN ); XIP_TRANS_ERROR_AXI2AXI4_2: component FDR generic map(INIT => '0' )port map ( Q => XIP_trans_error_d3, C => S_AXI_ACLK, D => XIP_trans_error_d2, R => S_AXI_ARESETN ); XIP_trans_error <= XIP_trans_error_d2 xor XIP_trans_error_d3; ------------------------------------------------------------------------------ --mst_modf_err_to_axi <= mst_modf_err_d2; -- TO XIP Status Register -- LAST_DATA_PULSE_STRETCH_1: single pulse for AXI4 transaction completion LAST_DATA_PULSE_STRETCH_1: process(S_AXI4_ACLK)is begin if(S_AXI4_ACLK'event and S_AXI4_ACLK= '1') then if(S_AXI4_ARESET = '1') then last_data_acked_int_2 <= '0'; else last_data_acked_int_2 <= last_data_acked xor last_data_acked_int_2; end if; end if; end process LAST_DATA_PULSE_STRETCH_1; ------------------------------------- AXI4_TR_OVER_AXI42AXI_CDC: component FDR generic map(INIT => '0' )port map ( Q => axi4_tr_over_d1, C => S_AXI_ACLK, D => last_data_acked_int_2, R => S_AXI_ARESETN ); AXI4_TR_OVER_AXI42AXI_1: component FDR generic map(INIT => '0' )port map ( Q => axi4_tr_over_d2, C => S_AXI_ACLK, D => axi4_tr_over_d1, R => S_AXI_ARESETN ); AXI4_TR_OVER_AXI42AXI_2: component FDR generic map(INIT => '0' )port map ( Q => axi4_tr_over_d3, C => S_AXI_ACLK, D => axi4_tr_over_d2, R => S_AXI_ARESETN ); axi4_tr_over <= axi4_tr_over_d2 xor axi4_tr_over_d3; ------------------------------------------------------------- -- ARREADY_PULSE_STRETCH_1: single pulse for AXI4 transaction acceptance ARREADY_PULSE_STRETCH_1: process(S_AXI4_ACLK)is begin if(S_AXI4_ACLK'event and S_AXI4_ACLK= '1') then if(S_AXI4_ARESET = '1') then s_axi_arready_int_2 <= '0'; else s_axi_arready_int_2 <= s_axi_arready_cmb xor s_axi_arready_int_2; end if; end if; end process ARREADY_PULSE_STRETCH_1; ------------------------------------- ARREADY_PULSE_AXI42AXI_CDC: component FDR generic map(INIT => '1' )port map ( Q => arready_d1, C => S_AXI_ACLK, D => s_axi_arready_int_2, R => S_AXI_ARESETN ); ARREADY_PULSE_AXI42AXI_2: component FDR generic map(INIT => '1' )port map ( Q => arready_d2, C => S_AXI_ACLK, D => arready_d1, R => S_AXI_ARESETN ); ARREADY_PULSE_AXI42AXI_3: component FDR -- 2/21/2012 generic map(INIT => '1' )port map ( Q => arready_d3, C => S_AXI_ACLK, D => arready_d2, R => S_AXI_ARESETN ); new_tr_at_axi4 <= arready_d2 xor arready_d3; ------------------------------------- ------------------------------------------------------------------------------ -- CPHA_CPOL_ERR_AXI2AXI4_CDC: CDC flop at cross clock boundary CPHA_CPOL_ERR_AXI2AXI4_CDC: component FDR generic map(INIT => '0' )port map ( Q => XIPSR_CPHA_CPOL_ERR_d1, C => S_AXI4_ACLK, D => XIPSR_CPHA_CPOL_ERR, R => S_AXI4_ARESET ); CPHA_CPOL_ERR_AXI2AXI4_1: component FDR generic map(INIT => '0' )port map ( Q => XIPSR_CPHA_CPOL_ERR_d2, C => S_AXI4_ACLK, D => XIPSR_CPHA_CPOL_ERR_d1, R => S_AXI4_ARESET ); XIPSR_CPHA_CPOL_ERR_4 <= XIPSR_CPHA_CPOL_ERR_d2; ------------------------------------------------------------------------------- end generate LOGIC_GENERATION_FDR; LOGIC_GENERATION_CDC : if (LOGIC_CHANGE = 1) generate --================================================================================= XIP_TR_ERROR_PULSE_STRETCH_1_P: process(S_AXI4_ACLK)is begin if(S_AXI4_ACLK'event and S_AXI4_ACLK= '1') then if(S_AXI4_ARESET = '1') then XIP_trans_error_int_2 <= '0'; else XIP_trans_error_int_2 <= XIP_trans_error_cmb xor XIP_trans_error_int_2; end if; end if; end process XIP_TR_ERROR_PULSE_STRETCH_1_P; XIP_TRANS_ERROR_AXI2AXI4: entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1 , -- 2 is ack based level sync C_RESET_STATE => 0 , -- no reset to be used in synchronisers C_SINGLE_BIT => 1 , C_FLOP_INPUT => 0 , C_VECTOR_WIDTH => 0 , C_MTBF_STAGES => MTBF_STAGES_AXI2AXILITE ) port map ( prmry_aclk => S_AXI_ACLK , prmry_resetn => S_AXI_ARESETN , prmry_in => XIP_trans_error_int_2 , scndry_aclk => S_AXI_ACLK , prmry_vect_in => (others => '0') , scndry_resetn => S_AXI_ARESETN , scndry_out => XIP_trans_error_d2 ); XIP_TR_ERROR_PULSE_STRETCH_1: process(S_AXI_ACLK)is begin if(S_AXI_ACLK'event and S_AXI_ACLK= '1') then XIP_trans_error_d3 <= XIP_trans_error_d2 ; end if; end process XIP_TR_ERROR_PULSE_STRETCH_1; XIP_trans_error <= XIP_trans_error_d2 xor XIP_trans_error_d3; --================================================================================= LAST_DATA_PULSE_STRETCH_1_CDC: process(S_AXI4_ACLK)is begin if(S_AXI4_ACLK'event and S_AXI4_ACLK= '1') then if(S_AXI4_ARESET = '1') then last_data_acked_int_2 <= '0'; --axi4_tr_over_d1 <= '0'; else last_data_acked_int_2 <= last_data_acked xor last_data_acked_int_2; --axi4_tr_over_d1 <= last_data_acked_int_2; end if; end if; end process LAST_DATA_PULSE_STRETCH_1_CDC; AXI4_TR_OVER_AXI42AXI: entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1 , -- 2 is ack based level sync C_RESET_STATE => 0 , -- no reset to be used in synchronisers C_SINGLE_BIT => 1 , C_FLOP_INPUT => 1 , C_VECTOR_WIDTH => 0 , C_MTBF_STAGES => MTBF_STAGES_AXI2AXILITE ) port map ( prmry_aclk => S_AXI4_ACLK , prmry_resetn => S_AXI4_ARESET , prmry_in => last_data_acked_int_2 , scndry_aclk => S_AXI_ACLK , prmry_vect_in => (others => '0') , scndry_resetn => S_AXI_ARESETN , scndry_out => axi4_tr_over_d2 ); LAST_DATA_PULSE_STRETCH_1: process(S_AXI_ACLK)is begin if(S_AXI_ACLK'event and S_AXI_ACLK= '1') then axi4_tr_over_d3 <= axi4_tr_over_d2 ; -- end if; end if; end process LAST_DATA_PULSE_STRETCH_1; axi4_tr_over <= axi4_tr_over_d2 xor axi4_tr_over_d3; --================================================================================= ARREADY_PULSE_STRETCH_1_CDC: process(S_AXI4_ACLK)is begin if(S_AXI4_ACLK'event and S_AXI4_ACLK= '1') then if(S_AXI4_ARESET = '1') then s_axi_arready_int_2 <= '1'; --arready_d1 <= '0'; else s_axi_arready_int_2 <= s_axi_arready_cmb xor s_axi_arready_int_2; --arready_d1 <= s_axi_arready_int_2; end if; end if; end process ARREADY_PULSE_STRETCH_1_CDC; ARREADY_PULSE_AXI42AXI: entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1 , -- 2 is ack based level sync C_RESET_STATE => 0 , -- no reset to be used in synchronisers C_SINGLE_BIT => 1 , C_FLOP_INPUT => 1 , C_VECTOR_WIDTH => 0 , C_MTBF_STAGES => MTBF_STAGES_AXI2AXILITE ) port map ( prmry_aclk => S_AXI4_ACLK , prmry_resetn => S_AXI4_ARESET , prmry_in => s_axi_arready_int_2 , scndry_aclk => S_AXI_ACLK , prmry_vect_in => (others => '0') , scndry_resetn => S_AXI_ARESETN , scndry_out => arready_d2 ); ARREADY_PULSE_STRETCH_1: process(S_AXI_ACLK)is begin if(S_AXI_ACLK'event and S_AXI_ACLK= '1') then arready_d3 <= arready_d2; -- end if; end if; end process ARREADY_PULSE_STRETCH_1; new_tr_at_axi4 <= arready_d2 xor arready_d3; --================================================================================== CPHA_CPOL_ERR_AXI2AXI4: entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1 , -- 1 is level synch C_RESET_STATE => 0 , -- no reset to be used in synchronisers C_SINGLE_BIT => 1 , C_FLOP_INPUT => 0 , C_VECTOR_WIDTH => 0 , C_MTBF_STAGES => MTBF_STAGES_AXI2AXILITE ) port map ( prmry_aclk => S_AXI_ACLK , prmry_resetn => S_AXI_ARESETN , prmry_in => XIPSR_CPHA_CPOL_ERR , scndry_aclk => S_AXI4_ACLK , prmry_vect_in => (others => '0') , scndry_resetn => S_AXI4_ARESET , scndry_out => XIPSR_CPHA_CPOL_ERR_4 ); --================================================================================== end generate LOGIC_GENERATION_CDC; XIPSR_RX_EMPTY_P: process(S_AXI_ACLK)is begin if(S_AXI_ACLK'event and S_AXI_ACLK = '1')then if(S_AXI_ARESETN = ACTIVE_HIGH_RESET) then TO_XIPSR_axi_rx_empty <= '1'; elsif(axi4_tr_over = '1')then TO_XIPSR_axi_rx_empty <= '1'; elsif(new_tr_at_axi4 = '1')then TO_XIPSR_axi_rx_empty <= '0'; end if; end if; end process XIPSR_RX_EMPTY_P; ------------------------------------- TO_XIPSR_trans_error <= XIP_trans_error; TO_XIPSR_mst_modf_err <= mst_modf_err_to_axi_clk; TO_XIPSR_axi_rx_full <= Rx_FIFO_Full_to_axi_clk; -- XIP_PS_TO_NS_PROCESS: stores the next state memory XIP_PS_TO_NS_PROCESS: process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then xip_sm_ps <= IDLE; else xip_sm_ps <= xip_sm_ns; end if; end if; end process XIP_PS_TO_NS_PROCESS; ----------------------------- -- XIP_SM_P: below state machine is AXI interface state machine and controls the -- acceptance of new transaction as well as monitors data transaction XIP_SM_P:process( xip_sm_ps , S_AXI4_ARVALID , S_AXI4_RREADY , S_AXI4_ARBURST , XIP_trans_error , mst_modf_err_to_axi4_clk, Rx_FIFO_Full_to_Axi4_clk, XIPSR_CPHA_CPOL_ERR_4 , Rx_FIFO_Empty , wb_hpm_done_to_axi , spiXfer_done_to_axi_1 , last_data_cmb , Rx_FIFO_rd_ack ,--, last_data_acked --wrap_around_to_axi_clk , --last_bt_one_data_cmb , --Rx_FIFO_Empty , --only_last_count , --rx_fifo_not_empty , --rx_fifo_count , )is begin ----- s_axi_arready_cmb <= '0'; load_axi_data_frm_axi <= '0'; load_cmd <= '0'; s_axi_rlast_cmb <= '0'; s_axi_rvalid_cmb <= '0'; last_data <= '0'; --IO0_T_cntrl_axi <= '1'; --IO1_T_cntrl_axi <= '1'; --IO2_T_cntrl_axi <= '1'; --IO3_T_cntrl_axi <= '1'; --SCK_T_cntrl_axi <= '1'; temp_i <= '0'; case xip_sm_ps is when IDLE => --if(XIP_cmd_error = '0') then if(S_AXI4_ARVALID = '1') and (XIP_trans_error = '0') and (mst_modf_err_to_axi4_clk = '0') and (Rx_FIFO_Full_to_axi4_clk = '0') and (XIPSR_CPHA_CPOL_ERR_4 = '0') and (Rx_FIFO_Empty = '1') and (wb_hpm_done_to_axi = '1') then s_axi_arready_cmb <= S_AXI4_ARVALID; load_axi_data_frm_axi <= S_AXI4_ARVALID; load_cmd <= S_AXI4_ARVALID; xip_sm_ns <= RD_BURST; else xip_sm_ns <= IDLE; end if; when RD_BURST => --if(last_data_cmb = '1') and (Rx_FIFO_rd_ack = '1') then--(rx_fifo_count = "000001") then if (last_data_acked = '1') then if(S_AXI4_RREADY = '1') then temp_i <= '1'; xip_sm_ns <= IDLE; else xip_sm_ns <= RD_BURST; end if; else xip_sm_ns <= RD_BURST; end if; -- coverage off when others => xip_sm_ns <= IDLE; -- coverage on end case; end process XIP_SM_P; ---------------------- -- AXI_24_BIT_ADDR_STORE_GEN: stores 24 bit axi address AXI_24_BIT_ADDR_STORE_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin LOAD_TRANSMIT_ADDR_P:process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then Transmit_addr_int <= (others => '0'); elsif(load_axi_data_frm_axi = '1') then Transmit_addr_int <= S_AXI4_ARADDR(23 downto 0);-- & XIPCR_7_0_CMD; end if; end if; end process LOAD_TRANSMIT_ADDR_P; end generate AXI_24_BIT_ADDR_STORE_GEN; ----------------------------------------- -- AXI_32_BIT_ADDR_STORE_GEN: stores 32 bit axi address AXI_32_BIT_ADDR_STORE_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate -- 3/30/2013 updated for 32 or 24 bit addressing modes begin LOAD_TRANSMIT_ADDR_P:process(S_AXI4_ACLK)is ----- begin ----- if(S_AXI4_ACLK'event and S_AXI4_ACLK = '1') then if(S_AXI4_ARESET = ACTIVE_HIGH_RESET) then Transmit_addr_int <= (others => '0'); elsif(load_axi_data_frm_axi = '1') then Transmit_addr_int <= S_AXI4_ARADDR(C_SPI_MEM_ADDR_BITS-1 downto 0);-- & XIPCR_7_0_CMD; end if; end if; end process LOAD_TRANSMIT_ADDR_P; end generate AXI_32_BIT_ADDR_STORE_GEN; ----------------------------------------- -- 24/32-bit -- -- AXI Clk domain -- __________________ SPI clk domain --Dout --|AXI clk |-- Din --Rd_en --| |-- Wr_en --Rd_clk --| |-- Wr_clk --| |-- --Rx_FIFO_Empty --| Rx FIFO |-- Rx_FIFO_Full --Rx_FIFO_almost_Empty --| |-- Rx_FIFO_almost_Full --Rx_FIFO_occ_Reversed --| |-- --Rx_FIFO_rd_ack --| |-- --| |-- --| |-- --| |-- --|__________________|-- ------------------------------------------------------------------------------- XIP_RECEIVE_FIFO_II: entity proc_common_v4_0.async_fifo_fg generic map( -- 3/30/2013 starts --C_PRELOAD_LATENCY => 0 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 --C_PRELOAD_REGS => 1 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 -- 3/30/2013 ends -- variables C_ALLOW_2N_DEPTH => 1 , -- : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY => C_FAMILY , -- : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH => C_S_AXI4_DATA_WIDTH , -- : integer := 16; C_FIFO_DEPTH => C_XIP_FIFO_DEPTH , -- : integer := 256; C_RD_COUNT_WIDTH => C_RD_COUNT_WIDTH_INT, -- : integer := 3 ; C_WR_COUNT_WIDTH => C_WR_COUNT_WIDTH_INT, -- : integer := 3 ; C_HAS_ALMOST_EMPTY => 1 , -- : integer := 1 ; C_HAS_ALMOST_FULL => 1 , -- : integer := 1 ; C_HAS_RD_ACK => 1 , -- : integer := 0 ; C_HAS_RD_COUNT => 1 , -- : integer := 1 ; C_HAS_WR_ACK => 1 , -- : integer := 0 ; C_HAS_WR_COUNT => 1 , -- : integer := 1 ; -- constants C_HAS_RD_ERR => 0 , -- : integer := 0 ; C_HAS_WR_ERR => 0 , -- : integer := 0 ; C_RD_ACK_LOW => 0 , -- : integer := 0 ; C_RD_ERR_LOW => 0 , -- : integer := 0 ; C_WR_ACK_LOW => 0 , -- : integer := 0 ; C_WR_ERR_LOW => 0 , -- : integer := 0 C_ENABLE_RLOCS => 0 , -- : integer := 0 ; -- not supported in FG C_USE_BLOCKMEM => 0 -- : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM ) port map( Dout => Data_From_Rx_FIFO , -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Rd_en => S_AXI4_RREADY , -- : in std_logic := '0'; Rd_clk => S_AXI4_ACLK , -- : in std_logic := '1'; Rd_ack => Rx_FIFO_rd_ack , -- : out std_logic; ------ Din => Data_To_Rx_FIFO , -- : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en => store_date_in_drr_fifo_en , --SPIXfer_done_Rx_Wr_en, -- , -- : in std_logic := '1'; Wr_clk => EXT_SPI_CLK , -- : in std_logic := '1'; Wr_ack => open, -- Rx_FIFO_wr_ack_open, -- : out std_logic; ------ Full => Rx_FIFO_Full, --Rx_FIFO_Full, -- : out std_logic; Empty => Rx_FIFO_Empty , -- : out std_logic; Almost_full => Rx_FIFO_almost_Full , -- : out std_logic; Almost_empty => Rx_FIFO_almost_Empty , -- : out std_logic; Rd_count => open , -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); ------ Ainit => S_AXI4_ARESET ,--reset_RcFIFO_ptr_int, -- reset_RcFIFO_ptr_to_spi_clk ,--Rx_FIFO_ptr_RST , -- : in std_logic := '1'; Wr_count => open , -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_err => rd_error_int , -- : out std_logic; Wr_err => open -- : out std_logic ); ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- from SPI clock spiXfer_done_frm_spi_clk <= store_date_in_drr_fifo_en; --spiXfer_done_int; mst_modf_err_frm_spi_clk <= not SPISEL_sync; -- 9/7/2013 -- MODF_strobe; -- 9/7/2013 --wrap_around_frm_spi_clk <= wrap_around; wb_hpm_done_frm_spi_clk <= wb_hpm_done; -- from AXI clocks --size_length_frm_axi_clk <= size_length; one_byte_xfer_frm_axi_clk <= one_byte_xfer; two_byte_xfer_frm_axi_clk <= two_byte_xfer; four_byte_xfer_frm_axi_clk <= four_byte_xfer; load_axi_data_frm_axi_clk <= load_axi_data_frm_Axi;-- 1 bit Transmit_Addr_frm_axi_clk <= Transmit_addr_int; -- 24 bit load_cmd_frm_axi_clk <= load_cmd; CPOL_frm_axi_clk <= XIPCR_1_CPOL; -- 1 bit CPHA_frm_axi_clk <= XIPCR_0_CPHA; -- 1 bit SS_frm_axi_clk <= SS_frm_axi; -- _reg; -- based upon C_NUM_SS_BITS type_of_burst_frm_axi_clk <= type_of_burst; -- 1 bit signal take MSB only to differentiate WRAP and INCR burst axi_length_frm_axi_clk <= axi_length; -- 8 bit used for WRAP transfer dtr_length_frm_axi_clk <= dtr_length; -- 8 bit used for internbal counter XIP_CLK_DOMAIN_SIGNALS:entity axi_quad_spi_v3_1.xip_cross_clk_sync generic map( C_S_AXI4_DATA_WIDTH => C_S_AXI4_DATA_WIDTH , C_NUM_SS_BITS => C_NUM_SS_BITS , C_SPI_MEM_ADDR_BITS => XIP_ADDR_BITS ) port map( EXT_SPI_CLK => EXT_SPI_CLK , S_AXI4_ACLK => S_AXI4_ACLK , S_AXI4_ARESET => S_AXI4_ARESET , S_AXI_ACLK => S_AXI_ACLK , S_AXI_ARESETN => S_AXI_ARESETN , Rst_from_axi_cdc_to_spi => Rst_to_spi , ---------------------------- spiXfer_done_cdc_from_spi => spiXfer_done_frm_spi_clk , spiXfer_done_cdc_to_axi_1 => spiXfer_done_to_axi_1 , ---------------------------- mst_modf_err_cdc_from_spi => mst_modf_err_frm_spi_clk , mst_modf_err_cdc_to_axi => mst_modf_err_to_axi_clk , mst_modf_err_cdc_to_axi4 => mst_modf_err_to_axi4_clk , ---------------------------- one_byte_xfer_cdc_from_axi => one_byte_xfer_frm_axi_clk , one_byte_xfer_cdc_to_spi => one_byte_xfer_to_spi_clk , ---------------------------- two_byte_xfer_cdc_from_axi => two_byte_xfer_frm_axi_clk , two_byte_xfer_cdc_to_spi => two_byte_xfer_to_spi_clk , ---------------------------- four_byte_xfer_cdc_from_axi => four_byte_xfer_frm_axi_clk , four_byte_xfer_cdc_to_spi => four_byte_xfer_to_spi_clk , ---------------------------- load_axi_data_cdc_from_axi => load_axi_data_frm_axi_clk , load_axi_data_cdc_to_spi => load_axi_data_to_spi_clk , ---------------------------- Transmit_Addr_cdc_from_axi => Transmit_Addr_frm_axi_clk , Transmit_Addr_cdc_to_spi => Transmit_Addr_to_spi_clk , ---------------------------- load_cmd_cdc_from_axi => load_cmd_frm_axi_clk , load_cmd_cdc_to_spi => load_cmd_to_spi_clk , ---------------------------- CPOL_cdc_from_axi => CPOL_frm_axi_clk , CPOL_cdc_to_spi => CPOL_to_spi_clk , ---------------------------- CPHA_cdc_from_axi => CPHA_frm_axi_clk , CPHA_cdc_to_spi => CPHA_to_spi_clk , ------------------------------ SS_cdc_from_axi => SS_frm_axi_clk , SS_cdc_to_spi => SS_to_spi_clk , ---------------------------- type_of_burst_cdc_from_axi => type_of_burst_frm_axi_clk , type_of_burst_cdc_to_spi => type_of_burst_to_spi_clk , ---------------------------- axi_length_cdc_from_axi => axi_length_frm_axi_clk , axi_length_cdc_to_spi => axi_length_to_spi_clk , ---------------------------- dtr_length_cdc_from_axi => dtr_length_frm_axi_clk , dtr_length_cdc_to_spi => dtr_length_to_spi_clk , --, ---------------------------- Rx_FIFO_Full_cdc_from_spi => Rx_FIFO_Full , Rx_FIFO_Full_cdc_to_axi => Rx_FIFO_Full_to_axi_clk , Rx_FIFO_Full_cdc_to_axi4 => Rx_FIFO_Full_to_axi4_clk , ---------------------------- wb_hpm_done_cdc_from_spi => wb_hpm_done_frm_spi_clk , wb_hpm_done_cdc_to_axi => wb_hpm_done_to_axi ); ------------------------------------------------------------------------------- -- STORE_NEW_TR_P: This process is used in INCR and WRAP to check for any new transaction from AXI STORE_NEW_TR_32_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin ----- ------------------------------------- STORE_NEW_TR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then new_tr <= '0'; elsif( (load_axi_data_to_spi_clk = '1') or (load_wr_hpm = '1') -- needed for enabling 32 bit addressing mode or (load_wr_en = '1') -- needed for write enabling before enabling the 32 bit addressing mode ) then new_tr <= '1'; elsif(SR_5_Tx_Empty_int = '1') then --(wrap_around = '0' and qspi_cntrl_ns = IDLE)then new_tr <= '0'; end if; end if; end process STORE_NEW_TR_P; ------------------------------------- end generate STORE_NEW_TR_32_BIT_ADDR_GEN; --------------------------------------------- STORE_NEW_TR_24_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin ----- ------------------------------------- STORE_NEW_TR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then new_tr <= '0'; elsif( (load_axi_data_to_spi_clk = '1') or (load_wr_hpm = '1') -- or (load_wr_en = '1') ) then new_tr <= '1'; elsif(SR_5_Tx_Empty_int = '1') then --(wrap_around = '0' and qspi_cntrl_ns = IDLE)then new_tr <= '0'; end if; end if; end process STORE_NEW_TR_P; ------------------------------------- end generate STORE_NEW_TR_24_BIT_ADDR_GEN; ------------------------------------------------------------------------------- -- STORE_INITAL_ADDR_P: The address frm AXI should be stored in the SPI environment -- as the address generation logic will work in this domain. STORE_24_BIT_SPI_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin ----- ------------------------------------- STORE_INITAL_ADDR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then spi_addr <= (others => '0'); elsif(load_axi_data_to_spi_clk = '1')then spi_addr <= "00000000" & Transmit_Addr_to_spi_clk;-- (31 downto 8); elsif(load_wrap_addr = '1')then -- and (type_of_burst_to_spi = '1') then spi_addr <= "00000000" & spi_addr_wrap; end if; end if; end process STORE_INITAL_ADDR_P; ------------------------------------- end generate STORE_24_BIT_SPI_ADDR_GEN; ----------------------------------------- STORE_32_BIT_SPI_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate -- 3/30/2013 begin ----- ---------------------------------- STORE_INITAL_ADDR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then spi_addr <= (others => '0'); elsif(load_axi_data_to_spi_clk = '1')then spi_addr <= Transmit_Addr_to_spi_clk;-- (31 downto 0); elsif(load_wrap_addr = '1')then -- and (type_of_burst_to_spi = '1') then spi_addr <= spi_addr_wrap; end if; end if; end process STORE_INITAL_ADDR_P; ---------------------------------- end generate STORE_32_BIT_SPI_ADDR_GEN; --------------------------------------- ------------------------------------------------------------------------------- -- below signals will store the length of AXI transaction in the SPI domain axi_len_two <= not(or_Reduce(axi_length_to_spi_clk(3 downto 1))) and axi_length_to_spi_clk(0); axi_len_four <= not(or_Reduce(axi_length_to_spi_clk(3 downto 2))) and and_reduce(axi_length_to_spi_clk(1 downto 0)); axi_len_eight <= not(axi_length_to_spi_clk(3)) and and_Reduce(axi_length_to_spi_clk(2 downto 0)); axi_len_sixteen <= and_reduce(axi_length_to_spi_clk(3 downto 0)); ------------------------------------------------------------------------------- -- below signals store the WRAP information in SPI domain wrap_two <= '1' when (type_of_burst_to_spi_clk = '1' and axi_len_two = '1') else '0'; wrap_four <= '1' when (type_of_burst_to_spi_clk = '1' and axi_len_four = '1') else '0'; wrap_eight <= '1' when (type_of_burst_to_spi_clk = '1' and axi_len_eight = '1') else '0'; wrap_sixteen <= '1' when (type_of_burst_to_spi_clk = '1' and axi_len_sixteen = '1') else '0'; ------------------------------------------------------------------------------- -- SPI_ADDRESS_REG: This process stores the initial address coming from the AXI in -- two registers. one register will store this address till the -- transaction ends, while other will be updated based upon type of -- transaction as well as at the end of each SPI transfer. this is -- used for internal use only. SPI_24_BIT_ADDRESS_REG_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin ----- SPI_ADDRESS_REG : process(EXT_SPI_CLK) is --variable xfer : std_logic_vector(2 downto 0); begin -- xfer := four_byte_xfer_to_spi_clk & two_byte_xfer_to_spi_clk & one_byte_xfer_to_spi_clk; if (EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if (Rst_to_spi = RESET_ACTIVE) then spi_addr_i <= (others => '0'); spi_addr_int <= (others => '0'); else if (load_cmd_to_spi_clk = '1') then spi_addr_i <= Transmit_Addr_to_spi_clk(23 downto 0); spi_addr_int <= Transmit_Addr_to_spi_clk(23 downto 0); -- below is address generation for the WRAP mode elsif (type_of_burst_to_spi_clk = '1') and (SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then spi_addr_int(23 downto 0) <= spi_addr_int(23 downto 0) + '1'; case size_length_cntr is when "00" => -- 8-bit access if(wrap_two = '1') then spi_addr_i(23 downto 1) <= spi_addr_i(23 downto 1); spi_addr_i(0) <= not (spi_addr_i(0)); elsif(wrap_four = '1') then -- the byte address increment will take 2 address bits spi_addr_i(23 downto 2) <= spi_addr_i(23 downto 2); spi_addr_i(1 downto 0) <= spi_addr_i(1 downto 0) + "01"; elsif(wrap_eight = '1') then -- the byte address increment will take 3 address bits spi_addr_i(23 downto 3) <= spi_addr_i(23 downto 3); spi_addr_i(2 downto 0) <= spi_addr_i(2 downto 0) + "001"; elsif(wrap_sixteen = '1') then -- the byte address increment will take 4 address bits for 16's wrap spi_addr_i(23 downto 4) <= spi_addr_i(23 downto 4); spi_addr_i(3 downto 0) <= spi_addr_i(3 downto 0) + "0001"; else spi_addr_i <= spi_addr_i + "0001"; end if; when "01" => -- 16-bit access if(wrap_two = '1') then spi_addr_i(23 downto 2) <= spi_addr_i(23 downto 2); spi_addr_i(1 downto 0) <= spi_addr_i(1 downto 0) + "10"; elsif(wrap_four = '1') then spi_addr_i(23 downto 3) <= spi_addr_i(23 downto 3); spi_addr_i(2 downto 0) <= spi_addr_i(2 downto 0) + "010"; elsif(wrap_eight = '1') then spi_addr_i(23 downto 4) <= spi_addr_i(23 downto 4); spi_addr_i(3 downto 0) <= spi_addr_i(3 downto 0) + "0010"; elsif(wrap_sixteen = '1') then spi_addr_i(23 downto 5) <= spi_addr_i(23 downto 5); spi_addr_i(4 downto 0) <= spi_addr_i(4 downto 0) + "00010"; else spi_addr_i <= spi_addr_i + "0010"; end if; when "10" => -- 32-bit access if(wrap_two = '1') then spi_addr_i(23 downto 3) <= spi_addr_i(23 downto 3); spi_addr_i(2 downto 0) <=spi_addr_i(2 downto 0) + "100"; elsif(wrap_four = '1') then spi_addr_i(23 downto 4) <= spi_addr_i(23 downto 4); spi_addr_i(3 downto 0) <=spi_addr_i(3 downto 0) + "0100"; elsif(wrap_eight = '1') then spi_addr_i(23 downto 5) <= spi_addr_i(23 downto 5); spi_addr_i(4 downto 0) <=spi_addr_i(4 downto 0) + "00100"; elsif(wrap_sixteen = '1') then spi_addr_i(23 downto 6) <= spi_addr_i(23 downto 6); spi_addr_i(5 downto 0) <=spi_addr_i(5 downto 0) + "000100"; else spi_addr_i <= spi_addr_i + "0100"; end if; -- coverage off when others => spi_addr_i <= spi_addr_i; -- coverage on end case; -- below is address generation for the INCR mode elsif (type_of_burst_to_spi_clk = '0') and (SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then spi_addr_i(23 downto 0) <= spi_addr_i(23 downto 0) + '1'; end if; end if; end if; end process SPI_ADDRESS_REG; ---------------------------------- end generate SPI_24_BIT_ADDRESS_REG_GEN; ---------------------------------------- SPI_32_BIT_ADDRESS_REG_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin ----- SPI_ADDRESS_REG : process(EXT_SPI_CLK) is --variable xfer : std_logic_vector(2 downto 0); begin -- xfer := four_byte_xfer_to_spi_clk & two_byte_xfer_to_spi_clk & one_byte_xfer_to_spi_clk; if (EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if (Rst_to_spi = RESET_ACTIVE) then spi_addr_i <= (others => '0'); spi_addr_int <= (others => '0'); else if (load_cmd_to_spi_clk = '1') then spi_addr_i <= Transmit_Addr_to_spi_clk(31 downto 0); spi_addr_int <= Transmit_Addr_to_spi_clk(31 downto 0); -- below is address generation for the WRAP mode elsif (type_of_burst_to_spi_clk = '1') and (SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then spi_addr_int(31 downto 0) <= spi_addr_int(31 downto 0) + '1'; case size_length_cntr is when "00" => -- 8-bit access if(wrap_two = '1') then spi_addr_i(31 downto 1) <= spi_addr_i(31 downto 1); spi_addr_i(0) <= not (spi_addr_i(0)); elsif(wrap_four = '1') then -- the byte address increment will take 2 address bits spi_addr_i(31 downto 2) <= spi_addr_i(31 downto 2); spi_addr_i(1 downto 0) <= spi_addr_i(1 downto 0) + "01"; elsif(wrap_eight = '1') then -- the byte address increment will take 3 address bits spi_addr_i(31 downto 3) <= spi_addr_i(31 downto 3); spi_addr_i(2 downto 0) <= spi_addr_i(2 downto 0) + "001"; elsif(wrap_sixteen = '1') then -- the byte address increment will take 4 address bits for 16's wrap spi_addr_i(31 downto 4) <= spi_addr_i(31 downto 4); spi_addr_i(3 downto 0) <= spi_addr_i(3 downto 0) + "0001"; else spi_addr_i <= spi_addr_i + "0001"; end if; when "01" => -- 16-bit access if(wrap_two = '1') then spi_addr_i(31 downto 2) <= spi_addr_i(31 downto 2); spi_addr_i(1 downto 0) <= spi_addr_i(1 downto 0) + "10"; elsif(wrap_four = '1') then spi_addr_i(31 downto 3) <= spi_addr_i(31 downto 3); spi_addr_i(2 downto 0) <= spi_addr_i(2 downto 0) + "010"; elsif(wrap_eight = '1') then spi_addr_i(31 downto 4) <= spi_addr_i(31 downto 4); spi_addr_i(3 downto 0) <= spi_addr_i(3 downto 0) + "0010"; elsif(wrap_sixteen = '1') then spi_addr_i(31 downto 5) <= spi_addr_i(31 downto 5); spi_addr_i(4 downto 0) <= spi_addr_i(4 downto 0) + "00010"; else spi_addr_i <= spi_addr_i + "0010"; end if; when "10" => -- 32-bit access if(wrap_two = '1') then spi_addr_i(31 downto 3) <= spi_addr_i(31 downto 3); spi_addr_i(2 downto 0) <=spi_addr_i(2 downto 0) + "100"; elsif(wrap_four = '1') then spi_addr_i(31 downto 4) <= spi_addr_i(31 downto 4); spi_addr_i(3 downto 0) <=spi_addr_i(3 downto 0) + "0100"; elsif(wrap_eight = '1') then spi_addr_i(31 downto 5) <= spi_addr_i(31 downto 5); spi_addr_i(4 downto 0) <=spi_addr_i(4 downto 0) + "00100"; elsif(wrap_sixteen = '1') then spi_addr_i(31 downto 6) <= spi_addr_i(31 downto 6); spi_addr_i(5 downto 0) <=spi_addr_i(5 downto 0) + "000100"; else spi_addr_i <= spi_addr_i + "0100"; end if; -- coverage off when others => spi_addr_i <= spi_addr_i; -- coverage on end case; -- below is address generation for the INCR mode elsif (type_of_burst_to_spi_clk = '0') and (SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then spi_addr_i(31 downto 0) <= spi_addr_i(31 downto 0) + '1'; end if; end if; end if; end process SPI_ADDRESS_REG; end generate SPI_32_BIT_ADDRESS_REG_GEN; ---------------------------------------- -- SPI_WRAP_ADDR_REG: this is separate process used for WRAP address generation SPI_24_WRAP_ADDR_REG_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin SPI_WRAP_ADDR_REG : process(EXT_SPI_CLK) is --variable xfer : std_logic_vector(2 downto 0); begin -- xfer := four_byte_xfer_to_spi_clk & two_byte_xfer_to_spi_clk & one_byte_xfer_to_spi_clk; if (EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if (Rst_to_spi = RESET_ACTIVE) then spi_addr_wrap <= (others => '0'); else if (load_cmd_to_spi_clk = '1') then spi_addr_wrap <= Transmit_Addr_to_spi_clk(23 downto 0); elsif(wrap_ack_1 = '1') then spi_addr_wrap <= spi_addr_wrap_1; -- below is address generation for the WRAP mode elsif (type_of_burst_to_spi_clk = '1') and (store_date_in_drr_fifo = '1') and (cmd_addr_sent = '1') then case size_length_cntr_fixed is when "00" => -- 8-bit access if(wrap_two = '1') then spi_addr_wrap(23 downto 1) <= spi_addr_wrap(23 downto 1); spi_addr_wrap(0) <= not (spi_addr_wrap(0)); elsif(wrap_four = '1') then -- the byte address increment will take 2 address bits spi_addr_wrap(23 downto 2) <= spi_addr_wrap(23 downto 2); spi_addr_wrap(1 downto 0) <= spi_addr_wrap(1 downto 0) + "01"; elsif(wrap_eight = '1') then -- the byte address increment will take 3 address bits spi_addr_wrap(23 downto 3) <= spi_addr_wrap(23 downto 3); spi_addr_wrap(2 downto 0) <= spi_addr_wrap(2 downto 0) + "001"; elsif(wrap_sixteen = '1') then -- the byte address increment will take 4 address bits for 16's wrap spi_addr_wrap(23 downto 4) <= spi_addr_wrap(23 downto 4); spi_addr_wrap(3 downto 0) <= spi_addr_wrap(3 downto 0) + "0001"; else spi_addr_wrap <= spi_addr_wrap + "0001"; end if; when "01" => -- 16-bit access if(wrap_two = '1') then spi_addr_wrap(23 downto 2) <= spi_addr_wrap(23 downto 2); spi_addr_wrap(1 downto 0) <= spi_addr_wrap(1 downto 0) + "10"; elsif(wrap_four = '1') then spi_addr_wrap(23 downto 3) <= spi_addr_wrap(23 downto 3); spi_addr_wrap(2 downto 0) <= spi_addr_wrap(2 downto 0) + "010"; elsif(wrap_eight = '1') then spi_addr_wrap(23 downto 4) <= spi_addr_wrap(23 downto 4); spi_addr_wrap(3 downto 0) <= spi_addr_wrap(3 downto 0) + "0010"; elsif(wrap_sixteen = '1') then spi_addr_wrap(23 downto 5) <= spi_addr_wrap(23 downto 5); spi_addr_wrap(4 downto 0) <= spi_addr_wrap(4 downto 0) + "00010"; else spi_addr_wrap <= spi_addr_wrap + "0010"; end if; when "10" => -- 32-bit access if(wrap_two = '1') then spi_addr_wrap(23 downto 3) <= spi_addr_wrap(23 downto 3); spi_addr_wrap(2 downto 0) <=spi_addr_wrap(2 downto 0) + "100"; elsif(wrap_four = '1') then spi_addr_wrap(23 downto 4) <= spi_addr_wrap(23 downto 4); spi_addr_wrap(3 downto 0) <=spi_addr_wrap(3 downto 0) + "0100"; elsif(wrap_eight = '1') then spi_addr_wrap(23 downto 5) <= spi_addr_wrap(23 downto 5); spi_addr_wrap(4 downto 0) <=spi_addr_wrap(4 downto 0) + "00100"; elsif(wrap_sixteen = '1') then spi_addr_wrap(23 downto 6) <= spi_addr_wrap(23 downto 6); spi_addr_wrap(5 downto 0) <=spi_addr_wrap(5 downto 0) + "000100"; else spi_addr_wrap <= spi_addr_wrap + "0100"; end if; -- coverage off when others => spi_addr_wrap <= spi_addr_wrap; -- coverage on end case; end if; end if; end if; end process SPI_WRAP_ADDR_REG; end generate SPI_24_WRAP_ADDR_REG_GEN; -------------------------------------- SPI_32_WRAP_ADDR_REG_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin SPI_WRAP_ADDR_REG : process(EXT_SPI_CLK) is --variable xfer : std_logic_vector(2 downto 0); begin -- xfer := four_byte_xfer_to_spi_clk & two_byte_xfer_to_spi_clk & one_byte_xfer_to_spi_clk; if (EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if (Rst_to_spi = RESET_ACTIVE) then spi_addr_wrap <= (others => '0'); else if (load_cmd_to_spi_clk = '1') then spi_addr_wrap <= Transmit_Addr_to_spi_clk(31 downto 0); elsif(wrap_ack_1 = '1') then spi_addr_wrap <= spi_addr_wrap_1; -- below is address generation for the WRAP mode elsif (type_of_burst_to_spi_clk = '1') and (store_date_in_drr_fifo = '1') and (cmd_addr_sent = '1') then case size_length_cntr_fixed is when "00" => -- 8-bit access if(wrap_two = '1') then spi_addr_wrap(31 downto 1) <= spi_addr_wrap(31 downto 1); spi_addr_wrap(0) <= not (spi_addr_wrap(0)); elsif(wrap_four = '1') then -- the byte address increment will take 2 address bits spi_addr_wrap(31 downto 2) <= spi_addr_wrap(31 downto 2); spi_addr_wrap(1 downto 0) <= spi_addr_wrap(1 downto 0) + "01"; elsif(wrap_eight = '1') then -- the byte address increment will take 3 address bits spi_addr_wrap(31 downto 3) <= spi_addr_wrap(31 downto 3); spi_addr_wrap(2 downto 0) <= spi_addr_wrap(2 downto 0) + "001"; elsif(wrap_sixteen = '1') then -- the byte address increment will take 4 address bits for 16's wrap spi_addr_wrap(31 downto 4) <= spi_addr_wrap(31 downto 4); spi_addr_wrap(3 downto 0) <= spi_addr_wrap(3 downto 0) + "0001"; else spi_addr_wrap <= spi_addr_wrap + "0001"; end if; when "01" => -- 16-bit access if(wrap_two = '1') then spi_addr_wrap(31 downto 2) <= spi_addr_wrap(31 downto 2); spi_addr_wrap(1 downto 0) <= spi_addr_wrap(1 downto 0) + "10"; elsif(wrap_four = '1') then spi_addr_wrap(31 downto 3) <= spi_addr_wrap(31 downto 3); spi_addr_wrap(2 downto 0) <= spi_addr_wrap(2 downto 0) + "010"; elsif(wrap_eight = '1') then spi_addr_wrap(31 downto 4) <= spi_addr_wrap(31 downto 4); spi_addr_wrap(3 downto 0) <= spi_addr_wrap(3 downto 0) + "0010"; elsif(wrap_sixteen = '1') then spi_addr_wrap(31 downto 5) <= spi_addr_wrap(31 downto 5); spi_addr_wrap(4 downto 0) <= spi_addr_wrap(4 downto 0) + "00010"; else spi_addr_wrap <= spi_addr_wrap + "0010"; end if; when "10" => -- 32-bit access if(wrap_two = '1') then spi_addr_wrap(31 downto 3) <= spi_addr_wrap(31 downto 3); spi_addr_wrap(2 downto 0) <=spi_addr_wrap(2 downto 0) + "100"; elsif(wrap_four = '1') then spi_addr_wrap(31 downto 4) <= spi_addr_wrap(31 downto 4); spi_addr_wrap(3 downto 0) <=spi_addr_wrap(3 downto 0) + "0100"; elsif(wrap_eight = '1') then spi_addr_wrap(31 downto 5) <= spi_addr_wrap(31 downto 5); spi_addr_wrap(4 downto 0) <=spi_addr_wrap(4 downto 0) + "00100"; elsif(wrap_sixteen = '1') then spi_addr_wrap(31 downto 6) <= spi_addr_wrap(31 downto 6); spi_addr_wrap(5 downto 0) <=spi_addr_wrap(5 downto 0) + "000100"; else spi_addr_wrap <= spi_addr_wrap + "0100"; end if; -- coverage off when others => spi_addr_wrap <= spi_addr_wrap; -- coverage on end case; end if; end if; end if; end process SPI_WRAP_ADDR_REG; ---------------------------------- end generate SPI_32_WRAP_ADDR_REG_GEN; -------------------------------------- ------------------------------------------------------------------------------- -- SPI_WRAP_ADDR_REG: this is separate process used for WRAP address generation LOAD_SPI_WRAP_ADDR_REG : process(EXT_SPI_CLK) is begin ----- if (EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if (Rst_to_spi = RESET_ACTIVE) then spi_addr_wrap_1 <= (others => '0'); else if (wrap_around = '1') then -- below is address generation for the WRAP mode case size_length_cntr_fixed is when "00" => -- 8-bit access if(wrap_two = '1') then spi_addr_wrap_1 <= spi_addr_wrap + '1'; elsif(wrap_four = '1') then -- the byte address increment will take 2 address bits spi_addr_wrap_1 <= spi_addr_wrap + "01"; elsif(wrap_eight = '1') then -- the byte address increment will take 3 address bits spi_addr_wrap_1 <= spi_addr_wrap + "001"; elsif(wrap_sixteen = '1') then -- the byte address increment will take 4 address bits for 16's wrap spi_addr_wrap_1 <= spi_addr_wrap + "0001"; else spi_addr_wrap_1 <= spi_addr_wrap + "0001"; end if; when "01" => -- 16-bit access if(wrap_two = '1') then spi_addr_wrap_1 <= spi_addr_wrap + "10"; elsif(wrap_four = '1') then spi_addr_wrap_1 <= spi_addr_wrap + "010"; elsif(wrap_eight = '1') then spi_addr_wrap_1 <= spi_addr_wrap + "0010"; elsif(wrap_sixteen = '1') then spi_addr_wrap_1 <= spi_addr_wrap + "00010"; else spi_addr_wrap_1 <= spi_addr_wrap + "0010"; end if; when "10" => -- 32-bit access if(wrap_two = '1') then spi_addr_wrap_1 <=spi_addr_wrap + "100"; elsif(wrap_four = '1') then spi_addr_wrap_1 <=spi_addr_wrap + "0100"; elsif(wrap_eight = '1') then spi_addr_wrap_1 <=spi_addr_wrap + "00100"; elsif(wrap_sixteen = '1') then spi_addr_wrap_1 <=spi_addr_wrap + "000100"; else spi_addr_wrap_1 <=spi_addr_wrap + "0100"; end if; -- coverage off when others => spi_addr_wrap_1 <= spi_addr_wrap; -- coverage on end case; end if; end if; end if; end process LOAD_SPI_WRAP_ADDR_REG; ------------------------------------------------------------------------------- -- WRAP_AROUND_GEN_P : WRAP boundary detection logic WRAP_AROUND_GEN_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if( (Rst_to_spi = '1') or(rst_wrap_around = '1') ) then wrap_around <= '0'; elsif(type_of_burst_to_spi_clk = '1')then case size_length_cntr_fixed is when "00" => -- byte transfer if(wrap_two = '1') and (spi_addr_wrap(1) = '1') and (store_date_in_drr_fifo = '1')then -- then wrap_around <= --spi_addr_wrap(1) and not SR_5_Tx_Empty; elsif(wrap_four = '1') and (spi_addr_wrap(1 downto 0) = "11") and (store_date_in_drr_fifo = '1')then -- then -- the byte address increment will take 2 address bits wrap_around <= --and_reduce(spi_addr_wrap(1 downto 0)) and not SR_5_Tx_Empty; elsif(wrap_eight = '1') and (spi_addr_wrap(2 downto 0) = "111") and (store_date_in_drr_fifo = '1')then -- then -- the byte address increment will take 3 address bits wrap_around <= --and_reduce(spi_addr_wrap(2 downto 0)) and not SR_5_Tx_Empty; elsif(wrap_sixteen = '1') and (spi_addr_wrap(3 downto 0) = "1111") and (store_date_in_drr_fifo = '1')then -- the byte address increment will take 4 address bits for 16's wrap wrap_around <= --and_reduce(spi_addr_wrap(3 downto 0)) and not SR_5_Tx_Empty; else wrap_around <= '0'; end if; when "01" => -- 16-bit access if(wrap_two = '1') then -- and (spi_addr_wrap(1 downto 0) = "10") and (store_date_in_drr_fifo = '1')then wrap_around <= not SR_5_Tx_Empty and store_date_in_drr_fifo and wrp_addr_len_2_siz_16; elsif(wrap_four = '1') then -- and (spi_addr_wrap(2 downto 0) = "110") and (store_date_in_drr_fifo = '1')then wrap_around <= not SR_5_Tx_Empty and store_date_in_drr_fifo and wrp_addr_len_4_siz_16; elsif(wrap_eight = '1') then -- and (spi_addr_wrap(3 downto 0) = "1110") and (store_date_in_drr_fifo = '1')then wrap_around <= not SR_5_Tx_Empty and store_date_in_drr_fifo and wrp_addr_len_8_siz_16; elsif(wrap_sixteen = '1') then -- and (spi_addr_wrap(4 downto 0) = "11110") and (store_date_in_drr_fifo = '1') then wrap_around <= not SR_5_Tx_Empty and store_date_in_drr_fifo and wrp_addr_len_16_siz_16; else wrap_around <= '0'; end if; when "10" => -- 32-bit access if(wrap_two = '1') then -- and (spi_addr_wrap(2 downto 0) = "100") and (store_date_in_drr_fifo = '1') then wrap_around <= not SR_5_Tx_Empty and store_date_in_drr_fifo and wrp_addr_len_2_siz_32; elsif(wrap_four = '1') then -- and (spi_addr_wrap(3 downto 0) = "1100") and (store_date_in_drr_fifo = '1') then wrap_around <= not SR_5_Tx_Empty and store_date_in_drr_fifo and wrp_addr_len_4_siz_32; elsif(wrap_eight = '1') then -- and (spi_addr_wrap(4 downto 0) = "11100") and (store_date_in_drr_fifo = '1') then wrap_around <= not SR_5_Tx_Empty and store_date_in_drr_fifo and wrp_addr_len_8_siz_32; elsif(wrap_sixteen = '1') then --and (spi_addr_wrap(5 downto 0) = "111100") and (store_date_in_drr_fifo = '1') then wrap_around <= not SR_5_Tx_Empty and store_date_in_drr_fifo and wrp_addr_len_16_siz_32; else wrap_around <= '0'; end if; -- coverage off when others => wrap_around <= wrap_around; -- coverage on end case; end if; end if; end process WRAP_AROUND_GEN_P; ------------------------------------------------------------------------------- load_wrap_addr <= wrap_around; wrp_addr_len_16_siz_32 <= '1' when (spi_addr_wrap(5 downto 0) = "111100") else '0'; wrp_addr_len_8_siz_32 <= '1' when (spi_addr_wrap(4 downto 0) = "11100") else '0'; wrp_addr_len_4_siz_32 <= '1' when (spi_addr_wrap(3 downto 0) = "1100") else '0'; wrp_addr_len_2_siz_32 <= '1' when (spi_addr_wrap(2 downto 0) = "100") else '0'; ----------------------------------------------------------------------------------- wrp_addr_len_16_siz_16 <= '1' when (spi_addr_wrap(4 downto 0) = "11110") else '0'; wrp_addr_len_8_siz_16 <= '1' when (spi_addr_wrap(3 downto 0) = "1110") else '0'; wrp_addr_len_4_siz_16 <= '1' when (spi_addr_wrap(2 downto 0) = "110") else '0'; wrp_addr_len_2_siz_16 <= '1' when (spi_addr_wrap(1 downto 0) = "10") else '0'; ----------------------------------------------------------------------------------- -- LEN_CNTR_P: This is data length counter. this counter will start decrementing -- only when the first 4 bytes are transferred from SPI. LEN_CNTR_24_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin ----- LEN_CNTR_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then length_cntr <= (others => '0'); elsif(load_wr_hpm='1') then length_cntr <= "00000011"; elsif(load_cmd_to_spi_clk = '1')then length_cntr <= dtr_length_to_spi_clk; elsif((SPIXfer_done_int = '1') and (((size_length_cntr = "00") and (cmd_addr_sent = '1') )or (hpm_under_process_d1 = '1')) )then length_cntr <= length_cntr - "00000001"; end if; end if; end process LEN_CNTR_P; ----------------------- end generate LEN_CNTR_24_BIT_GEN; --------------------------------- LEN_CNTR_32_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin ----- LEN_CNTR_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then length_cntr <= (others => '0'); elsif(load_wr_hpm='1') then length_cntr <= "00000000"; elsif(load_cmd_to_spi_clk = '1')then length_cntr <= dtr_length_to_spi_clk; elsif((SPIXfer_done_int = '1') and (((size_length_cntr = "00") and (cmd_addr_sent = '1') )or (hpm_under_process_d1 = '1') or (wr_en_under_process_d1 = '1')) )then length_cntr <= length_cntr - "00000001"; end if; end if; end process LEN_CNTR_P; ----------------------- end generate LEN_CNTR_32_BIT_GEN; --------------------------------- ------------------------------------------------------------------------------- SR_5_TX_EMPTY_32_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin SR_5_Tx_Empty_int<= (not(or_reduce(length_cntr)) and store_date_in_drr_fifo and cmd_addr_sent) or (-- (hpm_under_process_d1 or wr_en_under_process_d1) and (hpm_under_process or wr_en_under_process) and not(or_reduce(length_cntr)) and SPIXfer_done_int_pulse); -- LEN_CNTR_P: This is data length counter. this counter will start decrementing -- only when the first 4 bytesfor 24 bit addressing and 5 bytes for 32 bit addressing mode are transferred from SPI. SR_5_TX_EMPTY_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then SR_5_Tx_Empty <= '1'; elsif(load_cmd_to_spi_clk = '1') or (load_wr_hpm = '1') or (load_wr_en = '1') then SR_5_Tx_Empty <= '0'; elsif(SR_5_Tx_Empty_int = '1')then SR_5_Tx_Empty <= '1'; end if; end if; end process SR_5_TX_EMPTY_P; end generate SR_5_TX_EMPTY_32_BIT_ADDR_GEN; ------------------------------------------------------------------------------- SR_5_TX_EMPTY_24_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin SR_5_Tx_Empty_int<= (not(or_reduce(length_cntr)) and store_date_in_drr_fifo and cmd_addr_sent) or (-- (hpm_under_process_d1 or wr_en_under_process_d1) and (hpm_under_process --or wr_en_under_process ) and not( or_reduce(length_cntr)) and SPIXfer_done_int_pulse ); -- LEN_CNTR_P: This is data length counter. this counter will start decrementing -- only when the first 4 bytesfor 24 bit addressing and 5 bytes for 32 bit addressing mode are transferred from SPI. SR_5_TX_EMPTY_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then SR_5_Tx_Empty <= '1'; elsif(load_cmd_to_spi_clk = '1') or (load_wr_hpm = '1') --or (load_wr_en = '1') then SR_5_Tx_Empty <= '0'; elsif(SR_5_Tx_Empty_int = '1')then SR_5_Tx_Empty <= '1'; end if; end if; end process SR_5_TX_EMPTY_P; end generate SR_5_TX_EMPTY_24_BIT_ADDR_GEN; ------------------------------------------- DELAY_FIFO_EMPTY_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then SR_5_Tx_Empty_d1 <= '1'; SR_5_Tx_Empty_d2 <= '1'; else SR_5_Tx_Empty_d1 <= SR_5_Tx_Empty; SR_5_Tx_Empty_d2 <= SR_5_Tx_Empty_d1; end if; end if; end process DELAY_FIFO_EMPTY_P; ------------------------------------------------------------------------------- last_bt_one_data <= not(or_reduce(length_cntr(7 downto 1))) and length_cntr(0); ------------------------------------------------------------------------------- SIZE_CNTR_LD_SPI_CLK_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then size_length_cntr_fixed <= (others => '0'); size_length_cntr <= (others => '0'); elsif( (pr_state_idle = '1') or ((SPIXfer_done_int = '1') and (size_length_cntr = "00")) )then --if(one_byte_xfer_to_spi_clk = '1' )then -- size_length_cntr_fixed <= "00"; -- size_length_cntr <= "00"; -- 1 byte --els if(two_byte_xfer_to_spi_clk = '1')then size_length_cntr_fixed <= "01"; size_length_cntr <= "01"; -- half word elsif(four_byte_xfer_to_spi_clk = '1') then size_length_cntr_fixed <= "10"; size_length_cntr <= "11"; -- word else size_length_cntr_fixed <= "00"; size_length_cntr <= "00"; -- other and one_byte_xfer_to_spi_clk = '1' is merged here end if; elsif(SPIXfer_done_int = '1') and (one_byte_xfer_to_spi_clk = '0')and (cmd_addr_sent = '1') then -- (size_length_cntr /= "00") then size_length_cntr <= size_length_cntr - "01"; end if; end if; end process SIZE_CNTR_LD_SPI_CLK_P; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- store_date_in_drr_fifo <= not(or_reduce(size_length_cntr)) and SPIXfer_done_int and cmd_addr_sent; ------------------------------------------------------------------------------- STORE_STROBE_SPI_CLK_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') then store_date_in_drr_fifo_d1 <= '0'; store_date_in_drr_fifo_d2 <= '0'; store_date_in_drr_fifo_d3 <= '0'; else store_date_in_drr_fifo_d1 <= store_date_in_drr_fifo; store_date_in_drr_fifo_d2 <= store_date_in_drr_fifo_d1; store_date_in_drr_fifo_d3 <= store_date_in_drr_fifo_d2; end if; end if; end process STORE_STROBE_SPI_CLK_P; ------------------------------------------------------------------------------- MD_12_WR_EN_TO_FIFO_GEN: if C_SPI_MODE = 1 or C_SPI_MODE = 2 generate begin ----- -------------------------------------------------------------------- WB_FIFO_WR_EN_GEN: if C_SPI_MEMORY = 1 generate begin ----- store_date_in_drr_fifo_en <= store_date_in_drr_fifo_d3; end generate WB_FIFO_WR_EN_GEN; -------------------------------------------------------------------- NM_FIFO_WR_EN_GEN: if C_SPI_MEMORY = 2 generate begin ----- STORE_DATA_24_BIT_ADDRESS_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin store_date_in_drr_fifo_en <= store_date_in_drr_fifo_d3; end generate STORE_DATA_24_BIT_ADDRESS_GEN; ------------------------------------------- STORE_DATA_32_BIT_ADDRESS_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin store_date_in_drr_fifo_en <= store_date_in_drr_fifo_d3; end generate STORE_DATA_32_BIT_ADDRESS_GEN; ------------------------------------------- end generate NM_FIFO_WR_EN_GEN; -------------------------------------------------------------------- end generate MD_12_WR_EN_TO_FIFO_GEN; MD_0_WR_EN_TO_FIFO_GEN: if C_SPI_MODE = 0 generate begin ----- WB_FIFO_WR_EN_GEN: if C_SPI_MEMORY = 1 generate begin ----- store_date_in_drr_fifo_en <= store_date_in_drr_fifo; end generate WB_FIFO_WR_EN_GEN; NM_FIFO_WR_EN_GEN: if C_SPI_MEMORY = 2 generate begin ----- store_date_in_drr_fifo_en <= store_date_in_drr_fifo; end generate NM_FIFO_WR_EN_GEN; end generate MD_0_WR_EN_TO_FIFO_GEN; ------------------------------------------------------------------------------- SHIFT_TX_REG_24_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin SHIFT_TX_REG_SPI_CLK_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1')then Tx_Data_d1 <= (others => '0'); elsif(load_wr_hpm = '1') then Tx_Data_d1(31 downto 24) <= WB_wr_hpm_CMD; Tx_Data_d1(23 downto 0) <= (others => '0'); elsif(load_axi_data_to_spi_clk = '1')then Tx_Data_d1 <= SPI_cmd & Transmit_Addr_to_spi_clk; -- & SPI_cmd;-- (31 downto 8); elsif(wrap_around = '1') then Tx_Data_d1 <= SPI_cmd & spi_addr_wrap;--spi_addr_i & SPI_cmd; elsif(SPIXfer_done_int = '1')then Tx_Data_d1 <= --"11111111" & -- Tx_Data_d1(7 downto 0) & -- --Tx_Data_d1(31 downto 8); -- Tx_Data_d1(31 downto 8); Tx_Data_d1(23 downto 0) & "11111111"; end if; end if; end process SHIFT_TX_REG_SPI_CLK_P; Transmit_Data <= Tx_Data_d1(31 downto 24); end generate SHIFT_TX_REG_24_BIT_GEN; ------------------------------------------------------- SHIFT_TX_REG_32_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin SHIFT_TX_REG_SPI_CLK_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1')then Tx_Data_d1 <= (others => '0'); --last_7_addr_bits <= (others => '0'); elsif(load_wr_en = '1') then Tx_Data_d1(31 downto 24) <= "00000110"; ---nm_wr_en_CMD; Tx_Data_d1(23 downto 0) <= (others => '0'); elsif(load_wr_hpm = '1')then Tx_Data_d1(31 downto 24) <= "10110111"; ---nm_4byte_addr_en_CMD; Tx_Data_d1(23 downto 0) <= (others => '0'); elsif(load_axi_data_to_spi_clk = '1')then Tx_Data_d1 <= SPI_cmd & Transmit_Addr_to_spi_clk(31 downto 8); -- & SPI_cmd;-- (31 downto 8); last_7_addr_bits <= Transmit_Addr_to_spi_clk(7 downto 0); -- internal_count <= (others => '0'); elsif(wrap_around = '1') then Tx_Data_d1 <= SPI_cmd & spi_addr_wrap(31 downto 8);--spi_addr_i & SPI_cmd; last_7_addr_bits <= spi_addr_wrap(7 downto 0); elsif(SPIXfer_done_int = '1') then -- and internal_count < "0101")then Tx_Data_d1 <= --"11111111" & -- Tx_Data_d1(7 downto 0) & -- --Tx_Data_d1(31 downto 8); -- Tx_Data_d1(31 downto 8); Tx_Data_d1(23 downto 0) & -- Transmit_Addr_to_spi_clk(7 downto 0); -- spi_addr_wrap(7 downto 0); last_7_addr_bits(7 downto 0); -- internal_count <= internal_count + "0001"; --elsif(SPIXfer_done_int = '1' and internal_count = "0101") then -- Tx_Data_d1 <= (others => '1'); end if; end if; end process SHIFT_TX_REG_SPI_CLK_P; Transmit_Data <= Tx_Data_d1(31 downto 24); -- STORE_INFO_P:process(EXT_SPI_CLK)is -- ----- -- begin -- ----- -- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then -- if(Rst_to_spi = '1')then -- data_loaded <= '0'; -- cmd_sent <= '0'; -- elsif(load_axi_data_to_spi_clk = '1' or wrap_around = '1) then -- data_loaded <= '1'; -- elsif(data_loaded = '1' and SPIXfer_done_int = '1') then -- cmd_sent <= '1'; -- end if; -- end if; -- end process STORE_INFO_P; end generate SHIFT_TX_REG_32_BIT_GEN; ------------------------------------------------------- -- Transmit_Data <= Tx_Data_d1(31 downto 24); ------------------------------------------------------- ------------------------------------------------------------------------------- STD_MODE_CONTROL_GEN: if C_SPI_MODE = 0 generate ----- begin ----- WB_MEM_STD_MD_GEN: if C_SPI_MODE = 0 and C_SPI_MEMORY = 1 generate ----------- signal cmd_addr_cntr : std_logic_vector(2 downto 0); signal hw_wd_cntr : std_logic_vector(1 downto 0); ----- begin ----- wb_hpm_done <= '1'; load_wr_en <= '0';-- 4/12/2013 applicable only for Numonyx memories ---- Std mode command = 0x0B - Fast Read SPI_cmd <= "00001011"; -- FAST_READ -- |<---- cmd error -- WB 000 000 0100 0<-cmd error -- NM 000 000 0100 0 Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '1'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; --------------------------- -- CMD_ADDR_CNTR_P: in each SPI transaction, the first 5 transactions are of -- CMD, A0, A1, A2 and dummy. Total 5 bytes need to be removed from the -- calculation of total no. of pure data bytes. -- the actual data from the SPI memory will be stored in the -- receive FIFO only when the first 5 bytes are transferred. -- below counter is for that purpose only. This is applicable only for Winbond memory. CMD_ADDR_CNTR_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') or (wrap_around = '1') then cmd_addr_cntr <= "000"; cmd_addr_sent <= '0'; elsif(pr_state_idle = '1')then cmd_addr_cntr <= "000"; cmd_addr_sent <= wrap_around; elsif(SPIXfer_done_int = '1')then if(cmd_addr_cntr = "101")then cmd_addr_sent <= '1'; else cmd_addr_cntr <= cmd_addr_cntr + "001"; cmd_addr_sent <= '0'; end if; end if; end if; end process CMD_ADDR_CNTR_P; ---------------------------- -- TWO_BIT_CNTR_P: This is specifically used for HW data storage TWO_BIT_CNTR_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') or (wrap_around = '1') then hw_wd_cntr <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1')then hw_wd_cntr <= hw_wd_cntr + "01"; end if; end if; end process TWO_BIT_CNTR_P; ---------------------------------------------- STORE_RX_DATA_SPI_CLK_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') then Data_To_Rx_FIFO_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then if(one_byte_xfer_to_spi_clk = '1') then case spi_addr_i(1 downto 0) is when "00" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 8) & receive_Data_int; when "01" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 16)& receive_Data_int & Data_To_Rx_FIFO_int(7 downto 0); when "10" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 24)& receive_Data_int & Data_To_Rx_FIFO_int(15 downto 0); when "11" => Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(23 downto 0); when others => null; end case; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '0') then -- adjustment for half word if(spi_addr_i(1) = '0') then Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16);-- & receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= receive_Data_int & Data_To_Rx_FIFO_int(15 downto 8);-- & receive_Data_int; else Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0);-- & receive_Data_int; Data_To_Rx_FIFO_int(31 downto 16)<= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 24);-- & receive_Data_int; end if; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '1') then -- adjustment for half word if(hw_wd_cntr = "00") then -- fill in D0 Data_To_Rx_FIFO_int(31 downto 8) <= Data_To_Rx_FIFO_int(31 downto 8); Data_To_Rx_FIFO_int(7 downto 0) <= receive_Data_int; elsif(hw_wd_cntr = "01")then -- fill in D1 Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16); Data_To_Rx_FIFO_int(15 downto 8) <= receive_Data_int; Data_To_Rx_FIFO_int(7 downto 0) <= Data_To_Rx_FIFO_int(7 downto 0); elsif(hw_wd_cntr = "10")then -- fill in D2 Data_To_Rx_FIFO_int(31 downto 24) <= Data_To_Rx_FIFO_int(31 downto 24); Data_To_Rx_FIFO_int(23 downto 16) <= receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0); else Data_To_Rx_FIFO_int(31 downto 24) <= receive_Data_int; Data_To_Rx_FIFO_int(23 downto 0) <= Data_To_Rx_FIFO_int(23 downto 0); end if; else -- adjustment for complete word --Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(23 downto 0) & receive_Data_int; Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 8); end if; end if; end if; end process STORE_RX_DATA_SPI_CLK_P; ---------------------------- Data_To_Rx_FIFO <= Data_To_Rx_FIFO_int; --------------------------------------- RECEIVE_DATA_STROBE_PROCESS: process(EXT_SPI_CLK) ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(load_axi_data_to_spi_clk = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then receive_Data_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d1 = '1') and (cmd_addr_sent = '1')then receive_Data_int <= rx_shft_reg_mode_0011; end if; end if; end process RECEIVE_DATA_STROBE_PROCESS; ----------------------------------------- end generate WB_MEM_STD_MD_GEN; ------------------------ -------------------------------------------------------------------------- NM_MEM_STD_MD_GEN: if C_SPI_MODE = 0 and C_SPI_MEMORY = 2 generate signal cmd_addr_cntr : std_logic_vector(2 downto 0); signal hw_wd_cntr : std_logic_vector(1 downto 0); ----- begin ----- ---- Std mode command = 0x0B - Fast Read STD_SPI_CMD_NM_24_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin SPI_cmd <= "00001011";-- FAST_READ - 0x0Bh -- |<---- cmd error -- NM 000 000 0100 0 four_byte_en_done <= '1'; wb_hpm_done <= '1'; DRIVE_CONTROL_SIG_P: process(EXT_SPI_CLK) is -- wb_hpm_done, wr_en_done_reg) is variable temp: std_logic_vector(1 downto 0); begin temp := wb_hpm_done & wr_en_done_reg; if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then --case wb_hpm_done is -- -- when "00"|"01" => -- write enable is under process -- when '0' => -- write enable and/or Enable 4 byte addressing is under process -- Data_Dir <= '0'; -- Data_Mode_1 <= '0'; -- Data_Mode_0 <= '0'; -- Data_Phase <= '0'; -- -------------------- -- Quad_Phase <= '0';-- permanent '0' -- -------------------- -- Addr_Mode_1 <= '0'; -- Addr_Mode_0 <= '0'; -- Addr_Bit <= '0'; -- Addr_Phase <= '0'; -- -------------------- -- CMD_Mode_1 <= '0'; -- CMD_Mode_0 <= '0'; -- -- when "01" => -- Enable 4 byte addressing is under process -- -- Data_Dir <= '0'; -- -- Data_Mode_1 <= '0'; -- -- Data_Mode_0 <= '0'; -- -- Data_Phase <= '0'; -- -- -------------------- -- -- Quad_Phase <= '0';-- permanent '0' -- -- -------------------- -- -- Addr_Mode_1 <= '0'; -- -- Addr_Mode_0 <= '0'; -- -- Addr_Bit <= '0'; -- -- Addr_Phase <= '0'; -- -- -------------------- -- -- CMD_Mode_1 <= '0'; -- -- CMD_Mode_0 <= '0'; -- -- when "10" => -- write enable is done and enable 4 byte addressing is also done -- when '1' => -- write enable and enable 4 byte addressing is also done Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '1'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- -- coverage off -- when others => -- Data_Dir <= '0'; -- Data_Mode_1 <= '0'; -- Data_Mode_0 <= '0'; -- Data_Phase <= '0'; -- -------------------- -- Quad_Phase <= '0';-- permanent '0' -- -------------------- -- Addr_Mode_1 <= '0'; -- Addr_Mode_0 <= '0'; -- Addr_Bit <= '0'; -- Addr_Phase <= '0'; -- -------------------- -- CMD_Mode_1 <= '0'; -- CMD_Mode_0 <= '0'; -- -- coverage on --end case; end if; end process DRIVE_CONTROL_SIG_P; --------------------------------------------------------------------- end generate STD_SPI_CMD_NM_24_BIT_GEN; STD_SPI_CMD_NM_32_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin SPI_cmd <= "00001100";-- FAST_READ_4Byte - 0x0Ch -- |<---- cmd error -- NM 000 000 0100 0 --end generate STD_SPI_CMD_NM_32_BIT_GEN; --NM_EN_32_ADDR_MD_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate --begin ----- nm_wr_en_CMD <= "00000110"; -- 0x06 h Write Enable nm_4byte_addr_en_CMD <= "10110111"; -- 0xB7 h Enable 4 Byte Addressing Mode ---------------------------------------------------- NM_PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then nm_wr_en_cntrl_ps <= NM_WR_EN_IDLE; wr_en_under_process_d1 <= '0'; wr_en_done_reg <= '0'; else nm_wr_en_cntrl_ps <= nm_wr_en_cntrl_ns; wr_en_under_process_d1 <= wr_en_under_process; wr_en_done_reg <= wr_en_done; end if; end if; end process NM_PS_TO_NS_PROCESS; ---------------------------------- -- NM_WR_EN_CNTRL_PROCESS: process( nm_wr_en_cntrl_ps , --SPIXfer_done_int_pulse, --SPIXfer_done_int , Rst_to_spi , SR_5_Tx_Empty , wr_en_done_reg ) is ----- begin ----- --load_wr_en_cmd <= '0'; --load_wr_sr_cmd <= '0'; --load_wr_sr_d0 <= '0'; --load_wr_sr_d1 <= '0'; load_wr_en <= '0'; wr_en_done <= '0'; wr_en_under_process <= '0'; case nm_wr_en_cntrl_ps is when NM_WR_EN_IDLE => --load_wr_en_cmd <= '1'; load_wr_en <= '1'; wr_en_under_process <= '1'; nm_wr_en_cntrl_ns <= NM_WR_EN; when NM_WR_EN => if (SR_5_Tx_Empty = '1')then --wr_en_done <= '1'; nm_wr_en_cntrl_ns <= NM_WR_EN_DONE; else --wr_en_under_process <= '1'; nm_wr_en_cntrl_ns <= NM_WR_EN; end if; wr_en_done <= SR_5_Tx_Empty; wr_en_under_process <= not SR_5_Tx_Empty; when NM_WR_EN_DONE => if (Rst_to_spi = '1') then nm_wr_en_cntrl_ns <= NM_WR_EN_IDLE; else nm_wr_en_cntrl_ns <= NM_WR_EN_DONE; end if; wr_en_done <= wr_en_done_reg; end case; end process NM_WR_EN_CNTRL_PROCESS; ---------------------------------------------------- NM_4_BYTE_PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then nm_sm_4_byte_addr_ps <= NM_32_BIT_IDLE; --four_byte_addr_under_process_d1 <= '0'; hpm_under_process_d1 <= '0'; wr_en_done_d1 <= '0'; wr_en_done_d2 <= '0'; wb_hpm_done_reg <= '0'; else nm_sm_4_byte_addr_ps <= nm_sm_4_byte_addr_ns; hpm_under_process_d1 <= hpm_under_process; --four_byte_en_done_reg <= four_byte_en_done; wr_en_done_d1 <= wr_en_done_reg; -- wr_en_done; wr_en_done_d2 <= wr_en_done_d1; wb_hpm_done_reg <= wb_hpm_done; end if; end if; end process NM_4_BYTE_PS_TO_NS_PROCESS; ---------------------------------- -- NM_4_BYTE_ADDR_EN_PROCESS: process( nm_sm_4_byte_addr_ps , Rst_to_spi , SR_5_Tx_Empty , wr_en_done_d2 , wb_hpm_done_reg ) is ----- begin ----- -- load_4_byte_addr_en <= '0'; load_wr_hpm <= '0'; wb_hpm_done <= '0'; hpm_under_process <= '0'; four_byte_en_done <= '0'; four_byte_en_under_process <= '0'; case nm_sm_4_byte_addr_ps is when NM_32_BIT_IDLE => if (wr_en_done_d2 = '1') then --load_wr_hpm <= '1'; --hpm_under_process <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN; else nm_sm_4_byte_addr_ns <= NM_32_BIT_IDLE; end if; load_wr_hpm <= wr_en_done_d2; hpm_under_process <= wr_en_done_d2; when NM_32_BIT_EN => if (SR_5_Tx_Empty = '1') then -- wb_hpm_done <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN_DONE; else -- hpm_under_process <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN; end if; wb_hpm_done <= SR_5_Tx_Empty; hpm_under_process <= not(SR_5_Tx_Empty); when NM_32_BIT_EN_DONE => if(Rst_to_spi = '1')then nm_sm_4_byte_addr_ns <= NM_32_BIT_IDLE; else -- if (SR_5_Tx_Empty = '1')then -- --four_byte_en_done <= '1'; -- wb_hpm_done <= '1'; -- else -- -- four_byte_en_under_process <= '1'; -- hpm_under_process <= '1'; -- end if; -- four_byte_en_done <= four_byte_en_done_reg; -- wb_hpm_done <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN_DONE; end if; wb_hpm_done <= wb_hpm_done_reg; end case; end process NM_4_BYTE_ADDR_EN_PROCESS; -------------------------------------- DRIVE_CONTROL_SIG_P: process(EXT_SPI_CLK) is -- wb_hpm_done, wr_en_done_reg) is variable temp: std_logic_vector(1 downto 0); begin temp := wb_hpm_done & wr_en_done_reg; if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then case wb_hpm_done is -- when "00"|"01" => -- write enable is under process when '0' => -- write enable and/or Enable 4 byte addressing is under process Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '0'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- when "01" => -- Enable 4 byte addressing is under process -- Data_Dir <= '0'; -- Data_Mode_1 <= '0'; -- Data_Mode_0 <= '0'; -- Data_Phase <= '0'; -- -------------------- -- Quad_Phase <= '0';-- permanent '0' -- -------------------- -- Addr_Mode_1 <= '0'; -- Addr_Mode_0 <= '0'; -- Addr_Bit <= '0'; -- Addr_Phase <= '0'; -- -------------------- -- CMD_Mode_1 <= '0'; -- CMD_Mode_0 <= '0'; -- when "10" => -- write enable is done and enable 4 byte addressing is also done when '1' => -- write enable and enable 4 byte addressing is also done Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '1'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '1'; Addr_Phase <= '1'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- coverage off when others => Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '0'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- coverage on end case; end if; end process DRIVE_CONTROL_SIG_P; --------------------------------------------------------------------- --end generate NM_EN_32_ADDR_MD_GEN; end generate STD_SPI_CMD_NM_32_BIT_GEN; --------------------------------------- -- wb_hpm_done <= four_byte_en_done; --Data_Dir <= '0'; --Data_Mode_1 <= '0'; --Data_Mode_0 <= '0'; --Data_Phase <= '0'; ---------------------- --Quad_Phase <= '0';-- permanent '0' ---------------------- --Addr_Mode_1 <= '0'; --Addr_Mode_0 <= '0'; --Addr_Bit <= '0'; --Addr_Phase <= '1'; ---------------------- --CMD_Mode_1 <= '0'; --CMD_Mode_0 <= '0'; --------------------------- ----- RECEIVE_DATA_STROBE_PROCESS: process(EXT_SPI_CLK) ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(load_axi_data_to_spi_clk = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then receive_Data_int <= (others => '0'); -- elsif(SPIXfer_done_int = '1') and (cmd_addr_cntr = "110")then elsif(SPIXfer_done_int_pulse_d1 = '1') and (cmd_addr_sent = '1') then receive_Data_int <= rx_shft_reg_mode_0011; end if; end if; end process RECEIVE_DATA_STROBE_PROCESS; CMD_ADDR_24_BIT_CNTR_GEN : if C_SPI_MEM_ADDR_BITS = 24 generate begin -- CMD_ADDR_CNTR_P: in each SPI transaction, the first 5 transactions are of -- CMD, A0, A1, A2 and dummy. Total 5 bytes need to be removed from the -- calculation of total no. of pure data bytes. -- the actual data from the SPI memory will be stored in the -- receive FIFO only when the first 5 bytes are transferred. -- below counter is for that purpose only. Tihs is for 24 bit addressing mode only. CMD_ADDR_CNTR_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') or (wrap_around = '1') then cmd_addr_cntr <= "000"; cmd_addr_sent <= '0'; elsif(pr_state_idle = '1') then -- and store_date_in_drr_fifo_d3 = '1')then cmd_addr_cntr <= "000"; cmd_addr_sent <= wrap_around; elsif(SPIXfer_done_int = '1')then if(cmd_addr_cntr = "101")then cmd_addr_sent <= '1'; else cmd_addr_cntr <= cmd_addr_cntr + "001"; cmd_addr_sent <= '0'; end if; end if; end if; end process CMD_ADDR_CNTR_P; ---------------------------- end generate CMD_ADDR_24_BIT_CNTR_GEN; -------------------------------------- CMD_ADDR_32_BIT_CNTR_GEN : if C_SPI_MEM_ADDR_BITS = 32 generate begin -- * -- ----- -- * -- RECEIVE_DATA_STROBE_PROCESS: process(EXT_SPI_CLK) -- * -- ----- -- * -- begin -- * -- ----- -- * -- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then -- * -- if(load_axi_data_to_spi_clk = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then -- * -- receive_Data_int <= (others => '0'); -- * -- elsif(SPIXfer_done_int_pulse_d1 = '1') and (cmd_addr_sent = '1') then -- and (cmd_addr_cntr = "111")then -- * -- receive_Data_int <= rx_shft_reg_mode_0011; -- * -- end if; -- * -- end if; -- * -- end process RECEIVE_DATA_STROBE_PROCESS; -- CMD_ADDR_CNTR_P: in each SPI transaction, the first 6 transactions are of -- CMD, A0, A1, A2, A3 and dummy. Total 6 bytes need to be removed from the -- calculation of total no. of pure data bytes. -- the actual data from the SPI memory will be stored in the -- receive FIFO only when the first 6 bytes are transferred. -- below counter is for that purpose only. This is for 32 bit addressing mode only. CMD_ADDR_CNTR_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') or (wrap_around = '1') then cmd_addr_cntr <= "000"; cmd_addr_sent <= '0'; elsif(pr_state_idle = '1' and store_date_in_drr_fifo_d3 = '1')then cmd_addr_cntr <= "000"; cmd_addr_sent <= wrap_around; elsif(SPIXfer_done_int = '1' and wb_hpm_done = '1')then if(cmd_addr_cntr = "110")then cmd_addr_sent <= '1'; else cmd_addr_cntr <= cmd_addr_cntr + "001"; cmd_addr_sent <= '0'; end if; end if; end if; end process CMD_ADDR_CNTR_P; ---------------------------- end generate CMD_ADDR_32_BIT_CNTR_GEN; -------------------------------------- -- TWO_BIT_CNTR_P: This is specifically used for HW data storage TWO_BIT_CNTR_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') or (wrap_around = '1') then hw_wd_cntr <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1')then hw_wd_cntr <= hw_wd_cntr + "01"; end if; end if; end process TWO_BIT_CNTR_P; ---------------------------------------------- STORE_RX_DATA_SPI_CLK_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') then Data_To_Rx_FIFO_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then if(one_byte_xfer_to_spi_clk = '1') then case spi_addr_i(1 downto 0) is when "00" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 8) & receive_Data_int; when "01" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 16)& receive_Data_int & Data_To_Rx_FIFO_int(7 downto 0); when "10" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 24)& receive_Data_int & Data_To_Rx_FIFO_int(15 downto 0); when "11" => Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(23 downto 0); when others => null; end case; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '0') then -- adjustment for half word if(spi_addr_i(1) = '0') then Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16);-- & receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= receive_Data_int & Data_To_Rx_FIFO_int(15 downto 8);-- & receive_Data_int; else Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0);-- & receive_Data_int; Data_To_Rx_FIFO_int(31 downto 16)<= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 24);-- & receive_Data_int; end if; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '1') then -- adjustment for half word if(hw_wd_cntr = "00") then -- fill in D0 Data_To_Rx_FIFO_int(31 downto 8) <= Data_To_Rx_FIFO_int(31 downto 8); Data_To_Rx_FIFO_int(7 downto 0) <= receive_Data_int; elsif(hw_wd_cntr = "01")then -- fill in D1 Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16); Data_To_Rx_FIFO_int(15 downto 8) <= receive_Data_int; Data_To_Rx_FIFO_int(7 downto 0) <= Data_To_Rx_FIFO_int(7 downto 0); elsif(hw_wd_cntr = "10")then -- fill in D2 Data_To_Rx_FIFO_int(31 downto 24) <= Data_To_Rx_FIFO_int(31 downto 24); Data_To_Rx_FIFO_int(23 downto 16) <= receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0); else Data_To_Rx_FIFO_int(31 downto 24) <= receive_Data_int; Data_To_Rx_FIFO_int(23 downto 0) <= Data_To_Rx_FIFO_int(23 downto 0); end if; else -- adjustment for complete word --Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(23 downto 0) & receive_Data_int; Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 8); end if; end if; end if; end process STORE_RX_DATA_SPI_CLK_P; ---------------------------- Data_To_Rx_FIFO <= Data_To_Rx_FIFO_int; --------------------------------------- end generate NM_MEM_STD_MD_GEN; ------------------------ end generate STD_MODE_CONTROL_GEN; ------------------------------------------------------------------------------- DUAL_MODE_CONTROL_GEN: if C_SPI_MODE = 1 generate signal cmd_addr_cntr : std_logic_vector(2 downto 0);----- signal hw_wd_cntr : std_logic_vector(1 downto 0); begin ----- WB_MEM_DUAL_MD_GEN: if C_SPI_MEMORY = 1 generate ----- begin ----- wb_wr_hpm_CMD <= "10100011"; -- 0xA3 h HPM mode -- ---------------------------------------------------- WB_PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then wb_cntrl_ps <= WB_IDLE; hpm_under_process_d1 <= '0'; else wb_cntrl_ps <= wb_cntrl_ns; hpm_under_process_d1 <= hpm_under_process; end if; end if; end process WB_PS_TO_NS_PROCESS; ---------------------------------- -- WB_DUAL_CNTRL_PROCESS: process( wb_cntrl_ps , SPIXfer_done_int_pulse, SPIXfer_done_int , Rst_to_spi , SR_5_Tx_Empty ) is ----- begin ----- load_wr_en_cmd <= '0'; load_wr_sr_cmd <= '0'; load_wr_sr_d0 <= '0'; load_wr_sr_d1 <= '0'; load_wr_hpm <= '0'; wb_hpm_done <= '0'; hpm_under_process <= '0'; case wb_cntrl_ps is when WB_IDLE => --load_wr_en_cmd <= '1'; load_wr_hpm <= '1'; hpm_under_process <= '1'; wb_cntrl_ns <= WB_WR_HPM; when WB_WR_HPM => if (SR_5_Tx_Empty = '1')then wb_hpm_done <= '1'; wb_cntrl_ns <= WB_DONE; else hpm_under_process <= '1'; wb_cntrl_ns <= WB_WR_HPM; end if; when WB_DONE => if (Rst_to_spi = '1') then wb_cntrl_ns <= WB_IDLE; else wb_hpm_done <= '1'; wb_cntrl_ns <= WB_DONE; end if; end case; end process WB_DUAL_CNTRL_PROCESS; ---- Dual mode command = 0x3B - DOFR --SPI_cmd <= "00111011"; SPI_cmd <= "10111011"; -- 0xBB - DIOFR -- WB 0011 000 100 0 -- NM 0011 000 100 0<-cmd error -- NM 0011 010 100 0<-cmd error -- For 0xbbh DIOFR Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '1'; Data_Phase <= '1'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '1'; -- <- '0' for DOFR, '1' for DIOFR Addr_Bit <= '0'; Addr_Phase <= '1'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; --------------------------------------------------------------------- --RECEIVE_DATA_WB_GEN: if C_SPI_MEMORY = 1 and C_SPI_MODE /=0 generate --begin ----- RECEIVE_DATA_STROBE_PROCESS: process(EXT_SPI_CLK) ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(load_axi_data_to_spi_clk = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then receive_Data_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d1 = '1') and (cmd_addr_sent = '1')then receive_Data_int <= rx_shft_reg_mode_0011; end if; end if; end process RECEIVE_DATA_STROBE_PROCESS; --end generate RECEIVE_DATA_WB_GEN; --------------------------------------------------------------------- -- CMD_ADDR_CNTR_P: in each SPI transaction, the firs 4 transactions are of -- CMD, A0, A1, A2. Total 4 bytes need to be removed from the -- calculation of total no. of pure data bytes. -- the actual data from the SPI memory will be stored in the -- receive FIFO only when the first 4 bytes are transferred. -- below counter is for that purpose only. CMD_ADDR_CNTR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') or (store_last_b4_wrap = '1') then cmd_addr_cntr <= "000";--(others => '1'); cmd_addr_sent <= '0'; elsif(pr_state_idle = '1')then cmd_addr_cntr <= "000"; cmd_addr_sent <= store_last_b4_wrap; elsif(SPIXfer_done_int_pulse_d2 = '1')then if(cmd_addr_cntr = "100")then cmd_addr_sent <= '1'; else cmd_addr_cntr <= cmd_addr_cntr + "001"; cmd_addr_sent <= '0'; end if; end if; end if; end process CMD_ADDR_CNTR_P; ---------------------------- TWO_BIT_CNTR_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') or (store_last_b4_wrap = '1') then hw_wd_cntr <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1')then hw_wd_cntr <= hw_wd_cntr + "01"; end if; end if; end process TWO_BIT_CNTR_P; ---------------------------------------------- STORE_RX_DATA_SPI_CLK_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') then Data_To_Rx_FIFO_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then if(one_byte_xfer_to_spi_clk = '1') then case spi_addr_i(1 downto 0) is when "00" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 8) & receive_Data_int; when "01" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 16)& receive_Data_int & Data_To_Rx_FIFO_int(7 downto 0); when "10" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 24)& receive_Data_int & Data_To_Rx_FIFO_int(15 downto 0); when "11" => Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(23 downto 0); when others => null; end case; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '0') then -- adjustment for half word if(spi_addr_i(1) = '0') then Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16);-- & receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= receive_Data_int & Data_To_Rx_FIFO_int(15 downto 8);-- & receive_Data_int; else Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0);-- & receive_Data_int; Data_To_Rx_FIFO_int(31 downto 16)<= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 24);-- & receive_Data_int; end if; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '1') then -- adjustment for half word if(hw_wd_cntr = "00") then -- fill in D0 Data_To_Rx_FIFO_int(31 downto 8) <= Data_To_Rx_FIFO_int(31 downto 8); Data_To_Rx_FIFO_int(7 downto 0) <= receive_Data_int; elsif(hw_wd_cntr = "01")then -- fill in D1 Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16); Data_To_Rx_FIFO_int(15 downto 8) <= receive_Data_int; Data_To_Rx_FIFO_int(7 downto 0) <= Data_To_Rx_FIFO_int(7 downto 0); elsif(hw_wd_cntr = "10")then -- fill in D2 Data_To_Rx_FIFO_int(31 downto 24) <= Data_To_Rx_FIFO_int(31 downto 24); Data_To_Rx_FIFO_int(23 downto 16) <= receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0); else Data_To_Rx_FIFO_int(31 downto 24) <= receive_Data_int; Data_To_Rx_FIFO_int(23 downto 0) <= Data_To_Rx_FIFO_int(23 downto 0); end if; else -- adjustment for complete word --Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(23 downto 0) & receive_Data_int; Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 8); end if; end if; end if; end process STORE_RX_DATA_SPI_CLK_P; ---------------------------- Data_To_Rx_FIFO <= Data_To_Rx_FIFO_int; --------------------------------------- end generate WB_MEM_DUAL_MD_GEN; ---------------=============------------------------------------------- NM_MEM_DUAL_MD_GEN: if C_SPI_MEMORY = 2 generate ----- begin ----- --wb_hpm_done <= '1'; ---- Dual mode command = 0x3B - DOFR --SPI_cmd <= "00111011"; -------------------------------------------------------- DUAL_SPI_CMD_NM_24_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate ----- begin ----- --------------------------- SPI_cmd <= "10111011"; -- 0xBB - DIOFR wb_hpm_done <= '1'; --------------------------- Data_Dir <= '0';-- for BB Data_Mode_1 <= '0'; Data_Mode_0 <= '1'; Data_Phase <= '1'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '1'; Addr_Bit <= '0'; Addr_Phase <= '1'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; --------------------------- end generate DUAL_SPI_CMD_NM_24_GEN; ------------------------------------ DUAL_SPI_CMD_NM_32_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate ----- begin ----- SPI_cmd <= "10111100"; -- 0xBCh - DIOFR_4Byte end generate DUAL_SPI_CMD_NM_32_GEN; ------------------------------------ NM_EN_32_ADDR_MD_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin ----- nm_wr_en_CMD <= "00000110"; -- 0x06 h Write Enable nm_4byte_addr_en_CMD <= "10110111"; -- 0xB7 h Enable 4 Byte Addressing Mode ---------------------------------------------------- NM_PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then nm_wr_en_cntrl_ps <= NM_WR_EN_IDLE; wr_en_under_process_d1 <= '0'; wr_en_done_reg <= '0'; else nm_wr_en_cntrl_ps <= nm_wr_en_cntrl_ns; wr_en_under_process_d1 <= wr_en_under_process; wr_en_done_reg <= wr_en_done; end if; end if; end process NM_PS_TO_NS_PROCESS; ---------------------------------- -- NM_WR_EN_CNTRL_PROCESS: process( nm_wr_en_cntrl_ps , --SPIXfer_done_int_pulse, --SPIXfer_done_int , Rst_to_spi , SR_5_Tx_Empty , wr_en_done_reg ) is ----- begin ----- --load_wr_en_cmd <= '0'; --load_wr_sr_cmd <= '0'; --load_wr_sr_d0 <= '0'; --load_wr_sr_d1 <= '0'; load_wr_en <= '0'; wr_en_done <= '0'; wr_en_under_process <= '0'; case nm_wr_en_cntrl_ps is when NM_WR_EN_IDLE => --load_wr_en_cmd <= '1'; load_wr_en <= '1'; wr_en_under_process <= '1'; nm_wr_en_cntrl_ns <= NM_WR_EN; when NM_WR_EN => if (SR_5_Tx_Empty = '1')then --wr_en_done <= '1'; nm_wr_en_cntrl_ns <= NM_WR_EN_DONE; else --wr_en_under_process <= '1'; nm_wr_en_cntrl_ns <= NM_WR_EN; end if; wr_en_done <= SR_5_Tx_Empty; wr_en_under_process <= not SR_5_Tx_Empty; when NM_WR_EN_DONE => if (Rst_to_spi = '1') then nm_wr_en_cntrl_ns <= NM_WR_EN_IDLE; else nm_wr_en_cntrl_ns <= NM_WR_EN_DONE; end if; wr_en_done <= wr_en_done_reg; end case; end process NM_WR_EN_CNTRL_PROCESS; ---------------------------------------------------- NM_4_BYTE_PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then nm_sm_4_byte_addr_ps <= NM_32_BIT_IDLE; --four_byte_addr_under_process_d1 <= '0'; hpm_under_process_d1 <= '0'; wr_en_done_d1 <= '0'; wr_en_done_d2 <= '0'; wb_hpm_done_reg <= '0'; else nm_sm_4_byte_addr_ps <= nm_sm_4_byte_addr_ns; hpm_under_process_d1 <= hpm_under_process; --four_byte_en_done_reg <= four_byte_en_done; wr_en_done_d1 <= wr_en_done_reg; -- wr_en_done; wr_en_done_d2 <= wr_en_done_d1; wb_hpm_done_reg <= wb_hpm_done; end if; end if; end process NM_4_BYTE_PS_TO_NS_PROCESS; ---------------------------------- -- NM_4_BYTE_ADDR_EN_PROCESS: process( nm_sm_4_byte_addr_ps , Rst_to_spi , SR_5_Tx_Empty , wr_en_done_d2 , wb_hpm_done_reg ) is ----- begin ----- -- load_4_byte_addr_en <= '0'; load_wr_hpm <= '0'; wb_hpm_done <= '0'; hpm_under_process <= '0'; four_byte_en_done <= '0'; four_byte_en_under_process <= '0'; case nm_sm_4_byte_addr_ps is when NM_32_BIT_IDLE => if (wr_en_done_d2 = '1') then --load_wr_hpm <= '1'; --hpm_under_process <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN; else nm_sm_4_byte_addr_ns <= NM_32_BIT_IDLE; end if; load_wr_hpm <= wr_en_done_d2; hpm_under_process <= wr_en_done_d2; when NM_32_BIT_EN => if (SR_5_Tx_Empty = '1') then -- wb_hpm_done <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN_DONE; else -- hpm_under_process <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN; end if; wb_hpm_done <= SR_5_Tx_Empty; hpm_under_process <= not(SR_5_Tx_Empty); when NM_32_BIT_EN_DONE => if(Rst_to_spi = '1')then nm_sm_4_byte_addr_ns <= NM_32_BIT_IDLE; else -- if (SR_5_Tx_Empty = '1')then -- --four_byte_en_done <= '1'; -- wb_hpm_done <= '1'; -- else -- -- four_byte_en_under_process <= '1'; -- hpm_under_process <= '1'; -- end if; -- four_byte_en_done <= four_byte_en_done_reg; -- wb_hpm_done <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN_DONE; end if; wb_hpm_done <= wb_hpm_done_reg; end case; end process NM_4_BYTE_ADDR_EN_PROCESS; -------------------------------------- DRIVE_CONTROL_SIG_P: process(EXT_SPI_CLK) is -- wb_hpm_done, wr_en_done_reg) is variable temp: std_logic_vector(1 downto 0); begin temp := wb_hpm_done & wr_en_done_reg; if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then case wb_hpm_done is -- when "00"|"01" => -- write enable is under process when '0' => -- write enable and/or Enable 4 byte addressing is under process Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '0'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- when "01" => -- Enable 4 byte addressing is under process -- Data_Dir <= '0'; -- Data_Mode_1 <= '0'; -- Data_Mode_0 <= '0'; -- Data_Phase <= '0'; -- -------------------- -- Quad_Phase <= '0';-- permanent '0' -- -------------------- -- Addr_Mode_1 <= '0'; -- Addr_Mode_0 <= '0'; -- Addr_Bit <= '0'; -- Addr_Phase <= '0'; -- -------------------- -- CMD_Mode_1 <= '0'; -- CMD_Mode_0 <= '0'; -- when "10" => -- write enable is done and enable 4 byte addressing is also done when '1' => -- write enable and enable 4 byte addressing is also done Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '1'; Data_Phase <= '1'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '1'; Addr_Bit <= '1'; Addr_Phase <= '1'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- coverage off when others => Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '0'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- coverage on end case; end if; end process DRIVE_CONTROL_SIG_P; end generate NM_EN_32_ADDR_MD_GEN; -------------------------------------- -- -- WB 0011 000 100 0 -- -- NM 0011 000 100 0<-cmd error -- -- NM 0011 010 100 0<-cmd error -- For 0xbbh DIOFR -- 0011 011 100 0 -- Data_Dir <= '0';<-- for BB -- '0';<-- for BC -- Data_Mode_1 <= '0'; -- '0'; -- Data_Mode_0 <= '1'; -- '1'; -- Data_Phase <= '1'; -- '1'; -- -------------------- -- -- Quad_Phase <= '0';-- permanent '0' -- '0'; -- -------------------- -- -- Addr_Mode_1 <= '0'; -- '0'; -- Addr_Mode_0 <= '1'; -- '1'; -- Addr_Bit <= '0'; -- '1'; -- Addr_Phase <= '1'; -- '1'; -- -------------------- -- -- CMD_Mode_1 <= '0'; -- '0' -- CMD_Mode_0 <= '0'; -- '0'; --------------------------------------------------------------------- -- RECEIVE_DATA_STROBE_PROCESS : Strobe data from shift register to receive -- data register -------------------------------- -- For a SCK ratio of 2 the Done needs to be delayed by an extra cycle -- due to the serial input being captured on the falling edge of the PLB -- clock. this is purely required for dealing with the real SPI slave memories. --RECEIVE_DATA_NM_GEN: if C_SPI_MEMORY = 2 and C_SPI_MODE /=0 generate --begin ----- RECEIVE_DATA_STROBE_PROCESS: process(EXT_SPI_CLK) ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(load_axi_data_to_spi_clk = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then receive_Data_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d1 = '1') then -- and (cmd_addr_sent = '1')then receive_Data_int <= rx_shft_reg_mode_0011; end if; end if; end process RECEIVE_DATA_STROBE_PROCESS; --end generate RECEIVE_DATA_NM_GEN; ----------------------------------------------------------------------------- CMD_ADDR_NM_24_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin -- CMD_ADDR_CNTR_P: in each SPI transaction, the firs 4 transactions are of -- CMD, A0, A1, A2. Total 4 bytes need to be removed from the -- calculation of total no. of pure data bytes. -- the actual data from the SPI memory will be stored in the -- receive FIFO only when the first 4 bytes are transferred. -- below counter is for that purpose only. CMD_ADDR_CNTR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') or (store_last_b4_wrap = '1') then cmd_addr_cntr <= "000";--(others => '1'); cmd_addr_sent <= '0'; elsif(pr_state_idle = '1')then cmd_addr_cntr <= "000"; cmd_addr_sent <= store_last_b4_wrap; elsif(SPIXfer_done_int_pulse_d2 = '1')then if(cmd_addr_cntr = "101")then cmd_addr_sent <= '1'; else cmd_addr_cntr <= cmd_addr_cntr + "001"; cmd_addr_sent <= '0'; end if; end if; end if; end process CMD_ADDR_CNTR_P; ---------------------------- end generate CMD_ADDR_NM_24_BIT_GEN; ------------------------------------ CMD_ADDR_NM_32_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin -- CMD_ADDR_CNTR_P: in each SPI transaction, the firs 5 transactions are of -- CMD, A0, A1, A2, A3. Total 5 bytes need to be removed from the -- calculation of total no. of pure data bytes. -- the actual data from the SPI memory will be stored in the -- receive FIFO only when the first 5 bytes are transferred. -- below counter is for that purpose only. This is 4 byte addessing mode of NM memory. CMD_ADDR_CNTR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') or (store_last_b4_wrap = '1') then cmd_addr_cntr <= "000";--(others => '1'); cmd_addr_sent <= '0'; elsif(pr_state_idle = '1')then cmd_addr_cntr <= "000"; cmd_addr_sent <= store_last_b4_wrap; elsif(SPIXfer_done_int_pulse_d2 = '1')then if(cmd_addr_cntr = "111")then cmd_addr_sent <= '1'; else cmd_addr_cntr <= cmd_addr_cntr + "001"; cmd_addr_sent <= '0'; end if; end if; end if; end process CMD_ADDR_CNTR_P; ---------------------------- end generate CMD_ADDR_NM_32_BIT_GEN; ------------------------------------ TWO_BIT_CNTR_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') or (store_last_b4_wrap = '1') then hw_wd_cntr <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1')then hw_wd_cntr <= hw_wd_cntr + "01"; end if; end if; end process TWO_BIT_CNTR_P; ---------------------------------------------- STORE_RX_DATA_32_BIT_ADDR: if C_SPI_MEM_ADDR_BITS = 32 generate begin ----- STORE_RX_DATA_SPI_CLK_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') then Data_To_Rx_FIFO_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d3 = '1') and (cmd_addr_sent = '1') then if(one_byte_xfer_to_spi_clk = '1') then case spi_addr_i(1 downto 0) is when "00" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 8) & receive_Data_int; when "01" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 16)& receive_Data_int & Data_To_Rx_FIFO_int(7 downto 0); when "10" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 24)& receive_Data_int & Data_To_Rx_FIFO_int(15 downto 0); when "11" => Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(23 downto 0); when others => null; end case; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '0') then -- adjustment for half word if(spi_addr_i(1) = '0') then Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16);-- & receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= receive_Data_int & Data_To_Rx_FIFO_int(15 downto 8);-- & receive_Data_int; else Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0);-- & receive_Data_int; Data_To_Rx_FIFO_int(31 downto 16)<= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 24);-- & receive_Data_int; end if; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '1') then -- adjustment for half word if(hw_wd_cntr = "00") then -- fill in D0 Data_To_Rx_FIFO_int(31 downto 8) <= Data_To_Rx_FIFO_int(31 downto 8); Data_To_Rx_FIFO_int(7 downto 0) <= receive_Data_int; elsif(hw_wd_cntr = "01")then -- fill in D1 Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16); Data_To_Rx_FIFO_int(15 downto 8) <= receive_Data_int; Data_To_Rx_FIFO_int(7 downto 0) <= Data_To_Rx_FIFO_int(7 downto 0); elsif(hw_wd_cntr = "10")then -- fill in D2 Data_To_Rx_FIFO_int(31 downto 24) <= Data_To_Rx_FIFO_int(31 downto 24); Data_To_Rx_FIFO_int(23 downto 16) <= receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0); else Data_To_Rx_FIFO_int(31 downto 24) <= receive_Data_int; Data_To_Rx_FIFO_int(23 downto 0) <= Data_To_Rx_FIFO_int(23 downto 0); end if; else -- adjustment for complete word --Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(23 downto 0) & receive_Data_int; Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 8); end if; end if; end if; end process STORE_RX_DATA_SPI_CLK_P; end generate STORE_RX_DATA_32_BIT_ADDR; STORE_RX_DATA_24_BIT_ADDR: if C_SPI_MEM_ADDR_BITS = 24 generate begin ----- STORE_RX_DATA_SPI_CLK_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') then Data_To_Rx_FIFO_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then if(one_byte_xfer_to_spi_clk = '1') then case spi_addr_i(1 downto 0) is when "00" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 8) & receive_Data_int; when "01" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 16)& receive_Data_int & Data_To_Rx_FIFO_int(7 downto 0); when "10" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 24)& receive_Data_int & Data_To_Rx_FIFO_int(15 downto 0); when "11" => Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(23 downto 0); when others => null; end case; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '0') then -- adjustment for half word if(spi_addr_i(1) = '0') then Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16);-- & receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= receive_Data_int & Data_To_Rx_FIFO_int(15 downto 8);-- & receive_Data_int; else Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0);-- & receive_Data_int; Data_To_Rx_FIFO_int(31 downto 16)<= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 24);-- & receive_Data_int; end if; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '1') then -- adjustment for half word if(hw_wd_cntr = "00") then -- fill in D0 Data_To_Rx_FIFO_int(31 downto 8) <= Data_To_Rx_FIFO_int(31 downto 8); Data_To_Rx_FIFO_int(7 downto 0) <= receive_Data_int; elsif(hw_wd_cntr = "01")then -- fill in D1 Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16); Data_To_Rx_FIFO_int(15 downto 8) <= receive_Data_int; Data_To_Rx_FIFO_int(7 downto 0) <= Data_To_Rx_FIFO_int(7 downto 0); elsif(hw_wd_cntr = "10")then -- fill in D2 Data_To_Rx_FIFO_int(31 downto 24) <= Data_To_Rx_FIFO_int(31 downto 24); Data_To_Rx_FIFO_int(23 downto 16) <= receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0); else Data_To_Rx_FIFO_int(31 downto 24) <= receive_Data_int; Data_To_Rx_FIFO_int(23 downto 0) <= Data_To_Rx_FIFO_int(23 downto 0); end if; else -- adjustment for complete word --Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(23 downto 0) & receive_Data_int; Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 8); end if; end if; end if; end process STORE_RX_DATA_SPI_CLK_P; end generate STORE_RX_DATA_24_BIT_ADDR; ---------------------------- Data_To_Rx_FIFO <= Data_To_Rx_FIFO_int; --------------------------------------- end generate NM_MEM_DUAL_MD_GEN; end generate DUAL_MODE_CONTROL_GEN; QUAD_MODE_CONTROL_GEN: if C_SPI_MODE = 2 generate ----- begin ----- -- WB 0011 0101 00 0<-cmd error -- NM 001100101 00 0<-cmd error WB_MEM_QUAD_MD_GEN:if C_SPI_MEMORY = 1 generate signal cmd_addr_cntr : std_logic_vector(2 downto 0); signal hw_wd_cntr : std_logic_vector(1 downto 0); ----- begin ----- wb_wr_hpm_CMD <= "10100011"; -- 0xA3 h HPM mode -- ---------------------------------------------------- WB_PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then wb_cntrl_ps <= WB_IDLE; hpm_under_process_d1 <= '0'; else wb_cntrl_ps <= wb_cntrl_ns; hpm_under_process_d1 <= hpm_under_process; end if; end if; end process WB_PS_TO_NS_PROCESS; ---------------------------------- -- WB_DUAL_CNTRL_PROCESS: process( wb_cntrl_ps , SPIXfer_done_int_pulse, SPIXfer_done_int , Rst_to_spi , SR_5_Tx_Empty ) is ----- begin ----- load_wr_en_cmd <= '0'; load_wr_sr_cmd <= '0'; load_wr_sr_d0 <= '0'; load_wr_sr_d1 <= '0'; load_wr_hpm <= '0'; wb_hpm_done <= '0'; hpm_under_process <= '0'; case wb_cntrl_ps is when WB_IDLE => load_wr_hpm <= '1'; hpm_under_process <= '1'; wb_cntrl_ns <= WB_WR_HPM; when WB_WR_HPM => if (SR_5_Tx_Empty = '1')then wb_hpm_done <= '1'; wb_cntrl_ns <= WB_DONE; else hpm_under_process <= '1'; wb_cntrl_ns <= WB_WR_HPM; end if; when WB_DONE => if (Rst_to_spi = '1') then wb_cntrl_ns <= WB_IDLE; else wb_hpm_done <= '1'; wb_cntrl_ns <= WB_DONE; end if; end case; end process WB_DUAL_CNTRL_PROCESS; ---- Quad mode command = 0x6B - QOFR Read -- SPI_cmd <= "01101011"; -- 0101 000 100 0 ---- Quad mode command = 0xEB - QIOFR Read SPI_cmd <= "11101011"; -- 0101 100 100 0 -- QUAD_IO_FAST_RD Data_Dir <= '0'; Data_Mode_1 <= '1'; Data_Mode_0 <= '0'; Data_Phase <= '1'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '1';-- '0' for QOFR and '1' for QIOFR Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '1'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; --------------------------------------------------------------------- --RECEIVE_DATA_WB_GEN: if C_SPI_MEMORY = 1 and C_SPI_MODE /=0 generate --begin ----- RECEIVE_DATA_STROBE_PROCESS: process(EXT_SPI_CLK) ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(load_axi_data_to_spi_clk = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then receive_Data_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d1 = '1') and (cmd_addr_sent = '1')then receive_Data_int <= rx_shft_reg_mode_0011; end if; end if; end process RECEIVE_DATA_STROBE_PROCESS; --end generate RECEIVE_DATA_WB_GEN; --------------------------------------------------------------------- -- CMD_ADDR_CNTR_P: in each SPI transaction, the firs 4 transactions are of -- CMD, A0, A1, A2. Total 4 bytes need to be removed from the -- calculation of total no. of pure data bytes. -- the actual data from the SPI memory will be stored in the -- receive FIFO only when the first 4 bytes are transferred. -- below counter is for that purpose only. CMD_ADDR_CNTR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') or (load_axi_data_to_spi_clk = '1') then cmd_addr_cntr <= "000";--(others => '1'); cmd_addr_sent <= '0'; elsif(pr_state_idle = '1')then cmd_addr_cntr <= "000"; cmd_addr_sent <= store_last_b4_wrap; elsif(SPIXfer_done_int_pulse_d2 = '1')then if(cmd_addr_cntr = "110")then cmd_addr_sent <= '1'; else cmd_addr_cntr <= cmd_addr_cntr + "001"; cmd_addr_sent <= '0'; end if; end if; end if; end process CMD_ADDR_CNTR_P; ---------------------------- TWO_BIT_CNTR_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') or (start_after_wrap = '1') then hw_wd_cntr <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1')then hw_wd_cntr <= hw_wd_cntr + "01"; end if; end if; end process TWO_BIT_CNTR_P; STORE_RX_DATA_SPI_CLK_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') then Data_To_Rx_FIFO_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then if(one_byte_xfer_to_spi_clk = '1') then case spi_addr_i(1 downto 0) is when "00" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 8) & receive_Data_int; when "01" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 16)& receive_Data_int & Data_To_Rx_FIFO_int(7 downto 0); when "10" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 24)& receive_Data_int & Data_To_Rx_FIFO_int(15 downto 0); when "11" => Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(23 downto 0); when others => null; end case; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '0') then -- adjustment for half word if(spi_addr_i(1) = '0') then Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16);-- & receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= receive_Data_int & Data_To_Rx_FIFO_int(15 downto 8);-- & receive_Data_int; else Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0);-- & receive_Data_int; Data_To_Rx_FIFO_int(31 downto 16)<= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 24);-- & receive_Data_int; end if; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '1') then -- adjustment for half word if(hw_wd_cntr = "00") then -- fill in D0 Data_To_Rx_FIFO_int(31 downto 8) <= Data_To_Rx_FIFO_int(31 downto 8); Data_To_Rx_FIFO_int(7 downto 0) <= receive_Data_int; elsif(hw_wd_cntr = "01")then -- fill in D1 Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16); Data_To_Rx_FIFO_int(15 downto 8) <= receive_Data_int; Data_To_Rx_FIFO_int(7 downto 0) <= Data_To_Rx_FIFO_int(7 downto 0); elsif(hw_wd_cntr = "10")then -- fill in D2 Data_To_Rx_FIFO_int(31 downto 24) <= Data_To_Rx_FIFO_int(31 downto 24); Data_To_Rx_FIFO_int(23 downto 16) <= receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0); else Data_To_Rx_FIFO_int(31 downto 24) <= receive_Data_int; Data_To_Rx_FIFO_int(23 downto 0) <= Data_To_Rx_FIFO_int(23 downto 0); end if; else -- adjustment for complete word --Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(23 downto 0) & receive_Data_int; Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 8); end if; end if; end if; end process STORE_RX_DATA_SPI_CLK_P; ---------------------------- Data_To_Rx_FIFO <= Data_To_Rx_FIFO_int; --------------------------------------- ---------------------------- end generate WB_MEM_QUAD_MD_GEN; -- NM 0011 0 0101 00 0<-cmd error NM_MEM_QUAD_MD_GEN:if C_SPI_MEMORY = 2 generate signal cmd_addr_cntr : std_logic_vector(3 downto 0); signal hw_wd_cntr : std_logic_vector(1 downto 0); begin ----- --wb_hpm_done <= '1'; ---- Quad mode command = 0x6B - QOFR Read - 0xEBh --SPI_cmd <= -- "01101011"; -- 0101 1 000100 0 QUAD_SPI_CMD_NM_24_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin SPI_cmd <= "11101011"; -- QIOFR -- 0101 1 100100 0 wb_hpm_done <= '1'; DRIVE_CONTROL_SIG_P: process(EXT_SPI_CLK) is -- wb_hpm_done, wr_en_done_reg) is variable temp: std_logic_vector(1 downto 0); begin temp := wb_hpm_done & wr_en_done_reg; if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then case wb_hpm_done is -- when "00"|"01" => -- write enable is under process when '0' => -- write enable and/or Enable 4 byte addressing is under process Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '0'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- when "01" => -- Enable 4 byte addressing is under process -- Data_Dir <= '0'; -- Data_Mode_1 <= '0'; -- Data_Mode_0 <= '0'; -- Data_Phase <= '0'; -- -------------------- -- Quad_Phase <= '0';-- permanent '0' -- -------------------- -- Addr_Mode_1 <= '0'; -- Addr_Mode_0 <= '0'; -- Addr_Bit <= '0'; -- Addr_Phase <= '0'; -- -------------------- -- CMD_Mode_1 <= '0'; -- CMD_Mode_0 <= '0'; -- when "10" => -- write enable is done and enable 4 byte addressing is also done when '1' => -- write enable and enable 4 byte addressing is also done Data_Dir <= '0'; Data_Mode_1 <= '1'; Data_Mode_0 <= '0'; Data_Phase <= '1'; -------------------- Quad_Phase <= '1';-- permanent '0' -------------------- Addr_Mode_1 <= '1'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '1'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- coverage off when others => Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '0'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- coverage on end case; end if; end process DRIVE_CONTROL_SIG_P; -------------------------------- end generate QUAD_SPI_CMD_NM_24_GEN; QUAD_SPI_CMD_NM_32_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin SPI_cmd <= "11101100"; -- QIOFR_4Byte 0xECh -- 0101 1 100100 0 end generate QUAD_SPI_CMD_NM_32_GEN; NM_EN_32_ADDR_MD_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin ----- nm_wr_en_CMD <= "00000110"; -- 0x06 h Write Enable nm_4byte_addr_en_CMD <= "10110111"; -- 0xB7 h Enable 4 Byte Addressing Mode ---------------------------------------------------- NM_PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then nm_wr_en_cntrl_ps <= NM_WR_EN_IDLE; wr_en_under_process_d1 <= '0'; wr_en_done_reg <= '0'; else nm_wr_en_cntrl_ps <= nm_wr_en_cntrl_ns; wr_en_under_process_d1 <= wr_en_under_process; wr_en_done_reg <= wr_en_done; end if; end if; end process NM_PS_TO_NS_PROCESS; ---------------------------------- -- NM_WR_EN_CNTRL_PROCESS: process( nm_wr_en_cntrl_ps , --SPIXfer_done_int_pulse, --SPIXfer_done_int , Rst_to_spi , SR_5_Tx_Empty , wr_en_done_reg ) is ----- begin ----- --load_wr_en_cmd <= '0'; --load_wr_sr_cmd <= '0'; --load_wr_sr_d0 <= '0'; --load_wr_sr_d1 <= '0'; load_wr_en <= '0'; wr_en_done <= '0'; wr_en_under_process <= '0'; case nm_wr_en_cntrl_ps is when NM_WR_EN_IDLE => --load_wr_en_cmd <= '1'; load_wr_en <= '1'; wr_en_under_process <= '1'; nm_wr_en_cntrl_ns <= NM_WR_EN; when NM_WR_EN => if (SR_5_Tx_Empty = '1')then --wr_en_done <= '1'; nm_wr_en_cntrl_ns <= NM_WR_EN_DONE; else --wr_en_under_process <= '1'; nm_wr_en_cntrl_ns <= NM_WR_EN; end if; wr_en_done <= SR_5_Tx_Empty; wr_en_under_process <= not SR_5_Tx_Empty; when NM_WR_EN_DONE => if (Rst_to_spi = '1') then nm_wr_en_cntrl_ns <= NM_WR_EN_IDLE; else nm_wr_en_cntrl_ns <= NM_WR_EN_DONE; end if; wr_en_done <= wr_en_done_reg; end case; end process NM_WR_EN_CNTRL_PROCESS; ---------------------------------------------------- NM_4_BYTE_PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then nm_sm_4_byte_addr_ps <= NM_32_BIT_IDLE; --four_byte_addr_under_process_d1 <= '0'; hpm_under_process_d1 <= '0'; wr_en_done_d1 <= '0'; wr_en_done_d2 <= '0'; wb_hpm_done_reg <= '0'; else nm_sm_4_byte_addr_ps <= nm_sm_4_byte_addr_ns; hpm_under_process_d1 <= hpm_under_process; --four_byte_en_done_reg <= four_byte_en_done; wr_en_done_d1 <= wr_en_done_reg; -- wr_en_done; wr_en_done_d2 <= wr_en_done_d1; wb_hpm_done_reg <= wb_hpm_done; end if; end if; end process NM_4_BYTE_PS_TO_NS_PROCESS; ---------------------------------- -- NM_4_BYTE_ADDR_EN_PROCESS: process( nm_sm_4_byte_addr_ps , Rst_to_spi , SR_5_Tx_Empty , wr_en_done_d2 , wb_hpm_done_reg ) is ----- begin ----- -- load_4_byte_addr_en <= '0'; load_wr_hpm <= '0'; wb_hpm_done <= '0'; hpm_under_process <= '0'; four_byte_en_done <= '0'; four_byte_en_under_process <= '0'; case nm_sm_4_byte_addr_ps is when NM_32_BIT_IDLE => if (wr_en_done_d2 = '1') then --load_wr_hpm <= '1'; --hpm_under_process <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN; else nm_sm_4_byte_addr_ns <= NM_32_BIT_IDLE; end if; load_wr_hpm <= wr_en_done_d2; hpm_under_process <= wr_en_done_d2; when NM_32_BIT_EN => if (SR_5_Tx_Empty = '1') then -- wb_hpm_done <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN_DONE; else -- hpm_under_process <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN; end if; wb_hpm_done <= SR_5_Tx_Empty; hpm_under_process <= not(SR_5_Tx_Empty); when NM_32_BIT_EN_DONE => if(Rst_to_spi = '1')then nm_sm_4_byte_addr_ns <= NM_32_BIT_IDLE; else -- if (SR_5_Tx_Empty = '1')then -- --four_byte_en_done <= '1'; -- wb_hpm_done <= '1'; -- else -- -- four_byte_en_under_process <= '1'; -- hpm_under_process <= '1'; -- end if; -- four_byte_en_done <= four_byte_en_done_reg; -- wb_hpm_done <= '1'; nm_sm_4_byte_addr_ns <= NM_32_BIT_EN_DONE; end if; wb_hpm_done <= wb_hpm_done_reg; end case; end process NM_4_BYTE_ADDR_EN_PROCESS; -------------------------------------- DRIVE_CONTROL_SIG_P: process(EXT_SPI_CLK) is -- wb_hpm_done, wr_en_done_reg) is variable temp: std_logic_vector(1 downto 0); begin temp := wb_hpm_done & wr_en_done_reg; if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then case wb_hpm_done is -- when "00"|"01" => -- write enable is under process when '0' => -- write enable and/or Enable 4 byte addressing is under process Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '0'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- when "01" => -- Enable 4 byte addressing is under process -- Data_Dir <= '0'; -- Data_Mode_1 <= '0'; -- Data_Mode_0 <= '0'; -- Data_Phase <= '0'; -- -------------------- -- Quad_Phase <= '0';-- permanent '0' -- -------------------- -- Addr_Mode_1 <= '0'; -- Addr_Mode_0 <= '0'; -- Addr_Bit <= '0'; -- Addr_Phase <= '0'; -- -------------------- -- CMD_Mode_1 <= '0'; -- CMD_Mode_0 <= '0'; -- when "10" => -- write enable is done and enable 4 byte addressing is also done when '1' => -- write enable and enable 4 byte addressing is also done Data_Dir <= '0'; Data_Mode_1 <= '1'; Data_Mode_0 <= '0'; Data_Phase <= '1'; -------------------- Quad_Phase <= '1';-- permanent '0' -------------------- Addr_Mode_1 <= '1'; Addr_Mode_0 <= '0'; Addr_Bit <= '1'; Addr_Phase <= '1'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- coverage off when others => Data_Dir <= '0'; Data_Mode_1 <= '0'; Data_Mode_0 <= '0'; Data_Phase <= '0'; -------------------- Quad_Phase <= '0';-- permanent '0' -------------------- Addr_Mode_1 <= '0'; Addr_Mode_0 <= '0'; Addr_Bit <= '0'; Addr_Phase <= '0'; -------------------- CMD_Mode_1 <= '0'; CMD_Mode_0 <= '0'; -- coverage on end case; end if; end process DRIVE_CONTROL_SIG_P; -------------------------------- end generate NM_EN_32_ADDR_MD_GEN; ------------------------------------- -- Data_Dir <= '0'; -- Data_Mode_1 <= '1'; -- Data_Mode_0 <= '0'; -- Data_Phase <= '1'; -- -------------------- -- Quad_Phase <= '1';-- for NM this is 0 -- -------------------- -- Addr_Mode_1 <= '1'; -- Addr_Mode_0 <= '0'; -- Addr_Bit <= '0'; -- Addr_Phase <= '1'; -- -------------------- -- CMD_Mode_1 <= '0'; -- CMD_Mode_0 <= '0'; --------------------------------------------------------------------- -- RECEIVE_DATA_STROBE_PROCESS : Strobe data from shift register to receive -- data register -------------------------------- -- For a SCK ratio of 2 the Done needs to be delayed by an extra cycle -- due to the serial input being captured on the falling edge of the PLB -- clock. this is purely required for dealing with the real SPI slave memories. --RECEIVE_DATA_NM_GEN: if C_SPI_MEMORY = 2 and C_SPI_MODE /=0 generate --begin ----- RECEIVE_DATA_STROBE_PROCESS: process(EXT_SPI_CLK) ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(load_axi_data_to_spi_clk = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then receive_Data_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d1 = '1') then -- and (cmd_addr_sent = '1')then receive_Data_int <= rx_shft_reg_mode_0011; end if; end if; end process RECEIVE_DATA_STROBE_PROCESS; --end generate RECEIVE_DATA_NM_GEN; ----------------------------------------------------------------------------- CMD_ADDR_NM_24_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin -- CMD_ADDR_CNTR_P: in each SPI transaction, the firs 5 transactions are of -- CMD, A0, A1, A2. Total 4 bytes need to be removed from the -- calculation of total no. of pure data bytes. -- the actual data from the SPI memory will be stored in the -- receive FIFO only when the first 4 bytes are transferred. -- below counter is for that purpose only. This is for 24 bit addressing of NM memories only. CMD_ADDR_CNTR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') or (load_axi_data_to_spi_clk = '1') or (store_last_b4_wrap = '1') then cmd_addr_cntr <= "0000";--(others => '1'); cmd_addr_sent <= '0'; elsif(pr_state_idle = '1')then cmd_addr_cntr <= "0000"; cmd_addr_sent <= store_last_b4_wrap; elsif(SPIXfer_done_int_pulse_d2 = '1')then if(cmd_addr_cntr = "1000")then cmd_addr_sent <= '1'; else cmd_addr_cntr <= cmd_addr_cntr + "0001"; cmd_addr_sent <= '0'; end if; end if; end if; end process CMD_ADDR_CNTR_P; end generate CMD_ADDR_NM_24_BIT_GEN; ------------------------------------ CMD_ADDR_NM_32_BIT_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin -- CMD_ADDR_CNTR_P: in each SPI transaction, the firs 6 transactions are of -- CMD, A0, A1, A2, A3. Total 5 bytes need to be removed from the -- calculation of total no. of pure data bytes. -- the actual data from the SPI memory will be stored in the -- receive FIFO only when the first 5 bytes are transferred. -- below counter is for that purpose only. This is for 32 bit addressing of NM memories only. CMD_ADDR_CNTR_P:process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(Rst_to_spi = '1') or (load_axi_data_to_spi_clk = '1') or (store_last_b4_wrap = '1') then cmd_addr_cntr <= "0000";--(others => '1'); cmd_addr_sent <= '0'; elsif(pr_state_idle = '1')then cmd_addr_cntr <= "0000"; cmd_addr_sent <= store_last_b4_wrap; elsif(SPIXfer_done_int_pulse_d2 = '1')then if(cmd_addr_cntr = "1001")then -- note the differene in counter value cmd_addr_sent <= '1'; else cmd_addr_cntr <= cmd_addr_cntr + "0001"; cmd_addr_sent <= '0'; end if; end if; end if; end process CMD_ADDR_CNTR_P; end generate CMD_ADDR_NM_32_BIT_GEN; ------------------------------------ TWO_BIT_CNTR_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') or (start_after_wrap = '1') then hw_wd_cntr <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1')then hw_wd_cntr <= hw_wd_cntr + "01"; end if; end if; end process TWO_BIT_CNTR_P; --------------------------- STORE_RX_DATA_SPI_CLK_P:process(EXT_SPI_CLK)is begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1')then if(load_axi_data_to_spi_clk = '1') then Data_To_Rx_FIFO_int <= (others => '0'); elsif(SPIXfer_done_int_pulse_d2 = '1') and (cmd_addr_sent = '1') then if(one_byte_xfer_to_spi_clk = '1') then case spi_addr_i(1 downto 0) is when "00" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 8) & receive_Data_int; when "01" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 16)& receive_Data_int & Data_To_Rx_FIFO_int(7 downto 0); when "10" => Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(31 downto 24)& receive_Data_int & Data_To_Rx_FIFO_int(15 downto 0); when "11" => Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(23 downto 0); when others => null; end case; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '0') then -- adjustment for half word if(spi_addr_i(1) = '0') then Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16);-- & receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= receive_Data_int & Data_To_Rx_FIFO_int(15 downto 8);-- & receive_Data_int; else Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0);-- & receive_Data_int; Data_To_Rx_FIFO_int(31 downto 16)<= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 24);-- & receive_Data_int; end if; elsif (two_byte_xfer_to_spi_clk = '1') and (type_of_burst_to_spi_clk = '1') then -- adjustment for half word if(hw_wd_cntr = "00") then -- fill in D0 Data_To_Rx_FIFO_int(31 downto 8) <= Data_To_Rx_FIFO_int(31 downto 8); Data_To_Rx_FIFO_int(7 downto 0) <= receive_Data_int; elsif(hw_wd_cntr = "01")then -- fill in D1 Data_To_Rx_FIFO_int(31 downto 16) <= Data_To_Rx_FIFO_int(31 downto 16); Data_To_Rx_FIFO_int(15 downto 8) <= receive_Data_int; Data_To_Rx_FIFO_int(7 downto 0) <= Data_To_Rx_FIFO_int(7 downto 0); elsif(hw_wd_cntr = "10")then -- fill in D2 Data_To_Rx_FIFO_int(31 downto 24) <= Data_To_Rx_FIFO_int(31 downto 24); Data_To_Rx_FIFO_int(23 downto 16) <= receive_Data_int; Data_To_Rx_FIFO_int(15 downto 0) <= Data_To_Rx_FIFO_int(15 downto 0); else Data_To_Rx_FIFO_int(31 downto 24) <= receive_Data_int; Data_To_Rx_FIFO_int(23 downto 0) <= Data_To_Rx_FIFO_int(23 downto 0); end if; else -- adjustment for complete word --Data_To_Rx_FIFO_int <= Data_To_Rx_FIFO_int(23 downto 0) & receive_Data_int; Data_To_Rx_FIFO_int <= receive_Data_int & Data_To_Rx_FIFO_int(31 downto 8); end if; end if; end if; end process STORE_RX_DATA_SPI_CLK_P; ---------------------------- Data_To_Rx_FIFO <= Data_To_Rx_FIFO_int; --------------------------------------- -------------------------------- end generate NM_MEM_QUAD_MD_GEN; -------------------------------- end generate QUAD_MODE_CONTROL_GEN; WRAP_DELAY_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) or (load_axi_data_to_spi_clk = '1') then wrap_around_d1 <= '0'; wrap_around_d2 <= '0'; wrap_around_d3 <= '0'; --wrap_around_d4 <= '0'; else wrap_around_d1 <= wrap_around; wrap_around_d2 <= wrap_around_d1; wrap_around_d3 <= wrap_around_d2; --wrap_around_d4 <= wrap_around_d3; end if; end if; end process WRAP_DELAY_P; wrap_ack <= (not wrap_around_d2) and wrap_around_d1; wrap_ack_1 <= (not wrap_around_d3) and wrap_around_d2; start_after_wrap <= wrap_around_d2 and (not wrap_around_d1) and not SR_5_Tx_Empty; store_last_b4_wrap <= wrap_around_d3 and (not wrap_around_d2); --xsfer_start_aftr_wrap <= wrap_around_d4 and (not wrap_around_d3); DELAY_START_AFTR_WRAP:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then start_after_wrap_d1 <= '0'; else start_after_wrap_d1 <= start_after_wrap; end if; end if; end process DELAY_START_AFTR_WRAP; ---------------------------------- TRANSFER_START_24_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin ----- TRANSFER_START_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then transfer_start <= '0'; elsif(wrap_around = '1') then -- and (actual_SPIXfer_done_int = '1')then transfer_start <= '0'; elsif(hpm_under_process_d1 = '1' and wb_hpm_done = '1')-- or --(wr_en_under_process_d1 = '1' and wr_en_done = '1') then transfer_start <= '0'; elsif (load_axi_data_to_spi_clk = '1') or (start_after_wrap_d1 = '1') or (load_wr_hpm = '1') --or (load_wr_en = '1') then transfer_start <= '1'; elsif(SR_5_Tx_Empty_int = '1') then transfer_start <= '0'; end if; end if; end process TRANSFER_START_P; end generate TRANSFER_START_24_BIT_ADDR_GEN; TRANSFER_START_32_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin ----- TRANSFER_START_P:process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then transfer_start <= '0'; elsif(wrap_around = '1') then -- and (actual_SPIXfer_done_int = '1')then transfer_start <= '0'; elsif(hpm_under_process_d1 = '1' and wb_hpm_done = '1') or (wr_en_under_process_d1 = '1' and wr_en_done = '1')then transfer_start <= '0'; elsif(load_axi_data_to_spi_clk = '1') or (start_after_wrap_d1 = '1') or (load_wr_hpm = '1') or (load_wr_en = '1') then transfer_start <= '1'; elsif(SR_5_Tx_Empty_int = '1') then transfer_start <= '0'; end if; end if; end process TRANSFER_START_P; end generate TRANSFER_START_32_BIT_ADDR_GEN; ------------------------------------------------------------------------------- -- TRANSFER_START_1CLK_PROCESS : Delay transfer start by 1 clock cycle -------------------------------- TRANSFER_START_1CLK_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) or (load_axi_data_to_spi_clk = '1') then transfer_start_d1 <= '0'; transfer_start_d2 <= '0'; transfer_start_d3 <= '0'; else transfer_start_d1 <= transfer_start; transfer_start_d2 <= transfer_start_d1; transfer_start_d3 <= transfer_start_d2; end if; end if; end process TRANSFER_START_1CLK_PROCESS; transfer_start_pulse <= --transfer_start and (not transfer_start_d1); --transfer_start_d2 and (not transfer_start_d3); transfer_start and (not(transfer_start_d1)); ------------------------------------------------------------------------------- -- TRANSFER_DONE_1CLK_PROCESS : Delay SPI transfer done signal by 1 clock cycle ------------------------------- TRANSFER_DONE_1CLK_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) or (load_axi_data_to_spi_clk = '1') then SPIXfer_done_int_d1 <= '0'; else SPIXfer_done_int_d1 <= SPIXfer_done_int; end if; end if; end process TRANSFER_DONE_1CLK_PROCESS; -- -- transfer done pulse generating logic SPIXfer_done_int_pulse <= SPIXfer_done_int and (not(SPIXfer_done_int_d1)); ------------------------------------------------------------------------------- -- TRANSFER_DONE_PULSE_DLY_PROCESS : Delay SPI transfer done pulse by 1 and 2 -- clock cycles ------------------------------------ -- Delay the Done pulse by a further cycle. This is used as the output Rx -- data strobe when C_SCK_RATIO = 2 TRANSFER_DONE_PULSE_DLY_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) or (load_axi_data_to_spi_clk = '1') then SPIXfer_done_int_pulse_d1 <= '0'; SPIXfer_done_int_pulse_d2 <= '0'; SPIXfer_done_int_pulse_d3 <= '0'; else SPIXfer_done_int_pulse_d1 <= SPIXfer_done_int_pulse; SPIXfer_done_int_pulse_d2 <= SPIXfer_done_int_pulse_d1; SPIXfer_done_int_pulse_d3 <= SPIXfer_done_int_pulse_d2; end if; end if; end process TRANSFER_DONE_PULSE_DLY_PROCESS; -------------------------------------------- ------------------------------------------------------------------------------- -- RX_DATA_GEN1: Only for C_SCK_RATIO = 2 mode. ---------------- -- RX_DATA_SCK_RATIO_2_GEN1 : if C_SCK_RATIO = 2 generate ----- -- begin ----- ------------------------------------------------------------------------------- -- TRANSFER_DONE_PROCESS : Generate SPI transfer done signal. This will stop the SPI clock. -------------------------- TRANSFER_DONE_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then SPIXfer_done_int <= '0'; elsif(transfer_start_pulse = '1') then SPIXfer_done_int <= '0'; else if(mode_1 = '1' and mode_0 = '0')then SPIXfer_done_int <= Count(1) and not(Count(0)); elsif(mode_1 = '0' and mode_0 = '1')then SPIXfer_done_int <= not(Count(0)) and Count(2) and Count(1); else SPIXfer_done_int <= --Count(COUNT_WIDTH); Count(COUNT_WIDTH-1) and Count(COUNT_WIDTH-2) and Count(COUNT_WIDTH-3) and not Count(COUNT_WIDTH-4); end if; end if; end if; end process TRANSFER_DONE_PROCESS; -- -- RECEIVE_DATA_STROBE_PROCESS : Strobe data from shift register to receive -- -- data register -- -------------------------------- -- -- For a SCK ratio of 2 the Done needs to be delayed by an extra cycle -- -- due to the serial input being captured on the falling edge of the PLB -- -- clock. this is purely required for dealing with the real SPI slave memories. -- RECEIVE_DATA_NM_GEN: if C_SPI_MEMORY = 2 and C_SPI_MODE /=0 generate -- begin -- ----- -- RECEIVE_DATA_STROBE_PROCESS: process(EXT_SPI_CLK) -- ----- -- begin -- ----- -- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then -- if(load_axi_data_to_spi_clk = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then -- receive_Data_int <= (others => '0'); -- elsif(SPIXfer_done_int_pulse_d1 = '1') then -- and (cmd_addr_sent = '1')then -- receive_Data_int <= rx_shft_reg_mode_0011; -- end if; -- end if; -- end process RECEIVE_DATA_STROBE_PROCESS; -- end generate RECEIVE_DATA_NM_GEN; -- ----------------------------------------------------------------------------- -- ----------------------------------------------------------------------------- -- RECEIVE_DATA_WB_GEN: if C_SPI_MEMORY = 1 and C_SPI_MODE /=0 generate -- begin -- ----- -- RECEIVE_DATA_STROBE_PROCESS: process(EXT_SPI_CLK) -- ----- -- begin -- ----- -- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then -- if(load_axi_data_to_spi_clk = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then -- receive_Data_int <= (others => '0'); -- elsif(SPIXfer_done_int_pulse_d1 = '1') and (cmd_addr_sent = '1')then -- receive_Data_int <= rx_shft_reg_mode_0011; -- end if; -- end if; -- end process RECEIVE_DATA_STROBE_PROCESS; -- end generate RECEIVE_DATA_WB_GEN; ----------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- RATIO_OF_2_GENERATE : Logic to be used when C_SCK_RATIO is equal to 2 ------------------------ RATIO_OF_2_GENERATE: if(C_SCK_RATIO = 2) generate -------------------- begin ----- ------------------------------------------------------------------------------- -- SCK_CYCLE_COUNT_PROCESS : Counts number of trigger pulses provided. Used for -- controlling the number of bits to be transfered -- based on generic C_NUM_TRANSFER_BITS ---------------------------- RATIO_2_SCK_CYCLE_COUNT_PROCESS: process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) or (transfer_start = '0') or (store_last_b4_wrap = '1') then -- (wrap_ack_1 = '1')then Count <= (others => '0'); elsif(SPIXfer_done_int = '1')then Count <= (others => '0'); elsif((Count(COUNT_WIDTH) = '0') and ((CPOL_to_spi_clk and CPHA_to_spi_clk) = '0')) then Count <= Count + 1; elsif(transfer_start_d2 = '1') and (Count(COUNT_WIDTH) = '0') then Count <= Count + 1; end if; end if; end process RATIO_2_SCK_CYCLE_COUNT_PROCESS; ------------------------------------ SCK_SET_RESET_32_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate begin ------------------------------------------------------------------------------- -- SCK_SET_GEN_PROCESS : Generate SET control for SCK_O_reg ------------------------ SCK_SET_GEN_PROCESS: process(CPOL_to_spi_clk, CPHA_to_spi_clk, SPIXfer_done_int, transfer_start_pulse,--, load_axi_data_to_spi_clk, wrap_ack_1, load_wr_hpm, load_wr_en ) is ----- begin ----- if(SPIXfer_done_int = '1')or(load_axi_data_to_spi_clk = '1') or (load_wr_hpm = '1') or (load_wr_en = '1')then Sync_Set <= (CPOL_to_spi_clk xor CPHA_to_spi_clk); else Sync_Set <= '0'; end if; end process SCK_SET_GEN_PROCESS; ------------------------------------------------------------------------------- -- SCK_RESET_GEN_PROCESS : Generate SET control for SCK_O_reg -------------------------- SCK_RESET_GEN_PROCESS: process(CPOL_to_spi_clk, CPHA_to_spi_clk, transfer_start_pulse, SPIXfer_done_int, load_axi_data_to_spi_clk, load_wr_hpm, load_wr_en )is ----- begin ----- if(SPIXfer_done_int = '1')or(load_axi_data_to_spi_clk = '1')or(load_wr_hpm = '1') or (load_wr_en = '1') then Sync_Reset <= not(CPOL_to_spi_clk xor CPHA_to_spi_clk); else Sync_Reset <= '0'; end if; end process SCK_RESET_GEN_PROCESS; end generate SCK_SET_RESET_32_BIT_ADDR_GEN; ------------------------------------------- SCK_SET_RESET_24_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate begin ------------------------------------------------------------------------------- -- SCK_SET_GEN_PROCESS : Generate SET control for SCK_O_reg ------------------------ SCK_SET_GEN_PROCESS: process(CPOL_to_spi_clk, CPHA_to_spi_clk, SPIXfer_done_int, transfer_start_pulse,--, load_axi_data_to_spi_clk, wrap_ack_1, load_wr_hpm--, --load_wr_en ) is ----- begin ----- if(SPIXfer_done_int = '1')or(load_axi_data_to_spi_clk = '1') or (load_wr_hpm = '1') --or (load_wr_en = '1') then Sync_Set <= (CPOL_to_spi_clk xor CPHA_to_spi_clk); else Sync_Set <= '0'; end if; end process SCK_SET_GEN_PROCESS; ------------------------------------------------------------------------------- -- SCK_RESET_GEN_PROCESS : Generate SET control for SCK_O_reg -------------------------- SCK_RESET_GEN_PROCESS: process(CPOL_to_spi_clk, CPHA_to_spi_clk, transfer_start_pulse, SPIXfer_done_int, load_axi_data_to_spi_clk, load_wr_hpm--, --load_wr_en )is ----- begin ----- if(SPIXfer_done_int = '1')or(load_axi_data_to_spi_clk = '1')or(load_wr_hpm = '1') --or (load_wr_en = '1') then Sync_Reset <= not(CPOL_to_spi_clk xor CPHA_to_spi_clk); else Sync_Reset <= '0'; end if; end process SCK_RESET_GEN_PROCESS; end generate SCK_SET_RESET_24_BIT_ADDR_GEN; ------------------------------------------- ------------------------------------------------------------------------------- -- SCK_SET_RESET_PROCESS : Sync set/reset toggle flip flop controlled by -- transfer_start signal -------------------------- RATIO_2_SCK_SET_RESET_PROCESS: process(EXT_SPI_CLK) begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if((Rst_to_spi = RESET_ACTIVE) or (Sync_Reset = '1') or (new_tr = '0') or (wrap_ack_1 = '1')) then sck_o_int <= '0'; elsif(Sync_Set = '1') then sck_o_int <= '1'; elsif (transfer_start = '1') then sck_o_int <= (not sck_o_int); end if; end if; end process RATIO_2_SCK_SET_RESET_PROCESS; ---------------------------------- -- DELAY_CLK: Delay the internal clock for a cycle to generate internal enable -- -- signal for data register. ------------- RATIO_2_DELAY_CLK: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if (Rst_to_spi = RESET_ACTIVE)then sck_d1 <= '0'; sck_d2 <= '0'; else sck_d1 <= sck_o_int; sck_d2 <= sck_d1; end if; end if; end process RATIO_2_DELAY_CLK; ------------------------------------ -- Rising egde pulse sck_rising_edge <= sck_d2 and (not sck_d1); -- CAPT_RX_FE_MODE_00_11: The below logic is to capture data for SPI mode of --------------------------- 00 and 11. -- Generate a falling edge pulse from the serial clock. Use this to -- capture the incoming serial data into a shift register. RATIO_2_CAPT_RX_FE_MODE_00_11 : process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '0') then -- SPIXfer_done_int_pulse_d2 if (Rst_to_spi = RESET_ACTIVE) then -- or (wrap_ack_1 = '1')then rx_shft_reg_mode_0011 <= (others => '0'); elsif((sck_d1='1') and --(sck_rising_edge = '1') and (Data_Dir='0') -- data direction = 0 is read mode )then ------- if(mode_1 = '0' and mode_0 = '0')then -- for Standard transfer rx_shft_reg_mode_0011 <= rx_shft_reg_mode_0011 (1 to (C_NUM_TRANSFER_BITS-1)) & IO1_I ; --MISO_I; elsif(mode_1 = '0' and mode_0 = '1')then -- for Dual transfer rx_shft_reg_mode_0011 <= rx_shft_reg_mode_0011 (2 to (C_NUM_TRANSFER_BITS-1)) & IO1_I & -- MISO_I - MSB first IO0_I ; -- MOSI_I elsif(mode_1 = '1' and mode_0 = '0')then -- for Quad transfer rx_shft_reg_mode_0011 <= rx_shft_reg_mode_0011 (4 to (C_NUM_TRANSFER_BITS-1)) & IO3_I & -- MSB first IO2_I & IO1_I & IO0_I ; end if; ------- else rx_shft_reg_mode_0011<= rx_shft_reg_mode_0011; end if; end if; end process RATIO_2_CAPT_RX_FE_MODE_00_11; ---------------------------------- QSPI_NM_MEM_DATA_CAP_GEN: if (C_SPI_MODE = 0 and (C_SPI_MEMORY = 0 or C_SPI_MEMORY = 2)) or ( ( C_SPI_MODE = 1 or C_SPI_MODE = 2 ) and C_SPI_MEMORY = 2 )generate -------------------------------------- begin ----- ------------------------------------------------------------------------------- -- CAPTURE_AND_SHIFT_PROCESS : This logic essentially controls the entire -- capture and shift operation for serial data in ------------------------------ master SPI mode only RATIO_2_CAPTURE_AND_SHIFT_PROCESS: process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then Shift_Reg(0 to C_NUM_TRANSFER_BITS -1) <= (others => '0'); Serial_Dout_0 <= '0';-- default values of the IO0_O Serial_Dout_1 <= '0'; Serial_Dout_2 <= '0'; Serial_Dout_3 <= '0'; elsif(transfer_start = '1') then if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1') then -- --if(Load_tx_data_to_shift_reg_int = '1') then Shift_Reg <= Transmit_Data; if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Transmit_Data(0); Serial_Dout_3 <= Quad_Phase;--pr_state_cmd_ph and Quad_Phase;-- this is to make the DQ3 bit 1 in quad command transfer mode. elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Transmit_Data(0); -- msb to IO1_O Serial_Dout_0 <= Transmit_Data(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Transmit_Data(0); -- msb to IO3_O Serial_Dout_2 <= Transmit_Data(1); Serial_Dout_1 <= Transmit_Data(2); Serial_Dout_0 <= Transmit_Data(3); end if; elsif( (Count(0) = '0') )then -- Shift Data on even if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Shift_Reg(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Shift_Reg(0); -- msb to IO1_O Serial_Dout_0 <= Shift_Reg(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Shift_Reg(0); -- msb to IO3_O Serial_Dout_2 <= Shift_Reg(1); Serial_Dout_1 <= Shift_Reg(2); Serial_Dout_0 <= Shift_Reg(3); end if; elsif( (Count(0) = '1') --and ) then -- Capture Data on odd if(mode_1 = '0' and mode_0 = '0') then -- standard mode Shift_Reg <= Shift_Reg (1 to C_NUM_TRANSFER_BITS -1) & IO1_I ;-- MISO_I; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Shift_Reg <= Shift_Reg (2 to C_NUM_TRANSFER_BITS -1) & IO1_I & IO0_I ; elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Shift_Reg <= Shift_Reg (4 to C_NUM_TRANSFER_BITS -1) & IO3_I & IO2_I & IO1_I & IO0_I ; end if; end if; end if; end if; end process RATIO_2_CAPTURE_AND_SHIFT_PROCESS; ---------------------------------------------- end generate QSPI_NM_MEM_DATA_CAP_GEN; ---------------------------------- QSPI_WINBOND_MEM_DATA_CAP_GEN: if ( (C_SPI_MODE = 0 and (C_SPI_MEMORY = 0 or C_SPI_MEMORY = 1)) or ( ( C_SPI_MODE = 1 or C_SPI_MODE = 2 ) and C_SPI_MEMORY = 1 )) generate ----------------------------------------- begin ----- ------------------------------------------------------------------------------- -- CAPTURE_AND_SHIFT_PROCESS : This logic essentially controls the entire -- capture and shift operation for serial data in ------------------------------ master SPI mode only RATIO_2_CAPTURE_AND_SHIFT_PROCESS: process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then Shift_Reg(0 to C_NUM_TRANSFER_BITS -1) <= (others => '0'); Serial_Dout_0 <= '0';-- default values of the IO0_O Serial_Dout_1 <= '0'; Serial_Dout_2 <= '0'; Serial_Dout_3 <= '0'; elsif(transfer_start = '1') then --if(Load_tx_data_to_shift_reg_int = '1') then if(transfer_start_pulse = '1' or SPIXfer_done_int_d1 = '1') then -- Shift_Reg <= Transmit_Data; if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Transmit_Data(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase;-- this is to make the DQ3 bit 1 in quad command transfer mode. elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Transmit_Data(0); -- msb to IO1_O Serial_Dout_0 <= Transmit_Data(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Transmit_Data(0); -- msb to IO3_O Serial_Dout_2 <= Transmit_Data(1); Serial_Dout_1 <= Transmit_Data(2); Serial_Dout_0 <= Transmit_Data(3); end if; elsif( (Count(0) = '0') --and )then -- Shift Data on even if(mode_1 = '0' and mode_0 = '0') then -- standard mode Serial_Dout_0 <= Shift_Reg(0); Serial_Dout_3 <= pr_state_cmd_ph and Quad_Phase; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Serial_Dout_1 <= Shift_Reg(0); -- msb to IO1_O Serial_Dout_0 <= Shift_Reg(1); elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Serial_Dout_3 <= Shift_Reg(0); -- msb to IO3_O Serial_Dout_2 <= Shift_Reg(1); Serial_Dout_1 <= Shift_Reg(2); Serial_Dout_0 <= Shift_Reg(3); end if; elsif( (Count(0) = '1') --and ) then -- Capture Data on odd if(mode_1 = '0' and mode_0 = '0') then -- standard mode Shift_Reg <= Shift_Reg (1 to C_NUM_TRANSFER_BITS -1) & IO1_I;-- MISO_I; elsif(mode_1 = '0' and mode_0 = '1') then -- dual mode Shift_Reg <= Shift_Reg (2 to C_NUM_TRANSFER_BITS -1) & IO1_I & IO0_I ; elsif(mode_1 = '1' and mode_0 = '0') then -- quad mode Shift_Reg <= Shift_Reg (4 to C_NUM_TRANSFER_BITS -1) & IO3_I & IO2_I & IO1_I & IO0_I ; end if; end if; end if; end if; end process RATIO_2_CAPTURE_AND_SHIFT_PROCESS; ---------------------------------------------- end generate QSPI_WINBOND_MEM_DATA_CAP_GEN; ------------------------------------------------------ -------------------------------- XIP_STD_DUAL_MODE_WB_MEM_GEN: if ( (C_SPI_MODE = 0 or C_SPI_MODE = 1) and ( (C_SPI_MEMORY = 1 or C_SPI_MEMORY = 0) ) )generate -------------------------------- begin ----- -------------------------------------------------- PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then qspi_cntrl_ps <= IDLE; stop_clock_reg <= '0'; else qspi_cntrl_ps <= qspi_cntrl_ns; stop_clock_reg <= stop_clock; end if; end if; end process PS_TO_NS_PROCESS; ----------------------------- pr_state_data_receive <= '1' when qspi_cntrl_ps = DATA_RECEIVE else '0'; pr_state_non_idle <= '1' when qspi_cntrl_ps /= IDLE else '0'; pr_state_idle <= '1' when qspi_cntrl_ps = IDLE else '0'; pr_state_cmd_ph <= '1' when qspi_cntrl_ps = CMD_SEND else '0'; QSPI_CNTRL_PROCESS: process( --------------------- new_tr , CMD_Mode_1 , CMD_Mode_0 , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , Quad_Phase , --------------------- SR_5_Tx_Empty , SPIXfer_done_int_pulse, stop_clock_reg, --------------------- qspi_cntrl_ps , no_slave_selected , --------------------- wrap_around , transfer_start , wrap_ack_1 , wb_hpm_done , hpm_under_process_d1 )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; ------------- stop_clock <= '0'; ------------- rst_wrap_around <= '0'; ------------- case qspi_cntrl_ps is when IDLE => if((SR_5_Tx_Empty = '0') and -- this will be used specially in case of WRAP transactions (transfer_start = '1')and (new_tr = '1') )then IO0_T_control <= CMD_Mode_0; IO3_T_control <= not Quad_Phase;-- qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_0; IO1_T_control <= (CMD_Mode_1) or (not CMD_Mode_0); if(SPIXfer_done_int_pulse = '1')then if(hpm_under_process_d1 = '1')then qspi_cntrl_ns <= HPM_DUMMY; elsif(Addr_Phase='1')then qspi_cntrl_ns <= ADDR_SEND; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when HPM_DUMMY => IO0_T_control <= CMD_Mode_0; IO1_T_control <= (CMD_Mode_1) or (not CMD_Mode_0); if(SR_5_Tx_Empty='1') then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= HPM_DUMMY; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1);-- (Addr_Mode_1) or(not Addr_Mode_0); --stop_clock <= not SR_5_Tx_Empty; if((SR_5_Tx_Empty='1') and (Data_Phase='0') ) or (wrap_ack_1 = '1') then if (no_slave_selected = '1') or (wrap_ack_1 = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and (Data_Phase='1') )then IO0_T_control <= '1'; IO1_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p else qspi_cntrl_ns <= ADDR_SEND; end if; end if; ------------------------------------------------ when TEMP_ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1);-- (Addr_Mode_1) or(not Addr_Mode_0); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; if(SR_5_Tx_Empty='1') or (wrap_ack_1 = '1')then rst_wrap_around <= '1'; if(no_slave_selected = '1') or (wrap_around = '1')then qspi_cntrl_ns <= IDLE; stop_clock <= wrap_ack_1; else stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_RECEIVE; end if; -- coverage off when others => qspi_cntrl_ns <= IDLE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when (qspi_cntrl_ps = ADDR_SEND) else '0'; QSPI_ADDR_CNTR_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- end generate XIP_STD_DUAL_MODE_WB_MEM_GEN; ------------------------------------------ -------------------------------------------------- XIP_STD_DUAL_MODE_NM_MEM_GEN: if ((C_SPI_MODE = 1 or C_SPI_MODE = 0) and (C_SPI_MEMORY = 2 or C_SPI_MEMORY = 0) )generate ------------------- begin ----- -------------------------------------------------- PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then qspi_cntrl_ps <= IDLE; stop_clock_reg <= '0'; else qspi_cntrl_ps <= qspi_cntrl_ns; stop_clock_reg <= stop_clock; end if; end if; end process PS_TO_NS_PROCESS; ----------------------------- pr_state_data_receive <= '1' when qspi_cntrl_ps = DATA_RECEIVE else '0'; pr_state_non_idle <= '1' when qspi_cntrl_ps /= IDLE else '0'; pr_state_idle <= '1' when qspi_cntrl_ps = IDLE else '0'; pr_state_cmd_ph <= '1' when qspi_cntrl_ps = CMD_SEND else '0'; QSPI_CNTRL_PROCESS: process( --------------------- --CMD_decoded , new_tr, CMD_Mode_1 , CMD_Mode_0 , --CMD_Error , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , --------------------- SR_5_Tx_Empty ,SPIXfer_done_int_pulse, stop_clock_reg, no_slave_selected , --------------------- qspi_cntrl_ps , --------------------- wrap_around , transfer_start , wrap_ack_1 )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; -------------- stop_clock <= '0'; -------------- rst_wrap_around <= '0'; -------------- case qspi_cntrl_ps is when IDLE => if((SR_5_Tx_Empty = '0') and -- this will be used specially in case of WRAP transactions (transfer_start = '1')and (new_tr = '1') )then IO0_T_control <= CMD_Mode_0; IO3_T_control <= not Quad_Phase;-- qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_1; --if(SPIXfer_done_int_pulse_d2 = '1')then if(SPIXfer_done_int_pulse = '1')then if(Addr_Phase='1')then qspi_cntrl_ns <= ADDR_SEND; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0); --stop_clock <= SR_5_Tx_Empty; if(((SR_5_Tx_Empty='1') and (Data_Phase='0')) or (wrap_ack_1 = '1') )then if (no_slave_selected = '1') or (wrap_ack_1 = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and (Data_Phase='1') )then if((Data_Dir='1'))then mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= Data_Mode_1; IO1_T_control <= not(Data_Mode_0); qspi_cntrl_ns <= DATA_SEND; -- o/p else IO0_T_control <= '1'; IO1_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p end if; elsif( (addr_cnt = "100") and -- 32 bit (Addr_Bit = '1') and (Data_Phase='1') ) then --if((Data_Dir='1'))then -- qspi_cntrl_ns <= DATA_SEND; -- o/p --else IO0_T_control <= '1'; IO1_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p --end if; else qspi_cntrl_ns <= ADDR_SEND; end if; end if; -- ------------------------------------------------ when TEMP_ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1);-- (Addr_Mode_1) or(not Addr_Mode_0); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; when DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= Data_Mode_1; IO1_T_control <= not(Data_Mode_0); --stop_clock <= SR_5_Tx_Empty; if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; ------------------------------------------------ when TEMP_DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= Data_Mode_1; IO1_T_control <= not(Data_Mode_0); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_SEND; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1') or (wrap_ack_1 = '1')then rst_wrap_around <= wrap_ack_1; if(no_slave_selected = '1') or (wrap_ack_1 = '1')then stop_clock <= wrap_ack_1; qspi_cntrl_ns <= IDLE; else mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_RECEIVE; end if; -- coverage off when others => qspi_cntrl_ns <= IDLE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when qspi_cntrl_ps = ADDR_SEND else '0'; QSPI_ADDR_CNTR_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- end generate XIP_STD_DUAL_MODE_NM_MEM_GEN; -------------------------------- -------------------------------------------------- -------------------------------------------------- XIP_QUAD_MODE_WB_MEM_GEN: if ( C_SPI_MODE = 2 and C_SPI_MEMORY = 1 ) generate ------------------- begin ----- -------------------------------------------------- PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then qspi_cntrl_ps <= IDLE; stop_clock_reg <= '0'; else qspi_cntrl_ps <= qspi_cntrl_ns; stop_clock_reg <= stop_clock; end if; end if; end process PS_TO_NS_PROCESS; ----------------------------- pr_state_data_receive <= '1' when qspi_cntrl_ps = DATA_RECEIVE else '0'; pr_state_non_idle <= '1' when qspi_cntrl_ps /= IDLE else '0'; pr_state_idle <= '1' when qspi_cntrl_ps = IDLE else '0'; pr_state_cmd_ph <= '1' when qspi_cntrl_ps = CMD_SEND else '0'; QSPI_CNTRL_PROCESS: process( --------------------- new_tr, CMD_Mode_1 , CMD_Mode_0 , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , Quad_Phase , --------------------- SR_5_Tx_Empty , SPIXfer_done_int_pulse, stop_clock_reg, --------------------- qspi_cntrl_ps , no_slave_selected , --------------------- wrap_around , transfer_start , wrap_ack_1 , wb_hpm_done , hpm_under_process_d1 )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; -------------- stop_clock <= '0'; -------------- rst_wrap_around <= '0'; -------------- case qspi_cntrl_ps is when IDLE => if(--(CMD_decoded = '1') and (SR_5_Tx_Empty = '0') and -- this will be used specially in case of WRAP transactions (transfer_start = '1')and (new_tr = '1') --(CMD_Error = '0') -- proceed only when there is no command error )then IO0_T_control <= CMD_Mode_0; IO3_T_control <= not Quad_Phase;-- qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; -- CMD_DECODE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_0; IO3_T_control <= not Quad_Phase;-- --if(SPIXfer_done_int_pulse_d2 = '1')then if(SPIXfer_done_int_pulse = '1')then if(hpm_under_process_d1 = '1')then qspi_cntrl_ns <= HPM_DUMMY; elsif(Addr_Phase='1')then qspi_cntrl_ns <= ADDR_SEND; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when HPM_DUMMY => IO0_T_control <= CMD_Mode_0; IO1_T_control <= (CMD_Mode_1) or (not CMD_Mode_0); if(SR_5_Tx_Empty='1') then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= HPM_DUMMY; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); --stop_clock <= SR_5_Tx_Empty; if((SR_5_Tx_Empty='1') and (Data_Phase='0') )then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and(Data_Phase='1') )then if((Data_Dir='1'))then mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; -- data output IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1);-- active only IO3_T_control <= not (Data_Mode_1);-- active only qspi_cntrl_ns <= DATA_SEND; -- o/p else IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p end if; -- -- coverage off -- -- below piece of code is for 32-bit address check, and left for future use -- elsif( -- (addr_cnt = "100") and -- 32 bit -- (Addr_Bit = '1') and (Data_Phase='1') -- )then -- if((Data_Dir='1'))then -- qspi_cntrl_ns <= DATA_SEND; -- o/p -- else -- qspi_cntrl_ns <= DATA_RECEIVE;-- i/p -- end if; -- -- coverage on else qspi_cntrl_ns <= ADDR_SEND; end if; end if; ------------------------------------------------ when TEMP_ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; ----------------------------------------------------------------------- when DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; -- data output active only in Dual mode IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1);-- active only in quad mode IO3_T_control <= not (Data_Mode_1);-- active only in quad mode --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else qspi_cntrl_ns <= DATA_SEND; end if; ------------------------------------------------ when TEMP_DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; -- data output active only in Dual mode IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1);-- active only in quad mode IO3_T_control <= not (Data_Mode_1);-- active only in quad mode stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_SEND; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')or (wrap_ack_1 = '1')then rst_wrap_around <= wrap_ack_1; if(no_slave_selected = '1')or (wrap_ack_1 = '1')then stop_clock <= wrap_ack_1; qspi_cntrl_ns <= IDLE; else stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ -- coverage off when others => qspi_cntrl_ns <= IDLE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when qspi_cntrl_ps = ADDR_SEND else '0'; QSPI_ADDR_CNTR_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then --addr_cnt <= addr_cnt + SPIXfer_done_int_pulse_d2; addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- ------------------------------------------ end generate XIP_QUAD_MODE_WB_MEM_GEN; ------------------------------------------ -------------------------------------------------- XIP_QUAD_MODE_NM_MEM_GEN: if C_SPI_MODE = 2 and C_SPI_MEMORY = 2 generate ------------------- begin ----- -------------------------------------------------- PS_TO_NS_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then qspi_cntrl_ps <= IDLE; stop_clock_reg <= '0'; else qspi_cntrl_ps <= qspi_cntrl_ns; stop_clock_reg <= stop_clock; end if; end if; end process PS_TO_NS_PROCESS; ----------------------------- pr_state_data_receive <= '1' when qspi_cntrl_ps = DATA_RECEIVE else '0'; pr_state_non_idle <= '1' when qspi_cntrl_ps /= IDLE else '0'; pr_state_idle <= '1' when qspi_cntrl_ps = IDLE else '0'; pr_state_cmd_ph <= '1' when qspi_cntrl_ps = CMD_SEND else '0'; QSPI_CNTRL_PROCESS: process( --------------------- --CMD_decoded , new_tr, CMD_Mode_1 , CMD_Mode_0 , --CMD_Error , --------------------- Addr_Phase , Addr_Bit , Addr_Mode_1 , Addr_Mode_0 , --------------------- Data_Phase , Data_Dir , Data_Mode_1 , Data_Mode_0 , --------------------- addr_cnt , Quad_Phase , --------------------- SR_5_Tx_Empty , --SPIXfer_done_int_pulse_d2, SPIXfer_done_int_pulse, stop_clock_reg, no_slave_selected , --------------------- qspi_cntrl_ps , --------------------- wrap_around , transfer_start_d1 , transfer_start , wrap_ack_1 )is ----- begin ----- mode_1 <= '0'; mode_0 <= '0'; -------------- IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; ------------- stop_clock <= '0'; ------------- rst_wrap_around <= '0'; ------------- case qspi_cntrl_ps is when IDLE => if(--(CMD_decoded = '1') and (SR_5_Tx_Empty = '0') and -- this will be used specially in case of WRAP transactions (transfer_start = '1')and (new_tr = '1') --(CMD_Error = '0') -- proceed only when there is no command error )then IO0_T_control <= CMD_Mode_0; IO3_T_control <= not Quad_Phase; qspi_cntrl_ns <= CMD_SEND; else qspi_cntrl_ns <= IDLE; end if; stop_clock <= '1'; ------------------------------------------------ when CMD_SEND => mode_1 <= CMD_Mode_1; mode_0 <= CMD_Mode_0; IO0_T_control <= CMD_Mode_0; IO3_T_control <= not Quad_Phase;-- this is due to sending '1' on DQ3 line during command phase for Quad instructions only. --if(SPIXfer_done_int_pulse_d2 = '1')then if(SPIXfer_done_int_pulse = '1')then if(Addr_Phase='1')then qspi_cntrl_ns <= ADDR_SEND; else qspi_cntrl_ns <= IDLE; end if; else qspi_cntrl_ns <= CMD_SEND; end if; ------------------------------------------------ when ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); --stop_clock <= SR_5_Tx_Empty; if((SR_5_Tx_Empty='1') and (Data_Phase='0') )then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else if( (addr_cnt = "011") and -- 24 bit address (Addr_Bit='0') and (Data_Phase='1') )then if((Data_Dir='1'))then mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); qspi_cntrl_ns <= DATA_SEND; -- o/p else mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p end if; elsif( (addr_cnt = "100") and -- 32 bit (Addr_Bit = '1') and (Data_Phase='1') ) then --if((Data_Dir='1'))then -- qspi_cntrl_ns <= DATA_SEND; -- o/p --else IO0_T_control <= '1'; IO1_T_control <= '1'; IO2_T_control <= '1'; IO3_T_control <= '1'; mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; qspi_cntrl_ns <= DATA_RECEIVE;-- i/p --end if; else qspi_cntrl_ns <= ADDR_SEND; end if; end if; -- ------------------------------------------------ when TEMP_ADDR_SEND => mode_1 <= Addr_Mode_1; mode_0 <= Addr_Mode_0; IO0_T_control <= Addr_Mode_0 and Addr_Mode_1; IO1_T_control <= not(Addr_Mode_0 xor Addr_Mode_1); IO2_T_control <= (not Addr_Mode_1); IO3_T_control <= (not Addr_Mode_1); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_ADDR_SEND; else qspi_cntrl_ns <= TEMP_ADDR_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= ADDR_SEND; end if; when DATA_SEND => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1')then if(no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else qspi_cntrl_ns <= DATA_SEND; end if; ------------------------------------------------ when TEMP_DATA_SEND=> mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; IO0_T_control <= '0'; IO1_T_control <= not(Data_Mode_1 xor Data_Mode_0); IO2_T_control <= not (Data_Mode_1); IO3_T_control <= not (Data_Mode_1); stop_clock <= stop_clock_reg; if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_SEND; else qspi_cntrl_ns <= TEMP_DATA_SEND; end if; else stop_clock <= '0'; qspi_cntrl_ns <= DATA_SEND; end if; when DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; --stop_clock <= SR_5_Tx_Empty; if(SR_5_Tx_Empty='1') or (wrap_ack_1 = '1')then rst_wrap_around <= wrap_ack_1; --if(no_slave_selected = '1') or (wrap_around = '1')then stop_clock <= wrap_ack_1 or SR_5_Tx_Empty; qspi_cntrl_ns <= IDLE; --else -- stop_clock <= SR_5_Tx_Empty; -- qspi_cntrl_ns <= TEMP_DATA_RECEIVE; --end if; else qspi_cntrl_ns <= DATA_RECEIVE; end if; ------------------------------------------------ when TEMP_DATA_RECEIVE => mode_1 <= Data_Mode_1; mode_0 <= Data_Mode_0; stop_clock <= stop_clock_reg; --if(SR_5_Tx_Empty='1')then if (no_slave_selected = '1')then qspi_cntrl_ns <= IDLE; elsif(SPIXfer_done_int_pulse='1')then stop_clock <= SR_5_Tx_Empty; qspi_cntrl_ns <= TEMP_DATA_RECEIVE; else qspi_cntrl_ns <= TEMP_DATA_RECEIVE; end if; --else -- stop_clock <= '0'; -- qspi_cntrl_ns <= DATA_RECEIVE; --end if; ------------------------------------------------ -- coverage off when others => qspi_cntrl_ns <= IDLE; -- CMD_DECODE; ------------------------------------------------ -- coverage on end case; ------------------------------- end process QSPI_CNTRL_PROCESS; ------------------------------- pr_state_addr_ph <= '1' when qspi_cntrl_ps = ADDR_SEND else '0'; QSPI_ADDR_CNTR_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(pr_state_addr_ph = '0') then addr_cnt <= (others => '0'); elsif(pr_state_addr_ph = '1')then --addr_cnt <= addr_cnt + SPIXfer_done_int_pulse_d2; addr_cnt <= addr_cnt + SPIXfer_done_int_pulse; end if; end if; end process QSPI_ADDR_CNTR_PROCESS; ----------------------------------- end generate XIP_QUAD_MODE_NM_MEM_GEN; --------------------------------------- IO0_O <= Serial_Dout_0; IO1_O <= Serial_Dout_1; IO2_O <= Serial_Dout_2; IO3_O <= Serial_Dout_3; --SCK_O <= SCK_O_reg; --SS_O <= SS_to_spi_clk; --* ------------------------------------------------------------------------------- --* -- MASTER_TRIST_EN_PROCESS : If not master make tristate enabled --* ---------------------------- SS_tri_state_en_control <= '0' when ( -- (SR_5_Tx_Empty_d1 = '0') and -- Length counter is not exited (transfer_start = '1') and (wrap_ack = '0') and -- no wrap around --(MODF_strobe_int ='0') -- no mode fault -- 9/7/2013 (SPISEL_sync = '1') -- 9/7/2013 ) else '1'; --QSPI_SS_T: tri-state register for SS,ideal state-deactive QSPI_SS_T: component FD generic map ( INIT => '1' ) port map ( Q => SS_T, C => EXT_SPI_CLK, D => SS_tri_state_en_control ); --QSPI_SCK_T : Tri-state register for SCK_T, ideal state-deactive SCK_tri_state_en_control <= '0' when ( -- (SR_5_Tx_Empty = '0') and -- Length counter is not exited (transfer_start = '1') and -- 4/14/2013 (wrap_ack = '0') and -- no wrap around-- (pr_state_non_idle = '1') and -- CR#619275 - this is commented to operate the mode 3 with SW flow --(MODF_strobe_int ='0') -- no mode fault -- 9/7/2013 (SPISEL_sync = '1') -- 9/7/2013 ) else '1'; QSPI_SCK_T: component FD generic map ( INIT => '1' ) port map ( Q => SCK_T, C => EXT_SPI_CLK, D => SCK_tri_state_en_control ); IO0_tri_state_en_control <= '0' when ( (IO0_T_control = '0') and --(MODF_strobe_int = '0')-- no mode fault-- 9/7/2013 (SPISEL_sync = '1') -- 9/7/2013 ) else '1'; --QSPI_IO0_T: tri-state register for MOSI, ideal state-deactive QSPI_IO0_T: component FD generic map ( INIT => '1' ) port map ( Q => IO0_T, -- MOSI_T, C => EXT_SPI_CLK, D => IO0_tri_state_en_control -- master_tri_state_en_control ); IO1_tri_state_en_control <= '0' when ( (IO1_T_control = '0') and --(MODF_strobe_int = '0')-- no mode fault-- 9/7/2013 (SPISEL_sync = '1') -- 9/7/2013 ) else '1'; --QSPI_IO0_T: tri-state register for MISO, ideal state-deactive QSPI_IO1_T: component FD generic map ( INIT => '1' ) port map ( Q => IO1_T, -- MISO_T, C => EXT_SPI_CLK, D => IO1_tri_state_en_control ); ------------------------------------------------------------------------------- QSPI_NO_MODE_2_T_CONTROL: if C_SPI_MODE = 1 or C_SPI_MODE = 0 generate ---------------------- begin ----- -------------------------------------- IO2_tri_state_en_control <= '1'; IO3_tri_state_en_control <= '1'; IO2_T <= '1'; IO3_T <= '1'; -------------------------------------- end generate QSPI_NO_MODE_2_T_CONTROL; -------------------------------------- ------------------------------------------------------------------------------- QSPI_MODE_2_T_CONTROL: if C_SPI_MODE = 2 generate ---------------------- begin ----- -------------------------------------- IO2_tri_state_en_control <= '0' when ( (IO2_T_control = '0') and --(MODF_strobe_int = '0')-- no mode fault -- 9/7/2013 (SPISEL_sync = '1') -- 9/7/2013 ) else '1'; --QSPI_IO0_T: tri-state register for MOSI, ideal state-deactive QSPI_IO2_T: component FD generic map ( INIT => '1' ) port map ( Q => IO2_T, -- MOSI_T, C => EXT_SPI_CLK, D => IO2_tri_state_en_control -- master_tri_state_en_control ); -------------------------------------- IO3_tri_state_en_control <= '0' when ( (IO3_T_control = '0') and --(MODF_strobe_int = '0')-- no mode fault-- 9/7/2013 (SPISEL_sync = '1') -- 9/7/2013 ) else '1'; --QSPI_IO0_T: tri-state register for MISO, ideal state-deactive QSPI_IO3_T: component FD generic map ( INIT => '1' ) port map ( Q => IO3_T, -- MISO_T, C => EXT_SPI_CLK, D => IO3_tri_state_en_control ); -------------------------------------- end generate QSPI_MODE_2_T_CONTROL; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- QSPI_SPISEL: first synchronize the incoming signal, this is required is slave --------------- mode of the core. QSPI_SPISEL: component FD generic map ( INIT => '1' -- default '1' to make the device in default master mode ) port map ( Q => SPISEL_sync, C => EXT_SPI_CLK, D => SPISEL ); -- SPISEL_DELAY_1CLK_PROCESS_P : Detect active SCK edge in slave mode ----------------------------- SPISEL_DELAY_1CLK_PROCESS_P: process(EXT_SPI_CLK) begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then spisel_d1 <= '1'; else spisel_d1 <= SPISEL_sync; end if; end if; end process SPISEL_DELAY_1CLK_PROCESS_P; ------------------------------------------------ -- MODF_STROBE_PROCESS : Strobe MODF signal when master is addressed as slave ------------------------ MODF_STROBE_PROCESS: process(EXT_SPI_CLK)is ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if((Rst_to_spi = RESET_ACTIVE) or (SPISEL_sync = '1')) then MODF_strobe <= '0'; MODF_strobe_int <= '0'; Allow_MODF_Strobe <= '1'; elsif( (SPISEL_sync = '0') and (Allow_MODF_Strobe = '1') ) then MODF_strobe <= '1'; MODF_strobe_int <= '1'; Allow_MODF_Strobe <= '0'; else MODF_strobe <= '0'; MODF_strobe_int <= '0'; end if; end if; end process MODF_STROBE_PROCESS; SS_O_24_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 24 generate ----- begin ----- ------------------------------------------------------------------------------- -- SELECT_OUT_PROCESS : This process sets SS active-low, one-hot encoded select -- bit. Changing SS is premitted during a transfer by -- hardware, but is to be prevented by software. In Auto -- mode SS_O reflects value of Slave_Select_Reg only -- when transfer is in progress, otherwise is SS_O is held -- high ----------------------- SELECT_OUT_PROCESS: process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then SS_O <= (others => '1'); elsif(wrap_ack_1 = '1') or (store_last_b4_wrap = '1') or (SR_5_Tx_Empty ='1') then SS_O <= (others => '1'); elsif(hpm_under_process_d1 = '1') then for i in (C_NUM_SS_BITS-1) downto 0 loop SS_O(i) <= (SS_to_spi_clk(C_NUM_SS_BITS-1-i)); end loop; elsif(store_last_b4_wrap = '0') then for i in (C_NUM_SS_BITS-1) downto 0 loop SS_O(i) <= not(SS_to_spi_clk(C_NUM_SS_BITS-1-i)); end loop; end if; end if; end process SELECT_OUT_PROCESS; ---------------------------- end generate SS_O_24_BIT_ADDR_GEN; ---------------------------------- SS_O_32_BIT_ADDR_GEN: if C_SPI_MEM_ADDR_BITS = 32 generate ----- begin ----- ------------------------------------------------------------------------------- -- SELECT_OUT_PROCESS : This process sets SS active-low, one-hot encoded select -- bit. Changing SS is premitted during a transfer by -- hardware, but is to be prevented by software. In Auto -- mode SS_O reflects value of Slave_Select_Reg only -- when transfer is in progress, otherwise is SS_O is held -- high ----------------------- SELECT_OUT_PROCESS: process(EXT_SPI_CLK)is begin if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then if(Rst_to_spi = RESET_ACTIVE) then SS_O <= (others => '1'); elsif(wrap_ack_1 = '1') or (store_last_b4_wrap = '1') or (transfer_start = '0' and SR_5_Tx_Empty_d1='1') then SS_O <= (others => '1'); elsif(hpm_under_process = '1') or (wr_en_under_process = '1') then for i in (C_NUM_SS_BITS-1) downto 0 loop SS_O(i) <= (SS_to_spi_clk(C_NUM_SS_BITS-1-i)); end loop; elsif(store_last_b4_wrap = '0') then for i in (C_NUM_SS_BITS-1) downto 0 loop SS_O(i) <= not(SS_to_spi_clk(C_NUM_SS_BITS-1-i)); end loop; end if; end if; end process SELECT_OUT_PROCESS; ---------------------------- end generate SS_O_32_BIT_ADDR_GEN; ---------------------------------- no_slave_selected <= and_reduce(SS_to_spi_clk((C_NUM_SS_BITS-1) downto 0)); ------------------------------------------------------------------------------- SCK_O_NQ_4_NO_STARTUP_USED: if (C_USE_STARTUP = 0) generate ---------------- --attribute IOB : string; --attribute IOB of SCK_O_NE_4_FDRE_INST : label is "true"; signal slave_mode : std_logic; ---------------- begin ----- ------------------------------------------------------------------------------- -- SCK_O_SELECT_PROCESS : Select the idle state (CPOL bit) when not transfering -- data else select the clock for slave device ------------------------- SCK_O_NQ_4_SELECT_PROCESS: process(--Mst_N_Slv ,-- in master mode sck_o_int ,-- value driven on sck_int CPOL_to_spi_clk ,-- CPOL mode thr SPICR transfer_start , transfer_start_d1 , Count(COUNT_WIDTH), pr_state_non_idle -- State machine is in Non-idle state )is begin if((transfer_start = '1') and --(transfer_start_d1 = '1') and --(Count(COUNT_WIDTH) = '0')and (pr_state_non_idle = '1') ) then sck_o_in <= sck_o_int; else sck_o_in <= CPOL_to_spi_clk; end if; end process SCK_O_NQ_4_SELECT_PROCESS; --------------------------------- slave_mode <= '0'; -- create the reset condition by inverting the mst_n_slv signal. 1 - master mode, 0 - slave mode. -- FDRE: Single Data Rate D Flip-Flop with Synchronous Reset and -- Clock Enable (posedge clk). during slave mode no clock should be generated from the core. SCK_O_NE_4_FDRE_INST : component FDRE generic map ( INIT => '0' ) -- Initial value of register (’0’ or ’1’) port map ( Q => SCK_O_reg, -- Data output C => EXT_SPI_CLK, -- Clock input CE => '1', -- Clock enable input R => Rst_to_spi, -- Synchronous reset input D => sck_o_in -- Data input ); end generate SCK_O_NQ_4_NO_STARTUP_USED; ------------------------------- SCK_O_NQ_4_STARTUP_USED: if (C_USE_STARTUP = 1) generate ------------- begin ----- ------------------------------------------------------------------------------- -- SCK_O_SELECT_PROCESS : Select the idle state (CPOL bit) when not transfering -- data else select the clock for slave device ------------------------- SCK_O_NQ_4_SELECT_PROCESS: process(sck_o_int , CPOL_to_spi_clk , transfer_start , transfer_start_d1 , Count(COUNT_WIDTH) )is begin if((transfer_start = '1') -- and --(transfer_start_d1 = '1') --and --(Count(COUNT_WIDTH) = '0') ) then sck_o_in <= sck_o_int; else sck_o_in <= CPOL_to_spi_clk; end if; end process SCK_O_NQ_4_SELECT_PROCESS; --------------------------------- --------------------------------------------------------------------------- -- SCK_O_FINAL_PROCESS : Register the final SCK_O_reg ------------------------ SCK_O_NQ_4_FINAL_PROCESS: process(EXT_SPI_CLK) ----- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK = '1') then --If Soft_Reset_op or slave Mode.Prevents SCK_O_reg to be generated in slave if((Rst_to_spi = RESET_ACTIVE) ) then SCK_O_reg <= '0'; elsif((pr_state_non_idle='0')-- or -- dont allow sck to go out when --(Mst_N_Slv = '0') )then -- SM is in IDLE state or core in slave mode SCK_O_reg <= '0'; else SCK_O_reg <= sck_o_in; end if; end if; end process SCK_O_NQ_4_FINAL_PROCESS; ------------------------------------- end generate SCK_O_NQ_4_STARTUP_USED; ------------------------------------- --end generate RATIO_NOT_EQUAL_4_GENERATE; end generate RATIO_OF_2_GENERATE; end architecture imp; -------------------------------------------------------------------------------
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/blk_mem_gen_v8_1/blk_mem_output_block.vhd
27
17222
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ksr82/EJdyTi/ZnocplaChIHl5gVfg/QywOs6WHQUUTVobYB9S2t7HfNHkvfksORtftr4wgSGG59 dqflxrTk9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qqej7lC/8l20xFx7bklclhPhbKpE2SoVMnU8o5jHyjJozBFHGWWzSqcy2OHoxuRC4svtWcuXPZER AveySsBsquyvS3CpwUhQC4HU879mrvq1rktu6YiGUKekxqqq8XWVjGU2RErpRUag/ydvNbNrFWxX vuxu46YvGNDVpOq465c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 439mpd6b2KugK1Dxw8VAcq35Q01RTqPVrzIbAJdjxQbya32eEZ7i4WNiFuVZ/MAk52bZBtBQiNHc mNfbIfQciIHmnAXJEN9w/4VODhRIcUMrMjQwAjn4teKfB1tg762rR2jvGQ50Ai1Ml+OYADsAGJtF URFceTs0yqpLMxJ8Ov/lGmeNw5dXmLiwn/XRqtS/K35VTjZyDUeHpQAr9q51KY6k59LrSFC7lxxB mXX0In+fzXXlrh0dFFwLWzscDXHiKjrU4bwWBuzmrkKr3uCoEG0OADwjka6wlXo/Z2cEkTpiK1Qy MmZH9UXQxrxTgtpOMmK0pjs+MfXf5/7XzeJsOg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block porEUqarzWQ+a43o1KcgcLOOq13cwiYUyYcVmnYhbdWCiVlWWfN80U7oRzW3NODV8vTOFdEeX0/T HiPsKQYOSEqQjf71FVXt5Qu85a7gangJ+zMjyuk8+m1c85rFqWapoLbPUbexfLeiEmybpwcybBzj rIVwXl1qRv1R4JNRI44= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block s6/C7NZuQyYs48nVSWrZBvdUw/cGGwVNCnxc6+Wr+hB+GSdh07xJnxht3+mpM71wbe2jyi3JRq7M A8Qq9KlqvpjZ87ZnAxTvr8P4OZV0DRnim60u79JqHUDowRtwBKuWK+fhBBqVkg+I/GuK0CQAje2N 3H5CzXagxYQGmhNBvdIDYAmWiG6ymENT9OP+fdf/JngSq3sbaQDhuOCrSGCgAWuZWv28vEMvXd4d VKm66HgH4TXtJpDsYN5kTW6gEWdi7cV3KJRDsY6jA9RzwyOOBsMl8Gl/UvSGBWbIshxBeydyVUyg 0jabYqp6ODPXSowz5ZkW1y4reTS+cozycJAuMQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11008) `protect data_block +DPohmlXaQQHt4ZhHFY2jUyqbZ6LKkayLiEe46UyrX4BlRctDqqXC5YlMm/A44z6AjVv9sd8S10U uTD+TfvxVVrfg6rStHobla6O32m5hy40XzRSQqqP1gCJHTn7K7WDwZr9OU0hkA2DS7x5XQ9YoYM9 On+6Uw6jV5stF6+AZc6miePast2HyUI+T1TVk/IsWtHPjav/5sJkIYigCjE6cb2njUCKTKZndIED +3m+Y2pfNpDetDfrwbFSWjmPCwg9LHMzGZMkBuj6zVjynNa+e2le32swBX25Wjecn3hGhr0xI4sb mV41O7aQlg7j1IiLZfb7DRC8hdgp5riGRTK33r1bhkNaVVuWQ+Cvnc8gMTC+lSSjYxnN7XSFqZQp QPLbITCItjB0fxAnSz17jB6AaRFIuw/FyKDsbirTNmujUXmtqROXIkNHzUS4O4Eyjh0C6MWFl2r3 Mnpmv/VcdDBiZUICFhhNDX+LdcgCB3Q5fGcBrx3gwARWUPix+bjfT5Xul/hOXdx05hYr1Fw73n19 yevCWRdtP9c59XF5Iq35rVE8hHbmyh3F2Cb6Y8bCD2SjIKdEfmK72wVtfqAEvpZi94J/4sWlsTsF kfk7HQaQKjTux/Z9TyeFeopdxQQad1gMmfwMjRR2UFblYjLuNKo7Sz32sM/dlQTrdN57WkZSPAaw SvZZDVZ1G/Z9EsctY7PV9SEcjEv/sSzUl92vvlToRsDKv5xiG/Ofjz8XI+IIAqp4sDQ88RJvmEGo zak7qdqVRbYdQF7mbNCDg4sUkJboX2PngL/CEaZCiVGVad0KJxYvUxAuYRvhzhRUJCA9bDOraRVu SmxfA+Mo12c6Im3EB+UmhgUikqVYRNyCTPdatb5IOkzueLjto+i6+eNh3/gGnJ6dYrAWJaS9G1U+ 8UVoTb9u6+xwrLtCLm63NCzYMpwFJceY48XBrca4hmInW1CrgD1PnuYwOuimRTvzS0Hj5fn4htHh wmqa1Re3+gJKznnDfdAfoHVUqFuhZgboXZ3phvQ2fd7XzRwdxxYSe+fNlBf2i8LtnagoAKFPr1hN HcCbMyieVauGAogGtS0OxUOtoMldC41qqcEYgJs6H/OHv7UC9Rt5DrwGdjcPpoKtVGSpge1ij74t Qvd4fBiDxXaw58k+Qzd0c+X3lY3P9FmlcEh1r7KEUaWKnBlCnjbH4GMssYAQvb400JAluZ1LFrYU KIUicXYnlC4Q9+IV26X6sJh20eur0l55oAsvlTDkvdOgyJ3gOwAxEmL5HmRzOtWtl58/LHAkoiKz 4VtcjBH2DSlRkYMVQex6Ygs3qBQQ2RGzMv/XimM7393Wh8A+puTUvIvZ6xSjeZ0mG2WPDlim22Sr kCRx9yGfyY/HsZLV+52ZKIVU+xnc31GyGTVUm1nvtykB3SL15htbHn12+n8OvuaMO6Qx9PZVPuIw rLEG4hKnP49p7wGNxQREpq2O2njvGKcfmDMEyXq3NXcvoo8Im/c961nktkwOdaDzuFRSd6qz3Wla Kc62ZznhvRr8SGPPXsrSuhWU5QG1WC3c98MSuDRXLw96Mq1saAkv2tdph86EKR+sPtAWuToKNxRr 9DiOm3785VWGjKSGrCRm7FqzmG3wbl7K9A+8Bawbg/znMjWpFSeuyMWVlOSW0H2WQoqHEGyZ/Ctk FE+B4eGUxoFdQnZMc8myjymYgJ9wLWe99UyO12oc5OAcgwlv/kqCYfyKs6e7D5IVycb+ECncHE4u qvFijh0m32eCuUGqgRA4HbxCilDhjoEfpBPUcy3WxB4hTfVUjscrhQrbx3ixjox0uXlNLHS/iVAF FLaB1b5C6U8IqxE1n7LY9kpqPoC5vMrhIdXpoobq25akIMAl3nk0uWXXd2pWCTpjIVgOCS2Y8EYg iLIHMQ00vFX5CAlUSyVj05Bv7SE+WuP4pKHr4AUuD7jfjutl/b66Nb4kAKLsCBTqYlNzzAJpTX8q M+MoosaJvVifWgxX0dBLhct4E4sBeTOeTqmMRvOtHhM3B/5Hw/x6PCrVhPP3o73JG26qTtEAdoiY A8e2YGjNChm2MvKUbhGxOh5bxo+uJJ4z4XLuwRK4dj8+qroHP9UnEYnAOCECnO5AK4B0jAL8Uevk LQGIOX+W4vHxL1oLBVK1m3NMIcRuN9PChjeJnSKtGidHKqHgYej//kOBpFIg/tVQ/rD7T6LZcpeC 5v0qXIAXMAiPnxQc6Xf6b8p6BtY916iFh/YTSUAqz0RSunRt30TY1xwxjWoG2lQoYMjhbdZmlDnX JQ8YiwoyP8dkO1xD6YakjwRt0OVwIygm6SeuleDAq/Rs5HDTVVGll6ox5L73WCu792ckkdlkpuW5 tNZUbz7pwgKOdRGhRoQChNB7CvX20C1LdlxQLBvHipTdebOmdNHM5yHYtstrX8Sw8otPYy0f41pK dO4tVG8V9yOxuCS55a1Fgz5Dt+nwXPVMBJTREed2YRgkTqENgzBGdQBysDQfyczQwenmz8Y7On0u rBB/9C1V4dFA+QeFVSgkOlxhRrfURXTft0qvs6Fz+06v32VlyVsk3zGMBz4GccKHhGm+lhjwqWTl Pd+2CIJn45D6nNEYzGeGdLepQOibfn9h4nlk6OgK8GpKzwvfj8xikRmJABlE6WFc3kz3NHzpyIdS 2R/phTqFjTe82aHxrfPfpi66Jcv+vAP2JrVO9dVqZ93G5Ot/MY+gO57xu59dxcaj8SrNOJcTgOw3 Li2tkAiTJBd1gHuCy/mljuEGoPDRG2kWF2YPPi+H50xc/T1BIEcnntNj9CQDcrC2ElcTq1FE6d+L loTxdj0ZwTyjVkEZmuMVZAFH6u4a+fFK0omP2emIIRb23PahWFNCE9J7kVJilcGfppQ18eLvNRev 5kIot/U3lm4wguCX4sYfHDYwKjfQ4PBZ8oQQKg0Zo1xXPW9aIywqYTeurMjQ30HCkiaGX2lfW9kN bZLCAemdGVAw/B2brn6DPMbMsbEPsupkzEfiq2ZfERnGj7WMzPQ7CeGGT+15ahFG66uqL8KBuRC3 +KM8r3459npP1hm4FB1zQ04xldvbwXTMPYwhOJIxOhfZ1lRU0Y2CjJQEAHKJLTopw0ggT6BUSTYn R5ix8uaFB+buwrdn7DWoS/lTQnt6w3HAKVJ9xa68ZP16cAGNb4OlqfVHJgoUiqjXdmNcLlfkQjoG nWwSaRuFoDU14xqBwUumBhxuFcGp30gwJyWceumFjGK+QJLZOTG4jY26WvAVcKcTi6X6arBxMGQj d62K5U/J9RTzDfQmSS5PWWFlnQuQmHdOy/iuPYriozJcXbHdVGgDjXXAS11ySKKkFmAHCuL209qK W8xZhepJAcwLORdaC3YblP4sOOft1YECFrK/j1m2nKg668cEaw2QB/1cbFmThWvlTY/TwvfuVP0L zBIPubnuparAzkCC3dXjDQjbBhAmuO7pD1sjsAOKrnz4wg4cW7VljncVnSaZKNqsGnLo6MgcWJu+ i4R8nQx/1/NLCsRpiwCgF8lctxvqJD9JafLyQwjBtZpxHgUh5PgCLRPAQO9YcOAkhqrjjg9Dxq/N 4NwPW9GjaLyQgSXuJm/5v/5bn6qzxY4faLiVYpMbhy8XaHfidmTtdV2pg1VKZ6Ucct//SAgsoNDZ OUzLgq8Y6aet7W6D9i+lwSJB4m3pm7KK94oy0tOkwZiZS3eMOmaBYfRkuWcDUh+NlKGkMdjhLieU GsZvIk9QYYc68o02oxYG5XUgq/Lxw8nG92ugM/n6DCpmDoc4LG5MEpAQjWeRnSo4L01BcZ56MLIO tQWtvB9t/BYkkzU6dH4TutzrP+26FdigRGTDP1/7Wlc3yXGPGlMigjzokLT40JXN7wNQvBkdIfvh 1gABn8PKvH5VKK/UZJLrqb2n6FfDSVOTQqN4xM/a2sAeJv1x/cBLp88N405p+VWIyyNhZT6Md64q KGlXOoEvPPWD0+yx3vBoemFE5xMvD+TAGg9re9/AqxJ5i1UBGMUvgHyeiPG6sxTfatM7NzFkSD1W 86CmuzBK5f7pf2DsVfBqJcgL7BzEfhP6PdPs1l1hj52jVeNZwqmTZLk7UHJ4VwcrgDD3UWLTSYZi siaZXvWi990Z4q24YMyLYsCfHOy3gUQPKvXwhxJQUyfoAEI8tXIO/uTccxoe4oCVF9vP0YZRYrkG 625DV1axalnAF1H8lRTV+8kzwBS/xxzWKydm+wYmkJ3SNW8T0X81zL0TmxYAydCzhDa4moWSbaqP crh276lP+riLrsY5B6j0uOzvlaDbZEP6dE7jGO3ptw3N98zs4JvRTHCYR0n0jCjVPXKvBYLTHLLY EOgwWWaaCeuqIwbB9kaBckx1FRNOuUKc83kDfRj7SiqH19HHWpJOQZQPJ60x5F+7HUjkU3jUURWl ZtNRSo9UWp4NVEu+JBspwIoyqojU5FkxGPk5Ibabc8FBd6rgTpqZDLr4jMEAfxJEoijj4Q5LaS0Q lJAL8PdeVrl2dmCVQDx7tVfYvSV2s3BXKFEFqif+0pCiVI4wUsNvEPhgJfO2GjTAXBHnKJI6oIHd NdQiUS7syvvBNYpjbNV79q0CjgrVWRv93haC/uS3ixa+imI8cXmhc+8Z8qPvR3H4WSTYCxwPqml6 qGMy7Vpxh+d7ugCd9vzhzUVNsUFAHQRxEV3nXS7XOYvWh83JIkrRrA4eOGbm5FnEOmKk0vn4L59e 90VokD0FXUy5aYhthSdyh3WqKvv3zXiH9+Uy398dy2Tq3ceSvzFNzLWMSSHOmlTYef+wGFcRdjDj CLcN7eBnzScdAFqHALo3o6dgLsrCxQwETc3oY3lG5RQLiRYSQHhkbv6xZ5wVXdlAsIPOCncqIlC1 d0QDjqDrnEohFGbL+B6vk3fyyiSMnrc9ymZaCyL/ftUjOM2YiMO3yOnaarnD1xfI9NxTSFG4QZsD 2JOblOQwhZLK8SCfQv1C2Tj8faYkysvzVdynRCnsT+wtkrJTX++JMdgX3cvP7rBiBnp9E65Md9dE G/skAtUvp+HOFGG1DdDfRbXlRj5hLfTU4Z1zJln8bfDvjH6iQQXJ8g/5kLDncGDoxwKJBivgxjJz 3rvCuQVYLeUgHk7J6gOlfNh9lywyF+R7OsgxEJhniSoJ4jJKPgeNwQEv7O5/H1ofukVElhIZnssR EAbrYy0NguOhRChCOsgkFc8aTU4m1thNNfk9g8gP3wbx5f5wfs+kh5asgPooLkEGDhDmtp67Ln03 oRY9y/BDb/rF8nUFw262HGs2msJGH+BVfmpgMG7NDrUeDzIHC3Mt0BraM6H6ydjqzZEif78tehr8 AOqr4FP2kusGieOToCfNgiDliHJjJxg1hlSVQyx2rurqbQW6uJDOqpaXLDQ+AdNVKOTBvUAI87rE HF/y0DBzWtWNIuRCT0Ia7L9Hfi1ksk6yJIzXFco9rqL+pJzZP1rG/jdLR9RAWeOAj/aR3VwzChOG 9nbmF3X42isPY7YIiZuCH0772Pj4IoD0TnQG8On/UlzBfKCbkycZNi1uu6dXteaklZu9NkcJrZZt 8T8rdr4WLiwdnARzm2oMRrUyqZNm7YDE/A8VT8pwwAK+Kf1MLvlI9jV/Ru1zAufvSciGcpdhqqdd gNCWv7CZjuxRSpt3IwhasWdxwGFJ7hZcOnGMpD8CiFr34IIDxF/uHbSM6838pFcMOjA0v9v7pH5w zI7wTR4PBuszVDrzv+Gj7C6DpEZL17lEnlKQKt13eLtUHaM+ZJRTJobACsL0AQcxmXwm4hT2IsHS dW/q1oCq3kdph2KDvkJml0iD3TCVYv5FG4E7Wdaz0lY8EmafOHM5m4sE3HIZSUWuiRdAY/p+GHqk kGqcx0/NM67bqmG4bF+7eSWmsPlutSyx0ZlGfZRryqwO9syMKPyrKYwlefhaNJwObZEUE967KJWx yjlikAa1hhL6wWgb0c/d7z5RgAJAwi65Jt88QdOVIt5CPfTknmTOGwxN6ZUs0uzG2rwrVQFqtsOZ eFS3ivRm64fpnwQ/NIiaew9h/uMVzsFUfXMBP6LajBYrsimjvup2VSrpThRJC5q2OxOSEY65Q/vL xfgNqkKY19d1PYVqamJlpYyeBUi0FYysvsQfKc+6sKOhIafvHcdzXojIFJeRpnaMizlnwb8mvB8i EM10QFNd99EE7etsO5w2uzQX5ahMrOsADDgQxm6l34bgUWdfHCxgDxfpZ998pL0mao3rPclAhZJE kZb2+Ql+1uIa1c/GPSPIQZOg2ldpbbWhBn4Qc3vd4w132nzjbZ+tmuDqk6y2fI7rlpXuA2tEKsCs EJo/pLK3ztctT+djyF0Rn9R/KahB1l8R0QWTicIT+Y69S1s4P5XFkufNKoUygpkWg3iVEcn9fTVc On5jKoRf19PBHxyNIX9w7+NZ/vlHkPLPrtJNnJgsT0CxGJK+RDe9eDaAoW8KrCSldiZtgiHXpY1m uwjomkdR7rCufD+Xq+kOGEmhC26yR24CZQuCdFRqhT5dE60FmQfifjohSBO/PONRiKvv9bz2gju0 CljqQG+HOFofDvPvQ7j01I0ZUjrtXCsMZemMhw/Ta8GskdtfDzhADWkeS6l+t5VT3RwJ7PYNdiBw ZgVIoi0zoBn7TqH4utJ/U6OvzSxqMKwiqmaINx+LRNznoHPifjHusuFLS7coLzTgtEeAHIWJ+gUX TeB1D+BH0yUqQkTU2qor/V0kR78TfiPnKuK5S51Ae4mqfo3nbcgTVFAfD1AI7xpQJE5uu2qfrK8D ywgYJnQMGCowoX2AUwngFgltJs/B2f9Pq/JtV7JS/AdwM2nJgGd393HndUchLUlQoYchMVP378NQ AhRCUxUmGDD6eOEZsS0CPaA6SbCVRQXtQMqu2u5dtzLQ39VITmorLrqTRCM586wIw9/uaXXvYauL p+l+knKgXVrBse/3ZbtRoZ7jtVC4tBeNENzoo/UZ0vC7kNP8RDR5SMd8RiHABEP/u3iNof5m7Mo/ nzhBXikXWTs6pPHxpBIJUUXpN8w6q/ic+M6WaB8ZHV8e5Ur1mUjqnJUBfAkRn/OMK1Oz+sfY1KAR R/zIKqLHzlhwlAZu8445TxyJ+Kf7PzP1DK9UpXVQb8p9T1rxJaX3b+izbQWFnFsvcKfG5HIIjzUC ccONKGGBTwDmqTDreE9Rdr2UEhknhAOfgbgg+1yYO7ADRLYp3Wu9xzpFqhObKrlCwpG3RgAwaGQD VC4D3h+7tpVl8CHjXOcTNXejiAlE6U5fFiTzrHp9lKR6FjxqFJh8EpeBRqskYmx3rubtFjb2Tzar JNpYhmBx/p8QWrehUjm6C9c90GD1RFWyO94xJ1InoSbX3y1bYk8x5U3P1gUPrEXMZ1lhEWom0gdS bWHyBD65/q1DwcMOAi4avE74h0Qsi0gOZ2u8xAKrRihS1kG4x0LI5jprQ4wKK+MiIUlFxC10tL44 8RPJj1wAEqYQsxhvECT0lhJymnI+xr/6xPQpPyWE5qsGsB4n8SY3/nHkkHJC1wV2sDhzZ8xZnqX5 /vvRAIUXbSriWsLIhlhorQiFBzgSv2yjoNpe/8MtHAflpDRgbG8sh0dZSlqkK53aG3C1bREbnFTc F5PwYvdBIOG9I9J4kRH2pliqoGR5zIYW+eB4S4dlxtyze1sd8EzaxgljLRc9ToUwWfvR6i+bCagm vCZSOPYRIn0GLS/GIfQkfyRuBYjd0rYDNgDA4x7UtZXevggeWaqaR8MJpf/b/u6Oq7AaOqyKSkL/ OR7jxdO8bLi+xT0feXi75X7OfGeeDmcNjsPXiZPzkZhlbxa+pI7pJXSMiJK/QoKfevvXwWeq4OXL kO8Uzj/85+08nsbVI4wJtCXH5QXzYwYgbbq6Jl1WzlU8MKfJd6rCKS3ILPzEFrK2n6P11tZURxrT NFcvE6ekS70GhTSbT236fCKJYBoDEzhzcRUmyG6HzMgnq2JcdPrhXoj7JQhpA+4kEwN+paNEQt+a T8MM6tJL4HP21m3a8sbrgLb1NpdHBK9moUpcSfd0b83bwxZq6Cty51Yb0f/CTv0yhW+IIj1MEw3z d8QK4Ib7hYh3yfvkM2Y/pSVXQ+XovTSqzd0k8tr7mPvSRQkWoWreBid2nPmSyXb6wjfazweDIb8g w5gfp8dObo0lgkTjBdNlEnvnys2t54ijucNmpq3Wn/IhV/T9rmosGmKiKJ+Y/z3sxfsg77P6Q9tw ewQkHIj9B7jKl7W+ERrZ74HAYidZcl9rsb9uv2+FJgNqcOOIXmKxWOrsc3bY/VnSntgdW/9p9PdY L8i+o8tCLor1Q/gj1yWOSB51e1Hbe0YIAcTgkHqDd4oEsw/1qCbzlB3CMS5vuVQcv5NIt/6BS+7J ZHKyA+TfAIQj0hLVMkJ2Bz10dkajI3k0dZ6jR5HvuboqJBdENFX5kVYwqqJ7O4qAyHzCC5Ddl8Bj Efaov60cXoUJawhk5PjiPrsAdADi5T+guYHYjb4ytFezoboQGS7zABKt3mZY3LjGCpMjRGsfNwfu sgLNy/OzyLJp+2z1GuobdBMtMxipwBxHNX5cxEJT8mHWOY7C8tajNUhvdeKH3vC5mB3BeMP0zuWn DDFPpjCpb7jv7OnjKo7TO1U1no25mHMowlwu6h05UyLlcaZOTxSeXj8loXNXLq0eMlf6uMi9RlFA 0thfDqiVPTrpjCRTFsiJzZDrwkpiGdglZ3oGnOYoJ3zrVJ7MRzcjKv3Zig1tqfpTzflhBUtwnyNs qmkPj3e0B1Fh5Z+a7HC4RxbYBJ5mHWwJrFFTYH3mAdGF73/hxMTQ9Gp3DxZywop9re0EdCQZ4yNT E5v423mYre03ErhK5VxUW5tSpAF7A2hHza69by1l9fOTs8BkaNPxOP8xPZNOmsQ7k7ChkBzpX+u4 D0VBk5WWXP/FNexO/T93B6NYnRGdsJBQ0G7sWnuh817H8Rj1ev643xcWYqI60by3GukXqkr9HDcZ MPOU5xjkUilZyCbkJ1PYaxqV9GBgU8mycd9oG/uOJrxHJ6sE/zA9N1agIP69LEu9MhqYxNHNTxp/ r2fEs/f1jF5JejKEcBLUTp+oZOLDkOSC1L1d5M8M1CUvoeZzwrdZzy9xsxpyO8nsi8vdVSKS2kd9 brKzVrvs05zPgRKXdyM/TuYOq0PMDvhtCFNNQ1c8BMv2UXb+8KhBGBf3ksQCRZuDyEYPxJZhHz+T RLjCwM0pq8Hpav2MesWZgQrnKhT5I5n6Kzp133e7KxNv1c+modCLYVqWK/YJBoGvtd2iVMxriyED ubdTTKFGFFyyCJvln2rfiyT0aQZt6t9wo6/B7hnLqv0123A0gIIKAYP5SGwlAt3DTMR2zhimwYSQ fxTwu0yOIMWrlQYzoMnzhdITW7ZlDTNCdtwph6/JZFh53qZ5bi7G3/3aoHToMYotPQj+SVt0W6WF 089WD0XkQbDd48MLJHQAW6kmO/E7P0MU3fgiyTVL8qFxVBXSQWOfDxmVo8T7fAKRla2djPq75NwE rwqZNLHeDtJ3VcmvxuDP7dWlkpVRzZ5W0K9RZgACMkzXi4deIDbL++vvwbnSG7i8W1svDeCOO7Yf x3yO4KVwTgEU/weTiFz5aknPP/xgi4QTILK4jpiFQFe0TyH/f6auegi+Hnv/6uLL+zCh0y2qQDkv vqRquvh25yV6l82QN9UTlUGykuG0UrvOKpxNTaeN2TMbp+ce2caFFZUdaD9H/j4WIf4q5X+t0o8s /etuWx4Ta/ueboGtEAoivNontAgdag4UnjNt2SQNsuhdCBKYilGqZg1IYtFCcjqdJ2v2Ske2uSFo +spD2lOs4kyTHBSDk2eEUZtOcT7trmXR1iuMSKrNw2aZ0ZgZG5NJmai2aYy3NKMl+AZGv0S8A9JG TsFiySWrt2+osCmrE2bFaupUYuw3uQqsVTMtTiCRiTiVYzybIs3hGYCXwSzsm5HqceEsUE1B4k3B PJqwhB0FGebJ9J243CSP923aG+Rx/o28NqGXxRYwRkMBzkQJGjb9zkN6V7hHF5wR8DLVwS3+DYba Z6Zm87dKmESiXWPhrdZLc9H4DyXwZnTqz5oRJHlVs1CkW7vkb6NLtVh/ofyB3d98JO7EXnqe6IVP CbbUCisiyAgFpulOQk9Mk2AiOvhW9SoW1sqnlLgW9NGwxbUd0pB3iZD1b1IDNwQGU2A+GXa0+61H oMxxYc1O+rWNWID10YEgoY8W+j0qWXUp60XAENPR5hpnEyrKfnPO6vZ5Y0PCLNfM6LrdiUKBqdhH UpymzVlwgopQ5+SdqVaZzjSy7+qBFsYdOtWcnHLN7vCMJQ64T71B7xXB78H8bWkQuTc/ZdGQTeM9 BYGjT/jhOfJO0b45v5t2iC0Q5jmUnTaY/IS3gGbdsR+FWxriPDqH2sLrojSBYBEgMnV1QwNOBJxG X8GSW/KNWjMmO0rJN9pMZb/fpTMGkBIbpdc8aYohsPgfRL+fF4S/M0qWGysw2YzJ86SRq9+9lXaw QGNnBCALEAAuQBudp/NRjro408UceAFkh7AB8JAsa5JfMq3y/y+lMETLdfco9CNYkMX1raFcr0Io Tdp5mC+iypPbiu80kwl7kdGXqaW8886+JGgq7c+SeODoLbBdtLB8M6aLE3pCYyM3WepCaUn7Q+7u AZKQ2JXiKVASmBEz6gC4lCL85KFvrdJlbP9FFJ3HHWgSGUZ/KzXKV8CE59AwX28vWeZXjoruosSO XlTatQjzfhzfqjqajXNxCwN4xfFooCDIlfL7m32WT7g4UNMYkiaiNc6Z/vazr8sv8zBjj1p4AIG1 H3s70UPMZ6bO7s4EGeI/WfL0qLXYBk1M+hIjF2pmn0kmgXlcnG6D61HmWq1XjEsONS3hDcXGM3Sa RAAUnoydcEW4uJxrW58aJQEiVgdfAXAGY79zcAe0rlndpn6fiwLLVlsMt8A7iB2HWDzZUCiFutXB HrsFN2f1OruweI0y1Scx1cE6ZS1/eEFXNaMf/uaSS6DOa6rvbRZPZrANdigMkbO9a3LjvhasCMVV SQByJ0t+dkJ3cJDegBoV28VB1Qpa6TvwsA75j9veaQP0Z5PUN0pITHiNPdAb658l8Qcmj0gv4P/j Uorin53doACEgAqITr/GvgCkBw+BzDfcrrE2ZivQQmgeGGSrXtpp6nlkTGTbaZIUnU7cFMTzSALC IbWVn6Tnu1JpdBGvp4iFpMUj8/AYSLN862KnMJfcf25NfbZjSLhXxL8DraXOLHqePanOXiYJRN6C p/an/vDwORARMIuCodo1a3PEEufukOzJj/kdZnw2jhNly+wCR3UKGIeshDDKJ0gR5YxLEMNUts0/ rrckj6GKROZgWZK1dHeQ79ZCzINZDdNljUChjC5OsLzYwysiW4Ho1NsrjbRaqZfayL/aBcwqU1kJ aHb6h/pJwYX+VdjkVqZ6HoIkL5eTQKGhn3UARYf3ZwIiQD0NKoX9nsSyQ7DN7atKDun1IBiOFEai 3ju1lFHaWbwvpVLyAXsGOLFP5dYDoB3mb4DFvZdGyh+ngkeKJqMC/uQ3twO0/k7HIrFC8Bu38sQw v8BvqPZv1Zzy429+AYNu7zReQrNKJBIFBU/Bj+4iR+TRyZy6vKONS0EarVazM9k8IqNTyXMf0qp3 lBqz0d52E4kSyslfzk8MwwQmSfS6aXTMyNZRqPvb+xOpCkDLM3dr6g1yj8r5XPJlVmBXZYDow2cS FHFIliz6wEdQ8Fm8AKOyCBz91jbYpEpkdbYBHEskLuQA5U7odWdtYFshnhyRP/iY1mSxnMjLUuFn iz0G6vQo1e7ujEOFyqzk02HCTCZM2KjXZFYnJ71xd2gDXNujCXeoL8S8NdeERTejmPIOgLnL6iRi A6GiIeAKQU0SFw5W1dZbfaEg+M3dqi/DXoqZy+LXH+75T1MPbYLLTIiPg45GrZ0rBBwObKItwYCU MuAQgVGURKdMJxzjlAgWUpsqyLHOA27mR09oLR2oGibwftsB+g/koFhK6Fue94vJkCF2d2Ku8wtW cmJwbzF6sUTB+Jf/cTZNwuWQrM8mP86rvQnQA2ngLcI0KKs4EeNdxVGdAg27lUqdCxKBUL8JVBmq hIKqyCewephK2oVrGvA12YHNLsT24fHK+2KWz2ubQiizrtTVrwLQVG7pdKvAbkhII8wjLbPVmCMx DxQpjpvrUFyBYI4wlkQBO66aUSbgG8PwfoWWslSuFlc6yNWi0FDgKHk9HS+dQ6XHDY0gL4Ds4Q7Y xqzkLoR/Xg6odhhMXa1nIqnfjtzG+Mpq41UTZma5yipOwouYLF9Mveq1BIuF9xV2Sg7PRHu8YdRO oVXAXsmTjtncCaru/C9DNfGU6EgTOmjdx2yQKl3t7N1Qt96GnTFXmdeAH9SUds4tgG3jvpX3pF9x rFybiAyVi3AtL3pl8eTM3jG91lxas4gkgr+tOThg+odjrg0ga5M2+BPl0VM87Htd5/r07IGhHxvh VT9I1fMlHv4SL9Kci2HczfYIt0YRe3IbnH5cgchsnxnbT2FoAfZmIm+OJlWYTwHVRUo18TLc0EJL ZaIoaovlHVQInHsgXdQMbhw5y4ok2QzYAivdA408XTexSYOFWAbibuXid2EqnK+IYGBmBgEGWSAw yIN0SG7SBqG+nME7uydk3ODNwItNsdi51ybs+zTGkA6gjWjVbVWaGL+N5/dxMjOPiumZFsLtZneR K/BBhY6k/EfSd4DMwvXB+pELqtiIT9FywkqQcCrQkyRnnBo2eyvr0VzjMVhclUrupRdzBK+xMv4X EDTD7X6Beu7Qsp7lC1SiDLgcUId2+/by/43gbTCRlzcoZvKzsqDN3uuZ9CLErZbDMOgbLONlElin /NPyAgg6FM+FyZhZcfTKc5BGJX00/JKtK84/IY36/ijqDFR/h4XQZ4GmKbnOHNnes3r1vcG5vjN4 X+sSvEwCag0wjCYC9oKvatCQ6Zo2QObwR4ATFc7xfAwDpZkzbFnLpqs5AoSzE1KDvrzF1iWUsqSH IA8hbbL2qZmhhE7bDqyLzL8Xh5leYfWLLLDDNEr7nV+cl88Q7oVmGT6y5aO2AIhbcWNYeUgKJvmq vmb+t/n+OnnxJ/viBb23QGlKbBNyvn2lz3rLKLZtUhcFUrZ1adQduGS+RMdCLcCbElIXJo3pojyG f/wQDW5klRlDd2MkOtofdV2JXkN5dKJIEkYbHJUTUFqsJhM63gknSaNgkVKNYTAcrzV0zpkYcs1S ucMDTl8/6pq4U/zjWT+FBuTlgMMY53XINWHzeO4dHbuUdaTPxp/DJ/8+0d2W/31qjOZD0zbnB178 dVhu6PkP6FOJqC9YGlhyXuvTIssAwppV/Npb/Z0j2Q13kaUNAo2N1clJq05BxKQiNIAVAIZOQ3VM ULY6P52ERA6phiM5IWrkHlgwoU665Gr6ltFC253+s8rdnRuw+m8Y48or0c5nTKIAEw8KYluhRsJR lXQouR5yp4ORH/Agb/hBnoZITsreCOTyT65edpTojSxMdx5kdA+zCqJmire/+uhJR/BlxbPF49F5 q3L2c6bEwBe4kWEq5yRjWabhnc+Reh8soXqwx774iSEMR3jmzn4JRV088b2vzCiBHKdrjwrA/T8u I42XzSEXyRTgo6BkBfkfKqRLh2G8yGdR5NrPWYE7nOTMI+RBXUqgpO/r8G/jXOpnRWHv69WQgoxu g2AhwQybH/d9+mifY5I5pCPzppDegVfHkGY6FFdJ3sWEY88bHKKPh7i/xXVNIl2y0rt4cwepDi6q 9IgavFpgGsq1uJLR7mMET6eT3oOpafHbrt8TWsotOenb8FcXAxWFFVNDzpI46E3L8AzpCxR6+mSg l+wVddbsqHS9MVRmGYiRVCr7WBjQ2j/WqfgT98kjIuXfa+dHryEWHROC9ePTs7vxVyry2NSo6/ip NBORBq0XoOKYbJb6ULWYV40XYjhcNeIlMyANVoYDmAOeV9ffZCUmofiEnYtU0V8W8JKeCjTSZNy+ KImQze/ZkzInKcagJsx6jUfRhVJGBz9TPBSNDNoG1XSGh2zkmiKPIO8oc6A+a+v7Yc7RTwTWt8gK Ll+eexSXsxFzgEnhaeTUnNR3LtM3bxBFW5hZ1q/vgcRHtf3qHVFRVInv+QxKtrwkE/i4gStKR7Bt zCTVQRmru8jkOjXsOAim4JkD07nyJu0hf+NhtsurK+nY96cm95zWW4aycNbcNnENgLK/m2lyHmTE rpWlBLkUHaTgXKs1m6jP1OBvtfa917oaCJBDhTq5iswy8kYEp1iMAlTK9ONQotQCeCPkm/UEBt0Y Szpeh5FX1IaqKkPw5PGHQjZFvTBvDWdQf871iQXMI/ldDKbl2yEkDMGjNsSMHO0ffp64YbY9B4nK 6jfKgeKa9fiGT0ZDdJCRJddnEkSbvbJ2OLUciJmMJ5vLOcQ5yDmNqGV9oNA88AwMjxrt0YfREq0U gDHjgfYSLqaMNJLxGRQRWY6L8RlPxy0Nyhg2chfblc2VRVEcT2l2YbfA02pd3IO45QuT9RwpyYhB 1OUCXd9R+rW/LLEg/gdI/noeSoNnHEuVjjd45KWwr7Gmb4zA7A7Koee0l9wIASmIC1bsd/pn7r02 CAT3ss0JilhTStmBPzsb59sFssqgMlmPE+9lqyWYelbMfzTOh4OW2MfETDaLZYNumZBY26WYL91n ETeB12A8ZA== `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/common_types_pkg.vhd
15
12094
------------------------------------------------------------------------------- -- $Id: common_types_pkg.vhd,v 1.1.4.4 2010/10/28 01:14:32 ostlerf Exp $ ------------------------------------------------------------------------------- -- Common_Types - package and package body ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: common_types_pkg.vhd -- Version: v1.00a -- Description: A package with common type definition and help functions -- -- ------------------------------------------------------------------------------- -- Structure: -- common_types_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: BLT (from goran's microblaze_types_pkg.vhd) -- History: -- BLT 6-29-2001 -- First version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- FLO 10/7/2010 v3_0_a -- ~~~~~~ -- - Changed Get_RLOC_Name function implementation to an equivalent version -- that addresses CR 574505. -- ^^^^^^ -- Removed 42 TBD comment, again. (CR 568493) -- ~~~~~~ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; package Common_Types is type RLOC_POS_TYPE is record X : natural; Y : natural; end record RLOC_POS_TYPE; type TARGET_FAMILY_TYPE is (VIRTEX, VIRTEX2); function log2(x : natural) return integer; function String_To_Int(S : string) return integer; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string; end package Common_Types; ------------------------------------------------------------------------------- -- Package Body section ------------------------------------------------------------------------------- package body Common_Types is -- log2 function returns the number of bits required to encode x choices function log2(x : natural) return integer is variable i : integer := 0; begin if x = 0 then return 0; else while 2**i < x loop i := i+1; end loop; return i; end if; end function log2; --itoa function converts integer to a text string --this function is required since 'image doesn't work --in synplicity -- valid range for input to the function is -9999 to 9999 function itoa (int : integer) return string is type table is array (0 to 9) of string (1 to 1); constant LUT : table := ("0", "1", "2", "3", "4", "5", "6", "7", "8", "9"); variable str1 : string(1 to 1); variable str2 : string(1 to 2); variable str3 : string(1 to 3); variable str4 : string(1 to 4); variable str5 : string(1 to 5); variable abs_int : natural; variable thousands_place : natural; variable hundreds_place : natural; variable tens_place : natural; variable ones_place : natural; variable sign : integer; begin abs_int := abs(int); if abs_int > int then sign := -1; else sign := 1; end if; thousands_place := abs_int/1000; hundreds_place := (abs_int-thousands_place*1000)/100; tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10; ones_place := (abs_int-thousands_place*1000-hundreds_place*100-tens_place*10); if sign>0 then if thousands_place>0 then str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif hundreds_place>0 then str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str3; elsif tens_place>0 then str2 := LUT(tens_place) & LUT(ones_place); return str2; else str1 := LUT(ones_place); return str1; end if; else if thousands_place>0 then str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str5; elsif hundreds_place>0 then str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place); return str4; elsif tens_place>0 then str3 := "-" & LUT(tens_place) & LUT(ones_place); return str3; else str2 := "-" & LUT(ones_place); return str2; end if; end if; end function itoa; function Get_RLOC_Name (Target : TARGET_FAMILY_TYPE; Y : integer; X : integer) return string is variable Col : integer; variable Row : integer; variable S : integer; begin if Target = VIRTEX then Row := -Y; Col := X/2; S := 1 - (X mod 2); return 'R' & itoa(Row) & 'C' & itoa(Col) & ".S" & itoa(S); else -- Target = VIRTEX2 return 'X' & itoa(X) & 'Y' & itoa(Y); end if; end function Get_RLOC_Name; type POS_RECORD is record X : natural; Y : natural; end record POS_RECORD; ----------------------------------------------------------------------------- -- ----------------------------------------------------------------------------- type CHAR_TO_INT_TYPE is array (character) of integer; constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE := ('0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A'|'a' => 10, 'B'|'b' => 11, 'C'|'c' => 12, 'D'|'d' => 13, 'E'|'e' => 14, 'F'|'f' => 15, others => -1); ----------------------------------------------------------------------------- -- Converts a string of hex character to an integer -- accept negative numbers ----------------------------------------------------------------------------- function String_To_Int(S : String) return Integer is variable Result : integer := 0; variable Temp : integer := S'Left; variable Negative : integer := 1; begin for I in S'Left to S'Right loop if (S(I) = '-') then Temp := 0; Negative := -1; else Temp := STRHEX_TO_INT_TABLE(S(I)); if (Temp = -1) then assert false report "Wrong value in String_To_Int conversion " & S(I) severity error; end if; end if; Result := Result * 16 + Temp; end loop; return (Negative * Result); end function String_To_Int; -- function Get_RLOC ( Target : TARGET_FAMILY_TYPE; -- Module : MODULE_TYPE; -- Index : natural) return string is -- begin -- function Get_RLOC -- end function Get_RLOC; end package body Common_Types;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/ipif_pkg.vhd
15
53612
------------------------------------------------------------------------------- -- $Id: ipif_pkg.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- IPIF Common Library Package ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_pkg.vhd -- Version: Intital -- Description: This file contains the constants and functions used in the -- ipif common library components. -- ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: DET -- History: -- DET 02/21/02 -- Created from proc_common_pkg.vhd -- -- DET 03/13/02 -- PLB IPIF development updates -- ^^^^^^ -- - Commented out string types and string functions due to an XST -- problem with string arrays and functions. THe string array -- processing functions were replaced with comperable functions -- operating on integer arrays. -- ~~~~~~ -- -- -- DET 4/30/2002 Initial -- ~~~~~~ -- - Added three functions: rebuild_slv32_array, rebuild_slv64_array, and -- rebuild_int_array to support removal of unused elements from the -- ARD arrays. -- ^^^^^^ -- -- -- FLO 8/12/2002 -- ~~~~~~ -- - Added three functions: bits_needed_for_vac, bits_needed_for_occ, -- and get_id_index_iboe. -- (Removed provisional functions bits_needed_for_vacancy, -- bits needed_for_occupancy, and bits_needed_for.) -- ^^^^^^ -- -- FLO 3/24/2003 -- ~~~~~~ -- - Added dependent property paramters for channelized DMA. -- - Added common property parameter array type. -- - Definded the KEYHOLD_BURST common-property parameter. -- ^^^^^^ -- -- FLO 10/22/2003 -- ~~~~~~ -- - Some adjustment to CHDMA parameterization. -- - Cleanup of obsolete code and comments. (The former "XST workaround" -- has become the officially deployed method.) -- ^^^^^^ -- -- LSS 03/24/2004 -- ~~~~~~ -- - Added 5 functions -- ^^^^^^ -- -- ALS 09/03/04 -- ^^^^^^ -- -- Added constants to describe the channel protocols used in MCH_OPB_IPIF -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package ipif_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type SLV32_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 31); subtype SLV64_TYPE is std_logic_vector(0 to 63); type SLV64_ARRAY_TYPE is array (natural range <>) of SLV64_TYPE; type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function "=" (s1: in string; s2: in string) return boolean; function equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN; function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer; function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer; function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer; function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer; function S32 (in_string : string) return string; -------------------------------------------------------------------------------- -- ARD support functions. -- These function can be useful when operating with the ARD parameterization. -------------------------------------------------------------------------------- function get_id_index (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer; function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer; function find_ard_id (id_array : INTEGER_ARRAY_TYPE; id : integer) return boolean; function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE; dwidth_array: INTEGER_ARRAY_TYPE; id : integer; default : integer) return integer; function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE) return integer; function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE; id : integer) return integer ; function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE; num_valid_pairs : integer) return SLV32_ARRAY_TYPE; function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE; num_valid_pairs : integer) return SLV64_ARRAY_TYPE; function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE; num_valid_entry : integer) return INTEGER_ARRAY_TYPE; -- 5 Functions Added 3/24/04 function populate_intr_mode_array (num_user_intr : integer; intr_capture_mode : integer) return INTEGER_ARRAY_TYPE ; function add_intr_ard_id_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; function add_intr_ard_addr_range_array(include_intr : boolean; ZERO_ADDR_PAD : std_logic_vector; intr_baseaddr : std_logic_vector; intr_highaddr : std_logic_vector; ard_id_array : INTEGER_ARRAY_TYPE; ard_addr_range_array : SLV64_ARRAY_TYPE) return SLV64_ARRAY_TYPE; function add_intr_ard_num_ce_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE; ard_num_ce_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; function add_intr_ard_dwidth_array(include_intr : boolean; intr_dwidth : integer; ard_id_array : INTEGER_ARRAY_TYPE; ard_dwidth_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Channel Protocols -- The constant declarations below give symbolic-name aliases for values that -- can be used in the C_MCH_PROTOCOL_ARRAY generic of the MCH_OPB_IPIF. ------------------------------------------------------------------------------- constant XCL : integer := 0; constant DAG : integer := 1; -------------------------------------------------------------------------------- -- Address range types. -- The constant declarations, below, give symbolic-name aliases for values -- that can be used in the C_ARD_ID_ARRAY generic of IPIFs. The first set -- gives aliases that are used to include IPIF services. -------------------------------------------------------------------------------- -- IPIF module aliases Constant IPIF_INTR : integer := 1; Constant IPIF_RST : integer := 2; Constant IPIF_SESR_SEAR : integer := 3; Constant IPIF_DMA_SG : integer := 4; Constant IPIF_WRFIFO_REG : integer := 5; Constant IPIF_WRFIFO_DATA : integer := 6; Constant IPIF_RDFIFO_REG : integer := 7; Constant IPIF_RDFIFO_DATA : integer := 8; Constant IPIF_CHDMA_CHANNELS : integer := 9; Constant IPIF_CHDMA_GLOBAL_REGS : integer := 10; Constant CHDMA_STATUS_FIFO : integer := 90; -- Some predefined user module aliases Constant USER_00 : integer := 100; Constant USER_01 : integer := 101; Constant USER_02 : integer := 102; Constant USER_03 : integer := 103; Constant USER_04 : integer := 104; Constant USER_05 : integer := 105; Constant USER_06 : integer := 106; Constant USER_07 : integer := 107; Constant USER_08 : integer := 108; Constant USER_09 : integer := 109; Constant USER_10 : integer := 110; Constant USER_11 : integer := 111; Constant USER_12 : integer := 112; Constant USER_13 : integer := 113; Constant USER_14 : integer := 114; Constant USER_15 : integer := 115; Constant USER_16 : integer := 116; ---( Start of Dependent Properties declarations -------------------------------------------------------------------------------- -- Declarations for Dependent Properties (properties that depend on the type of -- the address range, or in other words, address-range-specific parameters). -- There is one property, i.e. one parameter, encoded as an integer at -- each index of the properties array. There is one properties array for -- each address range. -- -- The C_ARD_DEPENDENT_PROPS_ARRAY generic parameter in (most) IPIFs is such -- a properties array and it is usually giving its (static) value using a -- VHDL aggregate construct. (--ToDo, give an example of this.) -- -- The the "assigned" default value of a dependent property is zero. This value -- is usually specified the aggregate by leaving its (index) name out so that -- it is covered by an "others => 0" choice in the aggregate. Some parameters, -- as noted in the definitions, below, have an "effective" default value that is -- different from the assigned default value of zero. In such cases, the -- function, eff_dp, given below, can be used to get the effective value of -- the dependent property. -------------------------------------------------------------------------------- constant DEPENDENT_PROPS_SIZE : integer := 32; subtype DEPENDENT_PROPS_TYPE is INTEGER_ARRAY_TYPE(0 to DEPENDENT_PROPS_SIZE-1); type DEPENDENT_PROPS_ARRAY_TYPE is array (natural range <>) of DEPENDENT_PROPS_TYPE; -------------------------------------------------------------------------------- -- Below are the indices of dependent properties for the different types of -- address ranges. -- -- Example: Let C_ARD_DEPENDENT_PROPS_ARRAY hold the dependent properites -- for a set of address ranges. Then, e.g., -- -- C_ARD_DEPENDENT_PROPS_ARRAY(i)(FIFO_CAPACITY_BITS) -- -- gives the fifo capacity in bits, provided that the i'th address range -- is of type IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA. -- -- These indices should be referenced only by the names below and never -- by numerical literals. (The right to change numerical index assignments -- is reserved; applications using the names will not be affected by such -- reassignments.) -------------------------------------------------------------------------------- -- --ToDo, if the interrupt controller parameterization is ever moved to -- C_ARD_DEPENDENT_PROPS_ARRAY, then the following declarations -- could be uncommented and used. ---- IPIF_INTR IDX ---------------------------------------------------------------------------- --- constant EXCLUDE_DEV_ISC : integer := 0; -- 1 specifies that only the global interrupt -- enable is present in the device interrupt source -- controller and that the only source of interrupts -- in the device is the IP interrupt source controller. -- 0 specifies that the full device interrupt -- source controller structure will be included. constant INCLUDE_DEV_PENCODER : integer := 1; -- 1 will include the Device IID in the device interrupt -- source controller, 0 will exclude it. -- -- IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA IDX ---------------------------------------------------------------------------- --- constant FIFO_CAPACITY_BITS : integer := 0; constant WR_WIDTH_BITS : integer := 1; constant RD_WIDTH_BITS : integer := 2; constant EXCLUDE_PACKET_MODE : integer := 3; -- 1 Don't include packet mode features -- 0 Include packet mode features constant EXCLUDE_VACANCY : integer := 4; -- 1 Don't include vacancy calculation -- 0 Include vacancy calculation -- See also the functions -- bits_needed_for_vac and -- bits_needed_for_occ that are declared below. constant INCLUDE_DRE : integer := 5; constant INCLUDE_AUTOPUSH_POP : integer := 6; constant AUTOPUSH_POP_CE : integer := 7; constant INCLUDE_CSUM : integer := 8; -------------------------------------------------------------------------------- -- -- DMA_SG IDX ---------------------------------------------------------------------------- --- -------------------------------------------------------------------------------- -- IPIF_CHDMA_CHANNELS IDX ---------------------------------------------------------------------------- --- constant NUM_SUBS_FOR_PHYS_0 : integer :=0; constant NUM_SUBS_FOR_PHYS_1 : integer :=1; constant NUM_SUBS_FOR_PHYS_2 : integer :=2; constant NUM_SUBS_FOR_PHYS_3 : integer :=3; constant NUM_SUBS_FOR_PHYS_4 : integer :=4; constant NUM_SUBS_FOR_PHYS_5 : integer :=5; constant NUM_SUBS_FOR_PHYS_6 : integer :=6; constant NUM_SUBS_FOR_PHYS_7 : integer :=7; constant NUM_SUBS_FOR_PHYS_8 : integer :=8; constant NUM_SUBS_FOR_PHYS_9 : integer :=9; constant NUM_SUBS_FOR_PHYS_10 : integer :=10; constant NUM_SUBS_FOR_PHYS_11 : integer :=11; constant NUM_SUBS_FOR_PHYS_12 : integer :=12; constant NUM_SUBS_FOR_PHYS_13 : integer :=13; constant NUM_SUBS_FOR_PHYS_14 : integer :=14; constant NUM_SUBS_FOR_PHYS_15 : integer :=15; -- Gives the number of sub-channels for physical channel i. -- -- These constants, which will be MAX_NUM_PHYS_CHANNELS in number (see -- below), have consecutive values starting with 0 for -- NUM_SUBS_FOR_PHYS_0. (The constants serve the purpose of giving symbolic -- names for use in the dependent-properties aggregates that parameterize -- an IPIF_CHDMA_CHANNELS address range.) -- -- [Users can ignore this note for developers -- If the number of physical channels changes, both the -- IPIF_CHDMA_CHANNELS constants and MAX_NUM_PHYS_CHANNELS, -- below, must be adjusted. -- (Use of an array constant or a function of the form -- NUM_SUBS_FOR_PHYS(i) to define the indices -- runs afoul of LRM restrictions on non-locally static aggregate -- choices. (Further, the LRM imposes perhaps unnecessarily -- strict limits on what qualifies as a locally static primary.) -- Note: This information is supplied for the benefit of anyone seeking -- to improve the way that these NUM_SUBS_FOR_PHYS parameter -- indices are defined.) -- End of note for developers ] -- -- The value associated with any index NUM_SUBS_FOR_PHYS_i in the -- dependent-properties array must be even since TX and RX channels -- come in pairs with the TX followed immediately by -- the corresponding RX. -- constant NUM_SIMPLE_DMA_CHANS : integer :=16; -- The number of simple DMA channels. constant NUM_SIMPLE_SG_CHANS : integer :=17; -- The number of simple SG channels. constant INTR_COALESCE : integer :=18; -- 0 Interrupt coalescing is disabled -- 1 Interrupt coalescing is enabled constant CLK_PERIOD_PS : integer :=19; -- The period of the OPB Bus clock in ps. -- The default value of 0 is a special value that -- is synonymous with 10000 ps (10 ns). -- The value for CLK_PERIOD_PS is relevant only if (INTR_COALESCE = 1). constant PACKET_WAIT_UNIT_NS : integer :=20; -- Gives the unit for used for timing of pack-wait bounds. -- The default value of 0 is a special value that -- is synonymous with 1,000,000 ns (1 ms) and a non-default -- value is typically only used for testing. -- Relevant only if (INTR_COALESCE = 1). constant BURST_SIZE : integer :=21; -- 1, 2, 4, 8 or 16 -- The default value of 0 is a special value that -- is synonymous with a burst size of 16. -- Setting the BURST_SIZE to 1 effectively disables -- bursts. constant REMAINDER_AS_SINGLES : integer :=22; -- 0 Remainder handled as a short burst -- 1 Remainder handled as a series of singles -------------------------------------------------------------------------------- -- The constant below is not the index of a dependent-properties -- parameter (and, as such, would never appear as a choice in a -- dependent-properties aggregate). Rather, it is fixed to the maximum -- number of physical channels that an Address Range of type -- IPIF_CHDMA_CHANNELS supports. It must be maintained in conjuction with -- the constants named, e.g., NUM_SUBS_FOR_PHYS_15, above. -------------------------------------------------------------------------------- constant MAX_NUM_PHYS_CHANNELS : natural := 16; -------------------------------------------------------------------------- -- EXAMPLE: Here is an example dependent-properties aggregate for an -- address range of type IPIF_CHDMA_CHANNELS. -- To have a compact list of all of the CHDMA parameters, all are -- shown, however three are commented out and the unneeded -- MUM_SUBS_FOR_PHYS_x are excluded. The "OTHERS => 0" association -- gives these parameters their default values, such that, for the example -- -- - All physical channels above 2 have zero subchannels (effectively, -- these physical channels are not used) -- - There are no simple SG channels -- - The packet-wait time unit is 1 ms -- - Burst size is 16 -------------------------------------------------------------------------- -- ( -- NUM_SUBS_FOR_PHYS_0 => 8, -- NUM_SUBS_FOR_PHYS_1 => 4, -- NUM_SUBS_FOR_PHYS_2 => 14, -- NUM_SIMPLE_DMA_CHANS => 1, -- --NUM_SIMPLE_SG_CHANS => 5, -- INTR_COALESCE => 1, -- CLK_PERIOD_PS => 20000, -- --PACKET_WAIT_UNIT_NS => 50000, -- --BURST_SIZE => 1, -- REMAINDER_AS_SINGLES => 1, -- OTHERS => 0 -- ) -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Calculates the number of bits needed to convey the vacancy (emptiness) of -- the fifo described by dependent_props, if fifo_present. If not fifo_present, -- returns 0 (or the smallest value allowed by tool limitations on null arrays) -- without making reference to dependent_props. -------------------------------------------------------------------------------- function bits_needed_for_vac( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer; -------------------------------------------------------------------------------- -- Calculates the number of bits needed to convey the occupancy (fullness) of -- the fifo described by dependent_props, if fifo_present. If not fifo_present, -- returns 0 (or the smallest value allowed by tool limitations on null arrays) -- without making reference to dependent_props. -------------------------------------------------------------------------------- function bits_needed_for_occ( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer; -------------------------------------------------------------------------------- -- Function eff_dp. -- -- For some of the dependent properties, the default value of zero is meant -- to imply an effective default value of other than zero (see e.g. -- PKT_WAIT_UNIT_NS for the IPIF_CHDMA_CHANNELS address-range type). The -- following function is used to get the (possibly default-adjusted) -- value for a dependent property. -- -- Example call: -- -- eff_value_of_param := -- eff_dp( -- C_IPIF_CHDMA_CHANNELS, -- PACKET_WAIT_UNIT_NS, -- C_ARD_DEPENDENT_PROPS_ARRAY(i)(PACKET_WAIT_UNIT_NS) -- ); -- -- where C_ARD_DEPENDENT_PROPS_ARRAY(i) is an object of type -- DEPENDENT_PROPS_ARRAY_TYPE, that was parameterized for an address range of -- type C_IPIF_CHDMA_CHANNELS. -------------------------------------------------------------------------------- function eff_dp(id : integer; -- The type of address range. dep_prop : integer; -- The index of the dependent prop. value : integer -- The value at that index. ) return integer; -- The effective value, possibly adjusted -- if value has the default value of 0. ---) End of Dependent Properties declarations -------------------------------------------------------------------------------- -- Declarations for Common Properties (properties that apply regardless of the -- type of the address range). Structurally, these work the same as -- the dependent properties. -------------------------------------------------------------------------------- constant COMMON_PROPS_SIZE : integer := 2; subtype COMMON_PROPS_TYPE is INTEGER_ARRAY_TYPE(0 to COMMON_PROPS_SIZE-1); type COMMON_PROPS_ARRAY_TYPE is array (natural range <>) of COMMON_PROPS_TYPE; -------------------------------------------------------------------------------- -- Below are the indices of the common properties. -- -- These indices should be referenced only by the names below and never -- by numerical literals. -- IDX ---------------------------------------------------------------------------- --- constant KEYHOLE_BURST : integer := 0; -- 1 All addresses of a burst are forced to the initial -- address of the burst. -- 0 Burst addresses follow the bus protocol. -- IP interrupt mode array constants Constant INTR_PASS_THRU : integer := 1; Constant INTR_PASS_THRU_INV : integer := 2; Constant INTR_REG_EVENT : integer := 3; Constant INTR_REG_EVENT_INV : integer := 4; Constant INTR_POS_EDGE_DETECT : integer := 5; Constant INTR_NEG_EDGE_DETECT : integer := 6; end ipif_pkg; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.log2; package body ipif_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Function "=" -- -- This function can be used to overload the "=" operator when comparing -- strings. ----------------------------------------------------------------------------- function "=" (s1: in string; s2: in string) return boolean is constant tc: character := ' '; -- string termination character variable i: integer := 1; variable v1 : string(1 to s1'length) := s1; variable v2 : string(1 to s2'length) := s2; begin while (i <= v1'length) and (v1(i) /= tc) and (i <= v2'length) and (v2(i) /= tc) and (v1(i) = v2(i)) loop i := i+1; end loop; return ((i > v1'length) or (v1(i) = tc)) and ((i > v2'length) or (v2(i) = tc)); end; ---------------------------------------------------------------------------- -- Function equaluseCase -- -- This function returns true if case sensitive string comparison determines -- that str1 and str2 are the same. ----------------------------------------------------------------------------- FUNCTION equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str1'range LOOP IF NOT (str1(i) = str2(i)) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equaluseCase; ----------------------------------------------------------------------------- -- Function calc_num_ce -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The array is input to -- the function and an integer is returned reflecting the total number of -- Chip Enables required for the CE, RdCE, and WrCE Buses ----------------------------------------------------------------------------- function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer is Variable ce_num_sum : integer := 0; begin for i in 0 to (ce_num_array'length)-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; return(ce_num_sum); end function calc_num_ce; ----------------------------------------------------------------------------- -- Function calc_start_ce_index -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The CE Size array is -- input to the function and an integer index representing the index of the -- target module in the ce_num_array. An integer is returned reflecting the -- starting index of the assigned Chip Enables within the CE, RdCE, and -- WrCE Buses. ----------------------------------------------------------------------------- function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer is Variable ce_num_sum : integer := 0; begin If (index = 0) Then ce_num_sum := 0; else for i in 0 to index-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; End if; return(ce_num_sum); end function calc_start_ce_index; ----------------------------------------------------------------------------- -- Function get_min_dwidth -- -- This function is used to process the array specifying the data bus width -- for each of the target modules. The dwidth_array is input to the function -- and an integer is returned that is the smallest value found of all the -- entries in the array. ----------------------------------------------------------------------------- function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is Variable temp_min : Integer := 1024; begin for i in 0 to dwidth_array'length-1 loop If (dwidth_array(i) < temp_min) Then temp_min := dwidth_array(i); else null; End if; End loop; return(temp_min); end function get_min_dwidth; ----------------------------------------------------------------------------- -- Function get_max_dwidth -- -- This function is used to process the array specifying the data bus width -- for each of the target modules. The dwidth_array is input to the function -- and an integer is returned that is the largest value found of all the -- entries in the array. ----------------------------------------------------------------------------- function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is Variable temp_max : Integer := 0; begin for i in 0 to dwidth_array'length-1 loop If (dwidth_array(i) > temp_max) Then temp_max := dwidth_array(i); else null; End if; End loop; return(temp_max); end function get_max_dwidth; ----------------------------------------------------------------------------- -- Function S32 -- -- This function is used to expand an input string to 32 characters by -- padding with spaces. If the input string is larger than 32 characters, -- it will truncate to 32 characters. ----------------------------------------------------------------------------- function S32 (in_string : string) return string is constant OUTPUT_STRING_LENGTH : integer := 32; Constant space : character := ' '; variable new_string : string(1 to 32); Variable start_index : Integer := in_string'length+1; begin If (in_string'length < OUTPUT_STRING_LENGTH) Then for i in 1 to in_string'length loop new_string(i) := in_string(i); End loop; for j in start_index to OUTPUT_STRING_LENGTH loop new_string(j) := space; End loop; else -- use first 32 chars of in_string (truncate the rest) for k in 1 to OUTPUT_STRING_LENGTH loop new_string(k) := in_string(k); End loop; End if; return(new_string); end function S32; ----------------------------------------------------------------------------- -- Function get_id_index -- -- This function is used to process the array specifying the target function -- assigned to a Base Address pair address range. The id_array and a -- id number is input to the function. A integer is returned reflecting the -- array index of the id matching the id input number. This function -- should only be called if the id number is known to exist in the -- name_array input. This can be detirmined by using the find_ard_id -- function. ----------------------------------------------------------------------------- function get_id_index (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer is Variable match : Boolean := false; Variable match_index : Integer := 10000; -- a really big number! begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); If (match) Then match_index := array_index; else null; End if; End if; End loop; return(match_index); end function get_id_index; -------------------------------------------------------------------------------- -- get_id_index but return a value in bounds on error (iboe). -- -- This function is the same as get_id_index, except that when id does -- not exist in id_array, the value returned is any index that is -- within the index range of id_array. -- -- This function would normally only be used where function find_ard_id -- is used to establish the existence of id but, even when non-existent, -- an element of one of the ARD arrays will be computed from the -- returned get_id_index_iboe value. See, e.g., function bits_needed_for_vac -- and the example call, below -- -- bits_needed_for_vac( -- find_ard_id(C_ARD_ID_ARRAY, IPIF_RDFIFO_DATA), -- C_ARD_DEPENDENT_PROPS_ARRAY(get_id_index_iboe(C_ARD_ID_ARRAY, -- IPIF_RDFIFO_DATA)) -- ) -------------------------------------------------------------------------------- function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer is Variable match : Boolean := false; Variable match_index : Integer := id_array'left; -- any valid array index begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); If (match) Then match_index := array_index; else null; End if; End if; End loop; return(match_index); end function get_id_index_iboe; ----------------------------------------------------------------------------- -- Function find_ard_id -- -- This function is used to process the array specifying the target function -- assigned to a Base Address pair address range. The id_array and a -- integer id is input to the function. A boolean is returned reflecting the -- presence (or not) of a number in the array matching the id input number. ----------------------------------------------------------------------------- function find_ard_id (id_array : INTEGER_ARRAY_TYPE; id : integer) return boolean is Variable match : Boolean := false; begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); End if; End loop; return(match); end function find_ard_id; ----------------------------------------------------------------------------- -- Function find_id_dwidth -- -- This function is used to find the data width of a target module. If the -- target module exists, the data width is extracted from the input dwidth -- array. If the module is not in the ID array, the default input is -- returned. This function is needed to assign data port size constraints on -- unconstrained port widths. ----------------------------------------------------------------------------- function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE; dwidth_array: INTEGER_ARRAY_TYPE; id : integer; default : integer) return integer is Variable id_present : Boolean := false; Variable array_index : Integer := 0; Variable dwidth : Integer := default; begin id_present := find_ard_id(id_array, id); If (id_present) Then array_index := get_id_index (id_array, id); dwidth := dwidth_array(array_index); else null; -- use default input End if; Return (dwidth); end function find_id_dwidth; ----------------------------------------------------------------------------- -- Function cnt_ipif_id_blks -- -- This function is used to detirmine the number of IPIF components specified -- in the ARD ID Array. An integer is returned representing the number -- of elements counted. User IDs are ignored in the counting process. ----------------------------------------------------------------------------- function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE) return integer is Variable blk_count : integer := 0; Variable temp_id : integer; begin for array_index in 0 to id_array'length-1 loop temp_id := id_array(array_index); If (temp_id = IPIF_WRFIFO_DATA or temp_id = IPIF_RDFIFO_DATA or temp_id = IPIF_RST or temp_id = IPIF_INTR or temp_id = IPIF_DMA_SG or temp_id = IPIF_SESR_SEAR ) Then -- IPIF block found blk_count := blk_count+1; else -- go to next loop iteration null; End if; End loop; return(blk_count); end function cnt_ipif_id_blks; ----------------------------------------------------------------------------- -- Function get_ipif_id_dbus_index -- -- This function is used to detirmine the IPIF relative index of a given -- ID value. User IDs are ignored in the index detirmination. ----------------------------------------------------------------------------- function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE; id : integer) return integer is Variable blk_index : integer := 0; Variable temp_id : integer; Variable id_found : Boolean := false; begin for array_index in 0 to id_array'length-1 loop temp_id := id_array(array_index); If (id_found) then null; elsif (temp_id = id) then id_found := true; elsif (temp_id = IPIF_WRFIFO_DATA or temp_id = IPIF_RDFIFO_DATA or temp_id = IPIF_RST or temp_id = IPIF_INTR or temp_id = IPIF_DMA_SG or temp_id = IPIF_SESR_SEAR ) Then -- IPIF block found blk_index := blk_index+1; else -- user block so do nothing null; End if; End loop; return(blk_index); end function get_ipif_id_dbus_index; ------------------------------------------------------------------------------ -- Function: rebuild_slv32_array -- -- Description: -- This function takes an input slv32 array and rebuilds an output slv32 -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE; num_valid_pairs : integer) return SLV32_ARRAY_TYPE is --Constants constant num_elements : Integer := num_valid_pairs * 2; -- Variables variable temp_baseaddr32_array : SLV32_ARRAY_TYPE( 0 to num_elements-1); begin for array_index in 0 to num_elements-1 loop temp_baseaddr32_array(array_index) := slv32_array(array_index); end loop; return(temp_baseaddr32_array); end function rebuild_slv32_array; ------------------------------------------------------------------------------ -- Function: rebuild_slv64_array -- -- Description: -- This function takes an input slv64 array and rebuilds an output slv64 -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE; num_valid_pairs : integer) return SLV64_ARRAY_TYPE is --Constants constant num_elements : Integer := num_valid_pairs * 2; -- Variables variable temp_baseaddr64_array : SLV64_ARRAY_TYPE( 0 to num_elements-1); begin for array_index in 0 to num_elements-1 loop temp_baseaddr64_array(array_index) := slv64_array(array_index); end loop; return(temp_baseaddr64_array); end function rebuild_slv64_array; ------------------------------------------------------------------------------ -- Function: rebuild_int_array -- -- Description: -- This function takes an input integer array and rebuilds an output integer -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE; num_valid_entry : integer) return INTEGER_ARRAY_TYPE is -- Variables variable temp_int_array : INTEGER_ARRAY_TYPE( 0 to num_valid_entry-1); begin for array_index in 0 to num_valid_entry-1 loop temp_int_array(array_index) := int_array(array_index); end loop; return(temp_int_array); end function rebuild_int_array; function bits_needed_for_vac( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer is begin if not fifo_present then return 1; -- Zero would be better but leads to "0 to -1" null -- ranges that are not handled by XST Flint or earlier -- because of the negative index. else return log2(1 + dependent_props(FIFO_CAPACITY_BITS) / dependent_props(RD_WIDTH_BITS) ); end if; end function bits_needed_for_vac; function bits_needed_for_occ( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer is begin if not fifo_present then return 1; -- Zero would be better but leads to "0 to -1" null -- ranges that are not handled by XST Flint or earlier -- because of the negative index. else return log2(1 + dependent_props(FIFO_CAPACITY_BITS) / dependent_props(WR_WIDTH_BITS) ); end if; end function bits_needed_for_occ; function eff_dp(id : integer; dep_prop : integer; value : integer) return integer is variable dp : integer := dep_prop; type bo2na_type is array (boolean) of natural; constant bo2na : bo2na_type := (0, 1); begin if value /= 0 then return value; end if; -- Not default case id is when IPIF_CHDMA_CHANNELS => ------------------- return( bo2na(dp = CLK_PERIOD_PS ) * 10000 + bo2na(dp = PACKET_WAIT_UNIT_NS ) * 1000000 + bo2na(dp = BURST_SIZE ) * 16 ); when others => return 0; end case; end eff_dp; function populate_intr_mode_array (num_user_intr : integer; intr_capture_mode : integer) return INTEGER_ARRAY_TYPE is variable intr_mode_array : INTEGER_ARRAY_TYPE(0 to num_user_intr-1); begin for i in 0 to num_user_intr-1 loop intr_mode_array(i) := intr_capture_mode; end loop; return intr_mode_array; end function populate_intr_mode_array; function add_intr_ard_id_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_id_array : INTEGER_ARRAY_TYPE(0 to ard_id_array'length); begin intr_ard_id_array(0 to ard_id_array'length-1) := ard_id_array; if include_intr then intr_ard_id_array(ard_id_array'length) := IPIF_INTR; return intr_ard_id_array; else return ard_id_array; end if; end function add_intr_ard_id_array; function add_intr_ard_addr_range_array(include_intr : boolean; ZERO_ADDR_PAD : std_logic_vector; intr_baseaddr : std_logic_vector; intr_highaddr : std_logic_vector; ard_id_array : INTEGER_ARRAY_TYPE; ard_addr_range_array : SLV64_ARRAY_TYPE) return SLV64_ARRAY_TYPE is variable intr_ard_addr_range_array : SLV64_ARRAY_TYPE(0 to ard_addr_range_array'length+1); begin intr_ard_addr_range_array(0 to ard_addr_range_array'length-1) := ard_addr_range_array; if include_intr then intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR)) := ZERO_ADDR_PAD & intr_baseaddr; intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR)+1) := ZERO_ADDR_PAD & intr_highaddr; return intr_ard_addr_range_array; else return ard_addr_range_array; end if; end function add_intr_ard_addr_range_array; function add_intr_ard_dwidth_array(include_intr : boolean; intr_dwidth : integer; ard_id_array : INTEGER_ARRAY_TYPE; ard_dwidth_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_dwidth_array : INTEGER_ARRAY_TYPE(0 to ard_dwidth_array'length); begin intr_ard_dwidth_array(0 to ard_dwidth_array'length-1) := ard_dwidth_array; if include_intr then intr_ard_dwidth_array(get_id_index(ard_id_array, IPIF_INTR)) := intr_dwidth; return intr_ard_dwidth_array; else return ard_dwidth_array; end if; end function add_intr_ard_dwidth_array; function add_intr_ard_num_ce_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE; ard_num_ce_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_num_ce_array : INTEGER_ARRAY_TYPE(0 to ard_num_ce_array'length); begin intr_ard_num_ce_array(0 to ard_num_ce_array'length-1) := ard_num_ce_array; if include_intr then intr_ard_num_ce_array(get_id_index(ard_id_array, IPIF_INTR)) := 16; return intr_ard_num_ce_array; else return ard_num_ce_array; end if; end function add_intr_ard_num_ce_array; end package body ipif_pkg;
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/ipif_pkg.vhd
15
53612
------------------------------------------------------------------------------- -- $Id: ipif_pkg.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- IPIF Common Library Package ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_pkg.vhd -- Version: Intital -- Description: This file contains the constants and functions used in the -- ipif common library components. -- ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: DET -- History: -- DET 02/21/02 -- Created from proc_common_pkg.vhd -- -- DET 03/13/02 -- PLB IPIF development updates -- ^^^^^^ -- - Commented out string types and string functions due to an XST -- problem with string arrays and functions. THe string array -- processing functions were replaced with comperable functions -- operating on integer arrays. -- ~~~~~~ -- -- -- DET 4/30/2002 Initial -- ~~~~~~ -- - Added three functions: rebuild_slv32_array, rebuild_slv64_array, and -- rebuild_int_array to support removal of unused elements from the -- ARD arrays. -- ^^^^^^ -- -- -- FLO 8/12/2002 -- ~~~~~~ -- - Added three functions: bits_needed_for_vac, bits_needed_for_occ, -- and get_id_index_iboe. -- (Removed provisional functions bits_needed_for_vacancy, -- bits needed_for_occupancy, and bits_needed_for.) -- ^^^^^^ -- -- FLO 3/24/2003 -- ~~~~~~ -- - Added dependent property paramters for channelized DMA. -- - Added common property parameter array type. -- - Definded the KEYHOLD_BURST common-property parameter. -- ^^^^^^ -- -- FLO 10/22/2003 -- ~~~~~~ -- - Some adjustment to CHDMA parameterization. -- - Cleanup of obsolete code and comments. (The former "XST workaround" -- has become the officially deployed method.) -- ^^^^^^ -- -- LSS 03/24/2004 -- ~~~~~~ -- - Added 5 functions -- ^^^^^^ -- -- ALS 09/03/04 -- ^^^^^^ -- -- Added constants to describe the channel protocols used in MCH_OPB_IPIF -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package ipif_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type SLV32_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 31); subtype SLV64_TYPE is std_logic_vector(0 to 63); type SLV64_ARRAY_TYPE is array (natural range <>) of SLV64_TYPE; type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function "=" (s1: in string; s2: in string) return boolean; function equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN; function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer; function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer; function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer; function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer; function S32 (in_string : string) return string; -------------------------------------------------------------------------------- -- ARD support functions. -- These function can be useful when operating with the ARD parameterization. -------------------------------------------------------------------------------- function get_id_index (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer; function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer; function find_ard_id (id_array : INTEGER_ARRAY_TYPE; id : integer) return boolean; function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE; dwidth_array: INTEGER_ARRAY_TYPE; id : integer; default : integer) return integer; function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE) return integer; function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE; id : integer) return integer ; function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE; num_valid_pairs : integer) return SLV32_ARRAY_TYPE; function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE; num_valid_pairs : integer) return SLV64_ARRAY_TYPE; function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE; num_valid_entry : integer) return INTEGER_ARRAY_TYPE; -- 5 Functions Added 3/24/04 function populate_intr_mode_array (num_user_intr : integer; intr_capture_mode : integer) return INTEGER_ARRAY_TYPE ; function add_intr_ard_id_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; function add_intr_ard_addr_range_array(include_intr : boolean; ZERO_ADDR_PAD : std_logic_vector; intr_baseaddr : std_logic_vector; intr_highaddr : std_logic_vector; ard_id_array : INTEGER_ARRAY_TYPE; ard_addr_range_array : SLV64_ARRAY_TYPE) return SLV64_ARRAY_TYPE; function add_intr_ard_num_ce_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE; ard_num_ce_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; function add_intr_ard_dwidth_array(include_intr : boolean; intr_dwidth : integer; ard_id_array : INTEGER_ARRAY_TYPE; ard_dwidth_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Channel Protocols -- The constant declarations below give symbolic-name aliases for values that -- can be used in the C_MCH_PROTOCOL_ARRAY generic of the MCH_OPB_IPIF. ------------------------------------------------------------------------------- constant XCL : integer := 0; constant DAG : integer := 1; -------------------------------------------------------------------------------- -- Address range types. -- The constant declarations, below, give symbolic-name aliases for values -- that can be used in the C_ARD_ID_ARRAY generic of IPIFs. The first set -- gives aliases that are used to include IPIF services. -------------------------------------------------------------------------------- -- IPIF module aliases Constant IPIF_INTR : integer := 1; Constant IPIF_RST : integer := 2; Constant IPIF_SESR_SEAR : integer := 3; Constant IPIF_DMA_SG : integer := 4; Constant IPIF_WRFIFO_REG : integer := 5; Constant IPIF_WRFIFO_DATA : integer := 6; Constant IPIF_RDFIFO_REG : integer := 7; Constant IPIF_RDFIFO_DATA : integer := 8; Constant IPIF_CHDMA_CHANNELS : integer := 9; Constant IPIF_CHDMA_GLOBAL_REGS : integer := 10; Constant CHDMA_STATUS_FIFO : integer := 90; -- Some predefined user module aliases Constant USER_00 : integer := 100; Constant USER_01 : integer := 101; Constant USER_02 : integer := 102; Constant USER_03 : integer := 103; Constant USER_04 : integer := 104; Constant USER_05 : integer := 105; Constant USER_06 : integer := 106; Constant USER_07 : integer := 107; Constant USER_08 : integer := 108; Constant USER_09 : integer := 109; Constant USER_10 : integer := 110; Constant USER_11 : integer := 111; Constant USER_12 : integer := 112; Constant USER_13 : integer := 113; Constant USER_14 : integer := 114; Constant USER_15 : integer := 115; Constant USER_16 : integer := 116; ---( Start of Dependent Properties declarations -------------------------------------------------------------------------------- -- Declarations for Dependent Properties (properties that depend on the type of -- the address range, or in other words, address-range-specific parameters). -- There is one property, i.e. one parameter, encoded as an integer at -- each index of the properties array. There is one properties array for -- each address range. -- -- The C_ARD_DEPENDENT_PROPS_ARRAY generic parameter in (most) IPIFs is such -- a properties array and it is usually giving its (static) value using a -- VHDL aggregate construct. (--ToDo, give an example of this.) -- -- The the "assigned" default value of a dependent property is zero. This value -- is usually specified the aggregate by leaving its (index) name out so that -- it is covered by an "others => 0" choice in the aggregate. Some parameters, -- as noted in the definitions, below, have an "effective" default value that is -- different from the assigned default value of zero. In such cases, the -- function, eff_dp, given below, can be used to get the effective value of -- the dependent property. -------------------------------------------------------------------------------- constant DEPENDENT_PROPS_SIZE : integer := 32; subtype DEPENDENT_PROPS_TYPE is INTEGER_ARRAY_TYPE(0 to DEPENDENT_PROPS_SIZE-1); type DEPENDENT_PROPS_ARRAY_TYPE is array (natural range <>) of DEPENDENT_PROPS_TYPE; -------------------------------------------------------------------------------- -- Below are the indices of dependent properties for the different types of -- address ranges. -- -- Example: Let C_ARD_DEPENDENT_PROPS_ARRAY hold the dependent properites -- for a set of address ranges. Then, e.g., -- -- C_ARD_DEPENDENT_PROPS_ARRAY(i)(FIFO_CAPACITY_BITS) -- -- gives the fifo capacity in bits, provided that the i'th address range -- is of type IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA. -- -- These indices should be referenced only by the names below and never -- by numerical literals. (The right to change numerical index assignments -- is reserved; applications using the names will not be affected by such -- reassignments.) -------------------------------------------------------------------------------- -- --ToDo, if the interrupt controller parameterization is ever moved to -- C_ARD_DEPENDENT_PROPS_ARRAY, then the following declarations -- could be uncommented and used. ---- IPIF_INTR IDX ---------------------------------------------------------------------------- --- constant EXCLUDE_DEV_ISC : integer := 0; -- 1 specifies that only the global interrupt -- enable is present in the device interrupt source -- controller and that the only source of interrupts -- in the device is the IP interrupt source controller. -- 0 specifies that the full device interrupt -- source controller structure will be included. constant INCLUDE_DEV_PENCODER : integer := 1; -- 1 will include the Device IID in the device interrupt -- source controller, 0 will exclude it. -- -- IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA IDX ---------------------------------------------------------------------------- --- constant FIFO_CAPACITY_BITS : integer := 0; constant WR_WIDTH_BITS : integer := 1; constant RD_WIDTH_BITS : integer := 2; constant EXCLUDE_PACKET_MODE : integer := 3; -- 1 Don't include packet mode features -- 0 Include packet mode features constant EXCLUDE_VACANCY : integer := 4; -- 1 Don't include vacancy calculation -- 0 Include vacancy calculation -- See also the functions -- bits_needed_for_vac and -- bits_needed_for_occ that are declared below. constant INCLUDE_DRE : integer := 5; constant INCLUDE_AUTOPUSH_POP : integer := 6; constant AUTOPUSH_POP_CE : integer := 7; constant INCLUDE_CSUM : integer := 8; -------------------------------------------------------------------------------- -- -- DMA_SG IDX ---------------------------------------------------------------------------- --- -------------------------------------------------------------------------------- -- IPIF_CHDMA_CHANNELS IDX ---------------------------------------------------------------------------- --- constant NUM_SUBS_FOR_PHYS_0 : integer :=0; constant NUM_SUBS_FOR_PHYS_1 : integer :=1; constant NUM_SUBS_FOR_PHYS_2 : integer :=2; constant NUM_SUBS_FOR_PHYS_3 : integer :=3; constant NUM_SUBS_FOR_PHYS_4 : integer :=4; constant NUM_SUBS_FOR_PHYS_5 : integer :=5; constant NUM_SUBS_FOR_PHYS_6 : integer :=6; constant NUM_SUBS_FOR_PHYS_7 : integer :=7; constant NUM_SUBS_FOR_PHYS_8 : integer :=8; constant NUM_SUBS_FOR_PHYS_9 : integer :=9; constant NUM_SUBS_FOR_PHYS_10 : integer :=10; constant NUM_SUBS_FOR_PHYS_11 : integer :=11; constant NUM_SUBS_FOR_PHYS_12 : integer :=12; constant NUM_SUBS_FOR_PHYS_13 : integer :=13; constant NUM_SUBS_FOR_PHYS_14 : integer :=14; constant NUM_SUBS_FOR_PHYS_15 : integer :=15; -- Gives the number of sub-channels for physical channel i. -- -- These constants, which will be MAX_NUM_PHYS_CHANNELS in number (see -- below), have consecutive values starting with 0 for -- NUM_SUBS_FOR_PHYS_0. (The constants serve the purpose of giving symbolic -- names for use in the dependent-properties aggregates that parameterize -- an IPIF_CHDMA_CHANNELS address range.) -- -- [Users can ignore this note for developers -- If the number of physical channels changes, both the -- IPIF_CHDMA_CHANNELS constants and MAX_NUM_PHYS_CHANNELS, -- below, must be adjusted. -- (Use of an array constant or a function of the form -- NUM_SUBS_FOR_PHYS(i) to define the indices -- runs afoul of LRM restrictions on non-locally static aggregate -- choices. (Further, the LRM imposes perhaps unnecessarily -- strict limits on what qualifies as a locally static primary.) -- Note: This information is supplied for the benefit of anyone seeking -- to improve the way that these NUM_SUBS_FOR_PHYS parameter -- indices are defined.) -- End of note for developers ] -- -- The value associated with any index NUM_SUBS_FOR_PHYS_i in the -- dependent-properties array must be even since TX and RX channels -- come in pairs with the TX followed immediately by -- the corresponding RX. -- constant NUM_SIMPLE_DMA_CHANS : integer :=16; -- The number of simple DMA channels. constant NUM_SIMPLE_SG_CHANS : integer :=17; -- The number of simple SG channels. constant INTR_COALESCE : integer :=18; -- 0 Interrupt coalescing is disabled -- 1 Interrupt coalescing is enabled constant CLK_PERIOD_PS : integer :=19; -- The period of the OPB Bus clock in ps. -- The default value of 0 is a special value that -- is synonymous with 10000 ps (10 ns). -- The value for CLK_PERIOD_PS is relevant only if (INTR_COALESCE = 1). constant PACKET_WAIT_UNIT_NS : integer :=20; -- Gives the unit for used for timing of pack-wait bounds. -- The default value of 0 is a special value that -- is synonymous with 1,000,000 ns (1 ms) and a non-default -- value is typically only used for testing. -- Relevant only if (INTR_COALESCE = 1). constant BURST_SIZE : integer :=21; -- 1, 2, 4, 8 or 16 -- The default value of 0 is a special value that -- is synonymous with a burst size of 16. -- Setting the BURST_SIZE to 1 effectively disables -- bursts. constant REMAINDER_AS_SINGLES : integer :=22; -- 0 Remainder handled as a short burst -- 1 Remainder handled as a series of singles -------------------------------------------------------------------------------- -- The constant below is not the index of a dependent-properties -- parameter (and, as such, would never appear as a choice in a -- dependent-properties aggregate). Rather, it is fixed to the maximum -- number of physical channels that an Address Range of type -- IPIF_CHDMA_CHANNELS supports. It must be maintained in conjuction with -- the constants named, e.g., NUM_SUBS_FOR_PHYS_15, above. -------------------------------------------------------------------------------- constant MAX_NUM_PHYS_CHANNELS : natural := 16; -------------------------------------------------------------------------- -- EXAMPLE: Here is an example dependent-properties aggregate for an -- address range of type IPIF_CHDMA_CHANNELS. -- To have a compact list of all of the CHDMA parameters, all are -- shown, however three are commented out and the unneeded -- MUM_SUBS_FOR_PHYS_x are excluded. The "OTHERS => 0" association -- gives these parameters their default values, such that, for the example -- -- - All physical channels above 2 have zero subchannels (effectively, -- these physical channels are not used) -- - There are no simple SG channels -- - The packet-wait time unit is 1 ms -- - Burst size is 16 -------------------------------------------------------------------------- -- ( -- NUM_SUBS_FOR_PHYS_0 => 8, -- NUM_SUBS_FOR_PHYS_1 => 4, -- NUM_SUBS_FOR_PHYS_2 => 14, -- NUM_SIMPLE_DMA_CHANS => 1, -- --NUM_SIMPLE_SG_CHANS => 5, -- INTR_COALESCE => 1, -- CLK_PERIOD_PS => 20000, -- --PACKET_WAIT_UNIT_NS => 50000, -- --BURST_SIZE => 1, -- REMAINDER_AS_SINGLES => 1, -- OTHERS => 0 -- ) -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Calculates the number of bits needed to convey the vacancy (emptiness) of -- the fifo described by dependent_props, if fifo_present. If not fifo_present, -- returns 0 (or the smallest value allowed by tool limitations on null arrays) -- without making reference to dependent_props. -------------------------------------------------------------------------------- function bits_needed_for_vac( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer; -------------------------------------------------------------------------------- -- Calculates the number of bits needed to convey the occupancy (fullness) of -- the fifo described by dependent_props, if fifo_present. If not fifo_present, -- returns 0 (or the smallest value allowed by tool limitations on null arrays) -- without making reference to dependent_props. -------------------------------------------------------------------------------- function bits_needed_for_occ( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer; -------------------------------------------------------------------------------- -- Function eff_dp. -- -- For some of the dependent properties, the default value of zero is meant -- to imply an effective default value of other than zero (see e.g. -- PKT_WAIT_UNIT_NS for the IPIF_CHDMA_CHANNELS address-range type). The -- following function is used to get the (possibly default-adjusted) -- value for a dependent property. -- -- Example call: -- -- eff_value_of_param := -- eff_dp( -- C_IPIF_CHDMA_CHANNELS, -- PACKET_WAIT_UNIT_NS, -- C_ARD_DEPENDENT_PROPS_ARRAY(i)(PACKET_WAIT_UNIT_NS) -- ); -- -- where C_ARD_DEPENDENT_PROPS_ARRAY(i) is an object of type -- DEPENDENT_PROPS_ARRAY_TYPE, that was parameterized for an address range of -- type C_IPIF_CHDMA_CHANNELS. -------------------------------------------------------------------------------- function eff_dp(id : integer; -- The type of address range. dep_prop : integer; -- The index of the dependent prop. value : integer -- The value at that index. ) return integer; -- The effective value, possibly adjusted -- if value has the default value of 0. ---) End of Dependent Properties declarations -------------------------------------------------------------------------------- -- Declarations for Common Properties (properties that apply regardless of the -- type of the address range). Structurally, these work the same as -- the dependent properties. -------------------------------------------------------------------------------- constant COMMON_PROPS_SIZE : integer := 2; subtype COMMON_PROPS_TYPE is INTEGER_ARRAY_TYPE(0 to COMMON_PROPS_SIZE-1); type COMMON_PROPS_ARRAY_TYPE is array (natural range <>) of COMMON_PROPS_TYPE; -------------------------------------------------------------------------------- -- Below are the indices of the common properties. -- -- These indices should be referenced only by the names below and never -- by numerical literals. -- IDX ---------------------------------------------------------------------------- --- constant KEYHOLE_BURST : integer := 0; -- 1 All addresses of a burst are forced to the initial -- address of the burst. -- 0 Burst addresses follow the bus protocol. -- IP interrupt mode array constants Constant INTR_PASS_THRU : integer := 1; Constant INTR_PASS_THRU_INV : integer := 2; Constant INTR_REG_EVENT : integer := 3; Constant INTR_REG_EVENT_INV : integer := 4; Constant INTR_POS_EDGE_DETECT : integer := 5; Constant INTR_NEG_EDGE_DETECT : integer := 6; end ipif_pkg; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.log2; package body ipif_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Function "=" -- -- This function can be used to overload the "=" operator when comparing -- strings. ----------------------------------------------------------------------------- function "=" (s1: in string; s2: in string) return boolean is constant tc: character := ' '; -- string termination character variable i: integer := 1; variable v1 : string(1 to s1'length) := s1; variable v2 : string(1 to s2'length) := s2; begin while (i <= v1'length) and (v1(i) /= tc) and (i <= v2'length) and (v2(i) /= tc) and (v1(i) = v2(i)) loop i := i+1; end loop; return ((i > v1'length) or (v1(i) = tc)) and ((i > v2'length) or (v2(i) = tc)); end; ---------------------------------------------------------------------------- -- Function equaluseCase -- -- This function returns true if case sensitive string comparison determines -- that str1 and str2 are the same. ----------------------------------------------------------------------------- FUNCTION equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str1'range LOOP IF NOT (str1(i) = str2(i)) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equaluseCase; ----------------------------------------------------------------------------- -- Function calc_num_ce -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The array is input to -- the function and an integer is returned reflecting the total number of -- Chip Enables required for the CE, RdCE, and WrCE Buses ----------------------------------------------------------------------------- function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer is Variable ce_num_sum : integer := 0; begin for i in 0 to (ce_num_array'length)-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; return(ce_num_sum); end function calc_num_ce; ----------------------------------------------------------------------------- -- Function calc_start_ce_index -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The CE Size array is -- input to the function and an integer index representing the index of the -- target module in the ce_num_array. An integer is returned reflecting the -- starting index of the assigned Chip Enables within the CE, RdCE, and -- WrCE Buses. ----------------------------------------------------------------------------- function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer is Variable ce_num_sum : integer := 0; begin If (index = 0) Then ce_num_sum := 0; else for i in 0 to index-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; End if; return(ce_num_sum); end function calc_start_ce_index; ----------------------------------------------------------------------------- -- Function get_min_dwidth -- -- This function is used to process the array specifying the data bus width -- for each of the target modules. The dwidth_array is input to the function -- and an integer is returned that is the smallest value found of all the -- entries in the array. ----------------------------------------------------------------------------- function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is Variable temp_min : Integer := 1024; begin for i in 0 to dwidth_array'length-1 loop If (dwidth_array(i) < temp_min) Then temp_min := dwidth_array(i); else null; End if; End loop; return(temp_min); end function get_min_dwidth; ----------------------------------------------------------------------------- -- Function get_max_dwidth -- -- This function is used to process the array specifying the data bus width -- for each of the target modules. The dwidth_array is input to the function -- and an integer is returned that is the largest value found of all the -- entries in the array. ----------------------------------------------------------------------------- function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is Variable temp_max : Integer := 0; begin for i in 0 to dwidth_array'length-1 loop If (dwidth_array(i) > temp_max) Then temp_max := dwidth_array(i); else null; End if; End loop; return(temp_max); end function get_max_dwidth; ----------------------------------------------------------------------------- -- Function S32 -- -- This function is used to expand an input string to 32 characters by -- padding with spaces. If the input string is larger than 32 characters, -- it will truncate to 32 characters. ----------------------------------------------------------------------------- function S32 (in_string : string) return string is constant OUTPUT_STRING_LENGTH : integer := 32; Constant space : character := ' '; variable new_string : string(1 to 32); Variable start_index : Integer := in_string'length+1; begin If (in_string'length < OUTPUT_STRING_LENGTH) Then for i in 1 to in_string'length loop new_string(i) := in_string(i); End loop; for j in start_index to OUTPUT_STRING_LENGTH loop new_string(j) := space; End loop; else -- use first 32 chars of in_string (truncate the rest) for k in 1 to OUTPUT_STRING_LENGTH loop new_string(k) := in_string(k); End loop; End if; return(new_string); end function S32; ----------------------------------------------------------------------------- -- Function get_id_index -- -- This function is used to process the array specifying the target function -- assigned to a Base Address pair address range. The id_array and a -- id number is input to the function. A integer is returned reflecting the -- array index of the id matching the id input number. This function -- should only be called if the id number is known to exist in the -- name_array input. This can be detirmined by using the find_ard_id -- function. ----------------------------------------------------------------------------- function get_id_index (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer is Variable match : Boolean := false; Variable match_index : Integer := 10000; -- a really big number! begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); If (match) Then match_index := array_index; else null; End if; End if; End loop; return(match_index); end function get_id_index; -------------------------------------------------------------------------------- -- get_id_index but return a value in bounds on error (iboe). -- -- This function is the same as get_id_index, except that when id does -- not exist in id_array, the value returned is any index that is -- within the index range of id_array. -- -- This function would normally only be used where function find_ard_id -- is used to establish the existence of id but, even when non-existent, -- an element of one of the ARD arrays will be computed from the -- returned get_id_index_iboe value. See, e.g., function bits_needed_for_vac -- and the example call, below -- -- bits_needed_for_vac( -- find_ard_id(C_ARD_ID_ARRAY, IPIF_RDFIFO_DATA), -- C_ARD_DEPENDENT_PROPS_ARRAY(get_id_index_iboe(C_ARD_ID_ARRAY, -- IPIF_RDFIFO_DATA)) -- ) -------------------------------------------------------------------------------- function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer is Variable match : Boolean := false; Variable match_index : Integer := id_array'left; -- any valid array index begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); If (match) Then match_index := array_index; else null; End if; End if; End loop; return(match_index); end function get_id_index_iboe; ----------------------------------------------------------------------------- -- Function find_ard_id -- -- This function is used to process the array specifying the target function -- assigned to a Base Address pair address range. The id_array and a -- integer id is input to the function. A boolean is returned reflecting the -- presence (or not) of a number in the array matching the id input number. ----------------------------------------------------------------------------- function find_ard_id (id_array : INTEGER_ARRAY_TYPE; id : integer) return boolean is Variable match : Boolean := false; begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); End if; End loop; return(match); end function find_ard_id; ----------------------------------------------------------------------------- -- Function find_id_dwidth -- -- This function is used to find the data width of a target module. If the -- target module exists, the data width is extracted from the input dwidth -- array. If the module is not in the ID array, the default input is -- returned. This function is needed to assign data port size constraints on -- unconstrained port widths. ----------------------------------------------------------------------------- function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE; dwidth_array: INTEGER_ARRAY_TYPE; id : integer; default : integer) return integer is Variable id_present : Boolean := false; Variable array_index : Integer := 0; Variable dwidth : Integer := default; begin id_present := find_ard_id(id_array, id); If (id_present) Then array_index := get_id_index (id_array, id); dwidth := dwidth_array(array_index); else null; -- use default input End if; Return (dwidth); end function find_id_dwidth; ----------------------------------------------------------------------------- -- Function cnt_ipif_id_blks -- -- This function is used to detirmine the number of IPIF components specified -- in the ARD ID Array. An integer is returned representing the number -- of elements counted. User IDs are ignored in the counting process. ----------------------------------------------------------------------------- function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE) return integer is Variable blk_count : integer := 0; Variable temp_id : integer; begin for array_index in 0 to id_array'length-1 loop temp_id := id_array(array_index); If (temp_id = IPIF_WRFIFO_DATA or temp_id = IPIF_RDFIFO_DATA or temp_id = IPIF_RST or temp_id = IPIF_INTR or temp_id = IPIF_DMA_SG or temp_id = IPIF_SESR_SEAR ) Then -- IPIF block found blk_count := blk_count+1; else -- go to next loop iteration null; End if; End loop; return(blk_count); end function cnt_ipif_id_blks; ----------------------------------------------------------------------------- -- Function get_ipif_id_dbus_index -- -- This function is used to detirmine the IPIF relative index of a given -- ID value. User IDs are ignored in the index detirmination. ----------------------------------------------------------------------------- function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE; id : integer) return integer is Variable blk_index : integer := 0; Variable temp_id : integer; Variable id_found : Boolean := false; begin for array_index in 0 to id_array'length-1 loop temp_id := id_array(array_index); If (id_found) then null; elsif (temp_id = id) then id_found := true; elsif (temp_id = IPIF_WRFIFO_DATA or temp_id = IPIF_RDFIFO_DATA or temp_id = IPIF_RST or temp_id = IPIF_INTR or temp_id = IPIF_DMA_SG or temp_id = IPIF_SESR_SEAR ) Then -- IPIF block found blk_index := blk_index+1; else -- user block so do nothing null; End if; End loop; return(blk_index); end function get_ipif_id_dbus_index; ------------------------------------------------------------------------------ -- Function: rebuild_slv32_array -- -- Description: -- This function takes an input slv32 array and rebuilds an output slv32 -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE; num_valid_pairs : integer) return SLV32_ARRAY_TYPE is --Constants constant num_elements : Integer := num_valid_pairs * 2; -- Variables variable temp_baseaddr32_array : SLV32_ARRAY_TYPE( 0 to num_elements-1); begin for array_index in 0 to num_elements-1 loop temp_baseaddr32_array(array_index) := slv32_array(array_index); end loop; return(temp_baseaddr32_array); end function rebuild_slv32_array; ------------------------------------------------------------------------------ -- Function: rebuild_slv64_array -- -- Description: -- This function takes an input slv64 array and rebuilds an output slv64 -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE; num_valid_pairs : integer) return SLV64_ARRAY_TYPE is --Constants constant num_elements : Integer := num_valid_pairs * 2; -- Variables variable temp_baseaddr64_array : SLV64_ARRAY_TYPE( 0 to num_elements-1); begin for array_index in 0 to num_elements-1 loop temp_baseaddr64_array(array_index) := slv64_array(array_index); end loop; return(temp_baseaddr64_array); end function rebuild_slv64_array; ------------------------------------------------------------------------------ -- Function: rebuild_int_array -- -- Description: -- This function takes an input integer array and rebuilds an output integer -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE; num_valid_entry : integer) return INTEGER_ARRAY_TYPE is -- Variables variable temp_int_array : INTEGER_ARRAY_TYPE( 0 to num_valid_entry-1); begin for array_index in 0 to num_valid_entry-1 loop temp_int_array(array_index) := int_array(array_index); end loop; return(temp_int_array); end function rebuild_int_array; function bits_needed_for_vac( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer is begin if not fifo_present then return 1; -- Zero would be better but leads to "0 to -1" null -- ranges that are not handled by XST Flint or earlier -- because of the negative index. else return log2(1 + dependent_props(FIFO_CAPACITY_BITS) / dependent_props(RD_WIDTH_BITS) ); end if; end function bits_needed_for_vac; function bits_needed_for_occ( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer is begin if not fifo_present then return 1; -- Zero would be better but leads to "0 to -1" null -- ranges that are not handled by XST Flint or earlier -- because of the negative index. else return log2(1 + dependent_props(FIFO_CAPACITY_BITS) / dependent_props(WR_WIDTH_BITS) ); end if; end function bits_needed_for_occ; function eff_dp(id : integer; dep_prop : integer; value : integer) return integer is variable dp : integer := dep_prop; type bo2na_type is array (boolean) of natural; constant bo2na : bo2na_type := (0, 1); begin if value /= 0 then return value; end if; -- Not default case id is when IPIF_CHDMA_CHANNELS => ------------------- return( bo2na(dp = CLK_PERIOD_PS ) * 10000 + bo2na(dp = PACKET_WAIT_UNIT_NS ) * 1000000 + bo2na(dp = BURST_SIZE ) * 16 ); when others => return 0; end case; end eff_dp; function populate_intr_mode_array (num_user_intr : integer; intr_capture_mode : integer) return INTEGER_ARRAY_TYPE is variable intr_mode_array : INTEGER_ARRAY_TYPE(0 to num_user_intr-1); begin for i in 0 to num_user_intr-1 loop intr_mode_array(i) := intr_capture_mode; end loop; return intr_mode_array; end function populate_intr_mode_array; function add_intr_ard_id_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_id_array : INTEGER_ARRAY_TYPE(0 to ard_id_array'length); begin intr_ard_id_array(0 to ard_id_array'length-1) := ard_id_array; if include_intr then intr_ard_id_array(ard_id_array'length) := IPIF_INTR; return intr_ard_id_array; else return ard_id_array; end if; end function add_intr_ard_id_array; function add_intr_ard_addr_range_array(include_intr : boolean; ZERO_ADDR_PAD : std_logic_vector; intr_baseaddr : std_logic_vector; intr_highaddr : std_logic_vector; ard_id_array : INTEGER_ARRAY_TYPE; ard_addr_range_array : SLV64_ARRAY_TYPE) return SLV64_ARRAY_TYPE is variable intr_ard_addr_range_array : SLV64_ARRAY_TYPE(0 to ard_addr_range_array'length+1); begin intr_ard_addr_range_array(0 to ard_addr_range_array'length-1) := ard_addr_range_array; if include_intr then intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR)) := ZERO_ADDR_PAD & intr_baseaddr; intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR)+1) := ZERO_ADDR_PAD & intr_highaddr; return intr_ard_addr_range_array; else return ard_addr_range_array; end if; end function add_intr_ard_addr_range_array; function add_intr_ard_dwidth_array(include_intr : boolean; intr_dwidth : integer; ard_id_array : INTEGER_ARRAY_TYPE; ard_dwidth_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_dwidth_array : INTEGER_ARRAY_TYPE(0 to ard_dwidth_array'length); begin intr_ard_dwidth_array(0 to ard_dwidth_array'length-1) := ard_dwidth_array; if include_intr then intr_ard_dwidth_array(get_id_index(ard_id_array, IPIF_INTR)) := intr_dwidth; return intr_ard_dwidth_array; else return ard_dwidth_array; end if; end function add_intr_ard_dwidth_array; function add_intr_ard_num_ce_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE; ard_num_ce_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_num_ce_array : INTEGER_ARRAY_TYPE(0 to ard_num_ce_array'length); begin intr_ard_num_ce_array(0 to ard_num_ce_array'length-1) := ard_num_ce_array; if include_intr then intr_ard_num_ce_array(get_id_index(ard_id_array, IPIF_INTR)) := 16; return intr_ard_num_ce_array; else return ard_num_ce_array; end if; end function add_intr_ard_num_ce_array; end package body ipif_pkg;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/common/shft_wrapper.vhd
19
13889
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IkKQ7UnyfG/i0Gz2KESfn5rIa2XG6JjMuNzaLweotYfssoXFPRW5MF9/SJXIBGc5jwrrtn7ZIvXw ZMKFyJ3FzA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A7z8fuAKplZhDCneI9mNA3lof0N+J7iQN1H5R3Mj6yF0lZ6gCWQLLnnmsEoxkSX05NXSzlh4gcEg 7rRfO6LtEEhf+XGNB65vpBYpfhGyoq59NAHhGVo4SvBM+mv7uMxOGdpTeOCZ4JbHV0AkjL28mjov 93MegfTkvdkm8J0Lvdk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuMQUwo0GDzI3cOEq+tu/2nUcDmn/7fhQTHPWWNseJwSS2v3l/iZo4evCcnhY45ESTueA+ZpjAko WVoSIubelzbNSlntY2uMGs5oczMZtiztniKkMtgrjy3EW9dfGbHhtmNrOHGIHH4IdMr3kAy4Vh74 ZigAJ9A6+7kI6MsJi8v3mT1ARZHCR6MWsQMcVGsi2drnsGRWoYryCO5xQR7B/cwBGzMymTal23NM pQKOm5sZ3P6n60ZuBiOsJmbRp0+LVYxKNhFdxlNXd0mwyAZQT/UOuOuVbjlNnKY3+syFmjH1X2jU BRKqD7PfkYIVMVQ6XvOwQSNLyki/t/1FG9LntQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2lTj0FQ90PRwxkOhP58Pis/0pnBIhVIOGqxXo4lWUDsJI5sRS1Q5L+Q6i9o+BNlX2LRPYus/9Dnq 5ATglZxA4PDv34H6B5xWMxj6PrHSWzf271mNIoMFrjsSBdzp3H4BqkwksoU2N0BujU4mvFktBj6s VuYwP8rZjGtZ8cTr2i8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WKc7lQN7TOvrS4DJ7NNUxP98rrzfIQuz4DIZ8eAY+GKFx6NuoyinV7kCt4N2qBg8IRnkz00LUdTl h4FZuBrLJJyfOOGbqIiZNIhgdqVi7fXcxV2ef2SWPHLvr6kIV0N1TmRIBZht7FPZCej+/BNW8QYG B1Rd/mmsAB7hXx6GfVQ5u7NRsVDyxlcEghLjiM7GAdTaOWl/F6pDM3aRwjjOmid8Gt7xmiYfPT0B Gzk510O+OqDJRqmdMvwBmv3K/y+M1RxYsLOpwIle5lGrJoXR6zj5dZS3g0EOtylaiuYJczAHSe89 8ncn00hUVfz/5JZCkfgcxZH1LxGTI+Ly2xY+5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8544) `protect data_block iujUC/tkGc3YsPIWp8R1WlT9I3b1iViM3rwkIAWCfdfltKxuQFLgvmnIGDHPq5/sZnK9RjQ1erM5 +4rzNB1t0k6+pmeC+rUxk1kxJiEFLPrXj6D8tBYALM+p3tRsJkgf1OwAHZUMuP9Cgzpm7+x3/h// dakRbwKsu/woQfa+RAaciG6OrWM+NBtgWjAf9CBkmwULhVFLqZOuyQxY15RUTMvskKCOdz7Yll3x Sw5UA7iBQw1KGzX9Ft0wCSikSSKuDqD9T52Rv9ney7EKBVxdIGCb28ZcQg4pA8mpH/R0epMqslpK H6RE04DSBlgdZVl7YYbJWF1BhvQWz8ZM5gtJFSOkjh3suKwhVBjbLFhaX0QwhrkNk1TsO9DuSaRn 2NmqW3jYNqdDbidhd59LZ3CajmaP77XiT1brY8v2UhbZMlUjq7OoccDY/UM5FjFVcbuZhehx7r4+ axLwfBrMU07YQeTdjV6cpzIxpi/iAfSCuMNKH+GCdPI1bqQ5bBDz4HI7WCpKSdQaCanxYtxgvPVs EiBUZtDagX3pWZlgQsbdKJKJ4jdduCgA3PufW2c4v47/fHZvwqbp2GYD5hV7a+BqxwdUp8SFS+th minYCSahchwOy1Q3Pieeq3e57JeFDUFlroTe6xX7sw/yLXW4h2vqCC0slQMdtaoe5LuVKILkMrbr MCVnBryZKR0DRvKwx0zu+o/shen+Jg5q15jkSLpDVoGYgbWn3SEYiYSmMsawduWC8+oZtEq9msyP FOBy95fwtXDpG0Ax7sVRiRX52aCzqTk6B5xr3zHvZXkm8FqQxSMvtHJRSm/m9spWiJmPnBMij2F2 V+/9PJZCx5fVUaNYxkGhEAayDwpoMltOj0lJLws3jUXb8RcaSM270LNQyEynPAPwXZMte0WNq34l gQKkbmnc+Fr2HgYydDqjDvNIAGLCWeIHzzxgc6yhDJAp5Cm7WeXWxOXqheOBzfKJ1fxIF4WEN3aO 4WG9ZdaTb5F50Ot984kU0fM7rQ1NJJSSwy01omPdaRhX2GfAEQL1Yh/wXAknmcW7cytT7DcVidPu OdRnY1M+sSeNwOyDSF8aevu7C5S9SeRcgT0esziJZB1kh0bKwg5xirPwTpsYDXHk4+MITHkJbYsg yYvdIXAT3VGj/FCUxcqQpBzgvxA2GtkeQNtn5DZbsvAyPx2sPxYAU8qZrrieKWZ399Gdv9z/+C8T UuizW9s6Wz9kRzQih3llWEpDN+ta2YWmIkxD9AxXSlPMpQrdnZPpj2kWpWYlys13lxLIflIg7Cdv vgL/BzYQgMJ+07xsgvyMH01SVZKB0AfThdOXLi8T9iAxSNlmk7Pla/YoOfsjWAFUshQ6YPtni8Di l/MKLntbjwts6apscE9QoE+pTA0bMc0n6Lb/HcmKsUmAMJJk+wqHJqcyA7a88WH0y8edawNmgP7L oLSFcwbd3nKfRrlBUSij10qWCPwJLoZu1ExzoOoEqd/GuPrJXcQeyXlvq2xZXrkyCoMmzZ1v2h/T 3YQ3sKlpcuXMPV/wzN3zRJTFhWzBTpYSkteL57I3HL0thSkQ8cDMonedfAI5c9LcKLyvi6YJcWOV dxbfjUlCbIRszY3Lw3qfVuLdbHYOwIn2p3wOjZk0LBTV7IsOUJY18IKZCWL0AgtoATliy+NTutI6 qMO88TsSJXW0BirouhTx4vzO5hg/aY7tDcqKyjuSqLRBgEtbdUPpq0yooUJ3ivF0jGCWbXSPyu6/ CvKk0L0kbpC2ob1aUkl7u5nWEyOBC9Xy/fS+PgThBE2VhpMUZpq+Fw4vRXKndu5x32hbDji8LEsl QH4Uik4HqBEbM08c/29Z0RMapq5GQJ16aXiVH1yUNXV9Uq6P/9XeCwC6ko+YgtLefQ+jgD6h+MKw YkLzlSTOYlMCek2cZ5CbERFAn1+RU+dbe6+b+JZ/8S8M/qK+OVuqPVGOcmy8bOTeJJgZWtUfrO8x 15x8TxNKU1Y8MTJyE7A9+3SYKwONV5D0oh4AFrr+DPxP6F80JfNRQ27x8q89Mgj9aybK4h+SIcjw 9AtKGksxm/ghqd73OB04lDBrYxA+yp8xpa4BGsuZ/BSzZz2zOWqKoYiE4B/MCwOfu3Rm+z+gw9YG nVVk1bqlJ3GICyKWIxZcMPyclDp+r+xMI672aiGeAxnvk7ozr/aZPelLULPt4v1b2eri5xeT24a0 0tE9y0MW0QbWwmHs6fLbE1115AoDLnAACc/ZdKH81YWwj9shcME1e9lrmImC25pGsoQclXtO2Ndr ds7Xn4Z68Z5MJmpSIC+Lc6kxxYvk9ClqMTm060MZtUblfQZ+p6yQtD1t1oyObakL0Fu4tsQ01uUR IcbxYlaakB32btfrNBorpS7uGxgWDr+AfS+xyTSFvNMKHSr7uNypzyk55TW/mkqXUkJYgQs2y98+ gMRNjFP7dQZS1Gz8Ytrl4xmu8dOOJW2XdxKOMfsO8MbgrxsgNjUC/Ah/r9kYtwXSRKwSk7VUQO/B J8adoNfGeeQyZs6JWMXjn+LBXeTImI8aBgiFQRO3Q698k+3LZ1k/fbWJdevKnCC1OGCtvRXo28cj MylA66/0elksF/x9KkB6A5U+FusF/ICMu78K3qPNVN61iV6UOs8pZUqu1TQ+aTLgq7pQ5r+a0Tjq fkZzJydtqweeDkziWUivdkH4HiMDWAHWMf9iBP4r6s6Bd6JzkV8s2mS1m4ZAkeSiTIcnEpXQaBmH dLjTljLe0pmOuDTqEjNVkQXepMfLrxGNmPozwmWzMwPpBGTIDEKKh0zaTVWDoRQYfsIVkpDDF2ba SkqmzECna2y1GnW4z4/jhYkXLUo8/I/2lgjdNXF7ycDur8Tn2wtmNBen6Be47/ouY2iYwzue6UEh CierGnxoGWA4kKre7vGtdGzyNr1BI37sz4yHxRz6WTD7tmDEHZNwTK3WyXRCytivb4XJY47LPbjx 9mjSmvKUAchgQ2/RGJoYJT2a8ns+gE0d2vAx0XD7OpCyHYPdlo0/hkG64Y3wLJM7Txkwe+9QcO30 VcymZ46QdGYC7Yh1tEj9GkXDqb4pUbDcfQQ7FJO+aYGJdw+XFLxeFUvMhJauCn/8PP4Cq2nuon5U kxM54ywEEaO7V2BGdxOMlKSrtVlP9lCi169r+Sg1tC84Ns/hCnmgBX9PrghCCe1buQJe65STqZp/ S4J3PBfAQLMV9fVs8U9S8s5AgLmRIqLjFZCqCJTJYcf9VeShmXNJ/XE0Dgg7EmNjoKFt6ts+im1d GZkRKLmqtw8g9sjZ6WqP6Nl/iqw8rEp3ZxNvPJi954ImGC6vRBdXD/Wrte4822ByqB0CM8qGcFPw iNE83chvhR3GysEVlaWN6cKyjazsZPa/9uVU4TEqXpAnRg+1HpJDIRGGt44+JKXQhjMidlKGG4kc IucXruxBwAgs6086lpnFw9NN5fOeC3U/52IjW4tM1wNGajRZ2+8AXh47TSLax/Bjn4d9uWtlHhIx uqObfcqh2vESfb3l8+3AM1zkxBtrPvDHMHqSsY0Gg6kffv1Ay4YEET7Yr+v6M5C0dtoS3xJbP7Jk fUzsKm+ODMpOAD3GFKoj+EK+R2Y+QereimYY8DEnhLMERcsKMJTVESfWVHBSMXlb8ObQyp58JO2g jrhuyipzfq3ozfklo01utkmFMnRgJ1AFh1to4WsEt7b2aL9y3v5LVqDKGMLmOiZzPizJlEk9bhwt yBUxIMnHoOEsBnC2FGGwKSSCTYGYZPfHHYKBff3+wbkHXNvERU8kIpiuU8FjQUQZL/JjEKhInw6z iTg5JNaVSPLf8st4OEdjDy37/+CgJbm5vKACT1gzrCeSNgc3RgWoZlBt7T2a/GZ5JYXwKlX/goXp YQv1G1mVaoQlvtfxH5vrR9fZ0+bK3a3CDiMAf+HfiSgMvvXFzY4fkTiGg4ZxqPOg0FDYlAd41fZk oK2mgWrhC6UcmzB4yxLXZWrofOmm5N4c3oIblEf+gthhapKRH8kIZWvGaN+j5de1AZ6E55m5V7at o2UCwTZcCXjPMa4Wdy8T8PI/qMCuYAUsbDw2rh4mJgmFOScaabVUgRre1ltQWIkiju1/KkoCLo// AHuFA/AEP9PnoN+v7e5XbbledrusaOzVNgq1tRxqKzLeHjMiXUFDO7JHFoxGKb8Y6KkK1ug8p/QX 6GyKi5Wf0IIMzLxmjckk66uheiSitNsGHfgcudjsJlQUoRtZmxQ8/3clv7jRmfRVd9Wlvhf/erv0 BkPVnUeXSIG7hutUTV2CVEfAWFlcP6vZLJgHrNp45ch5NwMJU510b6Y3AmKYfbhojTV7nHu4/LFq 91sF67Q21B77gmojF7jCdtPO+bhbOCocdZHkdSFINe58FpWez+9vkDmu0Ju/A1ECD6AGz242GtDM 05HuZKFv63qiTes5iebgs8y00VQYiTQhGSIKnXq3n3DDxvqTyF7J+Qe8sxw5Mcc/B6JGajapjyv5 3A9LN/wnihJ1bRLX1wQIqkR+EEdV/ec6Ir6CNkqXx03XLOY94BAJInCfK05E8DEMwo6hUuYbXpas 6+xIS/N6yxRros/XU6vQJ4GcBCFSELPOsF9fetwoAsAm+bjMRCuUAi6y44v2CVM0ay380KYEztLw LchUN9rivyOv3DBTakJ2AX1bLeXjyObRRJex5fH+x7Dr5ArXI9hn0gPGl1/yuUh+GmZ4fYl/B+om Y3ikWejZ7/6zo1Y5Yg9dQpsvv7B4/Zc43mC6JFTscLdmz3ePpWLUKDoNWSOcttvcyK7NfARohu0w DADDDQVqZ8/C9VQt+m/XmDPb/5IFLWWzTA5/uJE1bIqWh5l6pQ0GUdazbh53D4OQS6yYC2Y6v6SD N0XpJNzyXNp6TcJZ1sNI0CulIPO1Uirb76TUgpg+6oEDwuFlaz7J6Twlhs7o3QORvJ06le/bXycP 1wdz1GFu+g+r9gaa3JEV+Y9hTQZ50YBzBmka5V4y8ZGvy0LxdHm47DP/kpCHgdRZ+ApVSUJ80miy PN3g8WJrT81bfZ4HOCZ4sULOFie5ZnB8cRzuxxNrvdLgSHLaqOgDtU7sHIyozQ+MIE5V3W4NQjvu LC6jo9wyRQZGwh/H1Q+37VSonHJYh8tGG6uGihBFm1Mcm00vqmIadDTZgqpSln8kr7xfkNQ4+dMR sa3vCuGDU/i03lpqS8M63KV1/AycgY/J9sxQ94UzmVZwSiv2vEfAnyyBF95QgBYJodHWgLmATaqf /0KAf/QQz1+/WKyhUfqK7DNCigb+yjDsOuDglNBqk7hs+h8MH9FZjRqULROxWxW5q84JOpTWVyC7 f9hNMRxLtsmTLkfmvToOb5Ciz8stOEcn0du3JxSXRQOQ0Yh8vihyCF/MWcJFVAhzhHN6/EqkD3gM SflhhQTtOGRUkpF0ShrrpjpmwV8PY3Uap0kZbhcIhd3nFfK6tIovuljgr7bU6yvTsI09HR91T5HQ csLu9ZnzcbvKmG2ikSohD6tchlpJsvhKBBLX+k4UVkRHk0TQLHhDQSkwcEIBteitxSrZfk3WYiX+ /Z7Fm0LJ0NbBJbovLmTnrABGFOa2zwF3VwSEfUXSfCadizh8ozYen2Yx7Dt9RI0IZ5B1Bn4gsm/E g7IHzYxwkzgAaxtxUfgPCACjbdQqoaiYW99nUR2sSxAlepfB9nQFZeSdPEC9EoeYEU1BQzbMFBsB XvOhaCgrATYXxDuoXjMIldAVBllZsI7jQYXnlj4qaPU9etF7mn53mM6tzDzAbJs8AN+Vj4yOpPTc 7shmaDvbwA49xP3mPiyWD7SCe7UL3r2fjRp701Z+m1apEcX+Yy15k0rLZ9QlsWuqsuoyhgsCObSS m3PgfcVtCv2L3dmX+Fjc1MNfrdDodJH0wDiDA5UzQy3JklLcGITwDZimCI6aiCRnpkLSDxcLrxeU b2CnI7Dvn5AMX/PLWi+/M2Q9Ck9iIVdQhJGKcfoM1gSWDeMcH2oL+T+vHKL8ROG2drsSGlro19D3 YrX5FU9uVo3TzWeiPNB8BLv/DhnMtadb1mf9RbyrsBhEh+HKQ4kSM2GUyX9HUG3wOZCzluUislxK d8rkb2zR4NyNh5TlPRfYWJ/G+UomiWI/3Wj/dJbHQhErtabA8E2eqwThXUXoP0P3/gd9Mtt38X4p 6LP7cGkSBJoXsdl+9aVWK3XO0gZAUg3yeZePHExtQaxUM4hhgXJbb9ljrBULHg9CSnems73LgD9p OqsH6/Z08DTJzFmEHuqWgoLPAIJbz1L68xCBfzS9VM6GYkOllcLMIPvSjL0O94UIOP1nv7g1zSbo 3Ejf1i2GMIBjk0FjXGJ74cx0AkJi4NV/y6yy8JWlHsfSkTdNr0g/fdFcHkoz7ROH2t4OUumOlqp6 TyARD9VR2Otp7OUgqAvEzjVv34J39IXdCfUM4J5qg9ehb+dH4aPZcI+Z++P5CFkhXsvBn0+lRebs QbAptOlIMbCY+/4Cb2zTEXK3+x+f/zdo384nkUFdfXQOAIql0CFmYKgRB9dXwUSr8aVy/Wi1De0Y vbMOlfJxznvS2FyZ7tA/AdIMF2iGia3NPnM5+F/j1gbtV1+UBoLRNamRW5MS+ElxW0fd+iIiqMmC RBUIoRVG+mz2SOg3N1iPWncA7vxNSQokblSgBvMadB3VD0l+txEW0WxpEBNApuUVzSVGz5hLZHmC 1RIKsddYEyUoFbwwQ8eTlRO1Fs+SbjTfEs3o7Ip2nzNEBNfTHSpHe5zsUodW+sVxSy1l0xVyDnvB OAwM4xbPMg2aVfLRmLnd4cVyIFlS6LrIDKqOJBxNunsQiyuH3CfgUYGTMiNlWfIaVge2+lsDSTqw GPSF0REXMDuHT1v9dHU0egNaZ/PzDpLnHbHWQLZ2PJ7cUKJiB+glXfO5tHyDT/183O8K2yoyKrfv 8s+nEkdvfBJKaoFohSB0yUUxtNZdVYWLFlbZ+B+bBVloloKnZNOtTYicb8k8h+sWigx1xvivRbXk Tlk9pVnV6ZiROx0yJamcNQZe1tvAZt4rg6VfSylzAZpP9aK/CIW+rD5SEYFGJJGXJSdW65KV9c7e bzmYD9IXc/tndutuG5U6c99P9JTAzjLFyW3XpwbBQWHiqsnTJ/C2BrEUCuvhzZESgstWaYHY5p7u W1tbh6GPFpZA+TaxfNmFyBJPVh6efqWiDu8Z4eNfga8J/bmxmAsYE5zc3jFEPCVamae3CLkhj+8P f7vIvlr0haSDXSmUkHRpqt1JFvf+UYifK/OXFfEOmFnIDDtDPler4/cZsP/bqtwj/Upe4g/VhSgx qtjswzNyWnsq7jMP+05DK8IOMG2pIo52otWUidkPtCpMhX5jOAQ3mtelQbzbQ0scj1Asb5Q1aZSP JexWJVM8hPA62VCcSmYsbZO97+ep0DMIA+J5Ttl8AF0eIXC1IJSnV4c3T3IJPoNUc67wroKv/YW6 aepdYe9MzG4UzrNPmXQoYf2436jUemM+YUpmV9i0am+CsqodafEVP7Vdx/QW7LucojEs70PPbD3/ hNRTOfoNgJ9rroM7wb6UWbcCPU/PADFfmT/1yE8Z7TXZaelLEk/FQUei4r3Oi0mjyKWVs44jcAgt +MYCl7am4o1e1rgbYycJVx6P6PnJHlS3jUtSkYuLx6vJs/QV5ATJ5+kh3lcMmzF2rfcIJXYQNV/W cJ/Fwm+XhyxankcCT3jMh5liLGllNxqB9TJRAB42MWLRBBy7owE4bjUY+pKlutdwZGXfcaeXU422 3Ks/X+AN/sxn8Uw/NSSbK37kD9/IaQAB8CiLBVv3CL5wFhfMm+PX1UM56sKwdOYSNFLy21So9CwI i0EyGc7ZTlmwSBbTqugydLU1X+2BLwMVwy+TIRem5vvm2AZwt3vycjM/YkR+vrdvT+Iquo/eHi6y NArUwsKyE/OwEWijG4nWcK93C953faPQC4bQkE5Nhz5N5RALTSJQyQz9u8i9+tFoY0ukuBbp0xND R7Tc3y/pS/t87bNRCmMkHnJLGX1XYbf8ZzXZni4gL6NosDQvZJ3vNwudGDllOQVDbJZ45zFQbCI9 FwgTdni74fVtk1HXeaZMO+KCE4+yfNdiXemSp7CkWNi/AP9l4fN/SJiKWo2MVAatrIaA084DxJM6 kFtLYxN4yNnX//EscrcoQ8oBexJ2rYqqyPs80ovvpVtKBl7w+DFC6C3y+J/wmR8K/Un5dk0+mGQM ArU9NTVlwZSgFlKUqPiLFDYyn94jjYdVITgcUgxz/PA9dlVhSPLfTrsPrivR7q8bFsjLIfM52VbP U7XIMiBaxdlvxuDnZp06D85FofIsNCCLcUrNVEjQn/2OC990HSW/XKovfojp7w7FIpS6W2QHapMK Uv7c07gSc0ek0SOGakZV2xK2ydAl70VZbvt6VQSBS9813chb6oRAPL3tK3mfwxNu0210V8PTZiZk vxGjV3Dgu2nOifGdZd6qfSx2P/8Cxq1/PnuRM89tyPYGbJ6ZI/WyChhZoYiKdyZxOhOrbx/y3V9Z tS9RaO+QrtHyLYTm7t8o3qLcJo5/ob5IJinx+vIPtVIcRFk32hv6cqCQJ9caLT+UCsC9Q25287iJ nYhvQlnQkiKHhcoCbCgW5CVpbuF1tOLq46CbF6FJerKF5K9dVygQneZjA2jjIP+7RtpvwBnLQtyD Hqjc3ZVPZmxSqZENZCc//dq46tHYiFJERR+h9HVz8MFM5437RWJz6u8Krs6NuzCtSj6bjVBhl6F7 r6Vph+ya45toDCaSHLZnBDU63DM1lFMkQtwlBOBrBPuvTStv4qDBCZPsvm8K9J3FVYyquY3aux4E RKYUSMw9PugLYFUE2aFplEBPTS423HoAXgok+p1Hw0tc7zMwrvXRo3OZW9dMBoqm96eVA4NbcA/8 SZAeGVS3wc0hgVBDrEzTVha7FV1IJUMb05VmHo5A9NWQidHl63tkM/3pu/gwoK7fDKSNjIeLL5RN dtuMmkSQDchsgIZglCJu59nGLTi8/1r8zWhclw56h4caLJKudixtMr7PvDG0UgEDH0v2ygYteALc s0wVgzy/9hs8xDOh1N99CqYLhgxWil0n2OQION7Y7zEMyy7SZ4MBpbZECfLgbeK0G1fxkYmSrMV5 CTGa6kuFOSsQOflZR+CySkcrQCH2NYN3UNUsN/VsH+Op4rrPfpE9qRdvV5be7H5GXz4z/L/Jd0nP 3M3WeDd9RSGcgvgitsNhzXWWjlYMIOQwRdYCpd4wPOHdJkfNjPVc993C4l+T3qLuDE4a5eMfdTam ZgaPPEIY9QBfng0dRWDYSB5BLDcAKz8kjFLVFRiHNp4CHV1XtG0lU1VaGoUdww3mIXlXk3AKFmKr yjLkg+GeZMUOqbxqmomAe7qz4hDMvzih7+0/mU21X2z5pZOf0zN7q0s4yOoyJNLv7ndPtYBUH7CV RAhZ6rTMRaoVOPex8S/fI6Kxadbqzut9ZRS82SQvFUx/mhCA+JmkULvXzcSRjnpZtAm6WwP7G+zB Hu8ipt2HlDykKw2TNYx25ZXBvHlFr3s50OZnrfvAZRbu5wg4GG4U0Tdl7fk5niwit9GpLkQfqCkY vuaY35Xn6fM9/NE9tNnv6SKZWCKVE64GH1hhkxWGM2uY8Ir7aW34F91hSzlsB9ALZrHnWdt9wfqI jZDPn4O/ZN778AnKxO32gKt+Q4nzrFKiyLeKZMPwCLUdcClwKIt6/FZ9rIzBXQjMklXzcjYTspUj Mp+hVE/cQMobRI2HPph+2QCN67aoLb4yxw1826kr2MYw44p29FGXFLAhTxMKj2YwmWYuoDgJhvzf wecBZFSeT5U0UnzkzraAMFyQw2L2aKcs0WaVwlm6CbQr6Gima6q5NhQ27UDvan3/EhkiFcuHU2ti 69pNFuOSdUOm/OHPCr8enPqWO372JI0xnmYUBpSqhh83OdwlOxLtgP4GUprJyczZz/wxX4DFP+9v xQ/jgPTZcFt+HpmtIinjZ/IifHT0CCMuOONNGKnfMcZfF1SO+fNP/qX5uH9S3GzEl7B9dpJFv3Dq 9qpp5/wG/tVIBzEKHJG9bqoKWvrrmKx2OomUz/s6SkxgoRa4K1cSHdnXkzk5JjD5yJvCEsRw9YNk o1g68EgfUmsqdthXA2jRX0JDPGVyq8aU1+oIVZr+YQq3GI3SYa2swUfbCu2vDqKUry50St9SIAwy /RhQqg61DbqKcWCjFLxiQwBDUQsT3a062UCMXj1dFkoZs18Vj3fm/p8nP7ypT7mH3mUod1IS3q8U w8hskUAmzEZbE5oM2PMumVNrNBYneW8mWPNP11uB4yJvSVOPLBUAVhxo+D3bSYh1weaYWvKHbT4f YVdXtPvKs7/+7bufj2IO8gcxt0kjsZBbqfKNYYzGKI6oo2+xA0sZKjFVIqNlthcbeZd4OIR4+uZW xRdVyZKJeYY24XXZWYkzuqLRXCKKtMSqfg3dPIJByZQO13blXHB3DQQ4Y7Z9++cuPUIQmE34TxpR X4+SYQJzfC3nAy0Xdlm8tq3WvvSJoIvon8Yvs8ep/wg78ywu9yJcItZMrlfq+p1KneDjtzmE5KBu e02syBLVG2vE+p1KJ0hF4p3sPhYjL8sxnYLrhfEXrZmF0qQKCcNsilHmdVk7QoPFvXpDKOWbaEA2 CcMduFjekNulkKfCVX9NxcYsAxHilX3kpmZ1v8wVC8bgLFyTLuSaCuZ6Lk7eJpuoyV7O1ImRQsvL Y8xqN1k5Z4CrikAE44uRlt0QXk4mtxFZQGBLLogmU4AvMxv9agoJlpIkJfpxF93JenK+4YvRxl4o 3KS3i12zl6q/fGDIRmyaHjfYKksBOIS9BuBHkjOCFBHx3fYmHlzrL76d5VkCa0CGWLOvPP7vD7EC kIaa5pNI3UcbklfkQ4YTI6VAYObMXCjg5/Y7VeWeha284Y3N7V9AFVoDVFWMYJDT4u0pK9vdPJcy IKORlSuoA1BfmhnC2xY6aB3iksVG7DJGO7AIJE4xgDdtbf9aHEeWkEMEG8HzHNs1ct+fe6ptnHtG J4auzi51plFi91VVh6UWRdlQcCFUx9xX4zcPwpJqBLl9BNw+DMZu09dVSN1mi6MXkJVhrMgE4lR7 YG1ykbx6jCxe3TYXOoqJcyNSN78gDmznRewlm1c9TXkXZ+KLVk+7bCuKXaV3Ic2kkI0zj/ifFg2k 9SofmMTPqKjbHIZ9B34FYtsrkhvIyGsDHp9wQ+rPPUNy4kgfpUhjBMXuRIax/rsjo+hGDr+Q4+CK WEGG5qXAcLMhH2JhtF8GtPqpMChWQ1crPnzuo71OeWzK5XVN6Y+bjjcBk/d4b6dhGa4TYf2B55pH wsOcIggqf5q7ccQ4hud58nrttOCYVfTTG3qE9REOJ3DgGjIge74yCtcfYE/Zs+SwtbBa `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/common/synchronizer_ff.vhd
19
8637
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VqgplFNkI2rH3rP35CdiLJAesBBzx3ahYCWVov2QY8pnSpbbPHZzKXALTXuf8Lg9RV/60SesvL5+ Tx0kf3Xi2A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YpVJ/AkbT/7j7nP1FpW0u/1drBu1Ym0xSQcZVVNR2BH9CeGHgikyUixQxXpCsKnhOEb3pzk2wV6b 2udOCqgzaZfDIjjaxTt9/C6XIY+oMyWDycOTnGwR4Bf/A6rFEzTLA91kxNt5/tS1PVy+wjb7FCsa mgkYj9eNUdtmSsLezko= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pSdvSF3+OBx+pmFIuKYX+lTRtc2CK1xqA/WmTxOA/9c1xuF8tv0giSEc/96tBGsFFqc25YHyYiXZ gYsCabVJMk2jc2XaKW+XFrRUGrQYLd+QPrzsIggnGqpN1i2vEJ2/57QIQEt4pR4jX78IzCIP9B1I Mief83M338G9aIgdzONBxsD1Z3XK2M1fqZBI+UT4b8E2guDKnWsCC9f6WqxH/+ijAu2o7kXfkz/w wH4eaCjn38eBIq4U5maYpwbVxvzCRoB69hlCwEEVDievRmXHouMD407mzOTwKaIkf/tAbFyB6i0D s5Boa+TiBtHShhLBGBRqGoq+2UpGEaVgj8o3hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HVe+dxCY+VHrZ/rUbzyWsz5ix04KcDyyUrFaCcS4yZ4GTBKi9GYUFVfTsXMpSX8pxXieZIsbIrAR 8ATsmu7QwmViHDzOMuS6sHzr6e8dC4A3UKQC6xKKwbJdSWPz/il1AOb6t1CcrpGMLBXMZTBj00R6 KptQtwRx2C4sHo/bHEs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gr9tWfnRHlUz7X9jwun0huNacy2IvVfab84T3X/BBntsyGpCEQL6hR0/eLuvmgsVt+peH9UtRKIo Mx38RlMVlftuoIDUnixeoGaAc4c+4+tb16q3/5V7og6YvplXdBH8LQEEDNM3+H5ouvTLLeMul2Yk sNNMGtkGcvzxpzj7QTVn+eSHg5B5sba+LhJuLxq02/5r329tzFZy8dtsa4HltD5DQbMsj44UHU8g J84rl4f5z2tzAq3mdpwIqfhK2vn+BHZu8UlcbrIJKEkQpY9EPDhgx0vX44IIfHNFCmG2MgNy3yn4 3WNmBdtLjzwOjBTyBBtqdvJWbuTYLVDhGJrWQQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4656) `protect data_block Zi9pBaAcftXHOQVA8fG9gFFqJEKZhli15EE9vI+dGAuuzT3mTCJGdoDi8SVlipTNsgE+V1RcJJn6 T3J53WijUpFF/Knu5aWOeEkX7VvPVncQpmsrCeG5r+gFmBWC5hkmU1w2qkIlvK2dnolO5o1/PR89 vClRmBnf1v58a6VRllRytHO9z1GO8aolJL3/N8XbDvn4dptEMWRptLgOGXEDjCLYWMWc4pSKrHoF IrG9/6p0JwlbHOk2hiBfuqaxB95caNTYG+EytgqPRnVV5nTh6+Iy8/y664LivIvYuv8uR9W0k4AL l1blAJrc/jL0miqTRi8NZu5+tEhV0Y7sjFsO/1Zifv9vZysqSJjzmNsTuLURknzvfI0dq2PnRiY/ eSCOn29CgyBTkn65jhn5m4vZYevMlHTzX8O3rI2nu76wK6WK1O6H9bvHg5GByJOtlGHo3HBsBzHZ W41nPiquUPq+SixWHrQ8q5gqGvAfNk0pO2AlJoxXe/VY/vqz/NBzAcve0Rw0IYFo2BI8j1Q3Mc7F p1F49JQXVxqGmo9+aQyqOLuw8FoYD/Q119cOJNGwjVuhzKTF1ByJ1WEacIaNnLn/5KoYf9IAcVNi NcUbB2kuD/Y3F2x8uSmhiybHD243hBbfi5cxApjsWiFSnA8EVIWHLfAgdZa3JuoNMGV/FFJIiSmK pcX3tkwzHrmkcrLn3eLX2LHkTXU7Dp0XRFOZu/17cFmPpAvT05lGzMZDWXa58ZPm2nN3iIyFvKKh qAeQHf9OzvmLBNbzD5nrTYb8owv21WCq+Ps2Aw4D148aLl7htmUuB0vE2r7A3kgvYsfvbgZDYQ7X +CKci/rVMxJfGypRYxDiPTc/FfPbsi/uvuQwKFpvQjeuA90xj80Y56QG1m+MMtsPDHJ7fOwj/9xm /JOV7Vpbpa0TFA/wUXO10uTs5njlUQUE4GlhEpMjMBI8VF8F/Qg8ZaTQDEbdqvctQUPVPBx6OpdX bgn/XM/Lvj2ALBshfbnU/AXcM06CjXc+6to13W1sbNSeUfi1h0UMe7RiIYBMqlzNdZ7C75FTrRQn a3vmP7WfcOvw1mBcfhRSAzQqgyaTumMsXf9JIrBGk3zn8zZeSSd4ePhdWLzb/JYU1N+BnSjJvpYi qlM31xTpxKwaF3pB1naIgSROk6iTqutbd7ACqHTlUNIMVc/wFV5DRPLCcKFT464ahigJKuIS6N/C IffuD+Xz2SYyf57Z6e7uyUKjcpao67Acf3+EtCE3whq3LUAXKhYZ07Nmgy7m4/pMjhNWbz4UCYsH 28a7StTXS/+0iBaVtQlU7NT1lDhkjK0eT4hAna9ZwjFbOzisuHIe6DzY/zwkO9GyGexY2AC/V18e +IT1QlR7CsIK2T0Z7v60zWZrvYCaqwTkyGBCwh5I3vYAaxl0xKIJO010glXpqC+M6QCD45WPdn7f +Rxmdjc/TapA9hifPBTw6spNnWRHVidNjP9pJLdnXgHepeIk5mU6+Omgy20+THu293tvIxE2EigP KzsFUJUtvdxb+r6KFmkO1jgRdMpc6xmuhzjpd5Nanm//65yj2kM8flheWDzhOMCKYnu9R0bT2KSA DTiwOfGHVWYm4uWwLVLQsYqqjuKOeR1nMJ9PTPfc0yZDvvYmMT2OlV/S+3PhmLiLvxazengSrUDn UTvy+pNAVXZ4GgTU357KAwgblayiWlYa4LM9xKkZCsMb7yMYFZmuPdMYnr6SnJiI7Oihzr9+5lCI X/m0/Tx+bCT+k0+4W85tf35MvNILRV9notBDtON2I4V8FoZQB1JeDHBNTNc+Z4MdB/tz04jeoMGe qykR0Fe1X8gJ5NOCQGIZ2NaeHrS6t/4pL8xPbLT1KSN1im3ggtPVAncC3rxeakylrownsnTk46t6 IvtTuwIoD1j3OFg54l02zOsX32TQOLpBNNph0zIRvYPSWHSwNUlG/h6wk7RctgtsnI/OCyeTHW7n zSVFdlhgUaVQSL/zGXKb8bUXpUINKUXN5HKUjyiWGee5VA3KPdJ8FkOX1zlrCeQMgkqDQGlDEnNe PGs1k71VB5BT28ykWAydqzdFEeQfsp1sxre9DOBTyfz9pxSJmxhnLER9YNzSvebe2TmYJ7Nw7ji2 ybE0zhxWKEwD3DzfZbYLv3EF+T9Wq3kKi1HH/v2iqWCW2cshlJdSRY6e7ZILVDpwQAk77mb+XbAo sWRmohk1V9KgpN87KPDEOZ9v0qoMbDNjOsjvpxIEFCupDSiou64TwRKRmnyYYURg65Zsq5EjWc/O JMRTsOl7Gs8Z+61cfuvHvqfRU9Ti8/TvXs7KvIMMKh1V7qbOgRtQ4CINljqtdsXT2MPtNHQviyOi W6WSJureeLiz+/fUDM+ewrxt2I4z92ctzsABD+fmRRH9Q6khjZM9ZcO/f8NwYjSk2o0OsKVcFWHn nHFMhx8Ttkf4QdK0CPK8vcE64RoThAmjfhzWmgtEWgz4+NzknmAE/n03/P7Taw31b6ppodaKLLXf DtVCFSNsRLCpmSCs1fNjxTuiWco+/zz2GxSHJzLRraSmZCrRAbnNL0GgtsvhwAQO0w/j+0CRIW14 +dLIt+YzC8cr+U8e10hn6NC22Jd64STAjSb/1z8wqpRWh+TgTsJ04X7o2HHOW2vDRHejLx0askCM zUjfLaeuflRM4klI74KDvhStcn07HcQU5oTAA7DURqlCZHijWIgNw2+7qPCc+yD4rqhuk6evTtbC Vy8hSy54GRcw0Xo+bTLZH5F9+Hf1vbX4dLLbXMcH/miIy9sEkvSTrJN9ukcrPBbxGA2XP3R/E/ey 1XNgfzTVXr/1mOo3DFPYV3IbY26CLP+GGPxOSsB3eg5ofp8HC9OWyNM2Te4PojjNqlsP7WuPqpkM V0D44QlbCey0lEYPsv4Q49p7OOTXqFsFFIfRL/F1iAOS0/l5frl3GOK4n7oTiCweCF8IOGc7rTJc 3EKUy00OX56KVuTIEo/927BD+2EuCQCIAP8boVqmGehdk0y8+EW6jC7upAwTa0UUGVxVSU3Ap2ZU hUzCbWRP7dW1o6x2tdGo/m7lwhJttKvv7YRTQs0ZOwaChgKJ0vIkQL5pwhBoX/a4A0V+FZYCRBeP fyKTxubLOIaYtE0r+drip51V96u+Xn4eB2vbQx/o40CJKzNT6sEC2SZsKlK0uSPTUE9//msnil4n bIgAlNif6kVLdPukw3JL8opqdSu2ZfgO8SOTPYyN2KyzGkHqavLuX5UtVQnyWMM8OIr6mhHXZdCo Ys2CLLAMtFwGwtM3yb+4UP6SRiDpDYlScKJs6MGvT64eLjzXujLvJDIO9DY4uuIsxafblgC2//Dn i6cFXIcVTTBq22keUZCDBoQgYj48Z8yf0J+G3mKolcri5nk92HGGCjulb+e3HDH1vgvX2hHJBzdG 2bUykBbmUevYaLice4KmP4wDXIlGwmbMYqzD/temKiP5r1bJ5Ic2p5mBYmCFtC0RFCxFRAwYj7kB M6GasUQfYW8yuTg1TUMGOnWHs9TEUqTNv3xh0s8lJrvntUAAvrL7WjMfWcIb6doAH3/oAFIiKXYw e9uMpHynnKxEbrw2fV/WSoA/vCd+FJBVWj03bmZYWgjcUczpGlIbMDNO9eQfnN0KFfgxGr4HqOFg 4ZliOcn8doNX/BsP0C/k+uS67VuA3Q+uLgbodyPn9zJcjRyTKo2iipuQrgJ6issbAlHzcdWQsyAl Olyxhs3p8hzAOytWpRihtZr4sA0r/ReZ7FHwinqOR5UhNCOS8YF94NgODwJ6n6DXt/Zu1n/pRBcA HDDH9FNgKG6n9zyn0cPBqOUKGQvQcnyJU0gZttuUyv7vbK1oA3dm0Bj7e9K4HHng49jVlencfCk/ K2Lhh3Dzb8SEJyktpCf5noS+w02EJ3xVSEZUGG0nGmbapi1QqYwGP5AYh1Y9kciEjhEloCmIuFea nb2m9alb+DcMi3h3QMFBz5JwDBD6v4DWdgnqfsS95ukH1qRykFaRcl6IM97o2UmNCb6RuphLu0Ik 9V61coTEVna05flkBx6x2Cht9Qed/g3blc3sLeh7s2IvuYG8tAnvROhFmEwPmt+WyaGZns2Khpvb VVB1h3Ew52Y3dWZyXFnvnu4caZKG2Ab3xSxiyc2COMom/R/qNmxgVnZnl4ePSCO9he0a2GhKjf+a VIfsSqBTtoDPjOBeRRjaUQ46uEA2dCNpelOfRPlPP1qqE9Kzs6gO5P4zvLi1cZCUqx1D71Y/fBeK 2CwaWQ+ApyO2Xn0P/Dx/k3DxirevVufKGnLzGfAT0yaeEOyYtVAtrcalQ0rE7NFIIDtR/8gBfmgY dzDIv5iiOmyLDrXluq8txWvr99eKh14B1O2hy4wkcaYu7snGTPJjnLFEtQ/ZvoxLBJM4Hyisx06R CtdnaaDfJKBvUQVI/xGeoxMXToQigqsb7esqW/SzJoDaMja2zFddnf+9j0av+POZ/l0ZmjWxIfgY HKl937DwmWL7KaqIrx/82FPb9odhmlIyt8+TrRja+PL98A2dFwe1cQkPaDjSGw+mSiqsN0FSvdo4 5w2HBFYyqYbXkd9GN6/g2YkTJ3s91oYIDYsUNcWHpi5VxT3cG+Jw1eA9/0eTGFEhaw6CaGu+JHCM GoTAAlFcm+c6HhWi6aFfJjyo/h47i3MIQtmLVjxL3p2csHWCzfGrv+DOhKaHRKZD6J8EUHNfDsu4 8ptLBN05CM0Rdt+GRmZk67MWgiuXVKYu8/XrnYYy/yApL888mvGBcrOhIFMeqhp1PsjYSQSWyG28 aibriUB5ZmyOdjlHzXQ38Rd1WKiW+QL1+RJ+jTQhftD2ebH3Zabsnu5V+sqF/sFLsXxM3GURvtnn u0SlaO+BvTXQk/gRZp2HKPl2/GPRmE7OZYc2KJrQJJjmwMOSFuOfdsFEloqpaE4J6KGT74a+1xny /WzFd7R7ObAFJDYqICvlLz8XwVjbxF02VpGZYonWDBebJT/S1w6lhUrOd5avXcM8uosTHF+yvcSY 0Gc7A/kAef2P1lRLB1vHw7PqZ4bRwgNsT0mf6SvfFPjpiSlqDLulasrdskK04Zmm300j/UPlOKra T1lYMPXmL2a6kUjux0RBCZLk4PIiRr4xgIdA/aioQqJD+TSWaOxoQ4BC60aBXitGAAQLTm8yzrBu aFk+cU65OMJWNkocu9s3B9AsMqw//8Dd6zDw2voeEAIbMi4AMResctzb7xN/Ovi59n4O8I7MPqV7 X3Q+ivJiLcqHHxyL+nKXdXI4wSL59twEB611OO9JEMNGubBWVENvvVmLmaIrkKFF8wKSVTlLfaCB avZcrMPJ8FGdd6WadgRoDxKo4qd4mmN1lyYGD2COO7nvCbqLHDJEIBBvefXV2acFH8FmBf8gsUhT OITzTZbQPWDeq/0oSjclupQc8CDGJbg9/oVHBtE5i+9ys03gmxD/jSYPCyyUktqQyIOCGhYSbkjE 0El0BSVWzPOC/W1qNwOgLCnSs9EnK79E3eVSHutw+CB6cViveNfB3k8H0yHevQIk+h3xZTjejRXj YMk4HofwZI/fvwlSRRt8s80I/tQxxvAnTprIDEKD/o34mQS6RZWnqKvhV6PzZYIe4MsFBRvRf6Kb VgPWz+LTAsIbLn/RIITeAVxTq35XnL1SyR01y9g+aNsyp0+ypCZCDJenVgx7kaTs1nLgBbW2n0Qd ada0UsG5sigDZ2EBatM18wXzBCpUcBeg28qh17LWdbTDfff3gdP1WtVLQLxGsTSL7U7bl549GaSu ugYh2co6NQ471n+gmwhXgYqYS14yCxvDVlreB0ValWx4PDGLQLiETJLgewmezG8v8D/9tAFDTs7N M1eILWz3Gbux7HdHrN+kKn/32NpBpYrxOYiexFT4AXXtYBlJQO1wSFY+BWelh/V++UvcNHraWVpi ltFpGrwpAFN47PG5TwHGpA7zZR8JT9otI/sgQg5WxzEp8zkEM1X15tTe7DliMQOlunLHSPDy5J3L Ra3nO/gsixg6Y3CnUO2s0LMZHShg/yWgaqraEeOBIXisBSfqIW5mcm6GSqctOIG/OgSyMq/Buluv xb6vqFMAmkBmtBes5X01uwpVeo5aCdKzemGJbezD8o+nFgi/fY024Rz+XYsdToAJ66fU+KCD3Toj 1V9rD1SHDahvRsitehaWnPHWAq0iNlNaF+eU5mf088pQiA/cUTT5 `protect end_protected
mit
frankvanbever/MIPS_processor
Xilinx_Files/toplevel_tb.vhd
1
1685
-- Vhdl test bench created from schematic /home/frank/Dropbox/Workspaces/workspace_comp_arch/MIPS_processor_2/toplevel.sch - Mon Apr 29 14:31:37 2013 -- -- Notes: -- 1) This testbench template has been automatically generated using types -- std_logic and std_logic_vector for the ports of the unit under test. -- Xilinx recommends that these types always be used for the top-level -- I/O of a design in order to guarantee that the testbench will bind -- correctly to the timing (post-route) simulation model. -- 2) To use this template as your testbench, change the filename to any -- name of your choice with the extension .vhd, and use the "Source->Add" -- menu in Project Navigator to import the testbench. Then -- edit the user defined section below, adding code to generate the -- stimulus for your design. -- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY UNISIM; USE UNISIM.Vcomponents.ALL; ENTITY toplevel_toplevel_sch_tb IS END toplevel_toplevel_sch_tb; ARCHITECTURE behavioral OF toplevel_toplevel_sch_tb IS COMPONENT toplevel PORT( RST : IN STD_LOGIC; CLK : IN STD_LOGIC); END COMPONENT; SIGNAL RST : STD_LOGIC; SIGNAL CLK : STD_LOGIC; constant clk_period : time := 100 ns; BEGIN UUT: toplevel PORT MAP( RST => RST, CLK => CLK ); -- *** Test Bench - User Defined Section *** clk_process :process begin CLK <= '0'; wait for clk_period/2; CLK <= '1'; wait for clk_period/2; end process; tb : PROCESS BEGIN RST<='1'; wait for 1ns; RST<='0'; wait for 1ns; WAIT; -- will wait forever END PROCESS; -- *** End Test Bench - User Defined Section *** END;
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/wr_logic.vhd
19
37687
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HUpwfbtoJu5ljZH1PD1nirfZUiqEH4rdOJmHG3byOsiHMKK3LegkCLnxPuPlk+MO+z4ctY9AQVS+ qDXnVNabAA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J5amwDwAOhmwY1AI7aPhS8ck8cUzk3ZbW/PSkoxcoFtS5AuFiIpCT9Eh2Lt0JzHUUKx72jQhC4xP E8DYUPCIo40JuI++9z5fK4HwpQiCOB47OP9CCbDUXkdRdGgF4e6aIOfD40xCprloxnLZWVs0yawE 2eWpDksVPZ7exWV5yp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kHeSBUaR4Gb9xyNR7/PmBoZ6gckk9p1h7+VOSSxhgJTOkeDKrcZOdIV1GDgFDrDQ7kzRgTiYYdNg fXk4UhiKwBVyrTjV2sMzg3+WqoUQIK6Jy3j+rnKZ0FHbaJ/B0H/GfbBoAdHe7Ll2JvXvA2JrUnjB cZCpVeHDgAOSHC+pzlRSIpPSacSQtQcR7XQ/3XaxnZYRC7uHkv276AbG3wIpLBG2zxIX3ZP+ackQ pH7/JslwJLo+2yMp03WDL60KY4dKN4/3Cbuq0p9ZXqs2Y5D7OEUZNxyvOtt0dnCx89ZP9OSkU6+U STforoN1MyOGgJ2YZ3QN/z5I0fk2RYpfEM9JsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Lu2s7AKqknRcUE5f3UmM0sxhb8YGklEChkrpjNpqeFmWrHZVTV653SjxOWSucZRxKRWERgvAD5Ge f+lfXprxLknFOXVThhIZcoGHsP1dAaIYcRFINHuR+NXvmYc17FBsIljnkMKM4grLGNoBCK5BU3oj +OpUaEAqYZcR3Ny7rME= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZNNygMQdh+aYmFNm+RRdz6IwBodkqsu7V9fE3BGXF5I2MBgRK6iGinaX8yLwnKR/gy2F4SnWUzqm SM6Hy+mVD8IIS+xm7ukIVwLbM9+0zez0kJn+qWOW6DSjxPXqHRWy3fQI42FtwyVBs6pb7/W8Q9NM y83XMjmhW9gbYNHIHq5e9D7ao/9WQ1Ytg4YhUY4H4cSzY2tHj3tbIsVO5Swzs3K1mz8KunAK9qzN WNyQE7ctUOauX1bPhyKN8vZcKzkl7x8jPe9GO6BDBcCZS9DeY3P2LTqajNPbMa7b+rdlszJkVZWF aXg8+G+Fp5cfd6qUK77FET8A+G+lv6qs6bNgOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26160) `protect data_block MVTeerMMv1ncahri/iQIJYQfSzBGFwfh2xKaJlpUO9OCwCoVcy27laDrkS4BLZJe0LuE8ZkDBlwx e1KWTaC12+Vx0oUVRm0qhku1DxzKi0BAHvaeM+m9M/g+NDkv75nCLY/pl4zl5xfjmMAmPUZVCBbc 4fU9JumW+t+smzkCaXxTOB0EC9qCndyRt3Qe6B4aYPJLZpg146+tt1igW3T+O+uIRZljRjjK7nJV omLmXv9LQpLvVb8J17Xk6Ihxb3iHq4aQOTLXzlWTc0E5kVDJNoyfbNuWKRkIGxs7M25R3kpF5W07 QQVS9gib+seLhpngCebfpN38Fd1/8fIxIdnPcVspxQqGoAAJ4POWeA+SkR7gCC5Zp6dyOJlTUpt7 ntyDhEZJFZrpLqlyXy+wOzZWInBtVzW9VE3Ja/BvE0JQwwWZ19GMDNA4kegRGB/IXX30p/ZYg924 X13LrWKQokrcewYVLDa2OzJjKQQILqyL3TBg3ML9PqDeAmedrlia8bwsq81GxXoW5N2HlA6bqCba 2M8rJVGaykWztO044hMMbK37VdslgbypaPzpWMCeK1kXN00rUd4A1+PFdMkR4MhoCN7Z+3jKwnSl /t/GmOKB13N+ECa5VbMXpeRzJjhiZk5pyA30cqi18AN1S8iRYHeWb+/CUMryOJBOxnbYVuQcr/0m 6K4f9ge7s5gQarEHkc1Mfwgp3qx0KXWxURBNbr4b3JtLNcP9LYSEWfxbwzhUzP9+3kmCg+nrMBDk WY9sm7Rcknnizy1JHMFjqVEnxvaD4CtHu0P8GLm0ScOui7WnGDFAGXiQ+60rUob3XsJ2/Rb8O51g Yl/Xqyu2nBzncOeqM80xlyPCFZPZAgN+bnkrALzhZh0yjduu0W8lwYN3GfyK5B4AfXyfZLhCD+Pd 81j1PRdUedJtXXEv+4VJKIqcKKMQhx4rxLW9YpR+qQPfbFKH70F2YqMuVs2BWqxkWifstXzG/xl5 MELDDnAlOP2+GpQkdhCrZFMS7vErc/MH8uZ/qZKFyTHCrtLQOu18HfjReMxhTYY19rDmh7/r1wwz wS7WzRNL4C7xB0VPh7NbKtKu2G2d/IV+oC5KGRXlQBWjeE5DKodz3IZNpZCLPts9y2EuCohZFIxk +qoQjlwemaC08Q8Yy4ISGo0F2eMe8UL1LuI40auWZ+iqu3p+hWmhld4+DHsf9+YVJB4GuyOwdoBY pM/KZgCr+eplopY98dMj/+Ffroy+drZFquMQoEHhB00ggVbc4bo5VMGlJsq26wuh8QqEd72NRPdR wc/V507sJPODGQeXeMHJnuipCKtiPgAq3t68Xd8FEjGVpH3XP097wfoyhuNJeZwYxzo4A+l98Q8c va97Ah8g7z3rH1+bH6IYyo0sFLHNsEohbOknfiEkzALuDP6K/RSjNrwQ48bUlm8fP9/1vL04vXYS vPHXjxB4WVC06T2LpilUo0ASfpVNnYHua/7FZNTo3cGNuvrh9iN7emjyoacblC2GQD82xbFwNkss HiNJmnRjlEZ955r0s7bsvTf2iCnFTpxsIDQyTNT6K1QpZbw8Bd3AMc3tpIuUSIPZPNpd92TbctoA rzwLf+pagfyy4XygmHfz30kZOi+EqulTpf+Hgb8Ku8O/nExcTzKMBn3S8PJnm0ioxouZAXKj+IEZ 3rRo2qYWasqJtwYeEw3Oens1mQnyYL13t0I1V8pl2HGQ8frOf2JGzIOCePD1LXlQavZeHkQTAjO4 vKIWo7Tcm3nQnr5H3ZHWEKoIFhcZiSPQpEfw6D2qxbanACXSKwTrTPFYp0DtMQu7596In4KLb+kA dZ3wiNDPesJ6q4z2LDc/f4KUYOXitr5n/8w4FiQiNmSHCcy0vVrtYn+Fh5sDC/E0Qtg6qdK45/kZ vqtQM/5yvuhLhlYg3MthYTx4ufjMennzBXfHWGB0rV/lWwiJYYTarV+NVjLsiMThQ/jYJ/CvY98Z sMEM1D1JTR/twXXTCSBC9gq/Mb2xwEZGj543CJKHcGetcTvE1sfWhJWfu4VyL+pfA+mlzYVy3lDR KH7WTWqBiy2Oe6nT5ll/pz1SyiVWcK+4nkpMKHYZlnwW10lh2qlcpONX+aYTDnivH4nwqfwVq6co N+Z6vEH7xwU5aMFnbodOO+zOkiMuv4Ui9vuAnhzpadiHhOEXl6yYFbyts6OMPBOm7PPKL3MS3vDq V0Jj2qxN3jXIWrq/LEdREWJ2YZ+xIR+diH/7yLzs/kC0hjjcwpGnA0Cyng7Jdow6Gn+ysmUAXyo2 XZBBgIUSee1NEYVpGO6BiVe37Rb65juTET5i68+d/m+IzsghtMvaIDduVPG+134I38HaRtpDhULJ xlGvPfxKKbPrCaGeesjkqXpxmuRzEkLrcF7ifT32gSMqvm0iD7H3oK5oG+76IhTDAk0V6CUeP+DW Si3dZ7CoIIw55s58oeleiSr81uL0ZvUrLheUGB8tfJjp9Sh8FwMB/9XXX151CnyngFTdl60VAgFC F3zMq0tzOlhmuf6U9JI6dJaSVi5TOwpl2mynv0UmGQ6og+UZKF415701lKHGA61rjP46dp2qO5X2 61oybtltBjyhHVkjFM19rNNz/JkNbjwsDH2VaVuBd3jsX7ihWo6QAqrL7kp3EdaINOAvgXrEUqJe hyBGH9RzUNcIm52zLJikrHtJ9HP+eiMcslQearptYKLcUZugWDISfwJq/dcA31ILZCEYq0UBYX0Q pkUio36LkIRjYMr9UDl2TlUrij3q5Efd7fCx8aiO5V+Nyt5m33mjzYBE2S3ZzMCkS2KWN41a2MnY 0MTQLb9jvwfUARaZKaLV+DJs5QzgDdPx0voVmFcpmq6dBnCGWuhHXqHyqJ4tpzklFrTSHxKYto9q U54aPJUwFHXX2jH/845ovt9eA8hqjjv2838Rpflkwq2QXeWBKOqlF99O8KutD+guSmEgvDXFF0H1 DghB+8OZ7VqULJp6m91A8jtyEDIl/2d1iHc8NX+IXMWhg5DAHMNaklMIH8pxObPkVUaEiTL7mtGB 4EpJMKTtLlpUQoVB62nXRFR9V4NTNlj2ZDWi5VCbdLQD3W8xEVos14H4rLKngmKrLqQSdspS9jjT 63Cs1fWAp8RBRDf3EVpuBB8NaxB9Imx+LIghkW6UZgOBtIw+zjOb242m1va1wVOoKMlS0RvgVT5A xD9+vviDozSG/qvFOLmhziwav+89A1FccBLYNyVpjBFZFpR8PUdU5qm4fWNa60/7yQg6SlmaeBex FxhDskPKtwv6NYkR4VtHiesrWy87iDtGvznk8V+n5I0dLN4fxArmB65aSjawJZHMrqU1LVJbIUD7 YC/zn3DOBJR/PEaIpPtHoSNwR3RGppuAotApIjlR03b8JOhj00YXxHapaZoHlKbYiqwxwbXUFO5R ESXeW02vwXAjXhm4Ng1ODBUg+kAxrYkSDI/wRfwB4d0GJ0kYqU7/QQWGY5KeiyBOEV7qqb3PTgxD D5nvxYJ8mRMnQf7hP+OEAsPd3xy2KcAQuu9EFC4TRe0cOXjj47DB9p8KupKwWhz3J7YpIpwBi/zS Tc6YGDBFBZFFYsQrlzB2F+NZsBo98aNEb5pLb77T2zr4RdQBvz5boy0ucUNWRFsjsBqRyopPksy5 H3xRrig+SGzf14Y4DPjIJf034Wea3OYKDcBzLMwaCJavnVD8gQBbRF3vWsw7FEekmUeIi1ptKP4a AVMvzTNSQfU8MxBs7ao+Jm2UKxeOwx9/fHZFEf934ysMHbb1vo06QBo+ClkmvWSkj9laBuuUfqbG 7fLgqERqtkqo2OZTvGZNFCej9r8TlX7bIj9HH+m0g/V017pTUlkWjtMR4VP2+k8VHZxqXZXIidyF OOnn5vSChhhSgzkpHYj2sm5ooFuVc8M7eJUsF7r1DKsDL+QCGi3cFbywqo/SMVF8cAbg2OJo4N+X S8+gRxNqVh3d2aOGEtQVZ8q9eTY/LyfK+3VJJgdNMr6YebHRr1zT4+WlXq5pysl6R8bEqVB9oWwV lOL1QrYqzbhiUOXEMtovYLunYK/El/ZT6gfMIxEWSGAv0qN1MYOGRUNhJnp4BiUd2QD1x7tS4Zh5 cxaFaMbeYlZWWIV0PnKXboStGYAXNVkZbZcxN3vK4NiH2vrurPKX2oXlexCXzt96ZnOoBKZUKt3D 24eswf9TA+1/ClJY1e3ZWln5r1uxBlYoYn/ufx6ZjLLA05caQ4Nu0zpmovhJhHrsSempEIB+R3Cb 6Yw9pwzov/LgWSRRd6KPqHIHBVfd459sp8CoGDi2Xz/q/9V7KrbPUOdT3zdofsotJsjCYHMP9oYo rcmlx6ymbt4nvC+ONNNVSdRo5o0NkUxA9yxPU3VRNpD0/9SYhCIYiQVun4QN2mvsbEtPO+wJkP6F g/gUvNbaRScFYgumoGfpmXd+W4P0AKhRyd+p0mpRu1hmLzF5eLRU46VTTSyg7tPyPkDy8/NIhcXC xcmJnPnJwBg2HgqM3zIvsTRQ1ekx3ENSglScKqU5Bv3iFHuk2aJEBDZWmvkO+IAKc6C0NPt4JrSq P5rquJe3ilLKitdgZ5gqXBgsCLcGb1A7Jh5Vl0+C5gczGD2tqwjjimna5LSsgm8auAvwjVS+cI6c cwwaaVILp6ynFZGUxhRASy5n0S43YDI63jrC8dPDN8Ys5hajJwYtYGuUyyFtsVxjKVR1MMJTQ5Q+ LnHWA0dfmDRyyXFf5TfG7KRefeW2JaI576ahiLSuxSIF5HJw2LbzUTjnb9mstlS5ssDEKCGpTfyn 32tIiGiWtaMvvzO70iZyeKgHbFTFhchHb+8b7AiABLC5p6xB+/LmUKKhcZ7dEwhj0q3/MEMyEV5T 1nI/E1TONjnDLVwlguonK2d3IwCQxZflRBduizgPIN3bv1X4MbnCU4ni+/o4RQz62oEXS760Rj5U ea64PrQyYEvG6UESnzTVMdVPqu4xQjNwJfoSmXNpnBGv3PDNYo9l3MKIRGNNLiFzjxHykuelvK6m 3izTo8NXgupP9+7sA6UF+EtZHkE/A8cm1qmT/eqLKpQ1WXRnh5kjL9X9MKjVKaR7PR7nZvQCAL0V IwmAnOzE/X2jnmjW3UX1B/kMoXu/T4azt6dzbWLyenWq2Ts6XVKwzqOWVaNdFLjqElvkbb7kkk93 HyEKzyuVUYwzHPvUH9TJUvpTZ4wdnwIqEGiNp1onX3/O4gvdMvjl8wnLI8l1Dx+IObq+mb43o67x +LKApbUAzJmDv9SC2P6nQVCO+75RElht4YDNQkb+pNOfeOJ5oIPmtqa1XnuOYXeE4jZf7NZ7WBLP 9i4JnM0qw2mRH3ogKSJWLIjq9o5mYeKWQ6dmMZxudAJufxMqjcdANR2bKMzp7/m/fsp5kaFz/xuM guFSYmPDprQKvifiXeMufakMsKpJCWdxwV88IFasajNbevjxcKYWhNxdepzjoGrke/ggxFQiMzgg rMt2uCIu3J1A0JB6xa8ydTkjc+LQWA0iu4A6yE0XfpyzpgsNyKJH36E2XbWshUKqUy2KW2hOSYi7 5tUdYGyNkpPEp/I4DyA+f93JCr0AI0ib8LmKD0hbuge2ugED95ZyOGatT7XKZuWlwZy0M1075Y6+ 7gLA44daL/Nxa8I9/uRV1HzdMX8+7QLgYefwdp3WX2DN1A/GzvzaQR9RlRTsvWdWIfXjgL8MaJWI 7aa77GdaUSVfBkvgBFdFUXrkcxTqa8HGaBVFIalXtaxiuOnbAAeReBZ5QwofRrJfDwLp9AaiQ+4z xSk4ui5r/aNne7vcpjsW2ghrN0a19xa304/nhboaWvhdtKglBBreIKvdCOZzgNhTxM9UaBYP1qmt bogRRDiK4w2/e5LovqyRaXCraihjUZTRT6Tpf5v9aOvcZLLNZYu/2KjuYgBIpz7UCiHCM9ni1KD6 kHPP5PxJoh9tEzfwySMzPGWZBCpkqWbUaqCdQEVf4mH07dzvi9nvVRShx3qV8OY6Fyz6AZMwKAsx eCKD2GgEdOFSS8Pl7CmWaoYVdq8tZY7hZBAf8sY8nlwUhEFXH0HgTCB3p6MnNTZTF/AtV7A9U5nr U7dk/KRKtkeADxfPstZ/U5K6Z80Pb61Wx/ElP1KsvQyWHeRSfFoHzB5cD1GPXrJ6W//V0a60j0sJ YSWFXy8Awp33xo3Ms16fS3lovRhx3pzPJ3w+YCEiVeKzQ1KHCgii0LwG4/uobex/NP6EZjWEWcw4 D39SvkI7Pk8VkYnC/i4k6b2YpKmwR5JbpJX5U9WHtgCAwtOiL13o5biCnCb8obdUbeZmuEZnBimN 4is+GpivfrmSUyfb082eB4j549Qdn2RJ32Z9CDYuodRdSYYGmLJm2WSfTtU0t3bvXRjlVrAna/B0 t1pKulOkBWrcdXJ3ZggPfsUu56BT8kZITV15O/edVj8yZtYx9fpwMOk/554FxhjYiFrynPuP20gJ 3KZ5Sf7qBcF+Zo6BlAsNpRy4M79KXCeGagzYY6lCiH5V8eDmmZOwe8alj7hDoIY70tP3bvIF32fC sI07jrHFWG96vRY7oSziqMPLGgFueABs8L2HbgJlv8SuqctKaWIY66uoVkCkOaqMhR0vVGVnGNg4 KgmXFXO2kcoUwgf+4G/GD014L5Nn+QBEM7zrZRrRgGigAham0Zx92vxVA2xv12EEtDMt51AoqCEf xQ8E8puIdXPspTR05wZzauvYryfaTY/5XFp7ski2t+nbgLtKE9dylH5e3iLWetfFCbL5rw3jFqj1 J8AmiLR1+ikdeznzTqXpRjP9/Bh5Qkp1t5E2mmgyIbW9V2VIpIzC1jGoL2KzoUIPHx3XDTBfyXSA AgnrrZsfsPn8cPhleIAH11dBJ8ikn7b9EHJmIaRXI+nSg6f1CVWrww5NMBO/slgAh1xb5HvoH6Fu QPxigjn64trA324lWB+VpqeGLftu7jCP88BlMPI4uG5MRNQpnIbu2q8CUAhlWBhDpMYw1idpWLI2 P2kYp92bEMpiTye5Ed4TQt338LoG4cmBi+cZLdpQHIj8rK2Kss1z7yG3g7gH3RWRi8lgYHFBFYL2 0aHHLcXOOWWpDudicFkEbE+xb8ER94G/pImXz0MKdA7QfgBcvCwlC9cevV0rlPaGSkV8A9p6/ZHk od1kih26xeTH/ift4Du/SWCVIxEGqyVCKPwFG55ThHFBUzdFu0nfGA3yNuN8IJbK3Wu4WN83D+V8 3SW6JMdqXFu+PM5647/vlmHJ/Pu7OGvotKtXudALLTsbxOPmo/HyCzzaewlWxhtb4Hnj9lIbvC9h zg5oZetJabG4+GtgxYwnMalDziqe5FHan3S6OFsfe1f9vZz8oQWxAnW2ziDd6NiS2dIkETWP1St+ 5eZwv/AD08C6c5Np6IcwZ0aTUCLYy5lH2cNTofZQbhR/XRsDhLIMzyYTet5rduzTE2fVfxRMPZa4 7+k9Hw8zWsV/rWiIU7tuz1l/H81PXx33VWZwdlo+Fi8PzEzVy1avqDV8m9f7TRtY+rzGZGG56HtN WMmxwqNxcYUkY8hLx7MHfyvTZAoDCpbF8s5FeRxEX4Ucu3R7euRAVRP5m09oA8i6rphuxDVwnf09 ALom1Ht5rzUTU2COycGAoSRGFkrPKj2Oeom5hmuRwWKtWW/KQSyXnA/SjKFssx4oRCGGrWWjuMih pKfWN6AJn6OJkI5BfenltDgPg3flPKWK8/O2Tde9TyKkMkcNse944LV/jAX1orYgZn4tfQICKiTe EwvjFMZX61K7VX8zuELT38bygf+m+oX9y6uxshKB6quaaDWK/uVdCfYHp0MTT3+Wrj9dXsr741l2 OEgAF9Qo/kF2/fUY7GN3vDPutw6DGRYpPSC8zTj1JHBs1UdWrxSg3e97zOIiTBgvPkgN+YpuVpCA DRYEwN5UogVCJIm3ROU1VhMZDemY5x23ucCndmcTxtJcuJAxcnKVFahdL9lE4qkeQwzqFOXiPmPy VCU1VDxB4jcY6Lv9rWM+2rDPgzVlDd47KKGtqt+y7dsJoa2KuBubfCtijILIloWdLStyxYnY7xql ZxhY/PPbYOPppwY9S2Dswtj1m84M6DZKZejlzJyzvbVdG6rNejY2LfnmVjJIvyF7bfzcaxTayaAd lAB6y+79pzbhDbwVGvgWiUDA3bZQdCz3QLjcxYUbnO4dzEn6UaTW2Ps3GFKgO5Niw+gmUshwe7TA IcNWPymgLLJHF5TgpAYMSypt2MLHX9/VF7RBZDkU+qNI8t2bEhWR11RPztoFLAB+0cY9uCJTbWPe YVFayNZxlryqZrFy0kAAE6V4pcthIT9J9f75hystkAwKpg+nzLfxrOioRxzpgv42vwRaRCeXIW3X OCWpq3XDI3jFqoLr8xRCkKmbzDXU9hiWcYqEHOlxCHnhMhwU38hsdwEw+zzl8h4ahCd80Y8btQdm I50kGi8u461kKx9EaaDudxGuKXkdtgCfsNKlTl01vGxYJUOEshGBKNQKzymNcI27jVABA1MRtCWS vwjOcFNmoqXKLTWrYVA67ruVN18Dwyh1WyMjkLGAxrGMpQci0KOf4P8iBYYY9gxUJh0yMheGJXcE /PQIqS+/CTj6O3r4i0olYANO/tFML7+xt5ty/4WnClPkrG3MMXudvZ6+ZZhNMP43R/aNRW4784hB dpgRtTjI6z2g/27mmEuQdZqaBH31awiSdLUjgho44AzfKqcP0S2eYMgWi/G8u3L95W20GzayK/le d2S32UVMEhCZHKGhb+x5PpfCr33KYV5Nln7Gp1CFFK+VZA17WjQ+ZmmMjBFKmSqECwbVfY7qWCdK eGA2QGc2KinVOWiur3ZTQmS+5b//yjqAcQb9/E1ihAupBtmojtgfG+kpe8NpA6sOv/nZXC3Dn1p9 YJCf0SlK4XnOXQlL7bBgEFIagfefW8ct1GGZb+CnMZrfKIjA+85GbWzusMDq/Qt82RXzyvIgRXwd Y37bbZF8AGc4m7j1MVPvX8r1weVMCbxxTLl8RYVcDm2hAXZYz+R8WTlXrEwvkITJROca+S2Pb39k FP8RRWDIQ3uSSYXrf/3bEt31eBSncuYppef0V2YgeCUOcCAkUB25zjKe7Ag6i90yMZtzh/U9NExi 9x6jnAyy+ILexo+tR6UX9BDhRWbIu2FkqZUuSABI8HZ27jpeDu/zFF8SkALj8ntT+xic4uEsF1M2 3cpj59M1ncW2SLibcO1/gCdxbdQpQU3fMObdCwb0WEYCXDCICJ/Ws5OUrnv7Ze9Myyc2oaP76uAZ lKrj9VHH5j7c8KjJIAEbtiz8AzzjIup9hg+5ixlKkLspxGaVb7gJzXcdJl/SuZq7894I9PT4M6Ad puJdZ4BsxJ5C7AhIBLEAwkrKmXhYp5EFZ67Tj59Hw2afF5chCjwoaP5kpKYBht9qxA9WOytY160K ZuSBC9JZB5qIsaLT0feHFUD3Ro2SdD9CtN9oUXeWxKg1HP+u1g3MNmpxY/CVqscMszlEUsoB3WkQ 6nbNYljkGI2IzjJgE0ePvFXilDZ3rzUZSzOA+dLom/6C8Jp5QtrtnUqwZVgSBXvLKVcZ6LW6xSvl Fp2Irw8U0P8mKgul7GosNq0ZZK5AbrBf6dNYs7CZoNZU/Os9n1CjH9MunrI0Bc08hj3jD9R6BLdn xjYTSlM6+l0l63+1Hc+T9m5cW3DLHz1fbkJit0kxmWd+gNkZNa+bZ/wPlXmO4bbyJq69xjKluXju +dseO5J7fWm6Fz8ZY1bEFoXPZhPU47TyTtD0xV8wiARUmt/fxObexUncEbW4HSOek3Bsfa2IXewM YMH38cdX5vS8TLKZ887hvFUtI8LL75VA2kngCfeeNBKNhHw+nn+3uJhvYBjUp7z/QlDqbH7x546R kdEPKpc3MHxtlSMuEITYtYRuha4MauNgcVIf4tBkCWafaxWyxjQs8fOCqecJ9PyhqHGm9KYSgV7U xCEHAokjVzp2XG2F4YRBHfYcyb9IXj5/0640VPPuK3NCWacOCXExElyrhYaLW7DB7zCFW6DguB0h QbkfCPQ8wLieFnjNRToiXFCRSrHiTwX28q/btyZ+pAJSC+eZZbh0MPGlliArRKQz9bzFDXOoCzQM 558Sms5+nT54QFyRVtf0CFw/blMoM+oAW60vImQ5mQRPVlvDBqEs4kD097Wic56U6WYA+S8C4Gu3 aayUlWdEX7AsC/5MGeefHnrRrnH4v4uXsyKx6Q3QTepuGvogLyegYBnLn5pPTKJzefsdJuM4tdXZ xPUmrfPGRhH5cN6keC2lqNDvdAF55TcVorMDbCTqtxtTZB6HZob1zoft6c8yeAxrfPudy8gXFG52 8EZ8JGehd/i8PjRl3lPtGCadW9XBMkUqUiblOMLk54MzoyAHYu1tP+7JTJGCqeOG9iaRaoKL+0Qp V42M7k5YjK0pen2keBMkNzAAkQDeIL2cnbEeIcy0L1vk3MRFa3Bjr/6bYbytMLrhdc6Ab5dxit6e 4+xiXphbj5rebSl0upTiyEOdFlsRjvA2FU1+QtxFBD/F1gtMj5E4saxQ4M85H+r+MJWv1DciVfHs zKUdSyHEPuDSR7y49Amez1YkqjkxCoXZMsVQj2VcFT0G6u+EYIQMbM/q8bg5tIydsnVLibzjaW+H hx8/pwdJYr0RHfPXnTkXV/dPS/zHfIWrX+vkZ0mBPWXkAJu/z1Kco8Fr9IeRvLbBMjpE2IwoI2O3 JpZLFbn5jUjAx1wzfJgxULgdYkVtlbmj8tFYiUGWYGVBycXRj9SNAPFAI6BGt8HG41YNLmgQW5na SL8Y4/ibnKxXYJLliKtLrTmPtlvrUEnGyOZrWLflslFRMqpYGc4/0SBd5y3Bkx1tEbF9ZGitAvBO 1p/G0eE2Z6jFAtDV5L3pL2+JMD8aKG/Dbs+4zyn/7K4XfGYc8ZQ7FBx3vbceGiydXvXfTO4QjUg0 vxDasIqHsSwuSzrVGPlNKDuzZJ7EAn0PyExuY93SeHqdd5jAFTmP1/C8Br+v55yRglmMVAfhBilK jeBqAErNDIQE33Bxz9QIT5Fnq7OuEcVPBNpl4BdKJo8XZ93byDIeIvrTBQ8/40zwyqWoL/JD5oMO 5v6UDCc5hEhsvU4BPuFAbHHhid9BXlN3s0mGildf50Sm8BvDFUalw3tIsbpnaSSr71nuiwwPQchd gTT9GipiU53wGPowKK8S4rpl4BiTXoWhPOsv61TKC2wMmLSUut+uBe/z3irJ3HnXr65n1Fru1SiK 24SyacUBxmeHqumao4DtuPYjB+FyUoWuE+9OVF9XpeM+eKKJgdLuVZdd3CnngPc/Fj07SG1lIKZk oJBbQR0oVF1MCiEfWg6dfbl/mCE9FDH5NCzBnPm/fVfKZxu/aHVfkiSRqXqWSYbhroWmdY+mF5ph tz0AvN+nXDZE8dkMQDqRf0WV0r6dVda9B0Fj3Jssy0cfQ299F52rMx/xvs9JEBDR38lShNLas9iO DgjKGPrgmFMDg8mflSKEfGtI/7S440I/9QVY1ESrALCKJ87s0DfZknhYKPs5+00iGQe00Z70vs3n SlZp4YyBoxZocnMcNGzzvJDWTXotTePs0fD6g2DXU2TkOhcv7o2UhGMz+j2GZHdI6hkI80LIQm9p QmfTVxDIR99BA8SUsewxUuEoQYBT9k6RPmxsIMIGZAJjFabiRNLewHPfnziBCjRibhhP2DUZpq9H D9MA9LegaurSsXITCoamK4di0rOs954lxntxfCpdrrKVC4NO8h8BDFWI48/xNHWaHTmq/XPfE2Z5 TSHqmmGJoMijMXL7Patuao9ZDI+FYyZOBjS5dgS7YlCs6kchLnA+XN6wR0LjK5OtpxRbXUryEpZ7 z7NtYpt/xeDHlyiBK+yXiz0hawNkpwqJ4dil2NUb43OBqrixlf8vDXyRxyib/A9//4aOtfQxy5YY BEtW+V5FG/qwLazI3B0t7D/RuO48EWVthN15rmC8+0ZTRjULmJMGIG1gMjLKrqCIkkCaVglOC4rI 6UqQ3RCD0nfUpsv7FQRY9a/V+rky2BQjDo0Xa9PHJovW7u+iYOxv0EpgLptAwY7ehwP93L8R3SvW eIa9iYL9ZRytqlIljIMaTN5BA72RCd+kLRskUiFDEjz8+NHtcqf4i033ijciNNBdVOMFH0miS8Rp S9EOzy9+5Wc5XaNFVr3lJ3g6MlexUYCceTxR0Y75nsbzxmxHXh2GSzM66Pw60ZEdo8lqoEJ1MYSl nBGOCqe0XBbMyBf/6PDOhdsPNO7JxBFZXOCYTEZhyAgO7eY/Evymqlkg0bgXzP4BD5p+urD7mfjG uOrmfg3FpL+JtRDVEHnebG3Vh/YtW1hdcCwSaTO+apFkk9sRjD/silRqZlpxkx1UKj2SDx614dWH 8v3DRZkrCvGqVPsEtk6iweBaXgCE6GnR069/RNJ/cXgI5bIqm+i1Z4gVL2400I4vg3MnGBjclZVQ im+l3s0SpChJnYJLREQ5kwd7kT0a52X7ZE1O/e9s6TvU7t36so7qxCAsOJub32wqx4CmOSr1lYG8 fBtuRsDnI5FYr9xTqxAUBDFsadrS2cL8oclvQ6v/juy09kCy7uuPCKaIyI9mVoMcFcUOxYTXh7Fh tRHe3k2kSXM61OqxcXK8Gvvf+9tYfmlZxfYaxOvaaRj6290g1N/zHLiHrFCMWFuZj2iWJMNnGKul Md28AOVzgoU0Y7Me07PHqJsXmf+X6jFYWxDDurprsL1EEY5WAeA8OMgyE2FB6pY9C6Wi+ldrP24j dV0SHeMIxv5LirwtuyQ/lm7GnMztVUerMMt2+HCmCyWWWLVbchds1k9B8p7fEPp5Ryj+QXpWXYFZ r+0n9DkxZx6tKivXEfOwMgH1SnAw/TmcMQ8PC3BgigjwPfXDqamUKrmXYZoI7FpGwZOdOh4OT5/b CQ9Vp8+Fkh/kAwxXP0IVM/1ePGjtw2pwlVZT5td2pKMARadi+8/UAcc9MRSmo3Ou8fneXgp0mY4Y lsliKjm23ROtjtXtPkHqGrEEjT5KVBAsJbY4YgLEUwiP8I16hL/oF/3pPLBa5UBKqh9emXZEaB2w j4X+tCowfR+tJxeuKZcn24tz84e58N0sKe4VJKGM8RnIrbYk+vn0Z0A2+ojVfsKoGXGg1xOQRLFz 9QSGo8399OYmacide7GS0RzspZU0YBTa4DkcoOkQnr6JsBgv/CfWUwMTfHWJwEK1W5WTGyb9jPGP 8m0F5zAdw4ul/xaSARecsm5LyAy/TE3JPMQdpGJRZVbljSAd/5u6DlzxAIgyZlnRLDM0NCdaY/ww bMsBDg954/PQ6XCy4tIJ3LljwQSqV0Y8mxeIh0XJdVIDsIY3b5KHSujviNsNHhBH7ud32js8rIZR Z98vEoECEcDQqkcWDQB0B4paQRaNQqY/gBehdoPc/Bb25KHa7vNwEK2hM0GbLJy9rCA+KfdDi1vL 2QtYnfqZcg0f2JLzLpzvroaWo9uBlWEoQ15DeQFahSd4slPhMua2Vrj7DNjKw+tc0CEX8YWPyt1c ZgB5k4Wac/3rEUnqaBOkIoGEZsss1OUmpGCuYaek3TXOMQcvlqqqASa/h0nZxecqCa03PGoWdz6Q sO1HAK1XoOyxzLnGPpgHJlzfkgyLGhmVvHnhOxLmKc1J4iAScZk6BZc8fT5yShV8MjgoaAytjh+K 0XFUxkeqAa6vBKKyLnBhlvKhVT4vGYYzblbCZ1c9eEZssYceblRLHcAKGkWBUjI2MWn17JGwURDP EpEUstMiHNlXda7V/nupGD4Amt9FP8FuQwvPzlnEgnUDFHDt0bBKum/6xEskueI8vFT+AoJfp+ZJ rXl3KnkdxCEK1V1b4+MT7qx4tedpoS15u3XVzNndNzF2mY6veaIYVNrqxZXSVjWFa2YM/kO18fxA X8gtXNsvNBwi9eD69Q20JqTtvJ8XFkCvPovNq+bW2Cc+9Yx3tLv5kCmKMIXvZZMH5sfHcAihFeyD j0GwYreShd5QmlTsp6sBoVyD+F5kACpViLyRk7CLdU6XyiFbTpA7WDrDv3zFupWdhgL4xeS9rWeW gMm7ocDzGZpX347x51q5jdo9vLFI7evt+14DO3Qk7QNJBMBa+vf80I4cr/XYBFjYPEnVoNyvXu3r TFZEjiQH4JiNti+z0YkK4Qc9qrmP6cr5DixHkqLZXDyaqVzPec1HUq3JSfkGUIkPBp5a04csNLLW 6LOmzJgXZEXUHPbq7Gk0Fqs4QKdUYxrP/hEYmMr/3EieA2Goi778GTyK5ULSxuQF0a1LZ+65Cfxy rPAb9kfijS5WTdMnPzsPBDF2UFRybeQiVflKetnoGvgujIOCSMyyWwtdLNx070aSG2QAZwQY5Q6w V9LiNRjQgGw27hhoRo6LruV8paM52Qv0aZQuXw3ahoUfkeKPskwdnKzcmomP79s7UX9jUILxXN3q uFLwAZdfe1S8X4+ouZ6A/k1PNUT3x9pGc+ngcvsDe2YpSsIC8hyHcwzgAnym0CFKZGZDBLY+QdZG fQ7I8igc3l4qt3VzpuWpSIF7C3apfQvHXgDc7psgBdSrNYhtKx30S18dsKh0zh8LK/dwORC6R2+9 l93dd0Go7QMN0dmeAKmnoryjCsUfyKjEOaGdrIbVG0Awb2TpJHD9hQIyeVvhGRdU9p2rrOz8j8J4 BDVU/fPuOMGeCpNTe+baA6Yn/wy+UksNxMgDYAQCR5L8ZpNcbucrbicoPmNtWYjGgpKy1LXJ5+Rx APX0WEXhWgsFAgS3T4RrTSNof1DNY3gYXbuSwmfiRVtOeAPyBLh9f6lX0LU9ru/wgM8/dTLxj/tb +1kcK++c7zJlI4oU+OMqIR9jyXmxppePuFLgkNiorKmeV684DEWQB/ImTM1imoqRGlDf8Ve+/upD MgtZDo7U27FvFCL/Bsh9OyUhIeFJoA7/HIK63U7Pmxn7oxMGfGC4sBjm78kn3OEiOeL1vHuMa7Ld ApCJKPP2+iafe8EumXy1ojITCXnI1q9X/LJ+NkNfxLwJlnl+Emkpun/25bpdVVWpOg/abaLlxlcX qZw9Fe3NaByoCI85ZKxWTSH0Je0CBFh//DcNVAIkK7GujaCJurF6oTgw7fl5b9g5ZYq2tRfAFpSM Nu19AUMgV0Pv1VEW+MtHxptjKSaTFBVQ+2Q64ckGAak9DNAwqmUJdNfMs9RhLsLwWwIz9Bi97WlM KLgwJTrJ3meyLVMxK/IjgX5M/+6AhF+WkJVW8kfN9iqyxHnkRIYBahke0CuJ8rVzyUKZmBRtQ3a/ nOgUjzbL2JHom1NujSamvCX1sALuNcPUmzWGbKz8LQnqbB2ZoRFvGQuSpJ/Xvqm+IpthGq3cqRi4 UU6HJJUzd/TO1m0dle3QME5WSKbTV7/WoJufd2uYZDtwcIT7YEjjTmSUyG7X9A4polw44CgyJctH 5XCMwpUsl++0Mv6J+/FlHneQisaW5WSMVKF2T1bbL3I6/JLsJpmmWDsOmAdjj5aGDHasA4v+Lhhc eVq+T4oN7lQR3pI7EiOxOsv+yOz5nYQ14EUDlm5g1FDphk0LxL1+MmyZiBBTyi8/hTkFulNCNfuj LCJlEmFstc/9rq3llcwN0nuQLuFk3RAkMxBgpHxodE4G91K/jwRIAjAakICqM3QN4lGxp4qUPeXy lnd56b7FVjWwac/jtKPRbZd4nME8BBa/3vJuOr/Gqb30uF/EvW/D3kk3538KI6VmvT78XV2KFPUC Z75BHnCLu45ETGuHKyP4rhCcitAJoizAEAvCUiI+ihEnVqDP+zgoEEdMnBfSwwfcqnHT3pExwJgX 9zaO6Jn/bZJFVaeLL7+1P3v8PLW/MjK/Y2iubilSiycDpRxqNaofvhFhB4wBdu3WIIYVKFlgkywd 88Wn4qZHLVNxm2EyOuN4cHeR88InpfrMZn2vY9KTz2L1Big1ugVq0dZjMqQv9R+/UiKc/VR13rW2 iFyVLaUtgdcrG1mkwCNZy5c8kCYghC0o2l8mfnu8z1R8FuRbkCCBUmQvnXN9ORvaLaBQw4hRXrsB YTQhSjtzCx15RNoor1yv+I7Fp0cJrh1T5bX9A8o40FTln9de8Z6hwiqOxAzBeVXWuqAPBo0fQimX D/VS4trcY88VvbHDCRFG1ACLg4F1tfSVH4WbtkD1BFkYQbSa/IZQ9pcRVY7Mo5ealt95QCp2F69M 8aGumb8MmNwFQms3de9KnLEw0EuSHQsguIdcWLD9sozt8NMwbJoADpvatyEMfPlbV0JuDeYwCA34 +Bm5Cdp/L2QIeZbuFUnlbIzIx0rThPDHyFXQV5LeMvHgqGBNNugXZ7gR9EF2fyvmIuh++5RpK6CR UpCCEWns0vVQo/oDnACjuNYh/TDueV17miHuauoqVsh82r7V3cUrb7yT3CeOUf/c9KRTILQd9xU/ vwEZ6itXg2/ntcK7y+CbyUElpyr63ir0eXqEtvHR1bT9/pDt/W4IFiY3JqNuDOlwnpR1QlulL7r1 KrbOQqlr+B4b3CziVDMyrBnLxBzkym6AyaFIaSc4JEX3LJKHtSFk0iGADPkthxn0VWdiQ2TT4GZ6 hXT1vjXFEzC2TmSKbWKcC+JzyB0LN2AU7wY9i0IkOis6wNO1/fqGpbMAygHEYG1cbNw3hnN4A7lr aYyipE8/GQ8FxL+tkkBt15gHQ40h+nXSSsqGa4Dvv4bIUxpifTz7oLCgBjxXoRIPp6MSL2olWfdq pkfA8yG/DAx7ZRBaPefDy+XV2JV3g8/EFp1NvRskSZS9fRES0n9x0GKwjxqeT09Im2g6lAZMd572 lj+af32hnc8Z9ymIG6lkkHxa5y+t5OAQ7O31fhCoJRUrTTcp8xBXxzgKzCSO9sW7sZtPg70Y874B RQf/azBJujGkhT2Kzw6FQS1X3ww9R1HbLonQ71JA+fUh+w6pR3UvoT7IgkTBhZ9om6KFUBUZPt1o 3VtYVawyX+W0mAL0zg+v4m5TJzGHvh5tPMWuwasYbTmFP+tEah/ip1LZivLWkPnxhSbOi2e22vKK tLe0RLL9Jpqj/yBJ17RWwHWism3ukgYAmeqx+6ce8RUfiJpQslm18WrdiemXVGg3ExRl0Blwy4jv 9PUXo3pwUUahWViTdFZ8WDl2TIm2BY2vSbbe9nyc/se9k6PbX8JItweHR2oEizuPkZfuhVjKG8Dd vCUrbXTLot75q3BcE6wr16vIzI2R6mXw3H4reMXdc7ee6pZwcGgnMyOCd3aVQUm1JRpRd2L4wC+p pYYYEFq+/zajO+3EpTzHbBPn6i1INdo1FKJcwil4pXasrody8XnQH+wZjxIB0TiuvUOZDAOH4mks Kt7ov6wjfUr5n9N/ObfFyN4B5zLwf7Gtt19njAGNCpZgtTLTMnpxUWGe+yUKXlrOLA4bWhyHv3ua djGwME5nYZwcK7MrpbUJMuyaIcSLNr9+7x0nQX7p8kyCeMR/bvpLggz3yCOJ3qUxxjQkD/psQLkV 9tyk/sTXwFm9jjUtguIVtpIZ9Omj/rfp5InH9yry4vViOQOxvGxVWioYMvCTTOe39+66lpjU/98d hd2xfVPcJZle82yuYGGykC02jWCFTGpuvlR6Nl4gV55k8sRVm9B2fpiqfx2KPD7vn5EnKkwER5zR GqmEAiSAsvTIED1+4CvY8+PF7yYgNmOEcUCwyy6bguyFaojNH6M6kqjC0Sw3au4AuA9SKsfd/hD6 3vyi4kRbSjXZiNXpSMCSFgOoYbF6mVs7c89TUO7KPpeMOLZYjH5OwZtIvIdA14qmmYanyUi947Ad pqOHMWw7KR9YMwM0rVa6iwb7rCcneKANJ3siXTNLAjtqn5+wBs50dyMkDS8DYBxfl4rvaKwLZI8b U25SYY07vFs0P10myg8O0CbzuNZ8hHc1YHFDIpYEZpSGp8BvvErR6jSa7l/O1taokBTx6tU+B3jO LEACXebzKSKsamgqTaraFH35c8Mb5qDdgLtxg8fYvdlXAP5lAOvLjsMwSeFr5mqMRPAbO/u1CpZU DLtIDkhnybCfsEc4scFJpC7QrWmCKp87xsRkx3OQrKYOW7ESbH32Dnocyfwn/6n6rkza0BSmHAdj Je7Ua6nTFSjVv/HKDQIPqITE/mT56pNApgbAslErR/EN68+cCZgYpfVNhaiG9FRp2z5TI/eo1Bi8 WWaf4PoX6gOTD3ug3cT/hXhIVd1t5tIhwEYmvPJG/OaZxmS2wCbnaionj0FRV8GFXJT8jLBuez9M jXXNhz/AGuAEmpLzbKAeqgXvRuEz7SLIW9c72gV99zl9ZWaJ4a1dQZlkZS9g2C0p3ZDDt+KNXLdq eXJf8fNkDzX2Y5yLsXaq1RRZlITnQgDtHFaVlZ/eFVizNBIXSLlXf0/45eLew/R0xU4M6bLuCuB6 cfReQFOdLvEX9i+IXk/8VsZpt3sc7PwKvTv019oagaftw79SV7zVr1ximw/mrts3ExyfkNlkT2cy 5BRF0TZBFum+PqPdpbuUdnvlLgG5+K9LFVR+/xlI2denVL3N5gDNxgRF1UGU3m2yKFqaDwC0ZfEw PE5xaCdQkrIejYKq4cJCH6WbMyb8H0e4t/8TPRU1GILqGvTF2HmEtyP4tN/MH7/Dxrsv1TnzpDkc UM13pPzSH4zg7Nhe6CHkAjUpDuLqlL6+nG6saqJscBhHfgYhKSP+nFYro1Oe8XhXz2EsKuem19U0 7y2poVhKshxhEM6pl3P0WAj+qOU5EuiqUm3QaUgiarCwakBZmFObAK68oUa0v+8AipuDsAp9KutH YiApuQ+bPOs8cDl9SgBd1ow1l57Qk8fOrAym+bSvGhEfLT258iWa4eLJbPCny7wheE6X2YrHLwr3 We0HIrVRKn7oVxx0KJlP8LDrwViDu48lnHYCjZtGG0jxV9fRGbl+7NAoA78Mok1hnIOQDWF1TXu2 qjL3f8FF59slGK6GXI7voUd6ofBDBDe+1dIAfcLjdmEbX2U2OicEEen9CXnD6HFYLe5Cge6xCc/I RGmV/c/JOGMjB5NCDSNxSxqE19aBPW+pb/ifhRo1Y4sUoOOE48VpUNZgVbklXYaILcRyYUsfWNa3 jDtRDwjZb06ps49qx5KzLqLB6YItxD2xSz0qSpoIekf9shcvvyJQ/Fsaa/5A/kw62Hy1v1lPBpjS eMcLnKhEvCIh4BPYPwgZj7lY0GaSpvZ3WPmxkJNW8/8gSK/U5jb0jkjEt9t37GM7Xw8Re3VOiisH sRL1JHnJtI43P55C9vcnhb9IOU7gI/xubjdy8Tq/EhDlfPdPrhqAnonu4MxaPnhLMNZzlGji10SR GsvLm17x3z0BW9cUlfKUgO2XXVkt00YVHEDlDhNM4LC+Py9OK4GhWg4qF2e8cOslwoD39hVzKWQ8 bUNLNxjxFH+PlijJ+6kNB2OwJYPfKigON4X6ZquWOQlberzwYZXkSL1KitzpRf4D2uvfdLXmqKv6 nUX3DOZH5ef35v3zKaTA8BC40NxobZh/odEn21Mb8FPI3j0ALqi31PecINWDMLOK8SofWF6HHTa+ KEoD1JpW9HGdHs0F6cktsJFFkjpDmmJaHhF6k7ZljkTC5aT2r9S7FQAPJwIiUQlxZ4YUgC9JDPxJ +gx+0IJtbkogkm6TGCXRTG2nt3clBtKBCKGSdiUQ00s+J9QXeR8dGifO4KhyKFzMhkkYtUMUaEqR eYm5BCXqwYSxtHn6/zfisJKVpJIWVG5vswspKcqbcTqUA38Ygoz+Wu4twEBEPwhPI+zEtFcUrSaG J2QBLclddE29y5KMhfG04wojx2KjnNZTAD8wOH5kkypSJoJ/KBCZtxREDW8+lzQiXYDL/vfycV41 J8QsVklQNhaDdkiofGb30/X+HUv43pyDxwL65RlwA8GYTVml5akF+CNe4mHv+ojniYpRG/0p1sKW W3h9qhfwgVjClepP0yTBJsJDeGXWDWVYzNiXOfodHdIJFWovZVCz/2MwGYmOWyR+9ZPwunZyTO6z y8OhE5kFlZQld3IOx1sP4HPxCQQKuWhh6HRyH12D5B9X11ULVAC5bV0Pm3zU9fFU26JKgTbaeAgW +bLU6OrhUpAnttsWKyZk1skUpgZ1xUkEyyCr5ovf0WrWnzY0+B8r7TXy3ouo6mvbzoEbuRqfSgKG FdUBSYonWTUwUlFOI+7pyq7tSWEN27fCi5X4FA0n3gr2N9qaj30PWkghhVtBEUfwyOp1NYP67goy 92Jzg1gTBtTsp+FqAsqlddk6oN5BOlZNhww3D9EOiJsWNGcqU+GbzdkoDnydWsiUli8MhAl0HwsB E10hHwMmMHXV6/a9xESERTUP1oN9TpksETlKSzyWuHHd6rtuXbB5MW9bLeMdNP+XzXpALn2hcnlB EzGz9e3zogT/kR8/rxK29NAPfBJxXUG3i09ONb/HyJo5sUls7HpYdOaDzXb/uBQjmzQ4O4aZfKBK R94rhOtyRuNsTlRBwXmQwg4izbf8Wx/VL8QDs/py/ej7oQW6qk3JQEcSTkky3MabG9/2SDi/Upfy y4CtA64huWmRLbpU0WZeQD/C4DTt0Yo4Oy+xriZBrqLQQKsnYGxAMf8Vx5yNK+5cmCHUj87pmYYb cCA9nNotr4BREE5RdSdeJpmQOWKfWfPH+HjnHkE3cMUHAfDjTZtFiYUCiLxsK2X5ESPL64f5C295 4bP9UUwVCwb/rjXmNu085YzS5EBt/GnEkMmsmmYAB2DAPhFS8rcGiR1ymBF0+QRkWQjxNDiT8KhO /+30M9/jhJfWG2OIPjg3b0rvYPt0aQUY5iT3jDFcKMtQ2feBInsxWQsilx5O61QPiYhiD6+glz4a RBXYQk8M5zZ1hCwcNfFX6mJmgeRHcdjZ65JqjOQhXMFlN7+8kvoIIpF/PC51T8EpTkNmfuIfSTkG EuXQR1M4DuvnYVky2ci7yLfjOZruTXPqUJXs/w5MTfNgEOuyCnrPBKh3ssO3fyDhQTRDWXal9bjf hBXnTT89yJhz8v5mSCXI5OTSGGtL+2xn3seKuJ9yRHTrMAA/1KqD1aSeSqXt+SG8cZGvc3HrEDAY P3epdMSMK/eNtjyg0IQwOMThaK+iJA4rvgvs8Uszt4zjfI0hQVE3F5S/s89upnZjaRE5Nt97qmmg Xie9nmGT4buh2FRzSjbNgRQrpaCK53kZR7amPYR5SiT7xgYjgfmFAHqGRsqm9Z/Es5Az95tif2l5 LENf1U67Kuq5mHV9d2S4PMWXZn0+nN7tucVA0QzwUgTKhGI3jG7MgU8jNciB0VNgwA9vJCWYb8je ADQ+GV/4i5UHAfw4vneA5R7U5hFuGCwPtk7F9AWou6QufQojFSfRXRleG7LVSQ/RhCm9DCLx5RqC f6CdbpmsPSRmBzBjgXi0nByvv7atyfOzIo/BqoLaO6EkoEp7dw+NLn+snMCM6OUmK3DYTverCEZW CPPw1wheMjLkb32jcwgtiQbL7xJTlYbnGcsl6Dbo0Dd0ae31qd5akjzzjinDBThk8I0PiI4WudIn qJvxtqPTDEJmX356cRYizHDQkCVWHBEoTfUtghjtJCTIHM0h4Tew399CfpegJXHK+zbJO4SMX0hE 7CHEAUG76Jl0D7UnfOsUT4h4sWxEVyIGPol49zl8exGoQwoyiDiOXrrZ+5iMB5YRQRW6dMn+A1H+ 5JlDFrrJ4XECG0jo6NEVzqXJVk276DoFVh9RrcnVspSfr+Gby9cXQ4sIgjQp6rYNyDFosWGBoEyN hyAEmCyAQN+lXxeIA1vTKxI/79Kyx1eIU9a26dOefQn9jCjLGLJH/eYyfzqTA0FKMsGfApZCPmj2 gQpauwXk7tUJxatg3cq3wGeVkwZRhuAt/ZD3J9agRXkSSLSNNpMqAJYjjUJZIcxJddJrzhXrTL3a u8gdt18h6coAaVf7tdaX5fqO1CVxlLV7NeO74iNX76resze69wZhoxFmRtfaiQDXv1+++hRbWZvk X3arQfNVAyUptu7nm1cQJSd9tv+DRJvZ2fN7GFpjpjUxNBFHNlDD9HaYE73O4lR3Vdfngw4c6NtE gzh9thX2zAzX3vOSA4VQnenovqII7esv1ncBYTvvKAM1+O4PDjAF0eXPj3zACG048Yx03HHxe7Yc WZHfP/yFr3b2pmWbL9zkiFPiNgh+r2X4iD0owuP+NO38Q0wrhdnTl0Hh99YOhjeparSlcyi/UKAg t0QWlIL7XxljbzgFEZck5CcQwObyxPXStzqEOBpDCoMtgPQ8w8h1/mpaimeWjeV9iSDvMz/X81ZW xCqF8W1wlPR3VmaehmgAJckHYDEO7l8J9bsIdbMAP8JnVzCD7I+k7PQCbsqc2zqYkD9+qQ0B3kGB gBEU1KJCrXsCQMAsQxTxiM3jhg1N3ajXHQPKqXNr8DoDIpOOBXXG00LgxzVhIJEmzlp+7QK9qsxm sSsqL24VtAg/ewHXUbir0Puoktd4Nf8EArdluS1iq0xYuxJmITiqj4DfGteHXQ3rVv9RdktLp7F2 ILhkT3u1/jg2TRXAtuui564hliwUgdyv2QNPkcsEUK3ZDtuqli48E3LtR494nJLr1rlkcKSID6ee vMMO3Xg0/i4DMxvULnrBBBc/djvz8T7EJnxX2buUVaAvzrI2wYi5OvpQaBnsodD26OnVS9QQV4jp esm7iHLkIJgoWsE9Q8oMIIXfn3qQzGeyage57AHP6r0uiuCKTT9VBAktP4THB0mEomxK8LnddhsP 7V0tyN3Apn2uAaL1ld8Yb2EN9adnl5vlaOrxv69pgm7R+sjN3rm6MqshXJDrVxT5oDOsuM71TLZi 0sI2q8Q0ayyyhbU+6mggPmnWS6G5wOT/qVjBc645tgaiyHneAyomAduoIsqOj7Dp0l/CALyJWmOv ucDBZjUEzUeBck+jXv0W+x27KXbHZR9rW20ZFd4VDvgbgRePrxNJXJhXZ/SSoAHwn9cNohoAEO5z eMiFsLdr6rPzkk8N8BbjAK0DDDbqEjsWe3NjRO0oWlazKHPvYBXuAWNsuFZnnt2pOIJ+7Y+kdU8M OagEBipnFkc2KxVEx9ucH21TTgq+HSetPD7wZKxFm4X04pz3Mj+e3iJ57d9IZbnEau0NaRe/Ti65 kJUbPshttdp/7joWTVDn17m+XwxN20unrMbdd2QkQVFUb9QHjxmaZfYz3PhqnXJlNWd7agbCLjk7 bSYdYHP1HhXJPMV2a9dqS09xYzYvbCfD2olZFLNmTObo70JidML5vXcbPvu15c7h2VNM7Amd3Jy7 abt5GVdXt0QNeBUZVPBGN6v0rtmb0zJcy5tObGO7pbYeh5Fb74HdlxFtuytKkuEqt7i4Mbfv6O+A P3hH9LJ/varQLOXygfHJMMPyoFj4j4aC52dI1UqpARmNOosBM5GA1T2MHLRmfqo6XpxJpbuwmWYH aWPVqrYjcKZAk/NDoy9aXifXi+zqjH/X5QqXFqag3AAYSKn7PBkZ/c8D8t1nANncoi085JiW/ydF 4DPMgjMfaoSkNe7OCq4ezCAOURmAf7VqQPDKjieB9U+my1H+CKEUpk/ZAfvyZga1ov/OwXTpQtdn kiCBDeKk/+F3FkTC6KuJwa7HyoU6j0CdOdfID4mImXDMnZLAzNxlp2L9GJmXRUrAbABf0lYYAg39 30ZvSiAVJVBsVQKZtgwB9mwMQ3pOc1ry4Y0ido9NdTM0mktDGiQbdtmy17x9NLDwcovmZv1Kkm7Z fruq1D3YHy2FrmvKkyP9hRtZa60IuRUiZWGuS9XcJPDCGw1JJASNnIbt7ol5culHcvmhYEegzYuN UhP5wydilCLCnzsxhf7EYL94Fe++7ga1bfjkePKfzWtJULt3av7u7heabZWzJG7+TfqrAewJoZ3M XCm4JUYFOwJulvbY1yLuQsQFKN3pMqRWpGIuu7+KfXASJAy++djenbueU2GwpDHwFO2blnsp4NGB K/mUPNMSxOHA6jVAqHgfUrrQMWrKDQeZGFiyZlJJaWvRf5XsabJA+VHXXCKYsRSOmd5tumZTdlya H2tLc/UudWig+pbNJRJXNbArVwDQrU6alFeto/sSyuO1/h6KjI8CNV4BiCWNo8c9ud66WwjZ9z1C bidJofWWfQK0iwb7+fFydd3Dzbc8JCdpR4o0bPDcMV5CHqW/SFMXuvTQOfU3jsqGr+A0hFG9u0Vh gQczyZ+DEtynL2e5cMCbCkRlo8sAb5rNhiXrAhvspJ85MY/dAt3e4ONa5eKwaVFSxzFyE699XzJH OIR5XXDbRmIECQyZHwYyS3P1q8SFt2lqk283MIm3K6KCrR3o/XLk0F9IGTEHLQOb7tD+gnraiNy2 PcKHznPWZsTF2thcnUhcH3t1yhY6V+t9gkkBEWjTYhMiigONwk37EtZGa+Hr2ZXlfA3lFHJ747T9 SjRrsCCkCzIw+4BUAm2yaS5RkFjO3XDilXaXht58W4Ciju2yJ8U74Wg2tk27ha+u6BuCLgmeTeAm brUSxvZUop4YPjy4k13OH/9IIPBx/K6tBG/zKQnWQNw/cVxYEkktGBP43ybxk+IXHJspgLHhRy8o S5GlyRR1gST2Ljh1H6TiXr6LfeqtQvdIjw7Cs+WAp/JLPcOdYBLX37wa9mJpm8qrtavnwP+WYPVu /OcyzZBPKtRV7drlpaJ1Ph6+QnjlHyoss0HiI/aZ2hOi/geoNFnqZrWyUxXmX4cR95+vDagl+6E5 kJCJVskMJ42QDG9X23Uh96QVB97tg47O6FfNvxoibvb06umxppf6OzWkpLVm2CH2/96mPfpYFlxo G9ZLBhB80uIeqYcinREOpr8c/F1PLWfBovwEfr38tCikldE0n32y3YfEhGQ3sjh07GwdDi8EOJws P72jbZp8Ko4htma+gsYI5M8KjptYaoLeclI4+h93Xij7KuDp0NHIRHenz+pUozRQwUl7aMGH468r CJA5S2nIt4dmrb7pJxgLKPY9h5fNW5pMHp8gp+jUwxaV7pSHn0iba6UFdZQG7P/DCJLJz14ZUhnv CAQ9kyfPQS16LWWnkbuZIGhTd6S43odaVHYkeZeV2mLDzVaPh34Zf2Te+z1WGfOzGFtD0I2pFFXO Cixif8unEmle1pmXLZPuIJokCy43DqrIBvj3dPgyqvEqgseQ2+YjoP7hqVMWfmjT7qaeACRfEYN/ Odci3/NrinGUYCFRSBcumw/h4WB30Qww/YNy4gB5jBnuF/QoniDSclgFfOfmxdpl6WTds/FmUBIZ MESS/JvtCutGWmlezjPzUj9iPPgOezsw/UoFroO26sqWKkCxTt6BSjZCI58JLZkvGR6hCEGb89k5 SBeENehWsSN9cUWrhscC3aCK6HpGoiAklvkkQ5gIiykgbIs+Xd5kNoqheXj86fh5dz/2P+RFfXVi e0w81ZtsHBvUrPEDFawn4hz1FLb62zaKGBAmTPOi/w6D2xW2XoFKlUP346eaHmN2AtYseiPsEqop Kut2HzdB7nKMu6/uLhvLtZFWObsnq4XYQMIdtpWdvqudtxN7IJtjh7qt1Vkd8DLKrG+p36SP5ih5 DG2Yps7AUWOLKFegvtQOKQor5xDjKl7dR+BQdQNQGEgf6487cRZ2giD8lRLXnGrezgpsOMZdzV1A XioW64UrE5LO2DkSUzAeH94jycp3rZ1mZpk3xT0qRPRRnXKREDiAuK2qJZ44ckyLzS3TcGxTOTS7 KZldkdnr03xkciMJ+Iv0GGYxcAbwKJQF6Jtxu3MOVxkA1pML7JXHl/D1yZCziN1KV/F86QOmUQlB 70VPIK7MM/NDYP16/LDuvzThkdv034pRN0dnBwY/UeS5ZZXjDuBMVQd6MslOQliUIPPjbBe/9l/s zNCKfQW0CxamuYjfZ5JkkAgtqeeQnEjnT2ZMfv8HF1VdImjCjq2IyW4GFJRfotEfjwCpUCMcA0AD EXES9TCav7KDz9vPA2HjyAyAFmWGQmoSWn9FjMG4mY78zXGVcG73s6RS7oC7uuzGWGzibt6X7mAR 0NSToyHQidzqCH4iF5cUfEY/ybe+1KIpzM4GQqmOLf+Hz6oxhPAzHClZvS9IdaIdk7jicZEklOjv 8X73gOD2wyZXQWYFCpvSI+RqxAb9f8amGuaLwwXmHyXRLIx85yOcEAq2JhQG5eGFDZqMFPSTwlkv ID0NRydB31g8FHKBsAiGm9i9CGEfq9Eq6JpPb4BqS+03Q0VIrkggTTI3bqbyZJhrwbET/pgX4YR+ XsnJ+UATl056xT8LhUg2xgwNsV5HnTnBYdQOYthhUcMCudm94TrkEsZkPR0If8e9wa+Z0stekSRs RiFMJCgm0WQ+CglsfVKAx2cAQoaLvp/mOrLBTStzitpD/Kg3hU1MAyqkr6WVfLe24sxlAwuvXMHa v64sJdz2q6uoQOfPjcEF/OkU/bdVeHcw0+4wyHeoKvKhHhNVMt6PMA3St8cddu4+6WqfGb9tXi0x bNGsWAu9UH9dJrHx8KfxskDW8uwLnyDuit3Far5OmKbmcNq82cDkhujFUc06fDBpVqknDYpXPp+x jGsG6CQnc4vbMQ2X3vg+V23tPWs46b+6ygpgvQtbtNMkYkCsfYZirWPxTGL4dg21PDjQeDm6jxjV JD7cmWunhniOJCdRhDZSEMwVx6uX1peTFr72bwkPt03kMP1pP/TEnI9Lbgx9FYJH6i4BN5680P3t EZov7gygYnAgsshNrpZl2LXDiXHHkP+f8rZ9WdekqEbNfIoAocdLE17CMddF1GQRJQIxs4XR8V2J NeH7defNCRlxEUaOd5vUtEafjPUAbbJID3VqdJQQmeZwlY4WdLXGtbhYw6a/ayUnCWisDsbI+7XT 3ZURaTlZJIVwUKxYntzF+Bdu2ZAqGl5k8s8D7vUhjZr8hEGvwj42yZor3lMMbDXebthPMmF/NRXq LOugSvf0Mhbxk6XWYb+5MJbDWpsE2ivOwshyJ2ufcLb4ahMIbkBnkll1qy+Btz6JynWIIMu/44gI QKcvmW/Hh6cTIZUUAmoGM26dEC2w5p98sQ5LvEA/jkPRZC2bx0RXDw2QYm0KXPpZHw1TRWk+5ICb KIeVDi/0xhoaa98BqUziINq2j6AhJzjzhnyZ139E6WUnnI99C22gt2oRZBfAp9rcieNPFEdyJ101 BvKkBbP8zvAK77gE8zYdfKcHFxfU0zoD6A8DCpsyi8Gtj1ybwZf3IsXtHJ/8dcAB7AyIjlcbaZVA CAC6rssAZ8cyEkmlE84jyU712Ywef+stenqdrmCmITTcIDslUFF7VYomsbR05uRbeXbAT/bhmv3u vqeWAmIcKwNgB5WLdy0r3ld+56O9Qjg6BVHKOTrP3SEK/w70EvbxTVOmo2eQ7m5OZDp1o87op1P+ wXjDen9r63qYIbrVckblCaC21GG/jG06BKQrSgQYk635hVSountBVQQN2CkTsIJKkdehJ0q5XfC4 J70k4+hk8K0HzDleqNknMIgv6kgPS/t5lit7FQNx7AEtGdGdGmMEK7bOaVyLmDok/z87FkqSLMxu JgwG9YvKp2RJdzOKGMlpS1oL0VIyKTlSK0TwAC74CEK2ULGCkO1ni9MFimvcxMNClOyuJYUjnKFO oM7CRm5SR04jcxaphXE90mvPt3lXWuYYKk+dhW+j7IDf4j0cUyMIlxcEuMspeJ2sBCv2BR47RvZV xx+W/rLt67bbXuRighOVdI3/DCijbdpgO+USmBLRbn7S+MjwxqiZLvt4X6iWzkskWI/HTnFe/z+V 3LOrNg3ptALF5tZVDQKKaXAQ6UhH3iANtdVfkPFNpuQLWIeuFreJjbIZ+QuBz1XCIwXjUUbKT7fH GIynjqvvUIAGFcFMSNV1fWNZ5Mq0vZ9IxUIaCeFEYTcOn0LnTd76ACs7Gt9CRHNA6SpiRe8bZf+z 5TrpRuaUXGmoZlN1MDkM5SRRPlaWSE3HmDWPPwBX0TV9a7PqUohs5GPboPb+OUvzA+d2bRg5GFMp xDML8MQRh57eeg92JKeiQ9KuyIxdJGvvA6P6pO5eIcs/wg+6uFhm+kDjojF8wqTqZ7GRQA4bMXaa H8anQ9xbyDAEQWSAIwkZTJDMwnJ4WlMuieggPooAKPVF2NirAUs2l1u0KmrdAoK0hh/xgyYwZqlJ TViF3QCflQt7IqrwhK2YykVzaAu8GhDA2w74LsOAlji7ZLrM+mc8A0db/UW1SLHA2OvnB/oTQb+z CBpRRlaatxG64hXFA5yKL4mBZa/rVmD89IG1mT1fD+8ZMJyTKkku9P2TS4gbDwGBSqY4qY6/Kd86 8DGhU4CE8YXNSB47HLnZVtuEMeemMzC/9WH7prXmSJSWoD4vpsO3/FGd32PX03LQPZZWcI0nIrEM pBGaeFqOo2v/COdDaRzh82BjEFHaAivy6xWp65/R8usHifcjLNIUosM9QnFKs+DD3YqCR5DRR6F0 6belvxkW8fStYbTOKx6v4BZWdKPWQidlSWjm7MH1Sp9BsbDvet3UfozoQEyt/4w392XOFUhJ3qGe s2q7MCDVrZrvEO0SGWjW6f4CRVLPl+59s8lD1JIoJna8TvS4HF6UStMk1fsmNdwoMNSQxsiTOK9L rA3jvR9MaJwwJe2zeQd7DwvJLsd5PofWpxLANX0bEI/zxdcv5qlxZo4C8EZ2OnwgpjsxhyNwlBu0 qNWFaLlNmZTssQ+5JoyEhB8wVUFVRheKhWG/iq7TsGU1+AeX+crsPIILr78a53io4swIK46YECWc PxiSyn1nDgsqME5w5EttimpUSpDe2IoPrCTmjloiU36tyHbX/6FQgxajfIpY5Ma2vz5BcHbNvTQi dFdEDQk+PzWwZkXB+xTDPXNY2YOFl7BUntwaGNUgmVZD0KwFwAAqBZ1KUDEKi+B+HuN4dqijZIh5 gyI/KqL7JmYNk9H37wovIRuSevLosK+64SEkPb/YjGDndO3lXRKd1kqf1y+m4y06+jLDuMf5sYTw r/EbW6ctWPCzC26ZyR46mPIOa+Z1x7zkWBFI2HINS9eNBcd7BLupAN9WqG0le9hk6SCGmv0QRBd3 uEWDfo7J1QLKgkF10ro0GE9uZ6eHTXB+JP246ytZkQATQcjyS6EY2Gs5VBjNvNZck8rHjo4Qmz9z Ea4bY3q2WTjEC0tZdJcOn0MqkxA3T8qSamB+LAtCrb7RgB2i4t53iPOPvQiXE7RZ0b00G+KqC/oB gXSJA4nSZzFtR/tmliY01HfX6IUhTiPBSD2zYfOelb+dFYhyNY2+8b4xPfEiNd7igj1FpVULEd8V kDp1wwyt29BbJZgPzOBzuwpDOlIVlOonPSfMr3t+I0XEvKEtZhCJYoB7UtFJZqIavKXfTsGgXvIw z3H4tfQsC1du6XQq+AlvxVW5LB+7fa/BsLo0lMuBeC79VX205GDdViX00UHOddNniHVZGz++JLD3 ZYX5nGFWiSizlh4Y+erqO9guZyJahIcYnp22YvyFvKX0Ut8dBlVrWoLZ0YiVZi0JY4RRIJ1fKfoX tQEGQiVyi4VDtvnGpoobobwdkC0mB/aXzODCqLZwvR20umNOifmhyPJ3PX4KA0pKNXf+pryCM6as azB6Aq8hr/OcXzHXGrlvdaKOa/zpojxs9icIX/ra8cmkAS53YEplttvWotZnqfrL28z8ptn9jyvn s01+cXo5aqSQGkM63LvXQUbSeqwi3VQ5VmDNp5Bbans/McTxefN/Jnx6u/OwTPN70FKlu0UhlUPr j8x+bhzGRnAhT9E+ksF0heu4maxJ058MDz+Q+hNs1rV6igF0Q8Ht1jMQfUKvqIzoaSdzvUwTUkEi o0pO5pKKR8Vp106frAvUT85nF8gVBU56n8vpUswY6mmXowX0VFXeR+0TY53dRsJfP7uYt3UsIxEy ClLWTIWC/z0xR6Svs2EzabF8Sbs2sJLYbweEU/wryZ09U//GGC+stHoJ41SKyGdiubFOBDWjUR36 jpBk/gnOT3IwPvvdqR2DUuDLDbNfm9Ki9ZI5E1ZLHAA1VrfI5LsKk6eQo0nYLtZTlu2KrpWha6e2 bRTGlQyLK1s0OtHImXazgyit8tDIPSZMg/fjWyn44eT4UUnP4emaXSW3t0zSZ7M/+YyLNUk+HJWu O9lZPovRYcXTjBxeiSmEd4yI0a66NZpl4xIL784I4B//0s3Q7WJLhYwl0xxmao7MoSy0VizCYin2 bt/W0P/qcmyjw6vFzZwX2m7InhOvxdJpLMya6cL6Q+IRFR/tNba+jo66ZJozeNwuMQ7kxN3kJ4Zz zgsin44bou8C1yyXthutIkG8oIkSOGso2kMmHXeQhaHO/a1vBHJ5zAAx/KzwDwc6kx6aMkDys6Vw 68ZqBaqWX4VE7IJHRHwmC+jlpGT8Uij9fO4HoiYJSvXkI/bjudpx9gd+YcWXKIEjVly3kBDEWcZN Ol24tlSSHyx/eHdNFrSgGtbaLcKff62+IalQaOC/eQoCGa9yMHAvkOXr52LVJ8R6oZwP6msShyOV qcBrr71zK+nmmMjueD2QzySw56MSYoyyD5f2GPtglCgDKXexk8X6u/Fa782WitBqa9S8OidiNYLt ZyGSNL/TAKzKbIrO2oP5YMygh7BRpKQEtKXKgS4miaDKmdta9nJQoqZyo0/+muNeoZfdR3CcbwdU +MObM5CtvE7ykbbHBzN6pXY8J7+T3X6fCxVlatUCvdszXopxhSx1NiV4FQESHm9CehBveK6EcNVB 8JEHsz0rnDCz3V2gmuGtqTDD7PaedM/P5866yL003TruV57HY6Y2JfICmIOds4LUV6mn03cAcJ87 Y6tiTz8rnn8wKX7v8lF+9kztWL2nzaO5fw0GWuET7tlo0kTYp4LSX8rqy+0xoSxQVC84QhQEVPsC T2cQAgdciuIXCo70EoU+HlIkLRvOVb0QXrf7JuVTNw8NZUdHNZeHl81IcCPJMwcPou7De7RY5HvS O5Xz/2DuUyJBRTeI8m1DcUGqeeQZ3sGdw0YI+Vzn1+QHVRd4mq8Lr6tD7EAxZ31ixsgHM4G0B1W6 wLtuHCGZKTHbqs+kf8zmYqmquWFzLSXm951GuvUVoJW2KrLepy1k0xLkOqqGFynjgKtuyBMr7QS+ vQ6bjhcCIKIWgTFboWkFZykdnfymiMwHI0Y6PCdm4d6UlUmCQ+Q+a5OcIr1lEOlzjrv6HZ7Dubm3 KALpb5hWdOw+rMB5Ijl1IN4QtepEQaus/LZSPz2R3PzOXx06macCwOnCS7LZAHIWFNrac7PkSB5/ teTWUZ+rn4Wvtsof3jTOwQTivr5LQKxG0KjUHUYCcqmRXw+tWD4UgFakEo1jOUaIsrSo0XNYF2Jm LPE3BFMYQctebv9a5AfpsHFoD6TUpLYNg2RooP5fMsj7E1G9IVA3YSBCKgZrWYrmrXKHfhpAn8/I Va/56p8IjX0GOwoNFE5GFwR3xEXiVMD9OCM6gKVeK6yd+yLkYFCshJTFwN5eThIl/06mCW1mmRwG +aZLH0mQxB3HwS2/cP8F/S/mZRGjhZ7S4xbJgMy9tO+tri7rw/Yi5ggRffaJSaNxIKrLKZKaanT2 SM8AGFmE+elYfR/pJ+xzisrRsn0fbNsh26yDHCjnN9/09n1u8G1XU5RJL7M6W2MyEU/kTHtrCPgv s1Iijx4d0OuyVI+zoLc47KlDtTeyxoZj28gQHlQBWwHTNtKBE0TsIe2XS6LtR8tSkcRbjuv/mmsC REGmnsCnfY35apT2Jno3npmGHnUy/VUU67bvdJIm9HTMQJA88JlX5Vg6WKM3VcjNhAMjb+9c+R26 EGR3Gfwb72inCn9WcbihLndL94ldAy1HEVG63vrYxXkmewdj7yQO7m1afv2SwybcUBnWRwzUi2ak /IPvL++0ky4MXGGC2ZHsATXX0255gLtYI6tsnxmq+cV2t7Prigf/PBi0/fIrGj+qeDVIF6uxLqJX LQfdYX9uBFG2JaUj1nV+6TOBW4ffSIdGoQSwI0URB64lqvT0Z2aA0BtrSMn5V4C5el3oGiTbpfxk ywlSZq42fF3JkmuFx62MCq+FblfrGjMs8x8o8WwDqIgEKPJWGyUJvMleeMfBbpvmt47sqNT977ac iRJ9t7bmDgA3L9PRKpP8UvrCZ/ZNVJxa0L4q2sMUfvH2/Srk3t3Gj6QYRIGTJ6GAROhNhkuhnRal dcySsHkwcsuqH+PHPO4NtIy3jQoR7uPRNCN5xb+KNGwt6S7U05XsWYpDLGyQAgEMLR2zw4kDPAzB 7ZyE8tkKC1u36YO8ij8UWpRJn3fUyjx2M8N5DA15yAXJ7S+PSewbKEgzs2krPhblQdCKSQUUyZm+ WCZ7FyRuGqq90hhmQfbZmfg4n11Vl9J6FIaaCJ43gurqQD6/b72MEzwOs1qnv+5xMoUJf6U8SS2Q AQLdpMR8FeL8sxFiIgNeYDf5f8AcSmaLJI0vOdms9/NsDsvlyWTC4HR0WUtyIXBHpY9pnnbe8UbU FOmluUmuFKJg+Sw0lARGq5L8D727XG2W5KE0SrdWyx1zmNINvFcXcsXvgHbAQrgefJQkas5Pv5ca s0xpnLQMvucMYTyxLYb2SnDKtS6qmEXUfuxfGOBYlBok/cPUWf45pFDxeQF/sVG16fcw4D+UfNVC rkQlzr0enc5n5jlwoL8vi49aGMdukd4gbO+SdW7GOxJlB1u1OH6yLAcjyRo0i5Zx/nLm7bjoXphX k+B1GpAkPVSvuCpRYIa3dWi7089E4ir5NtFQQW2WTqD20ZyNM/TyDCY76EIDzVPrMAXeQ/AqxpZJ bvfVCVaCK01Z9Frh8N+aO+FLCAJlTAmWOi8+Yw8JkizYQVJXft91QjMbiWBfGykjFvSpV8ygIN1n ZGgsf/OuiGrmbiVsqabdc2nrevIhadi2y/XQ6gmwerSbf2DYLP7rpyFHaMyawtoKKt8xFbn75VW+ ykUD2tLr4PVBtSkg18DxyuUNkb48ayKoXP6JQYdfapR/UB+rIjN62Z4TtsOOXeUieaNIuWnK6hJb 7h7IPo+NVhaFAHGRZ8jo/2Ri6JTosNlKqTXAaXofJj1Xcxx15K0zyiAItf8VoHeMD7qGETS9YN8i WD00V00nGbTv/NFsChttMI3rxzPY806Pk9s2Svq4vL2QMqb6dnu1d/KSMnq62pw3P/34r12BburV jZUWd6YYO2kbRndTMi6z1DsIlgA2+JtNHtoUFfz8hT3AB7rc/0K3LeNzYgpoCPvidADuZrF7LxFK v/snnenwaQCF27AbWFCI9e1nQfJFaBYBOy5dtfWfe9fIRoN5e/f92hHCpdFRukb9iggipndi3Nnk f7lsMxg+h0wpVuT6C3h+G7TTJ6K9V6yxqKx2kCbajpkhmzjzNp5ER4JhIgVyiWW4MZ1afXC9oHWM jWAOVLpxHnoBc759SJ7bWRELYo5RiyBDcNtbhkDAsQyfqn9erIBmFBUPMYCuhGZv3Uahq/UNNgom cf0UDz3sr1aqs/lWZhOvaqXqqxiDQKzcDM+BGRatdHM295qNBhefFrueZsDKOSlhjPZckEdokdzp b8k2f+xfxppEpT2NB8IEzoA77vA9cECdrWGWp9IGMCHkpwMpV6o2w10thS7gA4lu4MAS9FF8X/g4 x4vdBzPojQlOXR+C9aVsUsHhKSFNvWtPWOrcy0lVNm6eAYzHYGxbFPu1tGOcEmHWyyvy9ismkeY+ 1fZy61LnjkEP7SSEm3PVN43ooXNQ0xG6kpJUGjrL9xf5ZnbfAO1dlmqV2O+Ec+jhgx4HjvPsLRvX U8nc7ITsW6aAnAEpf+ixcvcSgRicuTgsmpV5q1vRo4ljaAFQYo9rcCnDJ6RDYLOtyHk+q1dgqw67 fCtK9WJqp0KXTyGzESzuLNA4WXBJnyIN9vUCO664GHmc11Om8dCln24ThcpPSBB17a1a6GKG3vuP K1n8oW2n8gkmDSiKjHKar7ORDT1Lk7S6kAMsrR7De4C+D2Mjaw2eb8c45ujXw2tKnCcSdBulty8o mNFIUPU8to1edzFT3JKXcuPx4HkrVwC4ePcEogRLOZp5DEg9DT8gFKmJKs1Oq7ACnazjIJcYjeGp vFKPC3NYH0AGQ+1b/HUtAEKpXhx6pnune95YPZFiAmXieTBkGpGkfFBQVW6fhwT2nz9XgAnAufd8 lkMWNlflHjQHRpfRcBsGBd/QU/Yjjzuw7z/l5gAvGJ9oMdrEc0KEvMgh5z7VNFQ8PAhybSB24Lpj g1fLvNvkL2yWUkom9K5QvmIhqZpqdbK18iEaR/s5efYUQE+moMqG2veG8lFCu5IHm/M2QX/GqmTC JUEeT7WD9a9OkF3OLQUN6za/E+hJTNtAGCJ3YUo+Xg/efFOBJ7i5400yaDqia3MomBNDBnAgWePi 4sl0MHhJUpVLjn0Ybh+fIYYoE3d8jIku2GUYpmRBatVMI40UGDvd8BZsLBvexM8hlZk/7KKQvpiS iQbFSL9Ul5LWtYm89ZvtJMRcO/e1V1MNg3ETV2iK2NDeu/ggcIhwoMqstvvQGs6Tb1FZ4hYngxWp 0LCzgHJk/No4tQri18rK5KiLRsHKih/Qk/KwbppS4Wa8LmJlvCagGhCKLNUqf8h6DWxb0t9t9+oe 16Nsd7F5Ks39SxNdm/lMCvYEOxg2mhZUJzR7Sx0Rw6YOCQfrwgCaDung3C1K/DY8gHubBhszk/9J y7iYXjUyfxqDJBRaW1wZyv5QXiqgy1JbeqgAU6GellEWi3P//grXrF26oPTECk9GqwBMaOHyKYsA krw05DOe9h74CRCISwiY7w3J5ADuGZrFKtxohB01iLnjJI33Ys1SMcb9AuGRLsFSnulSl3sBaoUw mRYscinqEqBzvQm26Bqi8QVaPNcZ7+49qSa6fCuf7JfygP6SuqQHPY9Ehl/1GmU3Vo3ye28u `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/ramfifo/wr_logic.vhd
19
37687
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HUpwfbtoJu5ljZH1PD1nirfZUiqEH4rdOJmHG3byOsiHMKK3LegkCLnxPuPlk+MO+z4ctY9AQVS+ qDXnVNabAA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J5amwDwAOhmwY1AI7aPhS8ck8cUzk3ZbW/PSkoxcoFtS5AuFiIpCT9Eh2Lt0JzHUUKx72jQhC4xP E8DYUPCIo40JuI++9z5fK4HwpQiCOB47OP9CCbDUXkdRdGgF4e6aIOfD40xCprloxnLZWVs0yawE 2eWpDksVPZ7exWV5yp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kHeSBUaR4Gb9xyNR7/PmBoZ6gckk9p1h7+VOSSxhgJTOkeDKrcZOdIV1GDgFDrDQ7kzRgTiYYdNg fXk4UhiKwBVyrTjV2sMzg3+WqoUQIK6Jy3j+rnKZ0FHbaJ/B0H/GfbBoAdHe7Ll2JvXvA2JrUnjB cZCpVeHDgAOSHC+pzlRSIpPSacSQtQcR7XQ/3XaxnZYRC7uHkv276AbG3wIpLBG2zxIX3ZP+ackQ pH7/JslwJLo+2yMp03WDL60KY4dKN4/3Cbuq0p9ZXqs2Y5D7OEUZNxyvOtt0dnCx89ZP9OSkU6+U STforoN1MyOGgJ2YZ3QN/z5I0fk2RYpfEM9JsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Lu2s7AKqknRcUE5f3UmM0sxhb8YGklEChkrpjNpqeFmWrHZVTV653SjxOWSucZRxKRWERgvAD5Ge f+lfXprxLknFOXVThhIZcoGHsP1dAaIYcRFINHuR+NXvmYc17FBsIljnkMKM4grLGNoBCK5BU3oj +OpUaEAqYZcR3Ny7rME= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZNNygMQdh+aYmFNm+RRdz6IwBodkqsu7V9fE3BGXF5I2MBgRK6iGinaX8yLwnKR/gy2F4SnWUzqm SM6Hy+mVD8IIS+xm7ukIVwLbM9+0zez0kJn+qWOW6DSjxPXqHRWy3fQI42FtwyVBs6pb7/W8Q9NM y83XMjmhW9gbYNHIHq5e9D7ao/9WQ1Ytg4YhUY4H4cSzY2tHj3tbIsVO5Swzs3K1mz8KunAK9qzN WNyQE7ctUOauX1bPhyKN8vZcKzkl7x8jPe9GO6BDBcCZS9DeY3P2LTqajNPbMa7b+rdlszJkVZWF aXg8+G+Fp5cfd6qUK77FET8A+G+lv6qs6bNgOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26160) `protect data_block MVTeerMMv1ncahri/iQIJYQfSzBGFwfh2xKaJlpUO9OCwCoVcy27laDrkS4BLZJe0LuE8ZkDBlwx e1KWTaC12+Vx0oUVRm0qhku1DxzKi0BAHvaeM+m9M/g+NDkv75nCLY/pl4zl5xfjmMAmPUZVCBbc 4fU9JumW+t+smzkCaXxTOB0EC9qCndyRt3Qe6B4aYPJLZpg146+tt1igW3T+O+uIRZljRjjK7nJV omLmXv9LQpLvVb8J17Xk6Ihxb3iHq4aQOTLXzlWTc0E5kVDJNoyfbNuWKRkIGxs7M25R3kpF5W07 QQVS9gib+seLhpngCebfpN38Fd1/8fIxIdnPcVspxQqGoAAJ4POWeA+SkR7gCC5Zp6dyOJlTUpt7 ntyDhEZJFZrpLqlyXy+wOzZWInBtVzW9VE3Ja/BvE0JQwwWZ19GMDNA4kegRGB/IXX30p/ZYg924 X13LrWKQokrcewYVLDa2OzJjKQQILqyL3TBg3ML9PqDeAmedrlia8bwsq81GxXoW5N2HlA6bqCba 2M8rJVGaykWztO044hMMbK37VdslgbypaPzpWMCeK1kXN00rUd4A1+PFdMkR4MhoCN7Z+3jKwnSl /t/GmOKB13N+ECa5VbMXpeRzJjhiZk5pyA30cqi18AN1S8iRYHeWb+/CUMryOJBOxnbYVuQcr/0m 6K4f9ge7s5gQarEHkc1Mfwgp3qx0KXWxURBNbr4b3JtLNcP9LYSEWfxbwzhUzP9+3kmCg+nrMBDk WY9sm7Rcknnizy1JHMFjqVEnxvaD4CtHu0P8GLm0ScOui7WnGDFAGXiQ+60rUob3XsJ2/Rb8O51g Yl/Xqyu2nBzncOeqM80xlyPCFZPZAgN+bnkrALzhZh0yjduu0W8lwYN3GfyK5B4AfXyfZLhCD+Pd 81j1PRdUedJtXXEv+4VJKIqcKKMQhx4rxLW9YpR+qQPfbFKH70F2YqMuVs2BWqxkWifstXzG/xl5 MELDDnAlOP2+GpQkdhCrZFMS7vErc/MH8uZ/qZKFyTHCrtLQOu18HfjReMxhTYY19rDmh7/r1wwz wS7WzRNL4C7xB0VPh7NbKtKu2G2d/IV+oC5KGRXlQBWjeE5DKodz3IZNpZCLPts9y2EuCohZFIxk +qoQjlwemaC08Q8Yy4ISGo0F2eMe8UL1LuI40auWZ+iqu3p+hWmhld4+DHsf9+YVJB4GuyOwdoBY pM/KZgCr+eplopY98dMj/+Ffroy+drZFquMQoEHhB00ggVbc4bo5VMGlJsq26wuh8QqEd72NRPdR wc/V507sJPODGQeXeMHJnuipCKtiPgAq3t68Xd8FEjGVpH3XP097wfoyhuNJeZwYxzo4A+l98Q8c va97Ah8g7z3rH1+bH6IYyo0sFLHNsEohbOknfiEkzALuDP6K/RSjNrwQ48bUlm8fP9/1vL04vXYS vPHXjxB4WVC06T2LpilUo0ASfpVNnYHua/7FZNTo3cGNuvrh9iN7emjyoacblC2GQD82xbFwNkss HiNJmnRjlEZ955r0s7bsvTf2iCnFTpxsIDQyTNT6K1QpZbw8Bd3AMc3tpIuUSIPZPNpd92TbctoA rzwLf+pagfyy4XygmHfz30kZOi+EqulTpf+Hgb8Ku8O/nExcTzKMBn3S8PJnm0ioxouZAXKj+IEZ 3rRo2qYWasqJtwYeEw3Oens1mQnyYL13t0I1V8pl2HGQ8frOf2JGzIOCePD1LXlQavZeHkQTAjO4 vKIWo7Tcm3nQnr5H3ZHWEKoIFhcZiSPQpEfw6D2qxbanACXSKwTrTPFYp0DtMQu7596In4KLb+kA dZ3wiNDPesJ6q4z2LDc/f4KUYOXitr5n/8w4FiQiNmSHCcy0vVrtYn+Fh5sDC/E0Qtg6qdK45/kZ vqtQM/5yvuhLhlYg3MthYTx4ufjMennzBXfHWGB0rV/lWwiJYYTarV+NVjLsiMThQ/jYJ/CvY98Z sMEM1D1JTR/twXXTCSBC9gq/Mb2xwEZGj543CJKHcGetcTvE1sfWhJWfu4VyL+pfA+mlzYVy3lDR KH7WTWqBiy2Oe6nT5ll/pz1SyiVWcK+4nkpMKHYZlnwW10lh2qlcpONX+aYTDnivH4nwqfwVq6co N+Z6vEH7xwU5aMFnbodOO+zOkiMuv4Ui9vuAnhzpadiHhOEXl6yYFbyts6OMPBOm7PPKL3MS3vDq V0Jj2qxN3jXIWrq/LEdREWJ2YZ+xIR+diH/7yLzs/kC0hjjcwpGnA0Cyng7Jdow6Gn+ysmUAXyo2 XZBBgIUSee1NEYVpGO6BiVe37Rb65juTET5i68+d/m+IzsghtMvaIDduVPG+134I38HaRtpDhULJ xlGvPfxKKbPrCaGeesjkqXpxmuRzEkLrcF7ifT32gSMqvm0iD7H3oK5oG+76IhTDAk0V6CUeP+DW Si3dZ7CoIIw55s58oeleiSr81uL0ZvUrLheUGB8tfJjp9Sh8FwMB/9XXX151CnyngFTdl60VAgFC F3zMq0tzOlhmuf6U9JI6dJaSVi5TOwpl2mynv0UmGQ6og+UZKF415701lKHGA61rjP46dp2qO5X2 61oybtltBjyhHVkjFM19rNNz/JkNbjwsDH2VaVuBd3jsX7ihWo6QAqrL7kp3EdaINOAvgXrEUqJe hyBGH9RzUNcIm52zLJikrHtJ9HP+eiMcslQearptYKLcUZugWDISfwJq/dcA31ILZCEYq0UBYX0Q pkUio36LkIRjYMr9UDl2TlUrij3q5Efd7fCx8aiO5V+Nyt5m33mjzYBE2S3ZzMCkS2KWN41a2MnY 0MTQLb9jvwfUARaZKaLV+DJs5QzgDdPx0voVmFcpmq6dBnCGWuhHXqHyqJ4tpzklFrTSHxKYto9q U54aPJUwFHXX2jH/845ovt9eA8hqjjv2838Rpflkwq2QXeWBKOqlF99O8KutD+guSmEgvDXFF0H1 DghB+8OZ7VqULJp6m91A8jtyEDIl/2d1iHc8NX+IXMWhg5DAHMNaklMIH8pxObPkVUaEiTL7mtGB 4EpJMKTtLlpUQoVB62nXRFR9V4NTNlj2ZDWi5VCbdLQD3W8xEVos14H4rLKngmKrLqQSdspS9jjT 63Cs1fWAp8RBRDf3EVpuBB8NaxB9Imx+LIghkW6UZgOBtIw+zjOb242m1va1wVOoKMlS0RvgVT5A xD9+vviDozSG/qvFOLmhziwav+89A1FccBLYNyVpjBFZFpR8PUdU5qm4fWNa60/7yQg6SlmaeBex FxhDskPKtwv6NYkR4VtHiesrWy87iDtGvznk8V+n5I0dLN4fxArmB65aSjawJZHMrqU1LVJbIUD7 YC/zn3DOBJR/PEaIpPtHoSNwR3RGppuAotApIjlR03b8JOhj00YXxHapaZoHlKbYiqwxwbXUFO5R ESXeW02vwXAjXhm4Ng1ODBUg+kAxrYkSDI/wRfwB4d0GJ0kYqU7/QQWGY5KeiyBOEV7qqb3PTgxD D5nvxYJ8mRMnQf7hP+OEAsPd3xy2KcAQuu9EFC4TRe0cOXjj47DB9p8KupKwWhz3J7YpIpwBi/zS Tc6YGDBFBZFFYsQrlzB2F+NZsBo98aNEb5pLb77T2zr4RdQBvz5boy0ucUNWRFsjsBqRyopPksy5 H3xRrig+SGzf14Y4DPjIJf034Wea3OYKDcBzLMwaCJavnVD8gQBbRF3vWsw7FEekmUeIi1ptKP4a AVMvzTNSQfU8MxBs7ao+Jm2UKxeOwx9/fHZFEf934ysMHbb1vo06QBo+ClkmvWSkj9laBuuUfqbG 7fLgqERqtkqo2OZTvGZNFCej9r8TlX7bIj9HH+m0g/V017pTUlkWjtMR4VP2+k8VHZxqXZXIidyF OOnn5vSChhhSgzkpHYj2sm5ooFuVc8M7eJUsF7r1DKsDL+QCGi3cFbywqo/SMVF8cAbg2OJo4N+X S8+gRxNqVh3d2aOGEtQVZ8q9eTY/LyfK+3VJJgdNMr6YebHRr1zT4+WlXq5pysl6R8bEqVB9oWwV lOL1QrYqzbhiUOXEMtovYLunYK/El/ZT6gfMIxEWSGAv0qN1MYOGRUNhJnp4BiUd2QD1x7tS4Zh5 cxaFaMbeYlZWWIV0PnKXboStGYAXNVkZbZcxN3vK4NiH2vrurPKX2oXlexCXzt96ZnOoBKZUKt3D 24eswf9TA+1/ClJY1e3ZWln5r1uxBlYoYn/ufx6ZjLLA05caQ4Nu0zpmovhJhHrsSempEIB+R3Cb 6Yw9pwzov/LgWSRRd6KPqHIHBVfd459sp8CoGDi2Xz/q/9V7KrbPUOdT3zdofsotJsjCYHMP9oYo rcmlx6ymbt4nvC+ONNNVSdRo5o0NkUxA9yxPU3VRNpD0/9SYhCIYiQVun4QN2mvsbEtPO+wJkP6F g/gUvNbaRScFYgumoGfpmXd+W4P0AKhRyd+p0mpRu1hmLzF5eLRU46VTTSyg7tPyPkDy8/NIhcXC xcmJnPnJwBg2HgqM3zIvsTRQ1ekx3ENSglScKqU5Bv3iFHuk2aJEBDZWmvkO+IAKc6C0NPt4JrSq P5rquJe3ilLKitdgZ5gqXBgsCLcGb1A7Jh5Vl0+C5gczGD2tqwjjimna5LSsgm8auAvwjVS+cI6c cwwaaVILp6ynFZGUxhRASy5n0S43YDI63jrC8dPDN8Ys5hajJwYtYGuUyyFtsVxjKVR1MMJTQ5Q+ LnHWA0dfmDRyyXFf5TfG7KRefeW2JaI576ahiLSuxSIF5HJw2LbzUTjnb9mstlS5ssDEKCGpTfyn 32tIiGiWtaMvvzO70iZyeKgHbFTFhchHb+8b7AiABLC5p6xB+/LmUKKhcZ7dEwhj0q3/MEMyEV5T 1nI/E1TONjnDLVwlguonK2d3IwCQxZflRBduizgPIN3bv1X4MbnCU4ni+/o4RQz62oEXS760Rj5U ea64PrQyYEvG6UESnzTVMdVPqu4xQjNwJfoSmXNpnBGv3PDNYo9l3MKIRGNNLiFzjxHykuelvK6m 3izTo8NXgupP9+7sA6UF+EtZHkE/A8cm1qmT/eqLKpQ1WXRnh5kjL9X9MKjVKaR7PR7nZvQCAL0V IwmAnOzE/X2jnmjW3UX1B/kMoXu/T4azt6dzbWLyenWq2Ts6XVKwzqOWVaNdFLjqElvkbb7kkk93 HyEKzyuVUYwzHPvUH9TJUvpTZ4wdnwIqEGiNp1onX3/O4gvdMvjl8wnLI8l1Dx+IObq+mb43o67x +LKApbUAzJmDv9SC2P6nQVCO+75RElht4YDNQkb+pNOfeOJ5oIPmtqa1XnuOYXeE4jZf7NZ7WBLP 9i4JnM0qw2mRH3ogKSJWLIjq9o5mYeKWQ6dmMZxudAJufxMqjcdANR2bKMzp7/m/fsp5kaFz/xuM guFSYmPDprQKvifiXeMufakMsKpJCWdxwV88IFasajNbevjxcKYWhNxdepzjoGrke/ggxFQiMzgg rMt2uCIu3J1A0JB6xa8ydTkjc+LQWA0iu4A6yE0XfpyzpgsNyKJH36E2XbWshUKqUy2KW2hOSYi7 5tUdYGyNkpPEp/I4DyA+f93JCr0AI0ib8LmKD0hbuge2ugED95ZyOGatT7XKZuWlwZy0M1075Y6+ 7gLA44daL/Nxa8I9/uRV1HzdMX8+7QLgYefwdp3WX2DN1A/GzvzaQR9RlRTsvWdWIfXjgL8MaJWI 7aa77GdaUSVfBkvgBFdFUXrkcxTqa8HGaBVFIalXtaxiuOnbAAeReBZ5QwofRrJfDwLp9AaiQ+4z xSk4ui5r/aNne7vcpjsW2ghrN0a19xa304/nhboaWvhdtKglBBreIKvdCOZzgNhTxM9UaBYP1qmt bogRRDiK4w2/e5LovqyRaXCraihjUZTRT6Tpf5v9aOvcZLLNZYu/2KjuYgBIpz7UCiHCM9ni1KD6 kHPP5PxJoh9tEzfwySMzPGWZBCpkqWbUaqCdQEVf4mH07dzvi9nvVRShx3qV8OY6Fyz6AZMwKAsx eCKD2GgEdOFSS8Pl7CmWaoYVdq8tZY7hZBAf8sY8nlwUhEFXH0HgTCB3p6MnNTZTF/AtV7A9U5nr U7dk/KRKtkeADxfPstZ/U5K6Z80Pb61Wx/ElP1KsvQyWHeRSfFoHzB5cD1GPXrJ6W//V0a60j0sJ YSWFXy8Awp33xo3Ms16fS3lovRhx3pzPJ3w+YCEiVeKzQ1KHCgii0LwG4/uobex/NP6EZjWEWcw4 D39SvkI7Pk8VkYnC/i4k6b2YpKmwR5JbpJX5U9WHtgCAwtOiL13o5biCnCb8obdUbeZmuEZnBimN 4is+GpivfrmSUyfb082eB4j549Qdn2RJ32Z9CDYuodRdSYYGmLJm2WSfTtU0t3bvXRjlVrAna/B0 t1pKulOkBWrcdXJ3ZggPfsUu56BT8kZITV15O/edVj8yZtYx9fpwMOk/554FxhjYiFrynPuP20gJ 3KZ5Sf7qBcF+Zo6BlAsNpRy4M79KXCeGagzYY6lCiH5V8eDmmZOwe8alj7hDoIY70tP3bvIF32fC sI07jrHFWG96vRY7oSziqMPLGgFueABs8L2HbgJlv8SuqctKaWIY66uoVkCkOaqMhR0vVGVnGNg4 KgmXFXO2kcoUwgf+4G/GD014L5Nn+QBEM7zrZRrRgGigAham0Zx92vxVA2xv12EEtDMt51AoqCEf xQ8E8puIdXPspTR05wZzauvYryfaTY/5XFp7ski2t+nbgLtKE9dylH5e3iLWetfFCbL5rw3jFqj1 J8AmiLR1+ikdeznzTqXpRjP9/Bh5Qkp1t5E2mmgyIbW9V2VIpIzC1jGoL2KzoUIPHx3XDTBfyXSA AgnrrZsfsPn8cPhleIAH11dBJ8ikn7b9EHJmIaRXI+nSg6f1CVWrww5NMBO/slgAh1xb5HvoH6Fu QPxigjn64trA324lWB+VpqeGLftu7jCP88BlMPI4uG5MRNQpnIbu2q8CUAhlWBhDpMYw1idpWLI2 P2kYp92bEMpiTye5Ed4TQt338LoG4cmBi+cZLdpQHIj8rK2Kss1z7yG3g7gH3RWRi8lgYHFBFYL2 0aHHLcXOOWWpDudicFkEbE+xb8ER94G/pImXz0MKdA7QfgBcvCwlC9cevV0rlPaGSkV8A9p6/ZHk od1kih26xeTH/ift4Du/SWCVIxEGqyVCKPwFG55ThHFBUzdFu0nfGA3yNuN8IJbK3Wu4WN83D+V8 3SW6JMdqXFu+PM5647/vlmHJ/Pu7OGvotKtXudALLTsbxOPmo/HyCzzaewlWxhtb4Hnj9lIbvC9h zg5oZetJabG4+GtgxYwnMalDziqe5FHan3S6OFsfe1f9vZz8oQWxAnW2ziDd6NiS2dIkETWP1St+ 5eZwv/AD08C6c5Np6IcwZ0aTUCLYy5lH2cNTofZQbhR/XRsDhLIMzyYTet5rduzTE2fVfxRMPZa4 7+k9Hw8zWsV/rWiIU7tuz1l/H81PXx33VWZwdlo+Fi8PzEzVy1avqDV8m9f7TRtY+rzGZGG56HtN WMmxwqNxcYUkY8hLx7MHfyvTZAoDCpbF8s5FeRxEX4Ucu3R7euRAVRP5m09oA8i6rphuxDVwnf09 ALom1Ht5rzUTU2COycGAoSRGFkrPKj2Oeom5hmuRwWKtWW/KQSyXnA/SjKFssx4oRCGGrWWjuMih pKfWN6AJn6OJkI5BfenltDgPg3flPKWK8/O2Tde9TyKkMkcNse944LV/jAX1orYgZn4tfQICKiTe EwvjFMZX61K7VX8zuELT38bygf+m+oX9y6uxshKB6quaaDWK/uVdCfYHp0MTT3+Wrj9dXsr741l2 OEgAF9Qo/kF2/fUY7GN3vDPutw6DGRYpPSC8zTj1JHBs1UdWrxSg3e97zOIiTBgvPkgN+YpuVpCA DRYEwN5UogVCJIm3ROU1VhMZDemY5x23ucCndmcTxtJcuJAxcnKVFahdL9lE4qkeQwzqFOXiPmPy VCU1VDxB4jcY6Lv9rWM+2rDPgzVlDd47KKGtqt+y7dsJoa2KuBubfCtijILIloWdLStyxYnY7xql ZxhY/PPbYOPppwY9S2Dswtj1m84M6DZKZejlzJyzvbVdG6rNejY2LfnmVjJIvyF7bfzcaxTayaAd lAB6y+79pzbhDbwVGvgWiUDA3bZQdCz3QLjcxYUbnO4dzEn6UaTW2Ps3GFKgO5Niw+gmUshwe7TA IcNWPymgLLJHF5TgpAYMSypt2MLHX9/VF7RBZDkU+qNI8t2bEhWR11RPztoFLAB+0cY9uCJTbWPe YVFayNZxlryqZrFy0kAAE6V4pcthIT9J9f75hystkAwKpg+nzLfxrOioRxzpgv42vwRaRCeXIW3X OCWpq3XDI3jFqoLr8xRCkKmbzDXU9hiWcYqEHOlxCHnhMhwU38hsdwEw+zzl8h4ahCd80Y8btQdm I50kGi8u461kKx9EaaDudxGuKXkdtgCfsNKlTl01vGxYJUOEshGBKNQKzymNcI27jVABA1MRtCWS vwjOcFNmoqXKLTWrYVA67ruVN18Dwyh1WyMjkLGAxrGMpQci0KOf4P8iBYYY9gxUJh0yMheGJXcE /PQIqS+/CTj6O3r4i0olYANO/tFML7+xt5ty/4WnClPkrG3MMXudvZ6+ZZhNMP43R/aNRW4784hB dpgRtTjI6z2g/27mmEuQdZqaBH31awiSdLUjgho44AzfKqcP0S2eYMgWi/G8u3L95W20GzayK/le d2S32UVMEhCZHKGhb+x5PpfCr33KYV5Nln7Gp1CFFK+VZA17WjQ+ZmmMjBFKmSqECwbVfY7qWCdK eGA2QGc2KinVOWiur3ZTQmS+5b//yjqAcQb9/E1ihAupBtmojtgfG+kpe8NpA6sOv/nZXC3Dn1p9 YJCf0SlK4XnOXQlL7bBgEFIagfefW8ct1GGZb+CnMZrfKIjA+85GbWzusMDq/Qt82RXzyvIgRXwd Y37bbZF8AGc4m7j1MVPvX8r1weVMCbxxTLl8RYVcDm2hAXZYz+R8WTlXrEwvkITJROca+S2Pb39k FP8RRWDIQ3uSSYXrf/3bEt31eBSncuYppef0V2YgeCUOcCAkUB25zjKe7Ag6i90yMZtzh/U9NExi 9x6jnAyy+ILexo+tR6UX9BDhRWbIu2FkqZUuSABI8HZ27jpeDu/zFF8SkALj8ntT+xic4uEsF1M2 3cpj59M1ncW2SLibcO1/gCdxbdQpQU3fMObdCwb0WEYCXDCICJ/Ws5OUrnv7Ze9Myyc2oaP76uAZ lKrj9VHH5j7c8KjJIAEbtiz8AzzjIup9hg+5ixlKkLspxGaVb7gJzXcdJl/SuZq7894I9PT4M6Ad puJdZ4BsxJ5C7AhIBLEAwkrKmXhYp5EFZ67Tj59Hw2afF5chCjwoaP5kpKYBht9qxA9WOytY160K ZuSBC9JZB5qIsaLT0feHFUD3Ro2SdD9CtN9oUXeWxKg1HP+u1g3MNmpxY/CVqscMszlEUsoB3WkQ 6nbNYljkGI2IzjJgE0ePvFXilDZ3rzUZSzOA+dLom/6C8Jp5QtrtnUqwZVgSBXvLKVcZ6LW6xSvl Fp2Irw8U0P8mKgul7GosNq0ZZK5AbrBf6dNYs7CZoNZU/Os9n1CjH9MunrI0Bc08hj3jD9R6BLdn xjYTSlM6+l0l63+1Hc+T9m5cW3DLHz1fbkJit0kxmWd+gNkZNa+bZ/wPlXmO4bbyJq69xjKluXju +dseO5J7fWm6Fz8ZY1bEFoXPZhPU47TyTtD0xV8wiARUmt/fxObexUncEbW4HSOek3Bsfa2IXewM YMH38cdX5vS8TLKZ887hvFUtI8LL75VA2kngCfeeNBKNhHw+nn+3uJhvYBjUp7z/QlDqbH7x546R kdEPKpc3MHxtlSMuEITYtYRuha4MauNgcVIf4tBkCWafaxWyxjQs8fOCqecJ9PyhqHGm9KYSgV7U xCEHAokjVzp2XG2F4YRBHfYcyb9IXj5/0640VPPuK3NCWacOCXExElyrhYaLW7DB7zCFW6DguB0h QbkfCPQ8wLieFnjNRToiXFCRSrHiTwX28q/btyZ+pAJSC+eZZbh0MPGlliArRKQz9bzFDXOoCzQM 558Sms5+nT54QFyRVtf0CFw/blMoM+oAW60vImQ5mQRPVlvDBqEs4kD097Wic56U6WYA+S8C4Gu3 aayUlWdEX7AsC/5MGeefHnrRrnH4v4uXsyKx6Q3QTepuGvogLyegYBnLn5pPTKJzefsdJuM4tdXZ xPUmrfPGRhH5cN6keC2lqNDvdAF55TcVorMDbCTqtxtTZB6HZob1zoft6c8yeAxrfPudy8gXFG52 8EZ8JGehd/i8PjRl3lPtGCadW9XBMkUqUiblOMLk54MzoyAHYu1tP+7JTJGCqeOG9iaRaoKL+0Qp V42M7k5YjK0pen2keBMkNzAAkQDeIL2cnbEeIcy0L1vk3MRFa3Bjr/6bYbytMLrhdc6Ab5dxit6e 4+xiXphbj5rebSl0upTiyEOdFlsRjvA2FU1+QtxFBD/F1gtMj5E4saxQ4M85H+r+MJWv1DciVfHs zKUdSyHEPuDSR7y49Amez1YkqjkxCoXZMsVQj2VcFT0G6u+EYIQMbM/q8bg5tIydsnVLibzjaW+H hx8/pwdJYr0RHfPXnTkXV/dPS/zHfIWrX+vkZ0mBPWXkAJu/z1Kco8Fr9IeRvLbBMjpE2IwoI2O3 JpZLFbn5jUjAx1wzfJgxULgdYkVtlbmj8tFYiUGWYGVBycXRj9SNAPFAI6BGt8HG41YNLmgQW5na SL8Y4/ibnKxXYJLliKtLrTmPtlvrUEnGyOZrWLflslFRMqpYGc4/0SBd5y3Bkx1tEbF9ZGitAvBO 1p/G0eE2Z6jFAtDV5L3pL2+JMD8aKG/Dbs+4zyn/7K4XfGYc8ZQ7FBx3vbceGiydXvXfTO4QjUg0 vxDasIqHsSwuSzrVGPlNKDuzZJ7EAn0PyExuY93SeHqdd5jAFTmP1/C8Br+v55yRglmMVAfhBilK jeBqAErNDIQE33Bxz9QIT5Fnq7OuEcVPBNpl4BdKJo8XZ93byDIeIvrTBQ8/40zwyqWoL/JD5oMO 5v6UDCc5hEhsvU4BPuFAbHHhid9BXlN3s0mGildf50Sm8BvDFUalw3tIsbpnaSSr71nuiwwPQchd gTT9GipiU53wGPowKK8S4rpl4BiTXoWhPOsv61TKC2wMmLSUut+uBe/z3irJ3HnXr65n1Fru1SiK 24SyacUBxmeHqumao4DtuPYjB+FyUoWuE+9OVF9XpeM+eKKJgdLuVZdd3CnngPc/Fj07SG1lIKZk oJBbQR0oVF1MCiEfWg6dfbl/mCE9FDH5NCzBnPm/fVfKZxu/aHVfkiSRqXqWSYbhroWmdY+mF5ph tz0AvN+nXDZE8dkMQDqRf0WV0r6dVda9B0Fj3Jssy0cfQ299F52rMx/xvs9JEBDR38lShNLas9iO DgjKGPrgmFMDg8mflSKEfGtI/7S440I/9QVY1ESrALCKJ87s0DfZknhYKPs5+00iGQe00Z70vs3n SlZp4YyBoxZocnMcNGzzvJDWTXotTePs0fD6g2DXU2TkOhcv7o2UhGMz+j2GZHdI6hkI80LIQm9p QmfTVxDIR99BA8SUsewxUuEoQYBT9k6RPmxsIMIGZAJjFabiRNLewHPfnziBCjRibhhP2DUZpq9H D9MA9LegaurSsXITCoamK4di0rOs954lxntxfCpdrrKVC4NO8h8BDFWI48/xNHWaHTmq/XPfE2Z5 TSHqmmGJoMijMXL7Patuao9ZDI+FYyZOBjS5dgS7YlCs6kchLnA+XN6wR0LjK5OtpxRbXUryEpZ7 z7NtYpt/xeDHlyiBK+yXiz0hawNkpwqJ4dil2NUb43OBqrixlf8vDXyRxyib/A9//4aOtfQxy5YY BEtW+V5FG/qwLazI3B0t7D/RuO48EWVthN15rmC8+0ZTRjULmJMGIG1gMjLKrqCIkkCaVglOC4rI 6UqQ3RCD0nfUpsv7FQRY9a/V+rky2BQjDo0Xa9PHJovW7u+iYOxv0EpgLptAwY7ehwP93L8R3SvW eIa9iYL9ZRytqlIljIMaTN5BA72RCd+kLRskUiFDEjz8+NHtcqf4i033ijciNNBdVOMFH0miS8Rp S9EOzy9+5Wc5XaNFVr3lJ3g6MlexUYCceTxR0Y75nsbzxmxHXh2GSzM66Pw60ZEdo8lqoEJ1MYSl nBGOCqe0XBbMyBf/6PDOhdsPNO7JxBFZXOCYTEZhyAgO7eY/Evymqlkg0bgXzP4BD5p+urD7mfjG uOrmfg3FpL+JtRDVEHnebG3Vh/YtW1hdcCwSaTO+apFkk9sRjD/silRqZlpxkx1UKj2SDx614dWH 8v3DRZkrCvGqVPsEtk6iweBaXgCE6GnR069/RNJ/cXgI5bIqm+i1Z4gVL2400I4vg3MnGBjclZVQ im+l3s0SpChJnYJLREQ5kwd7kT0a52X7ZE1O/e9s6TvU7t36so7qxCAsOJub32wqx4CmOSr1lYG8 fBtuRsDnI5FYr9xTqxAUBDFsadrS2cL8oclvQ6v/juy09kCy7uuPCKaIyI9mVoMcFcUOxYTXh7Fh tRHe3k2kSXM61OqxcXK8Gvvf+9tYfmlZxfYaxOvaaRj6290g1N/zHLiHrFCMWFuZj2iWJMNnGKul Md28AOVzgoU0Y7Me07PHqJsXmf+X6jFYWxDDurprsL1EEY5WAeA8OMgyE2FB6pY9C6Wi+ldrP24j dV0SHeMIxv5LirwtuyQ/lm7GnMztVUerMMt2+HCmCyWWWLVbchds1k9B8p7fEPp5Ryj+QXpWXYFZ r+0n9DkxZx6tKivXEfOwMgH1SnAw/TmcMQ8PC3BgigjwPfXDqamUKrmXYZoI7FpGwZOdOh4OT5/b CQ9Vp8+Fkh/kAwxXP0IVM/1ePGjtw2pwlVZT5td2pKMARadi+8/UAcc9MRSmo3Ou8fneXgp0mY4Y lsliKjm23ROtjtXtPkHqGrEEjT5KVBAsJbY4YgLEUwiP8I16hL/oF/3pPLBa5UBKqh9emXZEaB2w j4X+tCowfR+tJxeuKZcn24tz84e58N0sKe4VJKGM8RnIrbYk+vn0Z0A2+ojVfsKoGXGg1xOQRLFz 9QSGo8399OYmacide7GS0RzspZU0YBTa4DkcoOkQnr6JsBgv/CfWUwMTfHWJwEK1W5WTGyb9jPGP 8m0F5zAdw4ul/xaSARecsm5LyAy/TE3JPMQdpGJRZVbljSAd/5u6DlzxAIgyZlnRLDM0NCdaY/ww bMsBDg954/PQ6XCy4tIJ3LljwQSqV0Y8mxeIh0XJdVIDsIY3b5KHSujviNsNHhBH7ud32js8rIZR Z98vEoECEcDQqkcWDQB0B4paQRaNQqY/gBehdoPc/Bb25KHa7vNwEK2hM0GbLJy9rCA+KfdDi1vL 2QtYnfqZcg0f2JLzLpzvroaWo9uBlWEoQ15DeQFahSd4slPhMua2Vrj7DNjKw+tc0CEX8YWPyt1c ZgB5k4Wac/3rEUnqaBOkIoGEZsss1OUmpGCuYaek3TXOMQcvlqqqASa/h0nZxecqCa03PGoWdz6Q sO1HAK1XoOyxzLnGPpgHJlzfkgyLGhmVvHnhOxLmKc1J4iAScZk6BZc8fT5yShV8MjgoaAytjh+K 0XFUxkeqAa6vBKKyLnBhlvKhVT4vGYYzblbCZ1c9eEZssYceblRLHcAKGkWBUjI2MWn17JGwURDP EpEUstMiHNlXda7V/nupGD4Amt9FP8FuQwvPzlnEgnUDFHDt0bBKum/6xEskueI8vFT+AoJfp+ZJ rXl3KnkdxCEK1V1b4+MT7qx4tedpoS15u3XVzNndNzF2mY6veaIYVNrqxZXSVjWFa2YM/kO18fxA X8gtXNsvNBwi9eD69Q20JqTtvJ8XFkCvPovNq+bW2Cc+9Yx3tLv5kCmKMIXvZZMH5sfHcAihFeyD j0GwYreShd5QmlTsp6sBoVyD+F5kACpViLyRk7CLdU6XyiFbTpA7WDrDv3zFupWdhgL4xeS9rWeW gMm7ocDzGZpX347x51q5jdo9vLFI7evt+14DO3Qk7QNJBMBa+vf80I4cr/XYBFjYPEnVoNyvXu3r TFZEjiQH4JiNti+z0YkK4Qc9qrmP6cr5DixHkqLZXDyaqVzPec1HUq3JSfkGUIkPBp5a04csNLLW 6LOmzJgXZEXUHPbq7Gk0Fqs4QKdUYxrP/hEYmMr/3EieA2Goi778GTyK5ULSxuQF0a1LZ+65Cfxy rPAb9kfijS5WTdMnPzsPBDF2UFRybeQiVflKetnoGvgujIOCSMyyWwtdLNx070aSG2QAZwQY5Q6w V9LiNRjQgGw27hhoRo6LruV8paM52Qv0aZQuXw3ahoUfkeKPskwdnKzcmomP79s7UX9jUILxXN3q uFLwAZdfe1S8X4+ouZ6A/k1PNUT3x9pGc+ngcvsDe2YpSsIC8hyHcwzgAnym0CFKZGZDBLY+QdZG fQ7I8igc3l4qt3VzpuWpSIF7C3apfQvHXgDc7psgBdSrNYhtKx30S18dsKh0zh8LK/dwORC6R2+9 l93dd0Go7QMN0dmeAKmnoryjCsUfyKjEOaGdrIbVG0Awb2TpJHD9hQIyeVvhGRdU9p2rrOz8j8J4 BDVU/fPuOMGeCpNTe+baA6Yn/wy+UksNxMgDYAQCR5L8ZpNcbucrbicoPmNtWYjGgpKy1LXJ5+Rx APX0WEXhWgsFAgS3T4RrTSNof1DNY3gYXbuSwmfiRVtOeAPyBLh9f6lX0LU9ru/wgM8/dTLxj/tb +1kcK++c7zJlI4oU+OMqIR9jyXmxppePuFLgkNiorKmeV684DEWQB/ImTM1imoqRGlDf8Ve+/upD MgtZDo7U27FvFCL/Bsh9OyUhIeFJoA7/HIK63U7Pmxn7oxMGfGC4sBjm78kn3OEiOeL1vHuMa7Ld ApCJKPP2+iafe8EumXy1ojITCXnI1q9X/LJ+NkNfxLwJlnl+Emkpun/25bpdVVWpOg/abaLlxlcX qZw9Fe3NaByoCI85ZKxWTSH0Je0CBFh//DcNVAIkK7GujaCJurF6oTgw7fl5b9g5ZYq2tRfAFpSM Nu19AUMgV0Pv1VEW+MtHxptjKSaTFBVQ+2Q64ckGAak9DNAwqmUJdNfMs9RhLsLwWwIz9Bi97WlM KLgwJTrJ3meyLVMxK/IjgX5M/+6AhF+WkJVW8kfN9iqyxHnkRIYBahke0CuJ8rVzyUKZmBRtQ3a/ nOgUjzbL2JHom1NujSamvCX1sALuNcPUmzWGbKz8LQnqbB2ZoRFvGQuSpJ/Xvqm+IpthGq3cqRi4 UU6HJJUzd/TO1m0dle3QME5WSKbTV7/WoJufd2uYZDtwcIT7YEjjTmSUyG7X9A4polw44CgyJctH 5XCMwpUsl++0Mv6J+/FlHneQisaW5WSMVKF2T1bbL3I6/JLsJpmmWDsOmAdjj5aGDHasA4v+Lhhc eVq+T4oN7lQR3pI7EiOxOsv+yOz5nYQ14EUDlm5g1FDphk0LxL1+MmyZiBBTyi8/hTkFulNCNfuj LCJlEmFstc/9rq3llcwN0nuQLuFk3RAkMxBgpHxodE4G91K/jwRIAjAakICqM3QN4lGxp4qUPeXy lnd56b7FVjWwac/jtKPRbZd4nME8BBa/3vJuOr/Gqb30uF/EvW/D3kk3538KI6VmvT78XV2KFPUC Z75BHnCLu45ETGuHKyP4rhCcitAJoizAEAvCUiI+ihEnVqDP+zgoEEdMnBfSwwfcqnHT3pExwJgX 9zaO6Jn/bZJFVaeLL7+1P3v8PLW/MjK/Y2iubilSiycDpRxqNaofvhFhB4wBdu3WIIYVKFlgkywd 88Wn4qZHLVNxm2EyOuN4cHeR88InpfrMZn2vY9KTz2L1Big1ugVq0dZjMqQv9R+/UiKc/VR13rW2 iFyVLaUtgdcrG1mkwCNZy5c8kCYghC0o2l8mfnu8z1R8FuRbkCCBUmQvnXN9ORvaLaBQw4hRXrsB YTQhSjtzCx15RNoor1yv+I7Fp0cJrh1T5bX9A8o40FTln9de8Z6hwiqOxAzBeVXWuqAPBo0fQimX D/VS4trcY88VvbHDCRFG1ACLg4F1tfSVH4WbtkD1BFkYQbSa/IZQ9pcRVY7Mo5ealt95QCp2F69M 8aGumb8MmNwFQms3de9KnLEw0EuSHQsguIdcWLD9sozt8NMwbJoADpvatyEMfPlbV0JuDeYwCA34 +Bm5Cdp/L2QIeZbuFUnlbIzIx0rThPDHyFXQV5LeMvHgqGBNNugXZ7gR9EF2fyvmIuh++5RpK6CR UpCCEWns0vVQo/oDnACjuNYh/TDueV17miHuauoqVsh82r7V3cUrb7yT3CeOUf/c9KRTILQd9xU/ vwEZ6itXg2/ntcK7y+CbyUElpyr63ir0eXqEtvHR1bT9/pDt/W4IFiY3JqNuDOlwnpR1QlulL7r1 KrbOQqlr+B4b3CziVDMyrBnLxBzkym6AyaFIaSc4JEX3LJKHtSFk0iGADPkthxn0VWdiQ2TT4GZ6 hXT1vjXFEzC2TmSKbWKcC+JzyB0LN2AU7wY9i0IkOis6wNO1/fqGpbMAygHEYG1cbNw3hnN4A7lr aYyipE8/GQ8FxL+tkkBt15gHQ40h+nXSSsqGa4Dvv4bIUxpifTz7oLCgBjxXoRIPp6MSL2olWfdq pkfA8yG/DAx7ZRBaPefDy+XV2JV3g8/EFp1NvRskSZS9fRES0n9x0GKwjxqeT09Im2g6lAZMd572 lj+af32hnc8Z9ymIG6lkkHxa5y+t5OAQ7O31fhCoJRUrTTcp8xBXxzgKzCSO9sW7sZtPg70Y874B RQf/azBJujGkhT2Kzw6FQS1X3ww9R1HbLonQ71JA+fUh+w6pR3UvoT7IgkTBhZ9om6KFUBUZPt1o 3VtYVawyX+W0mAL0zg+v4m5TJzGHvh5tPMWuwasYbTmFP+tEah/ip1LZivLWkPnxhSbOi2e22vKK tLe0RLL9Jpqj/yBJ17RWwHWism3ukgYAmeqx+6ce8RUfiJpQslm18WrdiemXVGg3ExRl0Blwy4jv 9PUXo3pwUUahWViTdFZ8WDl2TIm2BY2vSbbe9nyc/se9k6PbX8JItweHR2oEizuPkZfuhVjKG8Dd vCUrbXTLot75q3BcE6wr16vIzI2R6mXw3H4reMXdc7ee6pZwcGgnMyOCd3aVQUm1JRpRd2L4wC+p pYYYEFq+/zajO+3EpTzHbBPn6i1INdo1FKJcwil4pXasrody8XnQH+wZjxIB0TiuvUOZDAOH4mks Kt7ov6wjfUr5n9N/ObfFyN4B5zLwf7Gtt19njAGNCpZgtTLTMnpxUWGe+yUKXlrOLA4bWhyHv3ua djGwME5nYZwcK7MrpbUJMuyaIcSLNr9+7x0nQX7p8kyCeMR/bvpLggz3yCOJ3qUxxjQkD/psQLkV 9tyk/sTXwFm9jjUtguIVtpIZ9Omj/rfp5InH9yry4vViOQOxvGxVWioYMvCTTOe39+66lpjU/98d hd2xfVPcJZle82yuYGGykC02jWCFTGpuvlR6Nl4gV55k8sRVm9B2fpiqfx2KPD7vn5EnKkwER5zR GqmEAiSAsvTIED1+4CvY8+PF7yYgNmOEcUCwyy6bguyFaojNH6M6kqjC0Sw3au4AuA9SKsfd/hD6 3vyi4kRbSjXZiNXpSMCSFgOoYbF6mVs7c89TUO7KPpeMOLZYjH5OwZtIvIdA14qmmYanyUi947Ad pqOHMWw7KR9YMwM0rVa6iwb7rCcneKANJ3siXTNLAjtqn5+wBs50dyMkDS8DYBxfl4rvaKwLZI8b U25SYY07vFs0P10myg8O0CbzuNZ8hHc1YHFDIpYEZpSGp8BvvErR6jSa7l/O1taokBTx6tU+B3jO LEACXebzKSKsamgqTaraFH35c8Mb5qDdgLtxg8fYvdlXAP5lAOvLjsMwSeFr5mqMRPAbO/u1CpZU DLtIDkhnybCfsEc4scFJpC7QrWmCKp87xsRkx3OQrKYOW7ESbH32Dnocyfwn/6n6rkza0BSmHAdj Je7Ua6nTFSjVv/HKDQIPqITE/mT56pNApgbAslErR/EN68+cCZgYpfVNhaiG9FRp2z5TI/eo1Bi8 WWaf4PoX6gOTD3ug3cT/hXhIVd1t5tIhwEYmvPJG/OaZxmS2wCbnaionj0FRV8GFXJT8jLBuez9M jXXNhz/AGuAEmpLzbKAeqgXvRuEz7SLIW9c72gV99zl9ZWaJ4a1dQZlkZS9g2C0p3ZDDt+KNXLdq eXJf8fNkDzX2Y5yLsXaq1RRZlITnQgDtHFaVlZ/eFVizNBIXSLlXf0/45eLew/R0xU4M6bLuCuB6 cfReQFOdLvEX9i+IXk/8VsZpt3sc7PwKvTv019oagaftw79SV7zVr1ximw/mrts3ExyfkNlkT2cy 5BRF0TZBFum+PqPdpbuUdnvlLgG5+K9LFVR+/xlI2denVL3N5gDNxgRF1UGU3m2yKFqaDwC0ZfEw PE5xaCdQkrIejYKq4cJCH6WbMyb8H0e4t/8TPRU1GILqGvTF2HmEtyP4tN/MH7/Dxrsv1TnzpDkc UM13pPzSH4zg7Nhe6CHkAjUpDuLqlL6+nG6saqJscBhHfgYhKSP+nFYro1Oe8XhXz2EsKuem19U0 7y2poVhKshxhEM6pl3P0WAj+qOU5EuiqUm3QaUgiarCwakBZmFObAK68oUa0v+8AipuDsAp9KutH YiApuQ+bPOs8cDl9SgBd1ow1l57Qk8fOrAym+bSvGhEfLT258iWa4eLJbPCny7wheE6X2YrHLwr3 We0HIrVRKn7oVxx0KJlP8LDrwViDu48lnHYCjZtGG0jxV9fRGbl+7NAoA78Mok1hnIOQDWF1TXu2 qjL3f8FF59slGK6GXI7voUd6ofBDBDe+1dIAfcLjdmEbX2U2OicEEen9CXnD6HFYLe5Cge6xCc/I RGmV/c/JOGMjB5NCDSNxSxqE19aBPW+pb/ifhRo1Y4sUoOOE48VpUNZgVbklXYaILcRyYUsfWNa3 jDtRDwjZb06ps49qx5KzLqLB6YItxD2xSz0qSpoIekf9shcvvyJQ/Fsaa/5A/kw62Hy1v1lPBpjS eMcLnKhEvCIh4BPYPwgZj7lY0GaSpvZ3WPmxkJNW8/8gSK/U5jb0jkjEt9t37GM7Xw8Re3VOiisH sRL1JHnJtI43P55C9vcnhb9IOU7gI/xubjdy8Tq/EhDlfPdPrhqAnonu4MxaPnhLMNZzlGji10SR GsvLm17x3z0BW9cUlfKUgO2XXVkt00YVHEDlDhNM4LC+Py9OK4GhWg4qF2e8cOslwoD39hVzKWQ8 bUNLNxjxFH+PlijJ+6kNB2OwJYPfKigON4X6ZquWOQlberzwYZXkSL1KitzpRf4D2uvfdLXmqKv6 nUX3DOZH5ef35v3zKaTA8BC40NxobZh/odEn21Mb8FPI3j0ALqi31PecINWDMLOK8SofWF6HHTa+ KEoD1JpW9HGdHs0F6cktsJFFkjpDmmJaHhF6k7ZljkTC5aT2r9S7FQAPJwIiUQlxZ4YUgC9JDPxJ +gx+0IJtbkogkm6TGCXRTG2nt3clBtKBCKGSdiUQ00s+J9QXeR8dGifO4KhyKFzMhkkYtUMUaEqR eYm5BCXqwYSxtHn6/zfisJKVpJIWVG5vswspKcqbcTqUA38Ygoz+Wu4twEBEPwhPI+zEtFcUrSaG J2QBLclddE29y5KMhfG04wojx2KjnNZTAD8wOH5kkypSJoJ/KBCZtxREDW8+lzQiXYDL/vfycV41 J8QsVklQNhaDdkiofGb30/X+HUv43pyDxwL65RlwA8GYTVml5akF+CNe4mHv+ojniYpRG/0p1sKW W3h9qhfwgVjClepP0yTBJsJDeGXWDWVYzNiXOfodHdIJFWovZVCz/2MwGYmOWyR+9ZPwunZyTO6z y8OhE5kFlZQld3IOx1sP4HPxCQQKuWhh6HRyH12D5B9X11ULVAC5bV0Pm3zU9fFU26JKgTbaeAgW +bLU6OrhUpAnttsWKyZk1skUpgZ1xUkEyyCr5ovf0WrWnzY0+B8r7TXy3ouo6mvbzoEbuRqfSgKG FdUBSYonWTUwUlFOI+7pyq7tSWEN27fCi5X4FA0n3gr2N9qaj30PWkghhVtBEUfwyOp1NYP67goy 92Jzg1gTBtTsp+FqAsqlddk6oN5BOlZNhww3D9EOiJsWNGcqU+GbzdkoDnydWsiUli8MhAl0HwsB E10hHwMmMHXV6/a9xESERTUP1oN9TpksETlKSzyWuHHd6rtuXbB5MW9bLeMdNP+XzXpALn2hcnlB EzGz9e3zogT/kR8/rxK29NAPfBJxXUG3i09ONb/HyJo5sUls7HpYdOaDzXb/uBQjmzQ4O4aZfKBK R94rhOtyRuNsTlRBwXmQwg4izbf8Wx/VL8QDs/py/ej7oQW6qk3JQEcSTkky3MabG9/2SDi/Upfy y4CtA64huWmRLbpU0WZeQD/C4DTt0Yo4Oy+xriZBrqLQQKsnYGxAMf8Vx5yNK+5cmCHUj87pmYYb cCA9nNotr4BREE5RdSdeJpmQOWKfWfPH+HjnHkE3cMUHAfDjTZtFiYUCiLxsK2X5ESPL64f5C295 4bP9UUwVCwb/rjXmNu085YzS5EBt/GnEkMmsmmYAB2DAPhFS8rcGiR1ymBF0+QRkWQjxNDiT8KhO /+30M9/jhJfWG2OIPjg3b0rvYPt0aQUY5iT3jDFcKMtQ2feBInsxWQsilx5O61QPiYhiD6+glz4a RBXYQk8M5zZ1hCwcNfFX6mJmgeRHcdjZ65JqjOQhXMFlN7+8kvoIIpF/PC51T8EpTkNmfuIfSTkG EuXQR1M4DuvnYVky2ci7yLfjOZruTXPqUJXs/w5MTfNgEOuyCnrPBKh3ssO3fyDhQTRDWXal9bjf hBXnTT89yJhz8v5mSCXI5OTSGGtL+2xn3seKuJ9yRHTrMAA/1KqD1aSeSqXt+SG8cZGvc3HrEDAY P3epdMSMK/eNtjyg0IQwOMThaK+iJA4rvgvs8Uszt4zjfI0hQVE3F5S/s89upnZjaRE5Nt97qmmg Xie9nmGT4buh2FRzSjbNgRQrpaCK53kZR7amPYR5SiT7xgYjgfmFAHqGRsqm9Z/Es5Az95tif2l5 LENf1U67Kuq5mHV9d2S4PMWXZn0+nN7tucVA0QzwUgTKhGI3jG7MgU8jNciB0VNgwA9vJCWYb8je ADQ+GV/4i5UHAfw4vneA5R7U5hFuGCwPtk7F9AWou6QufQojFSfRXRleG7LVSQ/RhCm9DCLx5RqC f6CdbpmsPSRmBzBjgXi0nByvv7atyfOzIo/BqoLaO6EkoEp7dw+NLn+snMCM6OUmK3DYTverCEZW CPPw1wheMjLkb32jcwgtiQbL7xJTlYbnGcsl6Dbo0Dd0ae31qd5akjzzjinDBThk8I0PiI4WudIn qJvxtqPTDEJmX356cRYizHDQkCVWHBEoTfUtghjtJCTIHM0h4Tew399CfpegJXHK+zbJO4SMX0hE 7CHEAUG76Jl0D7UnfOsUT4h4sWxEVyIGPol49zl8exGoQwoyiDiOXrrZ+5iMB5YRQRW6dMn+A1H+ 5JlDFrrJ4XECG0jo6NEVzqXJVk276DoFVh9RrcnVspSfr+Gby9cXQ4sIgjQp6rYNyDFosWGBoEyN hyAEmCyAQN+lXxeIA1vTKxI/79Kyx1eIU9a26dOefQn9jCjLGLJH/eYyfzqTA0FKMsGfApZCPmj2 gQpauwXk7tUJxatg3cq3wGeVkwZRhuAt/ZD3J9agRXkSSLSNNpMqAJYjjUJZIcxJddJrzhXrTL3a u8gdt18h6coAaVf7tdaX5fqO1CVxlLV7NeO74iNX76resze69wZhoxFmRtfaiQDXv1+++hRbWZvk X3arQfNVAyUptu7nm1cQJSd9tv+DRJvZ2fN7GFpjpjUxNBFHNlDD9HaYE73O4lR3Vdfngw4c6NtE gzh9thX2zAzX3vOSA4VQnenovqII7esv1ncBYTvvKAM1+O4PDjAF0eXPj3zACG048Yx03HHxe7Yc WZHfP/yFr3b2pmWbL9zkiFPiNgh+r2X4iD0owuP+NO38Q0wrhdnTl0Hh99YOhjeparSlcyi/UKAg t0QWlIL7XxljbzgFEZck5CcQwObyxPXStzqEOBpDCoMtgPQ8w8h1/mpaimeWjeV9iSDvMz/X81ZW xCqF8W1wlPR3VmaehmgAJckHYDEO7l8J9bsIdbMAP8JnVzCD7I+k7PQCbsqc2zqYkD9+qQ0B3kGB gBEU1KJCrXsCQMAsQxTxiM3jhg1N3ajXHQPKqXNr8DoDIpOOBXXG00LgxzVhIJEmzlp+7QK9qsxm sSsqL24VtAg/ewHXUbir0Puoktd4Nf8EArdluS1iq0xYuxJmITiqj4DfGteHXQ3rVv9RdktLp7F2 ILhkT3u1/jg2TRXAtuui564hliwUgdyv2QNPkcsEUK3ZDtuqli48E3LtR494nJLr1rlkcKSID6ee vMMO3Xg0/i4DMxvULnrBBBc/djvz8T7EJnxX2buUVaAvzrI2wYi5OvpQaBnsodD26OnVS9QQV4jp esm7iHLkIJgoWsE9Q8oMIIXfn3qQzGeyage57AHP6r0uiuCKTT9VBAktP4THB0mEomxK8LnddhsP 7V0tyN3Apn2uAaL1ld8Yb2EN9adnl5vlaOrxv69pgm7R+sjN3rm6MqshXJDrVxT5oDOsuM71TLZi 0sI2q8Q0ayyyhbU+6mggPmnWS6G5wOT/qVjBc645tgaiyHneAyomAduoIsqOj7Dp0l/CALyJWmOv ucDBZjUEzUeBck+jXv0W+x27KXbHZR9rW20ZFd4VDvgbgRePrxNJXJhXZ/SSoAHwn9cNohoAEO5z eMiFsLdr6rPzkk8N8BbjAK0DDDbqEjsWe3NjRO0oWlazKHPvYBXuAWNsuFZnnt2pOIJ+7Y+kdU8M OagEBipnFkc2KxVEx9ucH21TTgq+HSetPD7wZKxFm4X04pz3Mj+e3iJ57d9IZbnEau0NaRe/Ti65 kJUbPshttdp/7joWTVDn17m+XwxN20unrMbdd2QkQVFUb9QHjxmaZfYz3PhqnXJlNWd7agbCLjk7 bSYdYHP1HhXJPMV2a9dqS09xYzYvbCfD2olZFLNmTObo70JidML5vXcbPvu15c7h2VNM7Amd3Jy7 abt5GVdXt0QNeBUZVPBGN6v0rtmb0zJcy5tObGO7pbYeh5Fb74HdlxFtuytKkuEqt7i4Mbfv6O+A P3hH9LJ/varQLOXygfHJMMPyoFj4j4aC52dI1UqpARmNOosBM5GA1T2MHLRmfqo6XpxJpbuwmWYH aWPVqrYjcKZAk/NDoy9aXifXi+zqjH/X5QqXFqag3AAYSKn7PBkZ/c8D8t1nANncoi085JiW/ydF 4DPMgjMfaoSkNe7OCq4ezCAOURmAf7VqQPDKjieB9U+my1H+CKEUpk/ZAfvyZga1ov/OwXTpQtdn kiCBDeKk/+F3FkTC6KuJwa7HyoU6j0CdOdfID4mImXDMnZLAzNxlp2L9GJmXRUrAbABf0lYYAg39 30ZvSiAVJVBsVQKZtgwB9mwMQ3pOc1ry4Y0ido9NdTM0mktDGiQbdtmy17x9NLDwcovmZv1Kkm7Z fruq1D3YHy2FrmvKkyP9hRtZa60IuRUiZWGuS9XcJPDCGw1JJASNnIbt7ol5culHcvmhYEegzYuN UhP5wydilCLCnzsxhf7EYL94Fe++7ga1bfjkePKfzWtJULt3av7u7heabZWzJG7+TfqrAewJoZ3M XCm4JUYFOwJulvbY1yLuQsQFKN3pMqRWpGIuu7+KfXASJAy++djenbueU2GwpDHwFO2blnsp4NGB K/mUPNMSxOHA6jVAqHgfUrrQMWrKDQeZGFiyZlJJaWvRf5XsabJA+VHXXCKYsRSOmd5tumZTdlya H2tLc/UudWig+pbNJRJXNbArVwDQrU6alFeto/sSyuO1/h6KjI8CNV4BiCWNo8c9ud66WwjZ9z1C bidJofWWfQK0iwb7+fFydd3Dzbc8JCdpR4o0bPDcMV5CHqW/SFMXuvTQOfU3jsqGr+A0hFG9u0Vh gQczyZ+DEtynL2e5cMCbCkRlo8sAb5rNhiXrAhvspJ85MY/dAt3e4ONa5eKwaVFSxzFyE699XzJH OIR5XXDbRmIECQyZHwYyS3P1q8SFt2lqk283MIm3K6KCrR3o/XLk0F9IGTEHLQOb7tD+gnraiNy2 PcKHznPWZsTF2thcnUhcH3t1yhY6V+t9gkkBEWjTYhMiigONwk37EtZGa+Hr2ZXlfA3lFHJ747T9 SjRrsCCkCzIw+4BUAm2yaS5RkFjO3XDilXaXht58W4Ciju2yJ8U74Wg2tk27ha+u6BuCLgmeTeAm brUSxvZUop4YPjy4k13OH/9IIPBx/K6tBG/zKQnWQNw/cVxYEkktGBP43ybxk+IXHJspgLHhRy8o S5GlyRR1gST2Ljh1H6TiXr6LfeqtQvdIjw7Cs+WAp/JLPcOdYBLX37wa9mJpm8qrtavnwP+WYPVu /OcyzZBPKtRV7drlpaJ1Ph6+QnjlHyoss0HiI/aZ2hOi/geoNFnqZrWyUxXmX4cR95+vDagl+6E5 kJCJVskMJ42QDG9X23Uh96QVB97tg47O6FfNvxoibvb06umxppf6OzWkpLVm2CH2/96mPfpYFlxo G9ZLBhB80uIeqYcinREOpr8c/F1PLWfBovwEfr38tCikldE0n32y3YfEhGQ3sjh07GwdDi8EOJws P72jbZp8Ko4htma+gsYI5M8KjptYaoLeclI4+h93Xij7KuDp0NHIRHenz+pUozRQwUl7aMGH468r CJA5S2nIt4dmrb7pJxgLKPY9h5fNW5pMHp8gp+jUwxaV7pSHn0iba6UFdZQG7P/DCJLJz14ZUhnv CAQ9kyfPQS16LWWnkbuZIGhTd6S43odaVHYkeZeV2mLDzVaPh34Zf2Te+z1WGfOzGFtD0I2pFFXO Cixif8unEmle1pmXLZPuIJokCy43DqrIBvj3dPgyqvEqgseQ2+YjoP7hqVMWfmjT7qaeACRfEYN/ Odci3/NrinGUYCFRSBcumw/h4WB30Qww/YNy4gB5jBnuF/QoniDSclgFfOfmxdpl6WTds/FmUBIZ MESS/JvtCutGWmlezjPzUj9iPPgOezsw/UoFroO26sqWKkCxTt6BSjZCI58JLZkvGR6hCEGb89k5 SBeENehWsSN9cUWrhscC3aCK6HpGoiAklvkkQ5gIiykgbIs+Xd5kNoqheXj86fh5dz/2P+RFfXVi e0w81ZtsHBvUrPEDFawn4hz1FLb62zaKGBAmTPOi/w6D2xW2XoFKlUP346eaHmN2AtYseiPsEqop Kut2HzdB7nKMu6/uLhvLtZFWObsnq4XYQMIdtpWdvqudtxN7IJtjh7qt1Vkd8DLKrG+p36SP5ih5 DG2Yps7AUWOLKFegvtQOKQor5xDjKl7dR+BQdQNQGEgf6487cRZ2giD8lRLXnGrezgpsOMZdzV1A XioW64UrE5LO2DkSUzAeH94jycp3rZ1mZpk3xT0qRPRRnXKREDiAuK2qJZ44ckyLzS3TcGxTOTS7 KZldkdnr03xkciMJ+Iv0GGYxcAbwKJQF6Jtxu3MOVxkA1pML7JXHl/D1yZCziN1KV/F86QOmUQlB 70VPIK7MM/NDYP16/LDuvzThkdv034pRN0dnBwY/UeS5ZZXjDuBMVQd6MslOQliUIPPjbBe/9l/s zNCKfQW0CxamuYjfZ5JkkAgtqeeQnEjnT2ZMfv8HF1VdImjCjq2IyW4GFJRfotEfjwCpUCMcA0AD EXES9TCav7KDz9vPA2HjyAyAFmWGQmoSWn9FjMG4mY78zXGVcG73s6RS7oC7uuzGWGzibt6X7mAR 0NSToyHQidzqCH4iF5cUfEY/ybe+1KIpzM4GQqmOLf+Hz6oxhPAzHClZvS9IdaIdk7jicZEklOjv 8X73gOD2wyZXQWYFCpvSI+RqxAb9f8amGuaLwwXmHyXRLIx85yOcEAq2JhQG5eGFDZqMFPSTwlkv ID0NRydB31g8FHKBsAiGm9i9CGEfq9Eq6JpPb4BqS+03Q0VIrkggTTI3bqbyZJhrwbET/pgX4YR+ XsnJ+UATl056xT8LhUg2xgwNsV5HnTnBYdQOYthhUcMCudm94TrkEsZkPR0If8e9wa+Z0stekSRs RiFMJCgm0WQ+CglsfVKAx2cAQoaLvp/mOrLBTStzitpD/Kg3hU1MAyqkr6WVfLe24sxlAwuvXMHa v64sJdz2q6uoQOfPjcEF/OkU/bdVeHcw0+4wyHeoKvKhHhNVMt6PMA3St8cddu4+6WqfGb9tXi0x bNGsWAu9UH9dJrHx8KfxskDW8uwLnyDuit3Far5OmKbmcNq82cDkhujFUc06fDBpVqknDYpXPp+x jGsG6CQnc4vbMQ2X3vg+V23tPWs46b+6ygpgvQtbtNMkYkCsfYZirWPxTGL4dg21PDjQeDm6jxjV JD7cmWunhniOJCdRhDZSEMwVx6uX1peTFr72bwkPt03kMP1pP/TEnI9Lbgx9FYJH6i4BN5680P3t EZov7gygYnAgsshNrpZl2LXDiXHHkP+f8rZ9WdekqEbNfIoAocdLE17CMddF1GQRJQIxs4XR8V2J NeH7defNCRlxEUaOd5vUtEafjPUAbbJID3VqdJQQmeZwlY4WdLXGtbhYw6a/ayUnCWisDsbI+7XT 3ZURaTlZJIVwUKxYntzF+Bdu2ZAqGl5k8s8D7vUhjZr8hEGvwj42yZor3lMMbDXebthPMmF/NRXq LOugSvf0Mhbxk6XWYb+5MJbDWpsE2ivOwshyJ2ufcLb4ahMIbkBnkll1qy+Btz6JynWIIMu/44gI QKcvmW/Hh6cTIZUUAmoGM26dEC2w5p98sQ5LvEA/jkPRZC2bx0RXDw2QYm0KXPpZHw1TRWk+5ICb KIeVDi/0xhoaa98BqUziINq2j6AhJzjzhnyZ139E6WUnnI99C22gt2oRZBfAp9rcieNPFEdyJ101 BvKkBbP8zvAK77gE8zYdfKcHFxfU0zoD6A8DCpsyi8Gtj1ybwZf3IsXtHJ/8dcAB7AyIjlcbaZVA CAC6rssAZ8cyEkmlE84jyU712Ywef+stenqdrmCmITTcIDslUFF7VYomsbR05uRbeXbAT/bhmv3u vqeWAmIcKwNgB5WLdy0r3ld+56O9Qjg6BVHKOTrP3SEK/w70EvbxTVOmo2eQ7m5OZDp1o87op1P+ wXjDen9r63qYIbrVckblCaC21GG/jG06BKQrSgQYk635hVSountBVQQN2CkTsIJKkdehJ0q5XfC4 J70k4+hk8K0HzDleqNknMIgv6kgPS/t5lit7FQNx7AEtGdGdGmMEK7bOaVyLmDok/z87FkqSLMxu JgwG9YvKp2RJdzOKGMlpS1oL0VIyKTlSK0TwAC74CEK2ULGCkO1ni9MFimvcxMNClOyuJYUjnKFO oM7CRm5SR04jcxaphXE90mvPt3lXWuYYKk+dhW+j7IDf4j0cUyMIlxcEuMspeJ2sBCv2BR47RvZV xx+W/rLt67bbXuRighOVdI3/DCijbdpgO+USmBLRbn7S+MjwxqiZLvt4X6iWzkskWI/HTnFe/z+V 3LOrNg3ptALF5tZVDQKKaXAQ6UhH3iANtdVfkPFNpuQLWIeuFreJjbIZ+QuBz1XCIwXjUUbKT7fH GIynjqvvUIAGFcFMSNV1fWNZ5Mq0vZ9IxUIaCeFEYTcOn0LnTd76ACs7Gt9CRHNA6SpiRe8bZf+z 5TrpRuaUXGmoZlN1MDkM5SRRPlaWSE3HmDWPPwBX0TV9a7PqUohs5GPboPb+OUvzA+d2bRg5GFMp xDML8MQRh57eeg92JKeiQ9KuyIxdJGvvA6P6pO5eIcs/wg+6uFhm+kDjojF8wqTqZ7GRQA4bMXaa H8anQ9xbyDAEQWSAIwkZTJDMwnJ4WlMuieggPooAKPVF2NirAUs2l1u0KmrdAoK0hh/xgyYwZqlJ TViF3QCflQt7IqrwhK2YykVzaAu8GhDA2w74LsOAlji7ZLrM+mc8A0db/UW1SLHA2OvnB/oTQb+z CBpRRlaatxG64hXFA5yKL4mBZa/rVmD89IG1mT1fD+8ZMJyTKkku9P2TS4gbDwGBSqY4qY6/Kd86 8DGhU4CE8YXNSB47HLnZVtuEMeemMzC/9WH7prXmSJSWoD4vpsO3/FGd32PX03LQPZZWcI0nIrEM pBGaeFqOo2v/COdDaRzh82BjEFHaAivy6xWp65/R8usHifcjLNIUosM9QnFKs+DD3YqCR5DRR6F0 6belvxkW8fStYbTOKx6v4BZWdKPWQidlSWjm7MH1Sp9BsbDvet3UfozoQEyt/4w392XOFUhJ3qGe s2q7MCDVrZrvEO0SGWjW6f4CRVLPl+59s8lD1JIoJna8TvS4HF6UStMk1fsmNdwoMNSQxsiTOK9L rA3jvR9MaJwwJe2zeQd7DwvJLsd5PofWpxLANX0bEI/zxdcv5qlxZo4C8EZ2OnwgpjsxhyNwlBu0 qNWFaLlNmZTssQ+5JoyEhB8wVUFVRheKhWG/iq7TsGU1+AeX+crsPIILr78a53io4swIK46YECWc PxiSyn1nDgsqME5w5EttimpUSpDe2IoPrCTmjloiU36tyHbX/6FQgxajfIpY5Ma2vz5BcHbNvTQi dFdEDQk+PzWwZkXB+xTDPXNY2YOFl7BUntwaGNUgmVZD0KwFwAAqBZ1KUDEKi+B+HuN4dqijZIh5 gyI/KqL7JmYNk9H37wovIRuSevLosK+64SEkPb/YjGDndO3lXRKd1kqf1y+m4y06+jLDuMf5sYTw r/EbW6ctWPCzC26ZyR46mPIOa+Z1x7zkWBFI2HINS9eNBcd7BLupAN9WqG0le9hk6SCGmv0QRBd3 uEWDfo7J1QLKgkF10ro0GE9uZ6eHTXB+JP246ytZkQATQcjyS6EY2Gs5VBjNvNZck8rHjo4Qmz9z Ea4bY3q2WTjEC0tZdJcOn0MqkxA3T8qSamB+LAtCrb7RgB2i4t53iPOPvQiXE7RZ0b00G+KqC/oB gXSJA4nSZzFtR/tmliY01HfX6IUhTiPBSD2zYfOelb+dFYhyNY2+8b4xPfEiNd7igj1FpVULEd8V kDp1wwyt29BbJZgPzOBzuwpDOlIVlOonPSfMr3t+I0XEvKEtZhCJYoB7UtFJZqIavKXfTsGgXvIw z3H4tfQsC1du6XQq+AlvxVW5LB+7fa/BsLo0lMuBeC79VX205GDdViX00UHOddNniHVZGz++JLD3 ZYX5nGFWiSizlh4Y+erqO9guZyJahIcYnp22YvyFvKX0Ut8dBlVrWoLZ0YiVZi0JY4RRIJ1fKfoX tQEGQiVyi4VDtvnGpoobobwdkC0mB/aXzODCqLZwvR20umNOifmhyPJ3PX4KA0pKNXf+pryCM6as azB6Aq8hr/OcXzHXGrlvdaKOa/zpojxs9icIX/ra8cmkAS53YEplttvWotZnqfrL28z8ptn9jyvn s01+cXo5aqSQGkM63LvXQUbSeqwi3VQ5VmDNp5Bbans/McTxefN/Jnx6u/OwTPN70FKlu0UhlUPr j8x+bhzGRnAhT9E+ksF0heu4maxJ058MDz+Q+hNs1rV6igF0Q8Ht1jMQfUKvqIzoaSdzvUwTUkEi o0pO5pKKR8Vp106frAvUT85nF8gVBU56n8vpUswY6mmXowX0VFXeR+0TY53dRsJfP7uYt3UsIxEy ClLWTIWC/z0xR6Svs2EzabF8Sbs2sJLYbweEU/wryZ09U//GGC+stHoJ41SKyGdiubFOBDWjUR36 jpBk/gnOT3IwPvvdqR2DUuDLDbNfm9Ki9ZI5E1ZLHAA1VrfI5LsKk6eQo0nYLtZTlu2KrpWha6e2 bRTGlQyLK1s0OtHImXazgyit8tDIPSZMg/fjWyn44eT4UUnP4emaXSW3t0zSZ7M/+YyLNUk+HJWu O9lZPovRYcXTjBxeiSmEd4yI0a66NZpl4xIL784I4B//0s3Q7WJLhYwl0xxmao7MoSy0VizCYin2 bt/W0P/qcmyjw6vFzZwX2m7InhOvxdJpLMya6cL6Q+IRFR/tNba+jo66ZJozeNwuMQ7kxN3kJ4Zz zgsin44bou8C1yyXthutIkG8oIkSOGso2kMmHXeQhaHO/a1vBHJ5zAAx/KzwDwc6kx6aMkDys6Vw 68ZqBaqWX4VE7IJHRHwmC+jlpGT8Uij9fO4HoiYJSvXkI/bjudpx9gd+YcWXKIEjVly3kBDEWcZN Ol24tlSSHyx/eHdNFrSgGtbaLcKff62+IalQaOC/eQoCGa9yMHAvkOXr52LVJ8R6oZwP6msShyOV qcBrr71zK+nmmMjueD2QzySw56MSYoyyD5f2GPtglCgDKXexk8X6u/Fa782WitBqa9S8OidiNYLt ZyGSNL/TAKzKbIrO2oP5YMygh7BRpKQEtKXKgS4miaDKmdta9nJQoqZyo0/+muNeoZfdR3CcbwdU +MObM5CtvE7ykbbHBzN6pXY8J7+T3X6fCxVlatUCvdszXopxhSx1NiV4FQESHm9CehBveK6EcNVB 8JEHsz0rnDCz3V2gmuGtqTDD7PaedM/P5866yL003TruV57HY6Y2JfICmIOds4LUV6mn03cAcJ87 Y6tiTz8rnn8wKX7v8lF+9kztWL2nzaO5fw0GWuET7tlo0kTYp4LSX8rqy+0xoSxQVC84QhQEVPsC T2cQAgdciuIXCo70EoU+HlIkLRvOVb0QXrf7JuVTNw8NZUdHNZeHl81IcCPJMwcPou7De7RY5HvS O5Xz/2DuUyJBRTeI8m1DcUGqeeQZ3sGdw0YI+Vzn1+QHVRd4mq8Lr6tD7EAxZ31ixsgHM4G0B1W6 wLtuHCGZKTHbqs+kf8zmYqmquWFzLSXm951GuvUVoJW2KrLepy1k0xLkOqqGFynjgKtuyBMr7QS+ vQ6bjhcCIKIWgTFboWkFZykdnfymiMwHI0Y6PCdm4d6UlUmCQ+Q+a5OcIr1lEOlzjrv6HZ7Dubm3 KALpb5hWdOw+rMB5Ijl1IN4QtepEQaus/LZSPz2R3PzOXx06macCwOnCS7LZAHIWFNrac7PkSB5/ teTWUZ+rn4Wvtsof3jTOwQTivr5LQKxG0KjUHUYCcqmRXw+tWD4UgFakEo1jOUaIsrSo0XNYF2Jm LPE3BFMYQctebv9a5AfpsHFoD6TUpLYNg2RooP5fMsj7E1G9IVA3YSBCKgZrWYrmrXKHfhpAn8/I Va/56p8IjX0GOwoNFE5GFwR3xEXiVMD9OCM6gKVeK6yd+yLkYFCshJTFwN5eThIl/06mCW1mmRwG +aZLH0mQxB3HwS2/cP8F/S/mZRGjhZ7S4xbJgMy9tO+tri7rw/Yi5ggRffaJSaNxIKrLKZKaanT2 SM8AGFmE+elYfR/pJ+xzisrRsn0fbNsh26yDHCjnN9/09n1u8G1XU5RJL7M6W2MyEU/kTHtrCPgv s1Iijx4d0OuyVI+zoLc47KlDtTeyxoZj28gQHlQBWwHTNtKBE0TsIe2XS6LtR8tSkcRbjuv/mmsC REGmnsCnfY35apT2Jno3npmGHnUy/VUU67bvdJIm9HTMQJA88JlX5Vg6WKM3VcjNhAMjb+9c+R26 EGR3Gfwb72inCn9WcbihLndL94ldAy1HEVG63vrYxXkmewdj7yQO7m1afv2SwybcUBnWRwzUi2ak /IPvL++0ky4MXGGC2ZHsATXX0255gLtYI6tsnxmq+cV2t7Prigf/PBi0/fIrGj+qeDVIF6uxLqJX LQfdYX9uBFG2JaUj1nV+6TOBW4ffSIdGoQSwI0URB64lqvT0Z2aA0BtrSMn5V4C5el3oGiTbpfxk ywlSZq42fF3JkmuFx62MCq+FblfrGjMs8x8o8WwDqIgEKPJWGyUJvMleeMfBbpvmt47sqNT977ac iRJ9t7bmDgA3L9PRKpP8UvrCZ/ZNVJxa0L4q2sMUfvH2/Srk3t3Gj6QYRIGTJ6GAROhNhkuhnRal dcySsHkwcsuqH+PHPO4NtIy3jQoR7uPRNCN5xb+KNGwt6S7U05XsWYpDLGyQAgEMLR2zw4kDPAzB 7ZyE8tkKC1u36YO8ij8UWpRJn3fUyjx2M8N5DA15yAXJ7S+PSewbKEgzs2krPhblQdCKSQUUyZm+ WCZ7FyRuGqq90hhmQfbZmfg4n11Vl9J6FIaaCJ43gurqQD6/b72MEzwOs1qnv+5xMoUJf6U8SS2Q AQLdpMR8FeL8sxFiIgNeYDf5f8AcSmaLJI0vOdms9/NsDsvlyWTC4HR0WUtyIXBHpY9pnnbe8UbU FOmluUmuFKJg+Sw0lARGq5L8D727XG2W5KE0SrdWyx1zmNINvFcXcsXvgHbAQrgefJQkas5Pv5ca s0xpnLQMvucMYTyxLYb2SnDKtS6qmEXUfuxfGOBYlBok/cPUWf45pFDxeQF/sVG16fcw4D+UfNVC rkQlzr0enc5n5jlwoL8vi49aGMdukd4gbO+SdW7GOxJlB1u1OH6yLAcjyRo0i5Zx/nLm7bjoXphX k+B1GpAkPVSvuCpRYIa3dWi7089E4ir5NtFQQW2WTqD20ZyNM/TyDCY76EIDzVPrMAXeQ/AqxpZJ bvfVCVaCK01Z9Frh8N+aO+FLCAJlTAmWOi8+Yw8JkizYQVJXft91QjMbiWBfGykjFvSpV8ygIN1n ZGgsf/OuiGrmbiVsqabdc2nrevIhadi2y/XQ6gmwerSbf2DYLP7rpyFHaMyawtoKKt8xFbn75VW+ ykUD2tLr4PVBtSkg18DxyuUNkb48ayKoXP6JQYdfapR/UB+rIjN62Z4TtsOOXeUieaNIuWnK6hJb 7h7IPo+NVhaFAHGRZ8jo/2Ri6JTosNlKqTXAaXofJj1Xcxx15K0zyiAItf8VoHeMD7qGETS9YN8i WD00V00nGbTv/NFsChttMI3rxzPY806Pk9s2Svq4vL2QMqb6dnu1d/KSMnq62pw3P/34r12BburV jZUWd6YYO2kbRndTMi6z1DsIlgA2+JtNHtoUFfz8hT3AB7rc/0K3LeNzYgpoCPvidADuZrF7LxFK v/snnenwaQCF27AbWFCI9e1nQfJFaBYBOy5dtfWfe9fIRoN5e/f92hHCpdFRukb9iggipndi3Nnk f7lsMxg+h0wpVuT6C3h+G7TTJ6K9V6yxqKx2kCbajpkhmzjzNp5ER4JhIgVyiWW4MZ1afXC9oHWM jWAOVLpxHnoBc759SJ7bWRELYo5RiyBDcNtbhkDAsQyfqn9erIBmFBUPMYCuhGZv3Uahq/UNNgom cf0UDz3sr1aqs/lWZhOvaqXqqxiDQKzcDM+BGRatdHM295qNBhefFrueZsDKOSlhjPZckEdokdzp b8k2f+xfxppEpT2NB8IEzoA77vA9cECdrWGWp9IGMCHkpwMpV6o2w10thS7gA4lu4MAS9FF8X/g4 x4vdBzPojQlOXR+C9aVsUsHhKSFNvWtPWOrcy0lVNm6eAYzHYGxbFPu1tGOcEmHWyyvy9ismkeY+ 1fZy61LnjkEP7SSEm3PVN43ooXNQ0xG6kpJUGjrL9xf5ZnbfAO1dlmqV2O+Ec+jhgx4HjvPsLRvX U8nc7ITsW6aAnAEpf+ixcvcSgRicuTgsmpV5q1vRo4ljaAFQYo9rcCnDJ6RDYLOtyHk+q1dgqw67 fCtK9WJqp0KXTyGzESzuLNA4WXBJnyIN9vUCO664GHmc11Om8dCln24ThcpPSBB17a1a6GKG3vuP K1n8oW2n8gkmDSiKjHKar7ORDT1Lk7S6kAMsrR7De4C+D2Mjaw2eb8c45ujXw2tKnCcSdBulty8o mNFIUPU8to1edzFT3JKXcuPx4HkrVwC4ePcEogRLOZp5DEg9DT8gFKmJKs1Oq7ACnazjIJcYjeGp vFKPC3NYH0AGQ+1b/HUtAEKpXhx6pnune95YPZFiAmXieTBkGpGkfFBQVW6fhwT2nz9XgAnAufd8 lkMWNlflHjQHRpfRcBsGBd/QU/Yjjzuw7z/l5gAvGJ9oMdrEc0KEvMgh5z7VNFQ8PAhybSB24Lpj g1fLvNvkL2yWUkom9K5QvmIhqZpqdbK18iEaR/s5efYUQE+moMqG2veG8lFCu5IHm/M2QX/GqmTC JUEeT7WD9a9OkF3OLQUN6za/E+hJTNtAGCJ3YUo+Xg/efFOBJ7i5400yaDqia3MomBNDBnAgWePi 4sl0MHhJUpVLjn0Ybh+fIYYoE3d8jIku2GUYpmRBatVMI40UGDvd8BZsLBvexM8hlZk/7KKQvpiS iQbFSL9Ul5LWtYm89ZvtJMRcO/e1V1MNg3ETV2iK2NDeu/ggcIhwoMqstvvQGs6Tb1FZ4hYngxWp 0LCzgHJk/No4tQri18rK5KiLRsHKih/Qk/KwbppS4Wa8LmJlvCagGhCKLNUqf8h6DWxb0t9t9+oe 16Nsd7F5Ks39SxNdm/lMCvYEOxg2mhZUJzR7Sx0Rw6YOCQfrwgCaDung3C1K/DY8gHubBhszk/9J y7iYXjUyfxqDJBRaW1wZyv5QXiqgy1JbeqgAU6GellEWi3P//grXrF26oPTECk9GqwBMaOHyKYsA krw05DOe9h74CRCISwiY7w3J5ADuGZrFKtxohB01iLnjJI33Ys1SMcb9AuGRLsFSnulSl3sBaoUw mRYscinqEqBzvQm26Bqi8QVaPNcZ7+49qSa6fCuf7JfygP6SuqQHPY9Ehl/1GmU3Vo3ye28u `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/wr_logic.vhd
19
37687
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HUpwfbtoJu5ljZH1PD1nirfZUiqEH4rdOJmHG3byOsiHMKK3LegkCLnxPuPlk+MO+z4ctY9AQVS+ qDXnVNabAA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J5amwDwAOhmwY1AI7aPhS8ck8cUzk3ZbW/PSkoxcoFtS5AuFiIpCT9Eh2Lt0JzHUUKx72jQhC4xP E8DYUPCIo40JuI++9z5fK4HwpQiCOB47OP9CCbDUXkdRdGgF4e6aIOfD40xCprloxnLZWVs0yawE 2eWpDksVPZ7exWV5yp8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kHeSBUaR4Gb9xyNR7/PmBoZ6gckk9p1h7+VOSSxhgJTOkeDKrcZOdIV1GDgFDrDQ7kzRgTiYYdNg fXk4UhiKwBVyrTjV2sMzg3+WqoUQIK6Jy3j+rnKZ0FHbaJ/B0H/GfbBoAdHe7Ll2JvXvA2JrUnjB cZCpVeHDgAOSHC+pzlRSIpPSacSQtQcR7XQ/3XaxnZYRC7uHkv276AbG3wIpLBG2zxIX3ZP+ackQ pH7/JslwJLo+2yMp03WDL60KY4dKN4/3Cbuq0p9ZXqs2Y5D7OEUZNxyvOtt0dnCx89ZP9OSkU6+U STforoN1MyOGgJ2YZ3QN/z5I0fk2RYpfEM9JsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Lu2s7AKqknRcUE5f3UmM0sxhb8YGklEChkrpjNpqeFmWrHZVTV653SjxOWSucZRxKRWERgvAD5Ge f+lfXprxLknFOXVThhIZcoGHsP1dAaIYcRFINHuR+NXvmYc17FBsIljnkMKM4grLGNoBCK5BU3oj +OpUaEAqYZcR3Ny7rME= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZNNygMQdh+aYmFNm+RRdz6IwBodkqsu7V9fE3BGXF5I2MBgRK6iGinaX8yLwnKR/gy2F4SnWUzqm SM6Hy+mVD8IIS+xm7ukIVwLbM9+0zez0kJn+qWOW6DSjxPXqHRWy3fQI42FtwyVBs6pb7/W8Q9NM y83XMjmhW9gbYNHIHq5e9D7ao/9WQ1Ytg4YhUY4H4cSzY2tHj3tbIsVO5Swzs3K1mz8KunAK9qzN WNyQE7ctUOauX1bPhyKN8vZcKzkl7x8jPe9GO6BDBcCZS9DeY3P2LTqajNPbMa7b+rdlszJkVZWF aXg8+G+Fp5cfd6qUK77FET8A+G+lv6qs6bNgOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26160) `protect data_block MVTeerMMv1ncahri/iQIJYQfSzBGFwfh2xKaJlpUO9OCwCoVcy27laDrkS4BLZJe0LuE8ZkDBlwx e1KWTaC12+Vx0oUVRm0qhku1DxzKi0BAHvaeM+m9M/g+NDkv75nCLY/pl4zl5xfjmMAmPUZVCBbc 4fU9JumW+t+smzkCaXxTOB0EC9qCndyRt3Qe6B4aYPJLZpg146+tt1igW3T+O+uIRZljRjjK7nJV omLmXv9LQpLvVb8J17Xk6Ihxb3iHq4aQOTLXzlWTc0E5kVDJNoyfbNuWKRkIGxs7M25R3kpF5W07 QQVS9gib+seLhpngCebfpN38Fd1/8fIxIdnPcVspxQqGoAAJ4POWeA+SkR7gCC5Zp6dyOJlTUpt7 ntyDhEZJFZrpLqlyXy+wOzZWInBtVzW9VE3Ja/BvE0JQwwWZ19GMDNA4kegRGB/IXX30p/ZYg924 X13LrWKQokrcewYVLDa2OzJjKQQILqyL3TBg3ML9PqDeAmedrlia8bwsq81GxXoW5N2HlA6bqCba 2M8rJVGaykWztO044hMMbK37VdslgbypaPzpWMCeK1kXN00rUd4A1+PFdMkR4MhoCN7Z+3jKwnSl /t/GmOKB13N+ECa5VbMXpeRzJjhiZk5pyA30cqi18AN1S8iRYHeWb+/CUMryOJBOxnbYVuQcr/0m 6K4f9ge7s5gQarEHkc1Mfwgp3qx0KXWxURBNbr4b3JtLNcP9LYSEWfxbwzhUzP9+3kmCg+nrMBDk WY9sm7Rcknnizy1JHMFjqVEnxvaD4CtHu0P8GLm0ScOui7WnGDFAGXiQ+60rUob3XsJ2/Rb8O51g Yl/Xqyu2nBzncOeqM80xlyPCFZPZAgN+bnkrALzhZh0yjduu0W8lwYN3GfyK5B4AfXyfZLhCD+Pd 81j1PRdUedJtXXEv+4VJKIqcKKMQhx4rxLW9YpR+qQPfbFKH70F2YqMuVs2BWqxkWifstXzG/xl5 MELDDnAlOP2+GpQkdhCrZFMS7vErc/MH8uZ/qZKFyTHCrtLQOu18HfjReMxhTYY19rDmh7/r1wwz wS7WzRNL4C7xB0VPh7NbKtKu2G2d/IV+oC5KGRXlQBWjeE5DKodz3IZNpZCLPts9y2EuCohZFIxk +qoQjlwemaC08Q8Yy4ISGo0F2eMe8UL1LuI40auWZ+iqu3p+hWmhld4+DHsf9+YVJB4GuyOwdoBY pM/KZgCr+eplopY98dMj/+Ffroy+drZFquMQoEHhB00ggVbc4bo5VMGlJsq26wuh8QqEd72NRPdR wc/V507sJPODGQeXeMHJnuipCKtiPgAq3t68Xd8FEjGVpH3XP097wfoyhuNJeZwYxzo4A+l98Q8c va97Ah8g7z3rH1+bH6IYyo0sFLHNsEohbOknfiEkzALuDP6K/RSjNrwQ48bUlm8fP9/1vL04vXYS vPHXjxB4WVC06T2LpilUo0ASfpVNnYHua/7FZNTo3cGNuvrh9iN7emjyoacblC2GQD82xbFwNkss HiNJmnRjlEZ955r0s7bsvTf2iCnFTpxsIDQyTNT6K1QpZbw8Bd3AMc3tpIuUSIPZPNpd92TbctoA rzwLf+pagfyy4XygmHfz30kZOi+EqulTpf+Hgb8Ku8O/nExcTzKMBn3S8PJnm0ioxouZAXKj+IEZ 3rRo2qYWasqJtwYeEw3Oens1mQnyYL13t0I1V8pl2HGQ8frOf2JGzIOCePD1LXlQavZeHkQTAjO4 vKIWo7Tcm3nQnr5H3ZHWEKoIFhcZiSPQpEfw6D2qxbanACXSKwTrTPFYp0DtMQu7596In4KLb+kA dZ3wiNDPesJ6q4z2LDc/f4KUYOXitr5n/8w4FiQiNmSHCcy0vVrtYn+Fh5sDC/E0Qtg6qdK45/kZ vqtQM/5yvuhLhlYg3MthYTx4ufjMennzBXfHWGB0rV/lWwiJYYTarV+NVjLsiMThQ/jYJ/CvY98Z sMEM1D1JTR/twXXTCSBC9gq/Mb2xwEZGj543CJKHcGetcTvE1sfWhJWfu4VyL+pfA+mlzYVy3lDR KH7WTWqBiy2Oe6nT5ll/pz1SyiVWcK+4nkpMKHYZlnwW10lh2qlcpONX+aYTDnivH4nwqfwVq6co N+Z6vEH7xwU5aMFnbodOO+zOkiMuv4Ui9vuAnhzpadiHhOEXl6yYFbyts6OMPBOm7PPKL3MS3vDq V0Jj2qxN3jXIWrq/LEdREWJ2YZ+xIR+diH/7yLzs/kC0hjjcwpGnA0Cyng7Jdow6Gn+ysmUAXyo2 XZBBgIUSee1NEYVpGO6BiVe37Rb65juTET5i68+d/m+IzsghtMvaIDduVPG+134I38HaRtpDhULJ xlGvPfxKKbPrCaGeesjkqXpxmuRzEkLrcF7ifT32gSMqvm0iD7H3oK5oG+76IhTDAk0V6CUeP+DW Si3dZ7CoIIw55s58oeleiSr81uL0ZvUrLheUGB8tfJjp9Sh8FwMB/9XXX151CnyngFTdl60VAgFC F3zMq0tzOlhmuf6U9JI6dJaSVi5TOwpl2mynv0UmGQ6og+UZKF415701lKHGA61rjP46dp2qO5X2 61oybtltBjyhHVkjFM19rNNz/JkNbjwsDH2VaVuBd3jsX7ihWo6QAqrL7kp3EdaINOAvgXrEUqJe hyBGH9RzUNcIm52zLJikrHtJ9HP+eiMcslQearptYKLcUZugWDISfwJq/dcA31ILZCEYq0UBYX0Q pkUio36LkIRjYMr9UDl2TlUrij3q5Efd7fCx8aiO5V+Nyt5m33mjzYBE2S3ZzMCkS2KWN41a2MnY 0MTQLb9jvwfUARaZKaLV+DJs5QzgDdPx0voVmFcpmq6dBnCGWuhHXqHyqJ4tpzklFrTSHxKYto9q U54aPJUwFHXX2jH/845ovt9eA8hqjjv2838Rpflkwq2QXeWBKOqlF99O8KutD+guSmEgvDXFF0H1 DghB+8OZ7VqULJp6m91A8jtyEDIl/2d1iHc8NX+IXMWhg5DAHMNaklMIH8pxObPkVUaEiTL7mtGB 4EpJMKTtLlpUQoVB62nXRFR9V4NTNlj2ZDWi5VCbdLQD3W8xEVos14H4rLKngmKrLqQSdspS9jjT 63Cs1fWAp8RBRDf3EVpuBB8NaxB9Imx+LIghkW6UZgOBtIw+zjOb242m1va1wVOoKMlS0RvgVT5A xD9+vviDozSG/qvFOLmhziwav+89A1FccBLYNyVpjBFZFpR8PUdU5qm4fWNa60/7yQg6SlmaeBex FxhDskPKtwv6NYkR4VtHiesrWy87iDtGvznk8V+n5I0dLN4fxArmB65aSjawJZHMrqU1LVJbIUD7 YC/zn3DOBJR/PEaIpPtHoSNwR3RGppuAotApIjlR03b8JOhj00YXxHapaZoHlKbYiqwxwbXUFO5R ESXeW02vwXAjXhm4Ng1ODBUg+kAxrYkSDI/wRfwB4d0GJ0kYqU7/QQWGY5KeiyBOEV7qqb3PTgxD D5nvxYJ8mRMnQf7hP+OEAsPd3xy2KcAQuu9EFC4TRe0cOXjj47DB9p8KupKwWhz3J7YpIpwBi/zS Tc6YGDBFBZFFYsQrlzB2F+NZsBo98aNEb5pLb77T2zr4RdQBvz5boy0ucUNWRFsjsBqRyopPksy5 H3xRrig+SGzf14Y4DPjIJf034Wea3OYKDcBzLMwaCJavnVD8gQBbRF3vWsw7FEekmUeIi1ptKP4a AVMvzTNSQfU8MxBs7ao+Jm2UKxeOwx9/fHZFEf934ysMHbb1vo06QBo+ClkmvWSkj9laBuuUfqbG 7fLgqERqtkqo2OZTvGZNFCej9r8TlX7bIj9HH+m0g/V017pTUlkWjtMR4VP2+k8VHZxqXZXIidyF OOnn5vSChhhSgzkpHYj2sm5ooFuVc8M7eJUsF7r1DKsDL+QCGi3cFbywqo/SMVF8cAbg2OJo4N+X S8+gRxNqVh3d2aOGEtQVZ8q9eTY/LyfK+3VJJgdNMr6YebHRr1zT4+WlXq5pysl6R8bEqVB9oWwV lOL1QrYqzbhiUOXEMtovYLunYK/El/ZT6gfMIxEWSGAv0qN1MYOGRUNhJnp4BiUd2QD1x7tS4Zh5 cxaFaMbeYlZWWIV0PnKXboStGYAXNVkZbZcxN3vK4NiH2vrurPKX2oXlexCXzt96ZnOoBKZUKt3D 24eswf9TA+1/ClJY1e3ZWln5r1uxBlYoYn/ufx6ZjLLA05caQ4Nu0zpmovhJhHrsSempEIB+R3Cb 6Yw9pwzov/LgWSRRd6KPqHIHBVfd459sp8CoGDi2Xz/q/9V7KrbPUOdT3zdofsotJsjCYHMP9oYo rcmlx6ymbt4nvC+ONNNVSdRo5o0NkUxA9yxPU3VRNpD0/9SYhCIYiQVun4QN2mvsbEtPO+wJkP6F g/gUvNbaRScFYgumoGfpmXd+W4P0AKhRyd+p0mpRu1hmLzF5eLRU46VTTSyg7tPyPkDy8/NIhcXC xcmJnPnJwBg2HgqM3zIvsTRQ1ekx3ENSglScKqU5Bv3iFHuk2aJEBDZWmvkO+IAKc6C0NPt4JrSq P5rquJe3ilLKitdgZ5gqXBgsCLcGb1A7Jh5Vl0+C5gczGD2tqwjjimna5LSsgm8auAvwjVS+cI6c cwwaaVILp6ynFZGUxhRASy5n0S43YDI63jrC8dPDN8Ys5hajJwYtYGuUyyFtsVxjKVR1MMJTQ5Q+ LnHWA0dfmDRyyXFf5TfG7KRefeW2JaI576ahiLSuxSIF5HJw2LbzUTjnb9mstlS5ssDEKCGpTfyn 32tIiGiWtaMvvzO70iZyeKgHbFTFhchHb+8b7AiABLC5p6xB+/LmUKKhcZ7dEwhj0q3/MEMyEV5T 1nI/E1TONjnDLVwlguonK2d3IwCQxZflRBduizgPIN3bv1X4MbnCU4ni+/o4RQz62oEXS760Rj5U ea64PrQyYEvG6UESnzTVMdVPqu4xQjNwJfoSmXNpnBGv3PDNYo9l3MKIRGNNLiFzjxHykuelvK6m 3izTo8NXgupP9+7sA6UF+EtZHkE/A8cm1qmT/eqLKpQ1WXRnh5kjL9X9MKjVKaR7PR7nZvQCAL0V IwmAnOzE/X2jnmjW3UX1B/kMoXu/T4azt6dzbWLyenWq2Ts6XVKwzqOWVaNdFLjqElvkbb7kkk93 HyEKzyuVUYwzHPvUH9TJUvpTZ4wdnwIqEGiNp1onX3/O4gvdMvjl8wnLI8l1Dx+IObq+mb43o67x +LKApbUAzJmDv9SC2P6nQVCO+75RElht4YDNQkb+pNOfeOJ5oIPmtqa1XnuOYXeE4jZf7NZ7WBLP 9i4JnM0qw2mRH3ogKSJWLIjq9o5mYeKWQ6dmMZxudAJufxMqjcdANR2bKMzp7/m/fsp5kaFz/xuM guFSYmPDprQKvifiXeMufakMsKpJCWdxwV88IFasajNbevjxcKYWhNxdepzjoGrke/ggxFQiMzgg rMt2uCIu3J1A0JB6xa8ydTkjc+LQWA0iu4A6yE0XfpyzpgsNyKJH36E2XbWshUKqUy2KW2hOSYi7 5tUdYGyNkpPEp/I4DyA+f93JCr0AI0ib8LmKD0hbuge2ugED95ZyOGatT7XKZuWlwZy0M1075Y6+ 7gLA44daL/Nxa8I9/uRV1HzdMX8+7QLgYefwdp3WX2DN1A/GzvzaQR9RlRTsvWdWIfXjgL8MaJWI 7aa77GdaUSVfBkvgBFdFUXrkcxTqa8HGaBVFIalXtaxiuOnbAAeReBZ5QwofRrJfDwLp9AaiQ+4z xSk4ui5r/aNne7vcpjsW2ghrN0a19xa304/nhboaWvhdtKglBBreIKvdCOZzgNhTxM9UaBYP1qmt bogRRDiK4w2/e5LovqyRaXCraihjUZTRT6Tpf5v9aOvcZLLNZYu/2KjuYgBIpz7UCiHCM9ni1KD6 kHPP5PxJoh9tEzfwySMzPGWZBCpkqWbUaqCdQEVf4mH07dzvi9nvVRShx3qV8OY6Fyz6AZMwKAsx eCKD2GgEdOFSS8Pl7CmWaoYVdq8tZY7hZBAf8sY8nlwUhEFXH0HgTCB3p6MnNTZTF/AtV7A9U5nr U7dk/KRKtkeADxfPstZ/U5K6Z80Pb61Wx/ElP1KsvQyWHeRSfFoHzB5cD1GPXrJ6W//V0a60j0sJ YSWFXy8Awp33xo3Ms16fS3lovRhx3pzPJ3w+YCEiVeKzQ1KHCgii0LwG4/uobex/NP6EZjWEWcw4 D39SvkI7Pk8VkYnC/i4k6b2YpKmwR5JbpJX5U9WHtgCAwtOiL13o5biCnCb8obdUbeZmuEZnBimN 4is+GpivfrmSUyfb082eB4j549Qdn2RJ32Z9CDYuodRdSYYGmLJm2WSfTtU0t3bvXRjlVrAna/B0 t1pKulOkBWrcdXJ3ZggPfsUu56BT8kZITV15O/edVj8yZtYx9fpwMOk/554FxhjYiFrynPuP20gJ 3KZ5Sf7qBcF+Zo6BlAsNpRy4M79KXCeGagzYY6lCiH5V8eDmmZOwe8alj7hDoIY70tP3bvIF32fC sI07jrHFWG96vRY7oSziqMPLGgFueABs8L2HbgJlv8SuqctKaWIY66uoVkCkOaqMhR0vVGVnGNg4 KgmXFXO2kcoUwgf+4G/GD014L5Nn+QBEM7zrZRrRgGigAham0Zx92vxVA2xv12EEtDMt51AoqCEf xQ8E8puIdXPspTR05wZzauvYryfaTY/5XFp7ski2t+nbgLtKE9dylH5e3iLWetfFCbL5rw3jFqj1 J8AmiLR1+ikdeznzTqXpRjP9/Bh5Qkp1t5E2mmgyIbW9V2VIpIzC1jGoL2KzoUIPHx3XDTBfyXSA AgnrrZsfsPn8cPhleIAH11dBJ8ikn7b9EHJmIaRXI+nSg6f1CVWrww5NMBO/slgAh1xb5HvoH6Fu QPxigjn64trA324lWB+VpqeGLftu7jCP88BlMPI4uG5MRNQpnIbu2q8CUAhlWBhDpMYw1idpWLI2 P2kYp92bEMpiTye5Ed4TQt338LoG4cmBi+cZLdpQHIj8rK2Kss1z7yG3g7gH3RWRi8lgYHFBFYL2 0aHHLcXOOWWpDudicFkEbE+xb8ER94G/pImXz0MKdA7QfgBcvCwlC9cevV0rlPaGSkV8A9p6/ZHk od1kih26xeTH/ift4Du/SWCVIxEGqyVCKPwFG55ThHFBUzdFu0nfGA3yNuN8IJbK3Wu4WN83D+V8 3SW6JMdqXFu+PM5647/vlmHJ/Pu7OGvotKtXudALLTsbxOPmo/HyCzzaewlWxhtb4Hnj9lIbvC9h zg5oZetJabG4+GtgxYwnMalDziqe5FHan3S6OFsfe1f9vZz8oQWxAnW2ziDd6NiS2dIkETWP1St+ 5eZwv/AD08C6c5Np6IcwZ0aTUCLYy5lH2cNTofZQbhR/XRsDhLIMzyYTet5rduzTE2fVfxRMPZa4 7+k9Hw8zWsV/rWiIU7tuz1l/H81PXx33VWZwdlo+Fi8PzEzVy1avqDV8m9f7TRtY+rzGZGG56HtN WMmxwqNxcYUkY8hLx7MHfyvTZAoDCpbF8s5FeRxEX4Ucu3R7euRAVRP5m09oA8i6rphuxDVwnf09 ALom1Ht5rzUTU2COycGAoSRGFkrPKj2Oeom5hmuRwWKtWW/KQSyXnA/SjKFssx4oRCGGrWWjuMih pKfWN6AJn6OJkI5BfenltDgPg3flPKWK8/O2Tde9TyKkMkcNse944LV/jAX1orYgZn4tfQICKiTe EwvjFMZX61K7VX8zuELT38bygf+m+oX9y6uxshKB6quaaDWK/uVdCfYHp0MTT3+Wrj9dXsr741l2 OEgAF9Qo/kF2/fUY7GN3vDPutw6DGRYpPSC8zTj1JHBs1UdWrxSg3e97zOIiTBgvPkgN+YpuVpCA DRYEwN5UogVCJIm3ROU1VhMZDemY5x23ucCndmcTxtJcuJAxcnKVFahdL9lE4qkeQwzqFOXiPmPy VCU1VDxB4jcY6Lv9rWM+2rDPgzVlDd47KKGtqt+y7dsJoa2KuBubfCtijILIloWdLStyxYnY7xql ZxhY/PPbYOPppwY9S2Dswtj1m84M6DZKZejlzJyzvbVdG6rNejY2LfnmVjJIvyF7bfzcaxTayaAd lAB6y+79pzbhDbwVGvgWiUDA3bZQdCz3QLjcxYUbnO4dzEn6UaTW2Ps3GFKgO5Niw+gmUshwe7TA IcNWPymgLLJHF5TgpAYMSypt2MLHX9/VF7RBZDkU+qNI8t2bEhWR11RPztoFLAB+0cY9uCJTbWPe YVFayNZxlryqZrFy0kAAE6V4pcthIT9J9f75hystkAwKpg+nzLfxrOioRxzpgv42vwRaRCeXIW3X OCWpq3XDI3jFqoLr8xRCkKmbzDXU9hiWcYqEHOlxCHnhMhwU38hsdwEw+zzl8h4ahCd80Y8btQdm I50kGi8u461kKx9EaaDudxGuKXkdtgCfsNKlTl01vGxYJUOEshGBKNQKzymNcI27jVABA1MRtCWS vwjOcFNmoqXKLTWrYVA67ruVN18Dwyh1WyMjkLGAxrGMpQci0KOf4P8iBYYY9gxUJh0yMheGJXcE /PQIqS+/CTj6O3r4i0olYANO/tFML7+xt5ty/4WnClPkrG3MMXudvZ6+ZZhNMP43R/aNRW4784hB dpgRtTjI6z2g/27mmEuQdZqaBH31awiSdLUjgho44AzfKqcP0S2eYMgWi/G8u3L95W20GzayK/le d2S32UVMEhCZHKGhb+x5PpfCr33KYV5Nln7Gp1CFFK+VZA17WjQ+ZmmMjBFKmSqECwbVfY7qWCdK eGA2QGc2KinVOWiur3ZTQmS+5b//yjqAcQb9/E1ihAupBtmojtgfG+kpe8NpA6sOv/nZXC3Dn1p9 YJCf0SlK4XnOXQlL7bBgEFIagfefW8ct1GGZb+CnMZrfKIjA+85GbWzusMDq/Qt82RXzyvIgRXwd Y37bbZF8AGc4m7j1MVPvX8r1weVMCbxxTLl8RYVcDm2hAXZYz+R8WTlXrEwvkITJROca+S2Pb39k FP8RRWDIQ3uSSYXrf/3bEt31eBSncuYppef0V2YgeCUOcCAkUB25zjKe7Ag6i90yMZtzh/U9NExi 9x6jnAyy+ILexo+tR6UX9BDhRWbIu2FkqZUuSABI8HZ27jpeDu/zFF8SkALj8ntT+xic4uEsF1M2 3cpj59M1ncW2SLibcO1/gCdxbdQpQU3fMObdCwb0WEYCXDCICJ/Ws5OUrnv7Ze9Myyc2oaP76uAZ lKrj9VHH5j7c8KjJIAEbtiz8AzzjIup9hg+5ixlKkLspxGaVb7gJzXcdJl/SuZq7894I9PT4M6Ad puJdZ4BsxJ5C7AhIBLEAwkrKmXhYp5EFZ67Tj59Hw2afF5chCjwoaP5kpKYBht9qxA9WOytY160K ZuSBC9JZB5qIsaLT0feHFUD3Ro2SdD9CtN9oUXeWxKg1HP+u1g3MNmpxY/CVqscMszlEUsoB3WkQ 6nbNYljkGI2IzjJgE0ePvFXilDZ3rzUZSzOA+dLom/6C8Jp5QtrtnUqwZVgSBXvLKVcZ6LW6xSvl Fp2Irw8U0P8mKgul7GosNq0ZZK5AbrBf6dNYs7CZoNZU/Os9n1CjH9MunrI0Bc08hj3jD9R6BLdn xjYTSlM6+l0l63+1Hc+T9m5cW3DLHz1fbkJit0kxmWd+gNkZNa+bZ/wPlXmO4bbyJq69xjKluXju +dseO5J7fWm6Fz8ZY1bEFoXPZhPU47TyTtD0xV8wiARUmt/fxObexUncEbW4HSOek3Bsfa2IXewM YMH38cdX5vS8TLKZ887hvFUtI8LL75VA2kngCfeeNBKNhHw+nn+3uJhvYBjUp7z/QlDqbH7x546R kdEPKpc3MHxtlSMuEITYtYRuha4MauNgcVIf4tBkCWafaxWyxjQs8fOCqecJ9PyhqHGm9KYSgV7U xCEHAokjVzp2XG2F4YRBHfYcyb9IXj5/0640VPPuK3NCWacOCXExElyrhYaLW7DB7zCFW6DguB0h QbkfCPQ8wLieFnjNRToiXFCRSrHiTwX28q/btyZ+pAJSC+eZZbh0MPGlliArRKQz9bzFDXOoCzQM 558Sms5+nT54QFyRVtf0CFw/blMoM+oAW60vImQ5mQRPVlvDBqEs4kD097Wic56U6WYA+S8C4Gu3 aayUlWdEX7AsC/5MGeefHnrRrnH4v4uXsyKx6Q3QTepuGvogLyegYBnLn5pPTKJzefsdJuM4tdXZ xPUmrfPGRhH5cN6keC2lqNDvdAF55TcVorMDbCTqtxtTZB6HZob1zoft6c8yeAxrfPudy8gXFG52 8EZ8JGehd/i8PjRl3lPtGCadW9XBMkUqUiblOMLk54MzoyAHYu1tP+7JTJGCqeOG9iaRaoKL+0Qp V42M7k5YjK0pen2keBMkNzAAkQDeIL2cnbEeIcy0L1vk3MRFa3Bjr/6bYbytMLrhdc6Ab5dxit6e 4+xiXphbj5rebSl0upTiyEOdFlsRjvA2FU1+QtxFBD/F1gtMj5E4saxQ4M85H+r+MJWv1DciVfHs zKUdSyHEPuDSR7y49Amez1YkqjkxCoXZMsVQj2VcFT0G6u+EYIQMbM/q8bg5tIydsnVLibzjaW+H hx8/pwdJYr0RHfPXnTkXV/dPS/zHfIWrX+vkZ0mBPWXkAJu/z1Kco8Fr9IeRvLbBMjpE2IwoI2O3 JpZLFbn5jUjAx1wzfJgxULgdYkVtlbmj8tFYiUGWYGVBycXRj9SNAPFAI6BGt8HG41YNLmgQW5na SL8Y4/ibnKxXYJLliKtLrTmPtlvrUEnGyOZrWLflslFRMqpYGc4/0SBd5y3Bkx1tEbF9ZGitAvBO 1p/G0eE2Z6jFAtDV5L3pL2+JMD8aKG/Dbs+4zyn/7K4XfGYc8ZQ7FBx3vbceGiydXvXfTO4QjUg0 vxDasIqHsSwuSzrVGPlNKDuzZJ7EAn0PyExuY93SeHqdd5jAFTmP1/C8Br+v55yRglmMVAfhBilK jeBqAErNDIQE33Bxz9QIT5Fnq7OuEcVPBNpl4BdKJo8XZ93byDIeIvrTBQ8/40zwyqWoL/JD5oMO 5v6UDCc5hEhsvU4BPuFAbHHhid9BXlN3s0mGildf50Sm8BvDFUalw3tIsbpnaSSr71nuiwwPQchd gTT9GipiU53wGPowKK8S4rpl4BiTXoWhPOsv61TKC2wMmLSUut+uBe/z3irJ3HnXr65n1Fru1SiK 24SyacUBxmeHqumao4DtuPYjB+FyUoWuE+9OVF9XpeM+eKKJgdLuVZdd3CnngPc/Fj07SG1lIKZk oJBbQR0oVF1MCiEfWg6dfbl/mCE9FDH5NCzBnPm/fVfKZxu/aHVfkiSRqXqWSYbhroWmdY+mF5ph tz0AvN+nXDZE8dkMQDqRf0WV0r6dVda9B0Fj3Jssy0cfQ299F52rMx/xvs9JEBDR38lShNLas9iO DgjKGPrgmFMDg8mflSKEfGtI/7S440I/9QVY1ESrALCKJ87s0DfZknhYKPs5+00iGQe00Z70vs3n SlZp4YyBoxZocnMcNGzzvJDWTXotTePs0fD6g2DXU2TkOhcv7o2UhGMz+j2GZHdI6hkI80LIQm9p QmfTVxDIR99BA8SUsewxUuEoQYBT9k6RPmxsIMIGZAJjFabiRNLewHPfnziBCjRibhhP2DUZpq9H D9MA9LegaurSsXITCoamK4di0rOs954lxntxfCpdrrKVC4NO8h8BDFWI48/xNHWaHTmq/XPfE2Z5 TSHqmmGJoMijMXL7Patuao9ZDI+FYyZOBjS5dgS7YlCs6kchLnA+XN6wR0LjK5OtpxRbXUryEpZ7 z7NtYpt/xeDHlyiBK+yXiz0hawNkpwqJ4dil2NUb43OBqrixlf8vDXyRxyib/A9//4aOtfQxy5YY BEtW+V5FG/qwLazI3B0t7D/RuO48EWVthN15rmC8+0ZTRjULmJMGIG1gMjLKrqCIkkCaVglOC4rI 6UqQ3RCD0nfUpsv7FQRY9a/V+rky2BQjDo0Xa9PHJovW7u+iYOxv0EpgLptAwY7ehwP93L8R3SvW eIa9iYL9ZRytqlIljIMaTN5BA72RCd+kLRskUiFDEjz8+NHtcqf4i033ijciNNBdVOMFH0miS8Rp S9EOzy9+5Wc5XaNFVr3lJ3g6MlexUYCceTxR0Y75nsbzxmxHXh2GSzM66Pw60ZEdo8lqoEJ1MYSl nBGOCqe0XBbMyBf/6PDOhdsPNO7JxBFZXOCYTEZhyAgO7eY/Evymqlkg0bgXzP4BD5p+urD7mfjG uOrmfg3FpL+JtRDVEHnebG3Vh/YtW1hdcCwSaTO+apFkk9sRjD/silRqZlpxkx1UKj2SDx614dWH 8v3DRZkrCvGqVPsEtk6iweBaXgCE6GnR069/RNJ/cXgI5bIqm+i1Z4gVL2400I4vg3MnGBjclZVQ im+l3s0SpChJnYJLREQ5kwd7kT0a52X7ZE1O/e9s6TvU7t36so7qxCAsOJub32wqx4CmOSr1lYG8 fBtuRsDnI5FYr9xTqxAUBDFsadrS2cL8oclvQ6v/juy09kCy7uuPCKaIyI9mVoMcFcUOxYTXh7Fh tRHe3k2kSXM61OqxcXK8Gvvf+9tYfmlZxfYaxOvaaRj6290g1N/zHLiHrFCMWFuZj2iWJMNnGKul Md28AOVzgoU0Y7Me07PHqJsXmf+X6jFYWxDDurprsL1EEY5WAeA8OMgyE2FB6pY9C6Wi+ldrP24j dV0SHeMIxv5LirwtuyQ/lm7GnMztVUerMMt2+HCmCyWWWLVbchds1k9B8p7fEPp5Ryj+QXpWXYFZ r+0n9DkxZx6tKivXEfOwMgH1SnAw/TmcMQ8PC3BgigjwPfXDqamUKrmXYZoI7FpGwZOdOh4OT5/b CQ9Vp8+Fkh/kAwxXP0IVM/1ePGjtw2pwlVZT5td2pKMARadi+8/UAcc9MRSmo3Ou8fneXgp0mY4Y lsliKjm23ROtjtXtPkHqGrEEjT5KVBAsJbY4YgLEUwiP8I16hL/oF/3pPLBa5UBKqh9emXZEaB2w j4X+tCowfR+tJxeuKZcn24tz84e58N0sKe4VJKGM8RnIrbYk+vn0Z0A2+ojVfsKoGXGg1xOQRLFz 9QSGo8399OYmacide7GS0RzspZU0YBTa4DkcoOkQnr6JsBgv/CfWUwMTfHWJwEK1W5WTGyb9jPGP 8m0F5zAdw4ul/xaSARecsm5LyAy/TE3JPMQdpGJRZVbljSAd/5u6DlzxAIgyZlnRLDM0NCdaY/ww bMsBDg954/PQ6XCy4tIJ3LljwQSqV0Y8mxeIh0XJdVIDsIY3b5KHSujviNsNHhBH7ud32js8rIZR Z98vEoECEcDQqkcWDQB0B4paQRaNQqY/gBehdoPc/Bb25KHa7vNwEK2hM0GbLJy9rCA+KfdDi1vL 2QtYnfqZcg0f2JLzLpzvroaWo9uBlWEoQ15DeQFahSd4slPhMua2Vrj7DNjKw+tc0CEX8YWPyt1c ZgB5k4Wac/3rEUnqaBOkIoGEZsss1OUmpGCuYaek3TXOMQcvlqqqASa/h0nZxecqCa03PGoWdz6Q sO1HAK1XoOyxzLnGPpgHJlzfkgyLGhmVvHnhOxLmKc1J4iAScZk6BZc8fT5yShV8MjgoaAytjh+K 0XFUxkeqAa6vBKKyLnBhlvKhVT4vGYYzblbCZ1c9eEZssYceblRLHcAKGkWBUjI2MWn17JGwURDP EpEUstMiHNlXda7V/nupGD4Amt9FP8FuQwvPzlnEgnUDFHDt0bBKum/6xEskueI8vFT+AoJfp+ZJ rXl3KnkdxCEK1V1b4+MT7qx4tedpoS15u3XVzNndNzF2mY6veaIYVNrqxZXSVjWFa2YM/kO18fxA X8gtXNsvNBwi9eD69Q20JqTtvJ8XFkCvPovNq+bW2Cc+9Yx3tLv5kCmKMIXvZZMH5sfHcAihFeyD j0GwYreShd5QmlTsp6sBoVyD+F5kACpViLyRk7CLdU6XyiFbTpA7WDrDv3zFupWdhgL4xeS9rWeW gMm7ocDzGZpX347x51q5jdo9vLFI7evt+14DO3Qk7QNJBMBa+vf80I4cr/XYBFjYPEnVoNyvXu3r TFZEjiQH4JiNti+z0YkK4Qc9qrmP6cr5DixHkqLZXDyaqVzPec1HUq3JSfkGUIkPBp5a04csNLLW 6LOmzJgXZEXUHPbq7Gk0Fqs4QKdUYxrP/hEYmMr/3EieA2Goi778GTyK5ULSxuQF0a1LZ+65Cfxy rPAb9kfijS5WTdMnPzsPBDF2UFRybeQiVflKetnoGvgujIOCSMyyWwtdLNx070aSG2QAZwQY5Q6w V9LiNRjQgGw27hhoRo6LruV8paM52Qv0aZQuXw3ahoUfkeKPskwdnKzcmomP79s7UX9jUILxXN3q uFLwAZdfe1S8X4+ouZ6A/k1PNUT3x9pGc+ngcvsDe2YpSsIC8hyHcwzgAnym0CFKZGZDBLY+QdZG fQ7I8igc3l4qt3VzpuWpSIF7C3apfQvHXgDc7psgBdSrNYhtKx30S18dsKh0zh8LK/dwORC6R2+9 l93dd0Go7QMN0dmeAKmnoryjCsUfyKjEOaGdrIbVG0Awb2TpJHD9hQIyeVvhGRdU9p2rrOz8j8J4 BDVU/fPuOMGeCpNTe+baA6Yn/wy+UksNxMgDYAQCR5L8ZpNcbucrbicoPmNtWYjGgpKy1LXJ5+Rx APX0WEXhWgsFAgS3T4RrTSNof1DNY3gYXbuSwmfiRVtOeAPyBLh9f6lX0LU9ru/wgM8/dTLxj/tb +1kcK++c7zJlI4oU+OMqIR9jyXmxppePuFLgkNiorKmeV684DEWQB/ImTM1imoqRGlDf8Ve+/upD MgtZDo7U27FvFCL/Bsh9OyUhIeFJoA7/HIK63U7Pmxn7oxMGfGC4sBjm78kn3OEiOeL1vHuMa7Ld ApCJKPP2+iafe8EumXy1ojITCXnI1q9X/LJ+NkNfxLwJlnl+Emkpun/25bpdVVWpOg/abaLlxlcX qZw9Fe3NaByoCI85ZKxWTSH0Je0CBFh//DcNVAIkK7GujaCJurF6oTgw7fl5b9g5ZYq2tRfAFpSM Nu19AUMgV0Pv1VEW+MtHxptjKSaTFBVQ+2Q64ckGAak9DNAwqmUJdNfMs9RhLsLwWwIz9Bi97WlM KLgwJTrJ3meyLVMxK/IjgX5M/+6AhF+WkJVW8kfN9iqyxHnkRIYBahke0CuJ8rVzyUKZmBRtQ3a/ nOgUjzbL2JHom1NujSamvCX1sALuNcPUmzWGbKz8LQnqbB2ZoRFvGQuSpJ/Xvqm+IpthGq3cqRi4 UU6HJJUzd/TO1m0dle3QME5WSKbTV7/WoJufd2uYZDtwcIT7YEjjTmSUyG7X9A4polw44CgyJctH 5XCMwpUsl++0Mv6J+/FlHneQisaW5WSMVKF2T1bbL3I6/JLsJpmmWDsOmAdjj5aGDHasA4v+Lhhc eVq+T4oN7lQR3pI7EiOxOsv+yOz5nYQ14EUDlm5g1FDphk0LxL1+MmyZiBBTyi8/hTkFulNCNfuj LCJlEmFstc/9rq3llcwN0nuQLuFk3RAkMxBgpHxodE4G91K/jwRIAjAakICqM3QN4lGxp4qUPeXy lnd56b7FVjWwac/jtKPRbZd4nME8BBa/3vJuOr/Gqb30uF/EvW/D3kk3538KI6VmvT78XV2KFPUC Z75BHnCLu45ETGuHKyP4rhCcitAJoizAEAvCUiI+ihEnVqDP+zgoEEdMnBfSwwfcqnHT3pExwJgX 9zaO6Jn/bZJFVaeLL7+1P3v8PLW/MjK/Y2iubilSiycDpRxqNaofvhFhB4wBdu3WIIYVKFlgkywd 88Wn4qZHLVNxm2EyOuN4cHeR88InpfrMZn2vY9KTz2L1Big1ugVq0dZjMqQv9R+/UiKc/VR13rW2 iFyVLaUtgdcrG1mkwCNZy5c8kCYghC0o2l8mfnu8z1R8FuRbkCCBUmQvnXN9ORvaLaBQw4hRXrsB YTQhSjtzCx15RNoor1yv+I7Fp0cJrh1T5bX9A8o40FTln9de8Z6hwiqOxAzBeVXWuqAPBo0fQimX D/VS4trcY88VvbHDCRFG1ACLg4F1tfSVH4WbtkD1BFkYQbSa/IZQ9pcRVY7Mo5ealt95QCp2F69M 8aGumb8MmNwFQms3de9KnLEw0EuSHQsguIdcWLD9sozt8NMwbJoADpvatyEMfPlbV0JuDeYwCA34 +Bm5Cdp/L2QIeZbuFUnlbIzIx0rThPDHyFXQV5LeMvHgqGBNNugXZ7gR9EF2fyvmIuh++5RpK6CR UpCCEWns0vVQo/oDnACjuNYh/TDueV17miHuauoqVsh82r7V3cUrb7yT3CeOUf/c9KRTILQd9xU/ vwEZ6itXg2/ntcK7y+CbyUElpyr63ir0eXqEtvHR1bT9/pDt/W4IFiY3JqNuDOlwnpR1QlulL7r1 KrbOQqlr+B4b3CziVDMyrBnLxBzkym6AyaFIaSc4JEX3LJKHtSFk0iGADPkthxn0VWdiQ2TT4GZ6 hXT1vjXFEzC2TmSKbWKcC+JzyB0LN2AU7wY9i0IkOis6wNO1/fqGpbMAygHEYG1cbNw3hnN4A7lr aYyipE8/GQ8FxL+tkkBt15gHQ40h+nXSSsqGa4Dvv4bIUxpifTz7oLCgBjxXoRIPp6MSL2olWfdq pkfA8yG/DAx7ZRBaPefDy+XV2JV3g8/EFp1NvRskSZS9fRES0n9x0GKwjxqeT09Im2g6lAZMd572 lj+af32hnc8Z9ymIG6lkkHxa5y+t5OAQ7O31fhCoJRUrTTcp8xBXxzgKzCSO9sW7sZtPg70Y874B RQf/azBJujGkhT2Kzw6FQS1X3ww9R1HbLonQ71JA+fUh+w6pR3UvoT7IgkTBhZ9om6KFUBUZPt1o 3VtYVawyX+W0mAL0zg+v4m5TJzGHvh5tPMWuwasYbTmFP+tEah/ip1LZivLWkPnxhSbOi2e22vKK tLe0RLL9Jpqj/yBJ17RWwHWism3ukgYAmeqx+6ce8RUfiJpQslm18WrdiemXVGg3ExRl0Blwy4jv 9PUXo3pwUUahWViTdFZ8WDl2TIm2BY2vSbbe9nyc/se9k6PbX8JItweHR2oEizuPkZfuhVjKG8Dd vCUrbXTLot75q3BcE6wr16vIzI2R6mXw3H4reMXdc7ee6pZwcGgnMyOCd3aVQUm1JRpRd2L4wC+p pYYYEFq+/zajO+3EpTzHbBPn6i1INdo1FKJcwil4pXasrody8XnQH+wZjxIB0TiuvUOZDAOH4mks Kt7ov6wjfUr5n9N/ObfFyN4B5zLwf7Gtt19njAGNCpZgtTLTMnpxUWGe+yUKXlrOLA4bWhyHv3ua djGwME5nYZwcK7MrpbUJMuyaIcSLNr9+7x0nQX7p8kyCeMR/bvpLggz3yCOJ3qUxxjQkD/psQLkV 9tyk/sTXwFm9jjUtguIVtpIZ9Omj/rfp5InH9yry4vViOQOxvGxVWioYMvCTTOe39+66lpjU/98d hd2xfVPcJZle82yuYGGykC02jWCFTGpuvlR6Nl4gV55k8sRVm9B2fpiqfx2KPD7vn5EnKkwER5zR GqmEAiSAsvTIED1+4CvY8+PF7yYgNmOEcUCwyy6bguyFaojNH6M6kqjC0Sw3au4AuA9SKsfd/hD6 3vyi4kRbSjXZiNXpSMCSFgOoYbF6mVs7c89TUO7KPpeMOLZYjH5OwZtIvIdA14qmmYanyUi947Ad pqOHMWw7KR9YMwM0rVa6iwb7rCcneKANJ3siXTNLAjtqn5+wBs50dyMkDS8DYBxfl4rvaKwLZI8b U25SYY07vFs0P10myg8O0CbzuNZ8hHc1YHFDIpYEZpSGp8BvvErR6jSa7l/O1taokBTx6tU+B3jO LEACXebzKSKsamgqTaraFH35c8Mb5qDdgLtxg8fYvdlXAP5lAOvLjsMwSeFr5mqMRPAbO/u1CpZU DLtIDkhnybCfsEc4scFJpC7QrWmCKp87xsRkx3OQrKYOW7ESbH32Dnocyfwn/6n6rkza0BSmHAdj Je7Ua6nTFSjVv/HKDQIPqITE/mT56pNApgbAslErR/EN68+cCZgYpfVNhaiG9FRp2z5TI/eo1Bi8 WWaf4PoX6gOTD3ug3cT/hXhIVd1t5tIhwEYmvPJG/OaZxmS2wCbnaionj0FRV8GFXJT8jLBuez9M jXXNhz/AGuAEmpLzbKAeqgXvRuEz7SLIW9c72gV99zl9ZWaJ4a1dQZlkZS9g2C0p3ZDDt+KNXLdq eXJf8fNkDzX2Y5yLsXaq1RRZlITnQgDtHFaVlZ/eFVizNBIXSLlXf0/45eLew/R0xU4M6bLuCuB6 cfReQFOdLvEX9i+IXk/8VsZpt3sc7PwKvTv019oagaftw79SV7zVr1ximw/mrts3ExyfkNlkT2cy 5BRF0TZBFum+PqPdpbuUdnvlLgG5+K9LFVR+/xlI2denVL3N5gDNxgRF1UGU3m2yKFqaDwC0ZfEw PE5xaCdQkrIejYKq4cJCH6WbMyb8H0e4t/8TPRU1GILqGvTF2HmEtyP4tN/MH7/Dxrsv1TnzpDkc UM13pPzSH4zg7Nhe6CHkAjUpDuLqlL6+nG6saqJscBhHfgYhKSP+nFYro1Oe8XhXz2EsKuem19U0 7y2poVhKshxhEM6pl3P0WAj+qOU5EuiqUm3QaUgiarCwakBZmFObAK68oUa0v+8AipuDsAp9KutH YiApuQ+bPOs8cDl9SgBd1ow1l57Qk8fOrAym+bSvGhEfLT258iWa4eLJbPCny7wheE6X2YrHLwr3 We0HIrVRKn7oVxx0KJlP8LDrwViDu48lnHYCjZtGG0jxV9fRGbl+7NAoA78Mok1hnIOQDWF1TXu2 qjL3f8FF59slGK6GXI7voUd6ofBDBDe+1dIAfcLjdmEbX2U2OicEEen9CXnD6HFYLe5Cge6xCc/I RGmV/c/JOGMjB5NCDSNxSxqE19aBPW+pb/ifhRo1Y4sUoOOE48VpUNZgVbklXYaILcRyYUsfWNa3 jDtRDwjZb06ps49qx5KzLqLB6YItxD2xSz0qSpoIekf9shcvvyJQ/Fsaa/5A/kw62Hy1v1lPBpjS eMcLnKhEvCIh4BPYPwgZj7lY0GaSpvZ3WPmxkJNW8/8gSK/U5jb0jkjEt9t37GM7Xw8Re3VOiisH sRL1JHnJtI43P55C9vcnhb9IOU7gI/xubjdy8Tq/EhDlfPdPrhqAnonu4MxaPnhLMNZzlGji10SR GsvLm17x3z0BW9cUlfKUgO2XXVkt00YVHEDlDhNM4LC+Py9OK4GhWg4qF2e8cOslwoD39hVzKWQ8 bUNLNxjxFH+PlijJ+6kNB2OwJYPfKigON4X6ZquWOQlberzwYZXkSL1KitzpRf4D2uvfdLXmqKv6 nUX3DOZH5ef35v3zKaTA8BC40NxobZh/odEn21Mb8FPI3j0ALqi31PecINWDMLOK8SofWF6HHTa+ KEoD1JpW9HGdHs0F6cktsJFFkjpDmmJaHhF6k7ZljkTC5aT2r9S7FQAPJwIiUQlxZ4YUgC9JDPxJ +gx+0IJtbkogkm6TGCXRTG2nt3clBtKBCKGSdiUQ00s+J9QXeR8dGifO4KhyKFzMhkkYtUMUaEqR eYm5BCXqwYSxtHn6/zfisJKVpJIWVG5vswspKcqbcTqUA38Ygoz+Wu4twEBEPwhPI+zEtFcUrSaG J2QBLclddE29y5KMhfG04wojx2KjnNZTAD8wOH5kkypSJoJ/KBCZtxREDW8+lzQiXYDL/vfycV41 J8QsVklQNhaDdkiofGb30/X+HUv43pyDxwL65RlwA8GYTVml5akF+CNe4mHv+ojniYpRG/0p1sKW W3h9qhfwgVjClepP0yTBJsJDeGXWDWVYzNiXOfodHdIJFWovZVCz/2MwGYmOWyR+9ZPwunZyTO6z y8OhE5kFlZQld3IOx1sP4HPxCQQKuWhh6HRyH12D5B9X11ULVAC5bV0Pm3zU9fFU26JKgTbaeAgW +bLU6OrhUpAnttsWKyZk1skUpgZ1xUkEyyCr5ovf0WrWnzY0+B8r7TXy3ouo6mvbzoEbuRqfSgKG FdUBSYonWTUwUlFOI+7pyq7tSWEN27fCi5X4FA0n3gr2N9qaj30PWkghhVtBEUfwyOp1NYP67goy 92Jzg1gTBtTsp+FqAsqlddk6oN5BOlZNhww3D9EOiJsWNGcqU+GbzdkoDnydWsiUli8MhAl0HwsB E10hHwMmMHXV6/a9xESERTUP1oN9TpksETlKSzyWuHHd6rtuXbB5MW9bLeMdNP+XzXpALn2hcnlB EzGz9e3zogT/kR8/rxK29NAPfBJxXUG3i09ONb/HyJo5sUls7HpYdOaDzXb/uBQjmzQ4O4aZfKBK R94rhOtyRuNsTlRBwXmQwg4izbf8Wx/VL8QDs/py/ej7oQW6qk3JQEcSTkky3MabG9/2SDi/Upfy y4CtA64huWmRLbpU0WZeQD/C4DTt0Yo4Oy+xriZBrqLQQKsnYGxAMf8Vx5yNK+5cmCHUj87pmYYb cCA9nNotr4BREE5RdSdeJpmQOWKfWfPH+HjnHkE3cMUHAfDjTZtFiYUCiLxsK2X5ESPL64f5C295 4bP9UUwVCwb/rjXmNu085YzS5EBt/GnEkMmsmmYAB2DAPhFS8rcGiR1ymBF0+QRkWQjxNDiT8KhO /+30M9/jhJfWG2OIPjg3b0rvYPt0aQUY5iT3jDFcKMtQ2feBInsxWQsilx5O61QPiYhiD6+glz4a RBXYQk8M5zZ1hCwcNfFX6mJmgeRHcdjZ65JqjOQhXMFlN7+8kvoIIpF/PC51T8EpTkNmfuIfSTkG EuXQR1M4DuvnYVky2ci7yLfjOZruTXPqUJXs/w5MTfNgEOuyCnrPBKh3ssO3fyDhQTRDWXal9bjf hBXnTT89yJhz8v5mSCXI5OTSGGtL+2xn3seKuJ9yRHTrMAA/1KqD1aSeSqXt+SG8cZGvc3HrEDAY P3epdMSMK/eNtjyg0IQwOMThaK+iJA4rvgvs8Uszt4zjfI0hQVE3F5S/s89upnZjaRE5Nt97qmmg Xie9nmGT4buh2FRzSjbNgRQrpaCK53kZR7amPYR5SiT7xgYjgfmFAHqGRsqm9Z/Es5Az95tif2l5 LENf1U67Kuq5mHV9d2S4PMWXZn0+nN7tucVA0QzwUgTKhGI3jG7MgU8jNciB0VNgwA9vJCWYb8je ADQ+GV/4i5UHAfw4vneA5R7U5hFuGCwPtk7F9AWou6QufQojFSfRXRleG7LVSQ/RhCm9DCLx5RqC f6CdbpmsPSRmBzBjgXi0nByvv7atyfOzIo/BqoLaO6EkoEp7dw+NLn+snMCM6OUmK3DYTverCEZW CPPw1wheMjLkb32jcwgtiQbL7xJTlYbnGcsl6Dbo0Dd0ae31qd5akjzzjinDBThk8I0PiI4WudIn qJvxtqPTDEJmX356cRYizHDQkCVWHBEoTfUtghjtJCTIHM0h4Tew399CfpegJXHK+zbJO4SMX0hE 7CHEAUG76Jl0D7UnfOsUT4h4sWxEVyIGPol49zl8exGoQwoyiDiOXrrZ+5iMB5YRQRW6dMn+A1H+ 5JlDFrrJ4XECG0jo6NEVzqXJVk276DoFVh9RrcnVspSfr+Gby9cXQ4sIgjQp6rYNyDFosWGBoEyN hyAEmCyAQN+lXxeIA1vTKxI/79Kyx1eIU9a26dOefQn9jCjLGLJH/eYyfzqTA0FKMsGfApZCPmj2 gQpauwXk7tUJxatg3cq3wGeVkwZRhuAt/ZD3J9agRXkSSLSNNpMqAJYjjUJZIcxJddJrzhXrTL3a u8gdt18h6coAaVf7tdaX5fqO1CVxlLV7NeO74iNX76resze69wZhoxFmRtfaiQDXv1+++hRbWZvk X3arQfNVAyUptu7nm1cQJSd9tv+DRJvZ2fN7GFpjpjUxNBFHNlDD9HaYE73O4lR3Vdfngw4c6NtE gzh9thX2zAzX3vOSA4VQnenovqII7esv1ncBYTvvKAM1+O4PDjAF0eXPj3zACG048Yx03HHxe7Yc WZHfP/yFr3b2pmWbL9zkiFPiNgh+r2X4iD0owuP+NO38Q0wrhdnTl0Hh99YOhjeparSlcyi/UKAg t0QWlIL7XxljbzgFEZck5CcQwObyxPXStzqEOBpDCoMtgPQ8w8h1/mpaimeWjeV9iSDvMz/X81ZW xCqF8W1wlPR3VmaehmgAJckHYDEO7l8J9bsIdbMAP8JnVzCD7I+k7PQCbsqc2zqYkD9+qQ0B3kGB gBEU1KJCrXsCQMAsQxTxiM3jhg1N3ajXHQPKqXNr8DoDIpOOBXXG00LgxzVhIJEmzlp+7QK9qsxm sSsqL24VtAg/ewHXUbir0Puoktd4Nf8EArdluS1iq0xYuxJmITiqj4DfGteHXQ3rVv9RdktLp7F2 ILhkT3u1/jg2TRXAtuui564hliwUgdyv2QNPkcsEUK3ZDtuqli48E3LtR494nJLr1rlkcKSID6ee vMMO3Xg0/i4DMxvULnrBBBc/djvz8T7EJnxX2buUVaAvzrI2wYi5OvpQaBnsodD26OnVS9QQV4jp esm7iHLkIJgoWsE9Q8oMIIXfn3qQzGeyage57AHP6r0uiuCKTT9VBAktP4THB0mEomxK8LnddhsP 7V0tyN3Apn2uAaL1ld8Yb2EN9adnl5vlaOrxv69pgm7R+sjN3rm6MqshXJDrVxT5oDOsuM71TLZi 0sI2q8Q0ayyyhbU+6mggPmnWS6G5wOT/qVjBc645tgaiyHneAyomAduoIsqOj7Dp0l/CALyJWmOv ucDBZjUEzUeBck+jXv0W+x27KXbHZR9rW20ZFd4VDvgbgRePrxNJXJhXZ/SSoAHwn9cNohoAEO5z eMiFsLdr6rPzkk8N8BbjAK0DDDbqEjsWe3NjRO0oWlazKHPvYBXuAWNsuFZnnt2pOIJ+7Y+kdU8M OagEBipnFkc2KxVEx9ucH21TTgq+HSetPD7wZKxFm4X04pz3Mj+e3iJ57d9IZbnEau0NaRe/Ti65 kJUbPshttdp/7joWTVDn17m+XwxN20unrMbdd2QkQVFUb9QHjxmaZfYz3PhqnXJlNWd7agbCLjk7 bSYdYHP1HhXJPMV2a9dqS09xYzYvbCfD2olZFLNmTObo70JidML5vXcbPvu15c7h2VNM7Amd3Jy7 abt5GVdXt0QNeBUZVPBGN6v0rtmb0zJcy5tObGO7pbYeh5Fb74HdlxFtuytKkuEqt7i4Mbfv6O+A P3hH9LJ/varQLOXygfHJMMPyoFj4j4aC52dI1UqpARmNOosBM5GA1T2MHLRmfqo6XpxJpbuwmWYH aWPVqrYjcKZAk/NDoy9aXifXi+zqjH/X5QqXFqag3AAYSKn7PBkZ/c8D8t1nANncoi085JiW/ydF 4DPMgjMfaoSkNe7OCq4ezCAOURmAf7VqQPDKjieB9U+my1H+CKEUpk/ZAfvyZga1ov/OwXTpQtdn kiCBDeKk/+F3FkTC6KuJwa7HyoU6j0CdOdfID4mImXDMnZLAzNxlp2L9GJmXRUrAbABf0lYYAg39 30ZvSiAVJVBsVQKZtgwB9mwMQ3pOc1ry4Y0ido9NdTM0mktDGiQbdtmy17x9NLDwcovmZv1Kkm7Z fruq1D3YHy2FrmvKkyP9hRtZa60IuRUiZWGuS9XcJPDCGw1JJASNnIbt7ol5culHcvmhYEegzYuN UhP5wydilCLCnzsxhf7EYL94Fe++7ga1bfjkePKfzWtJULt3av7u7heabZWzJG7+TfqrAewJoZ3M XCm4JUYFOwJulvbY1yLuQsQFKN3pMqRWpGIuu7+KfXASJAy++djenbueU2GwpDHwFO2blnsp4NGB K/mUPNMSxOHA6jVAqHgfUrrQMWrKDQeZGFiyZlJJaWvRf5XsabJA+VHXXCKYsRSOmd5tumZTdlya H2tLc/UudWig+pbNJRJXNbArVwDQrU6alFeto/sSyuO1/h6KjI8CNV4BiCWNo8c9ud66WwjZ9z1C bidJofWWfQK0iwb7+fFydd3Dzbc8JCdpR4o0bPDcMV5CHqW/SFMXuvTQOfU3jsqGr+A0hFG9u0Vh gQczyZ+DEtynL2e5cMCbCkRlo8sAb5rNhiXrAhvspJ85MY/dAt3e4ONa5eKwaVFSxzFyE699XzJH OIR5XXDbRmIECQyZHwYyS3P1q8SFt2lqk283MIm3K6KCrR3o/XLk0F9IGTEHLQOb7tD+gnraiNy2 PcKHznPWZsTF2thcnUhcH3t1yhY6V+t9gkkBEWjTYhMiigONwk37EtZGa+Hr2ZXlfA3lFHJ747T9 SjRrsCCkCzIw+4BUAm2yaS5RkFjO3XDilXaXht58W4Ciju2yJ8U74Wg2tk27ha+u6BuCLgmeTeAm brUSxvZUop4YPjy4k13OH/9IIPBx/K6tBG/zKQnWQNw/cVxYEkktGBP43ybxk+IXHJspgLHhRy8o S5GlyRR1gST2Ljh1H6TiXr6LfeqtQvdIjw7Cs+WAp/JLPcOdYBLX37wa9mJpm8qrtavnwP+WYPVu /OcyzZBPKtRV7drlpaJ1Ph6+QnjlHyoss0HiI/aZ2hOi/geoNFnqZrWyUxXmX4cR95+vDagl+6E5 kJCJVskMJ42QDG9X23Uh96QVB97tg47O6FfNvxoibvb06umxppf6OzWkpLVm2CH2/96mPfpYFlxo G9ZLBhB80uIeqYcinREOpr8c/F1PLWfBovwEfr38tCikldE0n32y3YfEhGQ3sjh07GwdDi8EOJws P72jbZp8Ko4htma+gsYI5M8KjptYaoLeclI4+h93Xij7KuDp0NHIRHenz+pUozRQwUl7aMGH468r CJA5S2nIt4dmrb7pJxgLKPY9h5fNW5pMHp8gp+jUwxaV7pSHn0iba6UFdZQG7P/DCJLJz14ZUhnv CAQ9kyfPQS16LWWnkbuZIGhTd6S43odaVHYkeZeV2mLDzVaPh34Zf2Te+z1WGfOzGFtD0I2pFFXO Cixif8unEmle1pmXLZPuIJokCy43DqrIBvj3dPgyqvEqgseQ2+YjoP7hqVMWfmjT7qaeACRfEYN/ Odci3/NrinGUYCFRSBcumw/h4WB30Qww/YNy4gB5jBnuF/QoniDSclgFfOfmxdpl6WTds/FmUBIZ MESS/JvtCutGWmlezjPzUj9iPPgOezsw/UoFroO26sqWKkCxTt6BSjZCI58JLZkvGR6hCEGb89k5 SBeENehWsSN9cUWrhscC3aCK6HpGoiAklvkkQ5gIiykgbIs+Xd5kNoqheXj86fh5dz/2P+RFfXVi e0w81ZtsHBvUrPEDFawn4hz1FLb62zaKGBAmTPOi/w6D2xW2XoFKlUP346eaHmN2AtYseiPsEqop Kut2HzdB7nKMu6/uLhvLtZFWObsnq4XYQMIdtpWdvqudtxN7IJtjh7qt1Vkd8DLKrG+p36SP5ih5 DG2Yps7AUWOLKFegvtQOKQor5xDjKl7dR+BQdQNQGEgf6487cRZ2giD8lRLXnGrezgpsOMZdzV1A XioW64UrE5LO2DkSUzAeH94jycp3rZ1mZpk3xT0qRPRRnXKREDiAuK2qJZ44ckyLzS3TcGxTOTS7 KZldkdnr03xkciMJ+Iv0GGYxcAbwKJQF6Jtxu3MOVxkA1pML7JXHl/D1yZCziN1KV/F86QOmUQlB 70VPIK7MM/NDYP16/LDuvzThkdv034pRN0dnBwY/UeS5ZZXjDuBMVQd6MslOQliUIPPjbBe/9l/s zNCKfQW0CxamuYjfZ5JkkAgtqeeQnEjnT2ZMfv8HF1VdImjCjq2IyW4GFJRfotEfjwCpUCMcA0AD EXES9TCav7KDz9vPA2HjyAyAFmWGQmoSWn9FjMG4mY78zXGVcG73s6RS7oC7uuzGWGzibt6X7mAR 0NSToyHQidzqCH4iF5cUfEY/ybe+1KIpzM4GQqmOLf+Hz6oxhPAzHClZvS9IdaIdk7jicZEklOjv 8X73gOD2wyZXQWYFCpvSI+RqxAb9f8amGuaLwwXmHyXRLIx85yOcEAq2JhQG5eGFDZqMFPSTwlkv ID0NRydB31g8FHKBsAiGm9i9CGEfq9Eq6JpPb4BqS+03Q0VIrkggTTI3bqbyZJhrwbET/pgX4YR+ XsnJ+UATl056xT8LhUg2xgwNsV5HnTnBYdQOYthhUcMCudm94TrkEsZkPR0If8e9wa+Z0stekSRs RiFMJCgm0WQ+CglsfVKAx2cAQoaLvp/mOrLBTStzitpD/Kg3hU1MAyqkr6WVfLe24sxlAwuvXMHa v64sJdz2q6uoQOfPjcEF/OkU/bdVeHcw0+4wyHeoKvKhHhNVMt6PMA3St8cddu4+6WqfGb9tXi0x bNGsWAu9UH9dJrHx8KfxskDW8uwLnyDuit3Far5OmKbmcNq82cDkhujFUc06fDBpVqknDYpXPp+x jGsG6CQnc4vbMQ2X3vg+V23tPWs46b+6ygpgvQtbtNMkYkCsfYZirWPxTGL4dg21PDjQeDm6jxjV JD7cmWunhniOJCdRhDZSEMwVx6uX1peTFr72bwkPt03kMP1pP/TEnI9Lbgx9FYJH6i4BN5680P3t EZov7gygYnAgsshNrpZl2LXDiXHHkP+f8rZ9WdekqEbNfIoAocdLE17CMddF1GQRJQIxs4XR8V2J NeH7defNCRlxEUaOd5vUtEafjPUAbbJID3VqdJQQmeZwlY4WdLXGtbhYw6a/ayUnCWisDsbI+7XT 3ZURaTlZJIVwUKxYntzF+Bdu2ZAqGl5k8s8D7vUhjZr8hEGvwj42yZor3lMMbDXebthPMmF/NRXq LOugSvf0Mhbxk6XWYb+5MJbDWpsE2ivOwshyJ2ufcLb4ahMIbkBnkll1qy+Btz6JynWIIMu/44gI QKcvmW/Hh6cTIZUUAmoGM26dEC2w5p98sQ5LvEA/jkPRZC2bx0RXDw2QYm0KXPpZHw1TRWk+5ICb KIeVDi/0xhoaa98BqUziINq2j6AhJzjzhnyZ139E6WUnnI99C22gt2oRZBfAp9rcieNPFEdyJ101 BvKkBbP8zvAK77gE8zYdfKcHFxfU0zoD6A8DCpsyi8Gtj1ybwZf3IsXtHJ/8dcAB7AyIjlcbaZVA CAC6rssAZ8cyEkmlE84jyU712Ywef+stenqdrmCmITTcIDslUFF7VYomsbR05uRbeXbAT/bhmv3u vqeWAmIcKwNgB5WLdy0r3ld+56O9Qjg6BVHKOTrP3SEK/w70EvbxTVOmo2eQ7m5OZDp1o87op1P+ wXjDen9r63qYIbrVckblCaC21GG/jG06BKQrSgQYk635hVSountBVQQN2CkTsIJKkdehJ0q5XfC4 J70k4+hk8K0HzDleqNknMIgv6kgPS/t5lit7FQNx7AEtGdGdGmMEK7bOaVyLmDok/z87FkqSLMxu JgwG9YvKp2RJdzOKGMlpS1oL0VIyKTlSK0TwAC74CEK2ULGCkO1ni9MFimvcxMNClOyuJYUjnKFO oM7CRm5SR04jcxaphXE90mvPt3lXWuYYKk+dhW+j7IDf4j0cUyMIlxcEuMspeJ2sBCv2BR47RvZV xx+W/rLt67bbXuRighOVdI3/DCijbdpgO+USmBLRbn7S+MjwxqiZLvt4X6iWzkskWI/HTnFe/z+V 3LOrNg3ptALF5tZVDQKKaXAQ6UhH3iANtdVfkPFNpuQLWIeuFreJjbIZ+QuBz1XCIwXjUUbKT7fH GIynjqvvUIAGFcFMSNV1fWNZ5Mq0vZ9IxUIaCeFEYTcOn0LnTd76ACs7Gt9CRHNA6SpiRe8bZf+z 5TrpRuaUXGmoZlN1MDkM5SRRPlaWSE3HmDWPPwBX0TV9a7PqUohs5GPboPb+OUvzA+d2bRg5GFMp xDML8MQRh57eeg92JKeiQ9KuyIxdJGvvA6P6pO5eIcs/wg+6uFhm+kDjojF8wqTqZ7GRQA4bMXaa H8anQ9xbyDAEQWSAIwkZTJDMwnJ4WlMuieggPooAKPVF2NirAUs2l1u0KmrdAoK0hh/xgyYwZqlJ TViF3QCflQt7IqrwhK2YykVzaAu8GhDA2w74LsOAlji7ZLrM+mc8A0db/UW1SLHA2OvnB/oTQb+z CBpRRlaatxG64hXFA5yKL4mBZa/rVmD89IG1mT1fD+8ZMJyTKkku9P2TS4gbDwGBSqY4qY6/Kd86 8DGhU4CE8YXNSB47HLnZVtuEMeemMzC/9WH7prXmSJSWoD4vpsO3/FGd32PX03LQPZZWcI0nIrEM pBGaeFqOo2v/COdDaRzh82BjEFHaAivy6xWp65/R8usHifcjLNIUosM9QnFKs+DD3YqCR5DRR6F0 6belvxkW8fStYbTOKx6v4BZWdKPWQidlSWjm7MH1Sp9BsbDvet3UfozoQEyt/4w392XOFUhJ3qGe s2q7MCDVrZrvEO0SGWjW6f4CRVLPl+59s8lD1JIoJna8TvS4HF6UStMk1fsmNdwoMNSQxsiTOK9L rA3jvR9MaJwwJe2zeQd7DwvJLsd5PofWpxLANX0bEI/zxdcv5qlxZo4C8EZ2OnwgpjsxhyNwlBu0 qNWFaLlNmZTssQ+5JoyEhB8wVUFVRheKhWG/iq7TsGU1+AeX+crsPIILr78a53io4swIK46YECWc PxiSyn1nDgsqME5w5EttimpUSpDe2IoPrCTmjloiU36tyHbX/6FQgxajfIpY5Ma2vz5BcHbNvTQi dFdEDQk+PzWwZkXB+xTDPXNY2YOFl7BUntwaGNUgmVZD0KwFwAAqBZ1KUDEKi+B+HuN4dqijZIh5 gyI/KqL7JmYNk9H37wovIRuSevLosK+64SEkPb/YjGDndO3lXRKd1kqf1y+m4y06+jLDuMf5sYTw r/EbW6ctWPCzC26ZyR46mPIOa+Z1x7zkWBFI2HINS9eNBcd7BLupAN9WqG0le9hk6SCGmv0QRBd3 uEWDfo7J1QLKgkF10ro0GE9uZ6eHTXB+JP246ytZkQATQcjyS6EY2Gs5VBjNvNZck8rHjo4Qmz9z Ea4bY3q2WTjEC0tZdJcOn0MqkxA3T8qSamB+LAtCrb7RgB2i4t53iPOPvQiXE7RZ0b00G+KqC/oB gXSJA4nSZzFtR/tmliY01HfX6IUhTiPBSD2zYfOelb+dFYhyNY2+8b4xPfEiNd7igj1FpVULEd8V kDp1wwyt29BbJZgPzOBzuwpDOlIVlOonPSfMr3t+I0XEvKEtZhCJYoB7UtFJZqIavKXfTsGgXvIw z3H4tfQsC1du6XQq+AlvxVW5LB+7fa/BsLo0lMuBeC79VX205GDdViX00UHOddNniHVZGz++JLD3 ZYX5nGFWiSizlh4Y+erqO9guZyJahIcYnp22YvyFvKX0Ut8dBlVrWoLZ0YiVZi0JY4RRIJ1fKfoX tQEGQiVyi4VDtvnGpoobobwdkC0mB/aXzODCqLZwvR20umNOifmhyPJ3PX4KA0pKNXf+pryCM6as azB6Aq8hr/OcXzHXGrlvdaKOa/zpojxs9icIX/ra8cmkAS53YEplttvWotZnqfrL28z8ptn9jyvn s01+cXo5aqSQGkM63LvXQUbSeqwi3VQ5VmDNp5Bbans/McTxefN/Jnx6u/OwTPN70FKlu0UhlUPr j8x+bhzGRnAhT9E+ksF0heu4maxJ058MDz+Q+hNs1rV6igF0Q8Ht1jMQfUKvqIzoaSdzvUwTUkEi o0pO5pKKR8Vp106frAvUT85nF8gVBU56n8vpUswY6mmXowX0VFXeR+0TY53dRsJfP7uYt3UsIxEy ClLWTIWC/z0xR6Svs2EzabF8Sbs2sJLYbweEU/wryZ09U//GGC+stHoJ41SKyGdiubFOBDWjUR36 jpBk/gnOT3IwPvvdqR2DUuDLDbNfm9Ki9ZI5E1ZLHAA1VrfI5LsKk6eQo0nYLtZTlu2KrpWha6e2 bRTGlQyLK1s0OtHImXazgyit8tDIPSZMg/fjWyn44eT4UUnP4emaXSW3t0zSZ7M/+YyLNUk+HJWu O9lZPovRYcXTjBxeiSmEd4yI0a66NZpl4xIL784I4B//0s3Q7WJLhYwl0xxmao7MoSy0VizCYin2 bt/W0P/qcmyjw6vFzZwX2m7InhOvxdJpLMya6cL6Q+IRFR/tNba+jo66ZJozeNwuMQ7kxN3kJ4Zz zgsin44bou8C1yyXthutIkG8oIkSOGso2kMmHXeQhaHO/a1vBHJ5zAAx/KzwDwc6kx6aMkDys6Vw 68ZqBaqWX4VE7IJHRHwmC+jlpGT8Uij9fO4HoiYJSvXkI/bjudpx9gd+YcWXKIEjVly3kBDEWcZN Ol24tlSSHyx/eHdNFrSgGtbaLcKff62+IalQaOC/eQoCGa9yMHAvkOXr52LVJ8R6oZwP6msShyOV qcBrr71zK+nmmMjueD2QzySw56MSYoyyD5f2GPtglCgDKXexk8X6u/Fa782WitBqa9S8OidiNYLt ZyGSNL/TAKzKbIrO2oP5YMygh7BRpKQEtKXKgS4miaDKmdta9nJQoqZyo0/+muNeoZfdR3CcbwdU +MObM5CtvE7ykbbHBzN6pXY8J7+T3X6fCxVlatUCvdszXopxhSx1NiV4FQESHm9CehBveK6EcNVB 8JEHsz0rnDCz3V2gmuGtqTDD7PaedM/P5866yL003TruV57HY6Y2JfICmIOds4LUV6mn03cAcJ87 Y6tiTz8rnn8wKX7v8lF+9kztWL2nzaO5fw0GWuET7tlo0kTYp4LSX8rqy+0xoSxQVC84QhQEVPsC T2cQAgdciuIXCo70EoU+HlIkLRvOVb0QXrf7JuVTNw8NZUdHNZeHl81IcCPJMwcPou7De7RY5HvS O5Xz/2DuUyJBRTeI8m1DcUGqeeQZ3sGdw0YI+Vzn1+QHVRd4mq8Lr6tD7EAxZ31ixsgHM4G0B1W6 wLtuHCGZKTHbqs+kf8zmYqmquWFzLSXm951GuvUVoJW2KrLepy1k0xLkOqqGFynjgKtuyBMr7QS+ vQ6bjhcCIKIWgTFboWkFZykdnfymiMwHI0Y6PCdm4d6UlUmCQ+Q+a5OcIr1lEOlzjrv6HZ7Dubm3 KALpb5hWdOw+rMB5Ijl1IN4QtepEQaus/LZSPz2R3PzOXx06macCwOnCS7LZAHIWFNrac7PkSB5/ teTWUZ+rn4Wvtsof3jTOwQTivr5LQKxG0KjUHUYCcqmRXw+tWD4UgFakEo1jOUaIsrSo0XNYF2Jm LPE3BFMYQctebv9a5AfpsHFoD6TUpLYNg2RooP5fMsj7E1G9IVA3YSBCKgZrWYrmrXKHfhpAn8/I Va/56p8IjX0GOwoNFE5GFwR3xEXiVMD9OCM6gKVeK6yd+yLkYFCshJTFwN5eThIl/06mCW1mmRwG +aZLH0mQxB3HwS2/cP8F/S/mZRGjhZ7S4xbJgMy9tO+tri7rw/Yi5ggRffaJSaNxIKrLKZKaanT2 SM8AGFmE+elYfR/pJ+xzisrRsn0fbNsh26yDHCjnN9/09n1u8G1XU5RJL7M6W2MyEU/kTHtrCPgv s1Iijx4d0OuyVI+zoLc47KlDtTeyxoZj28gQHlQBWwHTNtKBE0TsIe2XS6LtR8tSkcRbjuv/mmsC REGmnsCnfY35apT2Jno3npmGHnUy/VUU67bvdJIm9HTMQJA88JlX5Vg6WKM3VcjNhAMjb+9c+R26 EGR3Gfwb72inCn9WcbihLndL94ldAy1HEVG63vrYxXkmewdj7yQO7m1afv2SwybcUBnWRwzUi2ak /IPvL++0ky4MXGGC2ZHsATXX0255gLtYI6tsnxmq+cV2t7Prigf/PBi0/fIrGj+qeDVIF6uxLqJX LQfdYX9uBFG2JaUj1nV+6TOBW4ffSIdGoQSwI0URB64lqvT0Z2aA0BtrSMn5V4C5el3oGiTbpfxk ywlSZq42fF3JkmuFx62MCq+FblfrGjMs8x8o8WwDqIgEKPJWGyUJvMleeMfBbpvmt47sqNT977ac iRJ9t7bmDgA3L9PRKpP8UvrCZ/ZNVJxa0L4q2sMUfvH2/Srk3t3Gj6QYRIGTJ6GAROhNhkuhnRal dcySsHkwcsuqH+PHPO4NtIy3jQoR7uPRNCN5xb+KNGwt6S7U05XsWYpDLGyQAgEMLR2zw4kDPAzB 7ZyE8tkKC1u36YO8ij8UWpRJn3fUyjx2M8N5DA15yAXJ7S+PSewbKEgzs2krPhblQdCKSQUUyZm+ WCZ7FyRuGqq90hhmQfbZmfg4n11Vl9J6FIaaCJ43gurqQD6/b72MEzwOs1qnv+5xMoUJf6U8SS2Q AQLdpMR8FeL8sxFiIgNeYDf5f8AcSmaLJI0vOdms9/NsDsvlyWTC4HR0WUtyIXBHpY9pnnbe8UbU FOmluUmuFKJg+Sw0lARGq5L8D727XG2W5KE0SrdWyx1zmNINvFcXcsXvgHbAQrgefJQkas5Pv5ca s0xpnLQMvucMYTyxLYb2SnDKtS6qmEXUfuxfGOBYlBok/cPUWf45pFDxeQF/sVG16fcw4D+UfNVC rkQlzr0enc5n5jlwoL8vi49aGMdukd4gbO+SdW7GOxJlB1u1OH6yLAcjyRo0i5Zx/nLm7bjoXphX k+B1GpAkPVSvuCpRYIa3dWi7089E4ir5NtFQQW2WTqD20ZyNM/TyDCY76EIDzVPrMAXeQ/AqxpZJ bvfVCVaCK01Z9Frh8N+aO+FLCAJlTAmWOi8+Yw8JkizYQVJXft91QjMbiWBfGykjFvSpV8ygIN1n ZGgsf/OuiGrmbiVsqabdc2nrevIhadi2y/XQ6gmwerSbf2DYLP7rpyFHaMyawtoKKt8xFbn75VW+ ykUD2tLr4PVBtSkg18DxyuUNkb48ayKoXP6JQYdfapR/UB+rIjN62Z4TtsOOXeUieaNIuWnK6hJb 7h7IPo+NVhaFAHGRZ8jo/2Ri6JTosNlKqTXAaXofJj1Xcxx15K0zyiAItf8VoHeMD7qGETS9YN8i WD00V00nGbTv/NFsChttMI3rxzPY806Pk9s2Svq4vL2QMqb6dnu1d/KSMnq62pw3P/34r12BburV jZUWd6YYO2kbRndTMi6z1DsIlgA2+JtNHtoUFfz8hT3AB7rc/0K3LeNzYgpoCPvidADuZrF7LxFK v/snnenwaQCF27AbWFCI9e1nQfJFaBYBOy5dtfWfe9fIRoN5e/f92hHCpdFRukb9iggipndi3Nnk f7lsMxg+h0wpVuT6C3h+G7TTJ6K9V6yxqKx2kCbajpkhmzjzNp5ER4JhIgVyiWW4MZ1afXC9oHWM jWAOVLpxHnoBc759SJ7bWRELYo5RiyBDcNtbhkDAsQyfqn9erIBmFBUPMYCuhGZv3Uahq/UNNgom cf0UDz3sr1aqs/lWZhOvaqXqqxiDQKzcDM+BGRatdHM295qNBhefFrueZsDKOSlhjPZckEdokdzp b8k2f+xfxppEpT2NB8IEzoA77vA9cECdrWGWp9IGMCHkpwMpV6o2w10thS7gA4lu4MAS9FF8X/g4 x4vdBzPojQlOXR+C9aVsUsHhKSFNvWtPWOrcy0lVNm6eAYzHYGxbFPu1tGOcEmHWyyvy9ismkeY+ 1fZy61LnjkEP7SSEm3PVN43ooXNQ0xG6kpJUGjrL9xf5ZnbfAO1dlmqV2O+Ec+jhgx4HjvPsLRvX U8nc7ITsW6aAnAEpf+ixcvcSgRicuTgsmpV5q1vRo4ljaAFQYo9rcCnDJ6RDYLOtyHk+q1dgqw67 fCtK9WJqp0KXTyGzESzuLNA4WXBJnyIN9vUCO664GHmc11Om8dCln24ThcpPSBB17a1a6GKG3vuP K1n8oW2n8gkmDSiKjHKar7ORDT1Lk7S6kAMsrR7De4C+D2Mjaw2eb8c45ujXw2tKnCcSdBulty8o mNFIUPU8to1edzFT3JKXcuPx4HkrVwC4ePcEogRLOZp5DEg9DT8gFKmJKs1Oq7ACnazjIJcYjeGp vFKPC3NYH0AGQ+1b/HUtAEKpXhx6pnune95YPZFiAmXieTBkGpGkfFBQVW6fhwT2nz9XgAnAufd8 lkMWNlflHjQHRpfRcBsGBd/QU/Yjjzuw7z/l5gAvGJ9oMdrEc0KEvMgh5z7VNFQ8PAhybSB24Lpj g1fLvNvkL2yWUkom9K5QvmIhqZpqdbK18iEaR/s5efYUQE+moMqG2veG8lFCu5IHm/M2QX/GqmTC JUEeT7WD9a9OkF3OLQUN6za/E+hJTNtAGCJ3YUo+Xg/efFOBJ7i5400yaDqia3MomBNDBnAgWePi 4sl0MHhJUpVLjn0Ybh+fIYYoE3d8jIku2GUYpmRBatVMI40UGDvd8BZsLBvexM8hlZk/7KKQvpiS iQbFSL9Ul5LWtYm89ZvtJMRcO/e1V1MNg3ETV2iK2NDeu/ggcIhwoMqstvvQGs6Tb1FZ4hYngxWp 0LCzgHJk/No4tQri18rK5KiLRsHKih/Qk/KwbppS4Wa8LmJlvCagGhCKLNUqf8h6DWxb0t9t9+oe 16Nsd7F5Ks39SxNdm/lMCvYEOxg2mhZUJzR7Sx0Rw6YOCQfrwgCaDung3C1K/DY8gHubBhszk/9J y7iYXjUyfxqDJBRaW1wZyv5QXiqgy1JbeqgAU6GellEWi3P//grXrF26oPTECk9GqwBMaOHyKYsA krw05DOe9h74CRCISwiY7w3J5ADuGZrFKtxohB01iLnjJI33Ys1SMcb9AuGRLsFSnulSl3sBaoUw mRYscinqEqBzvQm26Bqi8QVaPNcZ7+49qSa6fCuf7JfygP6SuqQHPY9Ehl/1GmU3Vo3ye28u `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/proc_common_v4_0/hdl/src/vhdl/pselect_f.vhd
15
12529
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/dynshreg_f.vhd
15
15946
------------------------------------------------------------------------------- -- $Id: dynshreg_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 06/07/15 -- ^^^^^^ -- -XST was observed in some cases to produce a suboptimal implementation when -- the depth, C_DEPTH, is a power of two and less than the native depth -- of the SRL. Now a structural implementation is used for these cases. -- (The particular case where a problem was found was for C_DEPTH=4 and -- C_FAMILY="virtex5". In this case, rather than use an SRL, XST -- made an implementation out of discrete FFs and LUTs.) -- -Added Description. -- ~~~~~~ -- FLO 07/12/12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; entity dynshreg_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_f; library proc_common_v4_0; use proc_common_v4_0.family_support.all; library unisim; use unisim.all; -- Make unisim entities available for default binding. architecture behavioral of dynshreg_f is constant K_FAMILY : families_type := str2fam(C_FAMILY); -- constant W32 : boolean := supported(K_FAMILY, u_SRLC32E) and (C_DEPTH > 16 or not supported(K_FAMILY, u_SRL16E)); constant W16 : boolean := supported(K_FAMILY, u_SRLC16E) and not W32; -- XST faster if these two constants are declared here -- instead of in STRUCTURAL_A_GEN. (I.25) -- function power_of_2(n: positive) return boolean is variable i: positive := 1; begin while n > i loop i := i*2; end loop; return n = i; end power_of_2; -- constant USE_INFERRED : boolean := ( power_of_2(C_DEPTH) and ( (W16 and C_DEPTH >= 16) or (W32 and C_DEPTH >= 32) ) ) or (not W32 and not W16); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). constant USE_STRUCTURAL_A : boolean := not USE_INFERRED; function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component SRLC16E generic ( INIT : bit_vector := X"0000" ); port ( Q : out STD_ULOGIC; Q15 : out STD_ULOGIC; A0 : in STD_ULOGIC; A1 : in STD_ULOGIC; A2 : in STD_ULOGIC; A3 : in STD_ULOGIC; CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; component SRLC32E generic ( INIT : bit_vector := X"00000000" ); port ( Q : out STD_ULOGIC; Q31 : out STD_ULOGIC; A : in STD_LOGIC_VECTOR (4 downto 0); CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; begin ---( STRUCTURAL_A_GEN : if USE_STRUCTURAL_A = true generate type bo2na_type is array(boolean) of natural; constant bo2na : bo2na_type := (false => 0, true => 1); constant BPSRL : natural := bo2na(W16)*16 + bo2na(W32)*32; -- Bits per SRL constant BTASRL : natural := clog2(BPSRL); -- Bits To Address SRL constant NUM_SRLS_DEEP : natural := (C_DEPTH + BPSRL-1)/BPSRL; constant ADDR_BITS : integer := Addr'length; signal dynshreg_addr : std_logic_vector(ADDR_BITS-1 downto 0); signal cascade_sigs : std_logic_vector(0 to C_DWIDTH*(NUM_SRLS_DEEP+1) - 1); -- The data signals at the inputs and daisy-chain outputs of SRLs. -- The last signal of each cascade is not used. -- signal q_sigs : std_logic_vector(0 to C_DWIDTH*NUM_SRLS_DEEP - 1); -- The data signals at the addressble outputs of SRLs. ---)( begin DIN_TO_CASCADE_GEN : for i in 0 to C_DWIDTH-1 generate cascade_sigs(i*(NUM_SRLS_DEEP+1)) <= Din(i); end generate; dynshreg_addr(ADDR_BITS-1 downto 0) <= Addr(0 to ADDR_BITS-1); BIT_OF_WIDTH_GEN : for i in 0 to C_DWIDTH-1 generate CASCADES_GEN : for j in 0 to NUM_SRLS_DEEP-1 generate signal srl_addr: std_logic_vector(4 downto 0); begin -- Here we form the address for the SRL elements. This is just -- the corresponding low-order bits of dynshreg_addr but we -- also handle the case where we have to zero-pad to the left -- a dynshreg_addr that is smaller than the SRL address port. SRL_ADDR_LO_GEN : for i in 0 to min(ADDR_BITS-1,4) generate srl_addr(i) <= dynshreg_addr(i); end generate; SRL_ADDR_HI_GEN : for i in min(ADDR_BITS-1,4)+1 to 4 generate srl_addr(i) <= '0'; end generate; W16_GEN : if W16 generate SRLC16E_I : component SRLC16E port map ( Q => q_sigs(j + i*NUM_SRLS_DEEP), Q15 => cascade_sigs(j+1 + i*(NUM_SRLS_DEEP+1)), A0 => srl_addr(0), A1 => srl_addr(1), A2 => srl_addr(2), A3 => srl_addr(3), CE => Clken, Clk => Clk, D => cascade_sigs(j + i*(NUM_SRLS_DEEP+1)) ) ; end generate; W32_GEN : if W32 generate begin SRLC32E_I : component SRLC32E port map ( Q => q_sigs(j + i*NUM_SRLS_DEEP), Q31 => cascade_sigs(j+1 + i*(NUM_SRLS_DEEP+1)), A => srl_addr(4 downto 0), CE => Clken, Clk => Clk, D => cascade_sigs(j + i*(NUM_SRLS_DEEP+1)) ) ; end generate; end generate CASCADES_GEN; end generate BIT_OF_WIDTH_GEN; ---------------------------------------------------------------------------- -- Generate a MUXFn structure to select the proper SRL -- as the output of each shift register. ---------------------------------------------------------------------------- SINGLE_SRL_GEN : if NUM_SRLS_DEEP = 1 generate Dout <= q_sigs; end generate; -- MULTI_SRL_GEN : if NUM_SRLS_DEEP > 1 generate PER_BIT_GEN : for i in 0 to C_DWIDTH-1 generate begin MUXF_STRUCT_I0 : entity proc_common_v4_0.muxf_struct_f generic map ( C_START_LEVEL => native_lut_size(fam => K_FAMILY, no_lut_return_val => 10000), -- Artificially high value for C_START_LEVEL when no LUT is -- supported will cause muxf_struct_f to default to inferred -- multiplexers. C_NUM_INPUTS => NUM_SRLS_DEEP, C_FAMILY => C_FAMILY ) port map ( O => Dout(i), Iv => q_sigs(i * (NUM_SRLS_DEEP) to (i+1) * (NUM_SRLS_DEEP) - 1), Sel => dynshreg_addr(ADDR_BITS-1 downto BTASRL) --Bits To Addr SRL ) ; end generate; end generate; end generate STRUCTURAL_A_GEN; ---) ---( INFERRED_GEN : if USE_INFERRED = true generate type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); signal data: dataType; begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral; ---)
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/rd_logic.vhd
19
48192
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DZrqnYwqMkKoBvgXgaWSB1Gvc9B94Zr8xHWYvXS3Yo2in98iiVsrSf1RUePWKa7hVSyhM66u+GP8 6zam55ovJA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block paoR3khjnzY7oR+WJ9YkW1A7ZzfFLvvVEXiP81AieLlGnfQuqZTzy9TqIBQ7d7KWJF2u8/GBJ9gB S/XHVoSTyo6Jte9XVVsqnnFiHxvEAnWbM2e9+Vyqd/Q/lFB3TCGyLNKIFNdGxyml1xea2Gq/DUf6 P6PVaPylNEwivSbuc64= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IuseMdZSknnKUME+O/YmMG9MKbslcWjYg4y9t234jonRTsM/8uUOZLlJPdAz0Ojsb7gi8Afg71RU Er0Jr7fpQJ8YMMDdLQ9qwRqf4zAR9ZhntG7zWMIroK9jxtC2bvBKKArJREVpkzOWU1g2+f7dJ4FH ubSzqp/ur3VRiEL9rSTe80jSph04B3Z7vLg49YvLUGmYKlwP09xV4/46qike4zQtuofkQ8/u3jTv rlLcM6RtgeLWfD/CY/EWIIuhTxeQiucCqPyYilV1cA55FNKfdMv57PsY4PVV/CwLFMYY9INUTcQ5 vlvEZIaCBXiBH5TWThAkm9erewSr/bL5DW9PTw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cyY5ZPlO3Eo0cmsRtMR6yuz2Eu2e6S2W/D+8CcC8VsHPfbx1fHUAOMrMRz8rOeXuKPOa7h1hSFcJ XZ1TcAU5VIvCkM11jW1o53hK8qachmkkZZnfj8JtjstmyVTyWri5LmUnPYRufwJmQUQ0xqMJytkR VTqDp0ZVnyDWp2/qKN0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WAcKeockg4TPNpKWNqCVvf1P8zBdM0HIqALOQnRkxsC2RA2Dy+P+XMiOG7cG04xrgm5iFejfnqcO 5lDRzw1y2vm9IxrTgVR8u92CBfbBU5si2daX0ciu3+tUaMvbyjjRBHmWEJd/+ZgwpEBd4jKx2KQp YmRUDFYL5WDDgF6aGgbY7bniF7p7fSFQgxz06UbHJt/aNGcXnfge+DPA60LgmbiAZYAbqv+bSmqg gA91XQkI7oyEKtZ35D6ZzgJ25i0EzUAy/u4ctGTC1xnExC071TQUx8Fakynqcki4h3cwrvs6RbsQ 1XULS0sNZpYYdAavNOXALBW23U6uD7bNRcfAog== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33936) `protect data_block VOLhYhIKkXWjetLUjE5rW5DQXkpxt+F3F7mQn6k5W7YbdJ7D0Azpu3IcXaUiginkg1B5Th4HqWU7 osBSHKIuNQ9LD4/HNdyzIs2o3fqQ1P+qXRvablpy2UjG9YhuDEnW9OgVmv9f5La6dVTzEZojW2oE Jw9vS5wgzsBmg2AZjsVx3HbrZyjWXSjhihmuDmrZTJMaKDStk/4XJc+tznIH+sK8G8yeA8jtlQ7U HmT67xWdPf03iaiItCELiOLHHqlolMWUU2Ubq1E2XbrTQjP69rfk9bFHAqohuchDXshcW1rpTVcd MoM/EB9OGgGNWyHGQXg4uI5PDl5U1zW04EZvtKGgMMqDo2d3B4XIZbubk7UOE1twoeqX+iIEzhQb d42uk2oTeemZqxC+THqnNLCA14SDW2x6Kix1J7DqT59W1+KO0M42BfAEWO/4QAXclvgJHR7WiWy2 cgptGj7rDcxjbKbRvSiJ/UljMcbRcULenLv3Gtxylj+0RIMghWJK48W+RIqOZRZbE7rFLcFlb4KZ T/qWXpDzxYdGc5H3CivxzRbMZuYXgxBVEdgEwVzGVZgDlvGfTN++GnoI0AK6ukBztxJhIllLYeAd zutmH/rjrM72X4xngomKftDSWQ7MrBuIf3gIMfXXG31trMiXyNjt7JLVc36vf8GCGMu/F5Q3zUQs 2OlRMpgTg4heUkK3UZGWY117sVeLFfr6wk6losKqPD/QMOLup7xwaC8uk5jBlQaw9QkLTGhJoEdG NiAYraxoDirUYQaOE50v5vUqOXwdaSVfEp7WrsES3fVPZ3d/42yAidFBfK+B5gOg41GNOWcQU0rc Lu9WmkFuGibg+298643vbMjc4juikAunkj7krOUVg+KdcjfSrI8AZevM37uTWy30I3nQcfPCg0tw 6vFU1RDfa3DzblYRXmKlqDcau/LKTwkr9bPIN2krQnel/5wNa72oTWe9ciUL2c8DmjLs3zgjiOvI Ad7po2TP8ebwAplXkib57pWIY+pxqjEsDjSWyu33y5nQGR8pHeOn8iwivdRF29d4CUVqZBWpb8zC 4fXj5SwvtKnvT6v9OT8M0YSH5s55f2//a7BNio9PePo5CebHteSUVjXDSOuC1HSuT41elJ6M8YW0 B3gzDe9/X/8Ay3YYyQ1g1HYgU4kQKwuWSCxb35FGSpRCuMht1INf50pqE0+hazgN1s9Y2KL1iU5K C31mz8uoe9c3C3hjFkvhOw4t+pHAgULRfsmG0DeI3DOhsxnsP0fu33+7Pakv0w1jakB62mjEv51b c5XjoRbM6hx+EPfF0Y34iz4g0Cymdi9mBIOJIXGpLeOvHDpm0UXLS2I0Sbvvgoc6gpkwhSS4WKRr cvW/gSFR9SjY9WbQdSG7/S31Ajy/+3arxU0YG0R8QHIf3TRcMyDYci1LqYw2NtGOylEwpqVP9DI0 9SW/132zv7ciMCt+0kNhFQPuOzIEQdJ6iQmyESYs0PJ7hhdfYLN5KRqILQicbFh3AK2YGET+koHI mThTPugQ2GiyoziMq1/dHLtDHvlnCqYw7TQQPdtPFfRtqMofqKdPWbMZWH0arVJWvjiyUL2215oV c2+W2Yj8RmjiEi3LH8ToszBBxL52Rtk0pCj7C1qsKnCm8nDBHdmBuqiskjo/MSswALP9k/VlTpJ2 c8UvqfYq0mLUi4vua63BoWIOXkROxAS9bUI4LZ+U3Z0+2ohV5xeqQtDqsjUocDq7G1lEMv03gfHO YydiyvJjk+lcVtpTUs2QS1B1tco6tnV6xzmimjuRBAymv8F6hV3Wwdd1qxYxmYmyptXvKv9vR+fk isKaCMXjI2AkZcsmbYrf6LM90DqpkDD+hqyswhPxSm8MeTVq9KGLlUnvzduriJLNtxPS4DiXRmZ6 o/44ZJauPW6B38LMhzeZa37Bpot20wY/OfUgL7EA/KiX3ce8Fs42IgzUiTa5xDc9XQEkLsV0rc/+ hwBqUR327bKXnTug8yVhICQU3CbdsOlbCvxFxDu3rFQ0pRM0PJdDgp5hH2oOBbQ71fe/r1Nhmuoy FPjxkq0buqLHVRypTSLBIcwWZzKzPT7+8Jodm1gNFPoZB0wlLCdGU9dXyR1zKCDYg/RMGQdFWLmd 04tOMIlSPpOaxt9h92yfBvGFNC9BJPLvZPPzIuA1D0GS4a0LLPVMy+qp+44Zas/wj+CgBWCZAdMZ lnCJHPr1PkSVNw7o/YixDIKjh3r7hkKbeiEo0qGLemNMMdcj0Fqk2lSh5nEk6cfzApwbkMtqmxCO kPsDa3xX2LKfTeIHKoKOny5rECp8wJcUDegetyzvLSuGD24QIdaYzXjrtPumMDPNUhQgZjzf9YbT Q8qFjcU6nJ/c/rhSfFSgA9UHQjcMBeIDkk7x4GjUob6Po5zII/4m/Kx+QmeBz/D8TYFuO7UfqyXK ob28G53il0xBFoLXMr2DMrEA5ZhVS6O4NT2QhXupLII5KxUtTNqMgkBWgVf3k9s+o3RsOyR+n7Cq HHbU4HJGDun2nxN6xzQlbqpBq+SZLb4bgD4hHNx3DTrYZGFrw64qiS0iuOU6GCytn6Sq5Pl78KMf icV4V7ZdyDK77zIoQI0Q5IXz5TfB6BtyYHz3rB55suWbt+iXXSxyUauwB3RNbt8XG3SoBSndVE27 zAIcLjdiLuvbLcUJrLsURqOFhoDd77DFjqujA6xkZVwRm8/7VLllvSwZaNksm+tTixoJLHSOhm94 NVPveZfrn9GZIf+cpC1S+sC6MNiI9lX2zvv42eT8gvw8sO5tlLPaqmr5Zdpb7l+OR2pvGOotyFJ3 kdjnyYRqTNQt/VASAPNe1XjfS1CwhRj3Cee/9ESe0XCMI+nRwME3Sw1l7Qz4L/4FRTdYWwQGLyEC ZMTSaEfo2g4OvBqpMW8PpjVkO7zYlI8fGTWrQt98yyN33AjyFBYhR2p+zjFogFOjJ9Hb3YOC+iAB /38qvbM2+2j6syeYqOyKRlAvfWP6YxEtWPAoN1mDi9Z80sxoJ841iLwRkpKWP0t3rvnF318/gZ0F 3FzdI/RjAmxPztODhwRfw2B7E5YJ4V6IpnoiLYPaChldww9oQvCiDyUOcySm/uKkp3gmqqMch8GS uUIzsWT4pKWg9Zk0jpJMkKKKm6F8XPdZVCFZZWPr7K1zn96u9nrElbsgFxEjg2LNE0tR/dcIv/wU eb/aSsNNKmnTlp2Vg0Z0SsdUFdZUsWdVW8foqvjAqqiZ1S1/zem9wilF2B60JXR9wztX0VIhFesD /NK4x7icvBgsyeUcigsD9IVcbJAx/4bXeE3JcUZVT77WoBRTc2jmlnHW/G7cN2VqJdb77lME1dDs CXWcNR7OxOaVCbM0PIEdqlJxaZ9PRhJu+uxWmanywEmTK7rx9v0H9R4vYXRo1sWWecPISjeba3+C GZh0C5+TFvmphiePEJrRzDB78o6V67+Q5TNgJ0AIPlaNuZTqoj8cEg/LubUYz1Sei5LlYiFRMHIh H1FERJHL6dcx/w1isoHNJK1CZNwFH1edFD0alv1lq5n3wr0fYmhlssQn7HkjGxzdXF41aWMtzonw 8jyCqO9j59Co9TpJVhKXf4wKucweAdTk9w38Vy49Q4DPv3j0ETDWflZ8J1NbNspOBhR7AS6vHRcD 28jzM6I2sRkxwi6Hf7+wjiF0LBZcUZeQVgd5pDpD8px+Me3VFCGnoVIn9l8YS82vIL25UJxQqQAp vZXQ86zFFXbSohGme5MxIt+iQ1NjQrizfoToh7+cj2eCyXJuP17ST8cZa2pO1Em/ayBm9ltV5yrT SVFUsAAs6FVJtmg94tk6CaLmutv53EoTYsk8mPZFrdFV8oA8hVllucEtUj4JXcLr2zTdGkxhZJPO SpuaPlDfIHThkyfIttjkwT2xeeToXCpf5gIxzIS7dcE4ndat7EYq3PPGohXO5ZZKe0eiSXSyeAxX vBtAWh6uFpF2m60geL8vUuXMGvm2bAHZm8SZTCxq1KPi/uGoksEWmgUJc5f8/rRT++yr6iQo0Q/A fN2b3SoD0Q9Cv8sMAfM5HbfiPw1g5Vhpew08fNvrEk/KDHmAAo/X3EAFnXzfYHV4XA6i9Kz7rH8o W3n2eQMwBso3VkxTNtS9im1XZ+EitOkbQ9jO//STKyk/RPXfoall2EAcVe3+P4+9bmsPj6YEai16 QYYYOC8It6Ps8z75nnI9HpBSGQvso4jo7f/DOMvZ6TJggcIa8BdZLQIrtlHXEqJUGUfim44kcNUa hrlxM9XFtmKibRtYp17KYZXyuClrYjoBlL3MspaczijP8L20oiW5GamktvH0LilEY8/Alkoctfo4 dZ0w5cj+uFnsS3NlBAjjWsgHQLGpZtXF09dQL4la/rIaMiONTTOuSahWhumfUZSbwYuxfttU+5Lx hrbQDQq2MZ9LD8bq3ZHF4UWFUiDtjchp9ndrjMM3PT97IJ2cgzVPGEHtLtWPn8+jPv2//OYGYXW7 5TO9+vy+jccCoR6wl/sKlsJTdfah+Byec3cqeF8cAAwOKzwz9XlsqW4Wlidd96qyfvWhvfoI26nc ODj68T70+/A5rWUGj94hkKkj0tZGs+3vRPxbFnvA50Fu/JLKn8IwZ+z0RUgnx+3mLZCr+DIFlyEY FasG4nKnPH/1225xxD23s6/wMuQx3YZUg71+lXd+baDjz40BYZ+zQpQ7nf7Jcr3T23qg9yN9Xo57 9bH5n5d+R2PflSdGgR1+ExTZ9yFa5nEIHYdL5FzITW1uq4de/kv6LAv+20Afha4m7tS0LeqvaDHM nwid3v2ca8eN+qOW4FzidqoiUTMVeOGTCt0lPhjzSOuZ5XNshdFP5njBfvshFRiZDZN6oZKexcF0 SQd/mGfMoNnSJSths9F0arZCSd+0Vu4umo7p8kN1o11dF9MP9CgJ/ISciJzp5d3ZMilnxwWpj9Wq 63MEUx/9MmoHI9XXkGffCzohdUlj5lPFciXL7hERZCYqZefpEwrKjIYh4Bk6ZReDfcvuOhOfvKN9 VgBaLIK7m2MbQRL0qz1pFq1APVYo6tsvfisx+2JoewzcnQ4irMibLJEEKxBJGNZ+A7CorgE0WE3M l6XYcivRqUanGR4+dvL48PByHIwaD0rDSaRsjL6ewP4Z2wsVyf2OEAWAqYjHJ3z4uj8R/DZnzblk 7/zaZI9FRa596vyW2FFt9ijgtlJ7DimcfOyrFpDQ4uwQHT4viIcu0w98dJa8ny3etHNuVfnHkKXp R1Ndcoe3plI5WsHvas/co6VB2qzth6bdIZw0oLK+GoyWcSKd4UkzQ2H7AIJrLbFaKtwF9ix29v1M 1S0byI/VjUJsRomkOiPEMsL/BVAXzHUF6mkFhbn/y+JtCykEpdGGwvog+qwFBWd2c0SocE0HMYMW ZciXWDmnlCzBLlUmZnFXKC2yY/YQHpQVEQWnlH4lWvun+wKx9Hsm0pmTtKaCmCGmUPJQ/HiLUiHF /tXr4ZnmGDxmRsD/fA3cZsw1xyIXZV4EgfmSwbgyMWtIt4ruHAKEpLU7oc2JhSEUhDyQUA1E1qxW FwGyyWI3itYO1pViiWCqKa5j4qjXlw9ylCXjF/d19hNbb1WYWATNusqIFSX19LxNIdi0V/mOxJYC jdrkqrqck/7kJG45BNMsoSyJRxbDWdleMc6hs/VYTpVj2+6CPX223Axyu3LFo5KvboZntZnALlnP xHJLAMVGTy6s7ANDlpIAiDHsuiu/1qab8qoeUniPqvDXvrXvU2Fv8hcGbcyIhrcFDGE8Z1mUcQ2M DGTxKhxyUNWh5cS6gYVLYShacQXaPZ79b4iyrv+rps0LM3uheKqw07W6eaWe06GiAii/ow4rAoFX PzW13XzH1m8rxas6eZLamgod36M6X4M8Dnt/azOuB5F8A7tyu9gKM9GBWyDK3bu1cgsxBZ+6hcWj gnlZ6pTnY/j2ENDIXmfeBNSSuZmy2spE+yCI2JV5HvmPZBjCIhBznsiQ9OQrV7LjEuJC+TqgS5ZS olclMUCFxDXjyEJRLp6of5NGl+Sd8sJTfhrEMVU2KgbIRRoZAcHGcF3GAF+hAfSx/u0QH410LL+Q uMJSIazP1Eed3PgYRRNyWNaEfjs8O09BflT5XZGaER9uY/aF0VHv4QY1u4JLJcO2Xf1lGasx89S/ RIhXU93v9QYYGx0GOxU6uw4rdZ/cIbuFkyODV0e2UbR1cNjt7P3tzKjAcoGkQN9uLGnBV8+XA3hk pxochmb0JpoW1UjL52YQrswnqRftE8mEHLtHtxBvcp//zfgfHF5uj4QOUP5N1341/bLYWwOlORVV iXuj6xunkPdAj92yI6/RnDFVhTEKfWtcmWqy6jdmyUy9AFXNES6LTWMN44lNVmaMwEKXB+cEvow0 qFKRpZT2rTcxspfMISTnH+2yxvntl0M3KY9D/T5vKfLR7W03px1Ql/PB3EjiVPaNC4DHtnN98Z2B z3k9uBlVPmz5JZJRGDXlyWr0ArlnsI/y/pAr0/SfnpE8/TB5ByTkYNfooYRb3mNYPX4q7lZ6gBk5 T/f6BwFl6wb2OClwFu9q4uLq26V3B0LsS7mvSosrnlSs5h66FMw46pkvdXhTXm0aSDfsjjDZUgjZ 39+EUR3mzeSohzp1qHQ7r07LFbmgf0pVrjTjZ/CgZWTyd3oMcwdK7C70ohRjYxvWfL8+liwFDWoi ye3Jxc5shzR7/IN7tPB5cGhrjMgeaUwzQO6eIdOwUkfnYdt7oEuCXcieVeEphFFV3TZEDqTRTSZY 0vJBU7oisUQEzQK4gD0tuM0PXRojcNHUucA5yV4tV4sDrunZLkjXuQSXWS/Se5ro0WTW4yWZX6OE oVZAaiBFNFrQX8D4PCWpY+SR11vC45WpRyZUa10wpU6eeZoLMpnFR/ItjZvBtGEDrc5nT/PjXOqY eFA708TvAZn07hxAHLPBUenrshgbulBTIzesNwSidA24Hgxg005bHF5mXLZA9dLpEPosmH3n/xgK 4sJm7AxGovfvLfKffkv+0oYu2bTpWaYrnV/dpAnMtNqCRXvf0Yr1twbensdXuvzjeTZFQ7pXJfpA I1PEhNQmNWyIhEVrwSlpA9qFIQ2PGKmXcq161aGS8lj0H87Dv1ju+3MHa6MbQV8Ing9gYqrjltQo OueAUxf7H84YFWtqXftOktxxx4SQ612Mqf+qb/gDh7jbvF+ItDTB5OeW/WQToumx4vsdVTgbnvfk kD4El45FKFvZIT6PfsfiRj76aCkTy8UESHrnkGtwS06Q4OJ4P89UozIhoQdM8ePE71COVsZnCamN yH93vEJ7zJ2uqFzZs1+84aY7MhNJfwlvkMgIANxpAPYzTZDAAP7dgwVuXCAJOfEs0QnZQNNpupuE gRpge+xQ0tsaO7kEdriIbRlp7TWM1RTx/UYZmJYA6jNNMQ95Gnyt73vA2AP6hdvwGB5i6EHRgoBi rUKeVyNNG1cYFlXOop/TgplqWIhc0fMzfHg9Mm3Xc/z3ThNVTK3yCcAGdbochbG8cq0q+l8XiocB 44D317xqpvJFOXCbXp7dx8arZ2o5R5z+vo4Sp+dIvHJBtsSCzbiDqsFFdbTFlgV8nuK64eOFZkIv 5fGayX3p0oTJKuoD+c+kWuWwkqFkkAC+9Lm0pIRoEeXVeLw+9AqvHHOq4uIu34W5+mMdpkkmrOir nXMKUO824HcxYoN5ZN22t290IDO3JItaPEc92Azy7xL/VwCbs8LOtzRr17URJXCK+lq6Tqoxsoe3 VC+RHF7LWRPv3OkT2R2OUQImqVa9wWDvRWnBkj1etlD7mTyTqB9HnUD6CRoqiYolP5ZOP8UOzXBU woCiFX/5NzzmAt1lXBnbDDZSJDhk/IuBa1iswshzuY6C885mY66aNU9G02Bg5b9aishmWj+qDyW0 m/XduvAKZOYCPjVx/Twx0vkDlBc7OXoUFl7eXYZXBHvCk8FiceLr3JC6neeIivl8G3w6qxNFdh1/ 5pXesLq1QeyC4Zv1j12G/rtukaziTxcnZQti06u7Bgu1yfrMZ3TiTORg4mQYMruQDsaYFUCYHbWm gavrfg1QhMt9omdN3L7woz5DhDsEkTLrXMoEyGkNwV0Gu2N8ftarBAQMGUpyLA+vjdsdOF2Hoo2I NLxYByDaoJ7MOEti8IqhLnzE9uIiNNS/q4bFuLBblLg/pXhgKJA+Do9IzObKojsnRzMSJHDQqHxj rvA/hkUgach660iiBj3CjkW1YbHhyxz2lq9JZHLQxdKoNDOgc3mWDXWPp+7bO3NSsCNZwt9dqr54 QIX7b9PAXOnuhfozsEgQxykY+ioVFyzRMkYdxxl6jM/frLiP33KRlQu70yFblqwD4KO6EX9DUYec 3K2wgtY7tDyMYRiIoUbUYsbmi9gSopMRpya9yrwEO+n7jI6phzovqk2wbqTGEGTtrvVBo7CtOOBQ yZLvdSYu0cn0ki8Re6vLH+9Oz2Y1PLoMZ0dHyhYzXjK3qKjf4iqefpnIatMs9wIeFLQb6+8oQmVC KIiUTNWj3dcVGGaoitRd4QWWd+av8XJ72RQS10vexhV1/q3qtAiI7JWwXeHV1gsBOtUAV84eiCSp Gy3A9b1YqsbzK8UTPjE0cqQ7Zs2EKTymM/AYoGr9dsPFmRexfsIKeTerrCDcjCQZapHS6CEbEQgd GOiZOzGSOBpbRY9P1EuP9l2Nc1kyJqBB8cgLFxiCyl7cxmn3gy9ZwRCH2RfnSTiMCPxXk+gxhpHO MiZygT4mkAAIqaZD80x9A7EgQFLgE5m3xiq6pm7oFZ1+POmIEbM5L1hcUB/H2Z6OVY+7/4Rklay+ PmMpmDXh5wn6m6xhJyPfM7u+HiVqWhlJSIg1XlrYjjWgpL/DKd9Q2LHMPxIlzBDL3JRFG8NOoKrt XnoBouu9MMfGhF+NjdntTk5aKmtDDoT3Hn9rZipfC6fsE9D3nfM8XJiHzp7amNO/u+M3tbIgx6Uc ARssUPnx8CR10puERWpymZAOLEvFMr6gs4TVsEoCSbz4JwogFKTWdvCD8Ocq/7uss4lHb5DF3RyN 5IC3ZnkXPvlRvaqXCP7H8KwQPvik4REVBoQpt5yxwRS3S96C+/NRPxjvxDEm1VuHHMvE6N+eZKS2 Tt8sD5rUjjCb6bQ/vWCyk/gLNbvECm138Ap59gsbD8wV66hcH4SBSdJHQjI/fXo/9LTvaLZgLhtD L2ED1oJJXNuYblyN/a+n+ff+LKWWYd9ZGom3EZXpu8J5BlgGECpmmZyZXJ9pmMDD1fspw1/zDmxj ewEr09yDLZD5fMti0gsirSIqHwn0XE1lw8X3xXbund9Fg3hRa5TlNQPu+OfEsJDCVn234+EdsfTn Jl+aWD7MYBcMEoGGcL9Y/vlnw1geQq89GsSM1DRKlG1ddUafBZ1SfXgEKmd9Bx0qutxMQh+6TM9Q HOGPrSv01HpTIacLDdhQGzjAjLR6ikEhm6WzTuEaG8GmF7wYnofJyF9Nsq+sBFAUs6R65synioz2 3g6ZKkfGExoSsipqvG19necdHPKZOVQQJGzPHdTphRNgU+1kYfxHbX2R23L2YKIn+SN38rKZhd2a Z21ELlRAD8GqeDfrKGPg67qVZAMHkSox8u91THHAr1PCOsg/S3EJG0swW3sbK6tPNZ7QjUqr5bAk vOGCw8YL0o8E+VKbMa1pPfuqjfcRNaVCgyj2BjUQuYKjcB1fvargXZTGfHQYuaOIQTD9yeLzjJdg DZOthIGzeI7719OFrUNrdT84ukipCHrIIlyC6kU0LnhwIOj9vpcBS5VJqXozrOEGhbUIMiWrjjza 22onpZZCtYhEJNEBdNL49WnZDKToHFLl8H+P8Zp0gFZbYFIRrVM29yEzm+yEcJdbGxZc6q8hi0zC iemTBEnhZ+uduQyqNCDSx9zl67boxy59h/YHHkMzkgH/8Gx+/+Urpj8EJIrlmVq6Z4UgNgfx5JrT GJusCuwkTZWrB0dRxVx9m2GtGXTNpesK5OitVrb+N50ygGCp9pMcIaIDBPoRQeVdSRz0pa+BmU0J p5Iu53aq7h1493B/1j9NgRTpaQSyyBRGBFFhZ8AZnMmqUwHGfd97rYB2skk6b9iu32v60IIbQQC3 gWgmJE5iXMOR2XK08VvQxBPfhlsKYiVjsrbeBMsKM9TchBMEfa7QuHmk/MIKHBzicwmlpPU4MW3f o0rasNdqS1zwIFjZt/AjCDlbxU/Rc8WT8GlzcHGZhDGcHvHOpKzKfXUybKGGjJB4M6XiTk2RP+UJ djzVqqWp5R9STR4bEROYU6Cbe/72z+hjocSMAUinNJHqgVAMUBYq3nW0dlG3uypczXGPCjJ8ChbC zRYs+bQVRPNuQjjljlV+zDYfBac028JpbYMnOAnwYK6qUnofzePQhQg/4hw5ISXDe6VX1aG2D57D hsTby+Bo9o5nh3x6qZUpc+4QXWD90EsSKsSt8DSKO6+7di5F7o5lIgIB3HvypU9v4/Fh8zKwRFTI P0d34KiEwBooLQJXoWlC6ffvADw5tZt982TCO6BfKeU7QzNtXG/FHf4zb1kXiqcEt1pFI4RbSOV1 1HKUPTvx2u3T/PDODUFQchG/1fnVNZh4vsz3Vl+A3GtiMpf6xtdrwSjy3NjmksqXgJECk2NDLb5g BB6j3KicUeBzRjj7igl1fGYKAgPNYs9vRQZ64uWpU5Vq+VWJBCKo0tEsUAsgXCY6U7yPmlVEQcnZ oD+4Uv8Qk6qBx0ZDyMoZ5rEtrY7ljLSGLM+pw7/D5Hm4O0Bk2PnNZxKWei7TOejCrUD6oSW8mkk0 q+HL1C7r9n6XNDI5LyVX4/0WfPCFDR13LUxa8JUbGT6Y3bRzG/A8XFfuhNFCyRdaS2SRF2ITic9c hrPIxA/+4WJhEw4qE29CdSj1BCFmVso39oFs1z62xZQ4d3jd8YPwkoKj9q7f6CDMri/ud3zOFdWN nb8BR8JvOvDDSAq1c8mDsBfIySH3FsTZ3rTnRpO5aZ2ZJ3kmZcYxRw+isaKuY15LoZQlBW7bvJDF vvfXSDjToRiE/d+RKdWiEgsZtr2dUHFSG9N6DWxJMqpchHErqOBj6Y6BtzvARWXCaMICkxDo/ozH StWnIzO9ZTkaF34ucHj3ovvBI70ssJX6Ojza3HNaCUyTdcAE8+e/0FmI9nfWjT6C7RmqS23Csafv bgl1cEpLLJRG+PCR5t+g78QU2nikiMLJ6o/oIDbIqr0Elkv6reEmo0hZ5KZlJn/AGriaFrraXjOy fns2EDTade5fdKai2iG8cX9Nq1nEoU7O9xN6zTR8cXKMAc0dSqt2VIhyuQd83oZZLlf0VIyvs1Ku wtyJgBSbH7NODDXPI5+Pkb1HEybg9HCwsEPQaUAmyisN7Cqgyp/0+tZC76m+X6eTY0D9FtlHoSUJ GmGMwR/JXBdpwJtM7qmp3wqaTTV5jYXnpwE8DPehYdcGZ8cLzs5jKGNtcVmq77CVhsMqIjkKNVEK 6q3wQki1Ex/ch3aygOjXPZiGfRTnSRrqbeyoNY7iw95P7SvMMk1TzXdMe2gH0rjPGxukuNyPjitD 5sdKQR78vUlheTykMN0W2SlbetZfuohDwHpo6EfMqpNVanCsvbIFoaD9ybUKATfcxxzMvIAqYdHz BdEx4R8nuJZ6/ZGDRbQ8Scjlp5xAPO+AGNCLajRAlrlE4IlbiiksqlpHEfk+S4yjoOnWyMR9h/dt vahZJxbALZgoP80HnyDGgWSwzzOJDGjUrXVBLubHNFfdIxvmb+V/AsfGa53lFF6iqjEcZfm+W1oi aT0uBfsat/lNp08jKJG1DIEe+FAFQtS60Mx6RcqxVua7Cqs8/lQ1WYyAkMgonyMNWcdoelCY7s3P G9YiOsKMyNVqvJgio7SYsEGRFvtKqfU2mZXM3N7H4+uND4+jvpECzPDvIn13FKI3F1mot/rlpy6B P4GU6tyjJcq6zCTn/ojjBO3h/Mt71ZgWjVGs6m7eYsFstteaXAx6EdjQsrr8QqzBwnIXnY6J1X+q hLU8xWEBmmpo2UDVorYnINLNAraxhVPtb20Lvf4Oidotq2cdFmU/ykNgwuvjcgPqo2bjobT2BCu3 rSDfulNSapSv090ou57LXTz0Lic/WU5xPmb+XNxNYSRKAKuPNGqhfbKsK+Xts8LvoXonRV1t/qLq Lo8WzqK4P/2j3azz8Zvc4DOG6RZYo2RWcgJiLFfOF3aa+yddeAIGYxHKGRyodmSK6QWEltZxNWN9 yKJV56mJgvl/1UuwCwmP1ta8sm8pxsu43KP+ufHYVYztJOjlC1Cag/K41Y/EYUvioEu+GbnVa6ZF WzROKME7xdZEOlAeXT+7ttrVVNgtaa3J/42w0dyr6N+TB2OjFRwm8uXSIah4dXc/Xiow+E10XKux r6is0/npYamZpR1np3/ZgKOj6Ob9Rxvo/rUhyH8at0WRAE+Rn3jQrxGn/UOmLprc9x/GvJgXTtxZ 7P97PuXRpS4/AD03sQbIqOgsJDIgSarov4UvdBJ0oy9a+sFNyLoEm8uwIvGDSV84/1ziGCJ6Sbhw EC/y8cDH7tJ9w6C7FZDaSmO71vYvClPo4u/L0Q6s1FjLPqyhk9zW3Zl0SusYy2HoTXGxEromOjhU 1AaMQS94N5g0RK9kZYMMsmcdXpN2wKzKdym+fFXp0EKhKjMBb4hP/zjhEaxe4WmhzJT0qzwZw6km imxlcj1S04xu2gD1zNDr2fYarJW4GFYMfUngULyVbGk9zp0e3OLmt63asEn1kjgl1iREQFp/6l/u PQzZoD63SRK4QF8j2fLG/HQilfcUQkNE2VRQvScN9Vbo72wQdzTaPg0XD6jmpuSBjpZ6jCoUxHdi +yxFX67B1q4gnECCjQtQJxV6edEAXU3RUu4gf2YCbZ/g/8TLqeDPKL3ipsJNrzGQrMT86ViM5DPW Q8Iec550gGJyIKlw8EuA7Ly4TyuAP6UOKWDDspHqM7QJv/Pip6JMrhji0Vt8Vw8UuI95pIQ7jFDC N6Y/OUBzSWz3Sl+Ht3+M/uU6gIZnhSK7DQ/RqsWtoMJKoaoeA0sq4IKXtnWLGgxEcCNxKcsTgfeg 0pZEgqaqTDox/tD9IYiwUEJeUiaT6TNESB1GjKvuhiAPABG/mRitu0CVnkRMT6b2m6bg5kpfuYKB +lqpbzRHlq2Z2tbu5Euw2vo+lHubdOw8Pg8xRevLntyq4veRSBAB7QlT6GBYyZ7QF51xdiLSc8rz Enk31WczBhWkJZEHahUrUmc3hgqSkQGbjjHxD9moQpoSc8kMHUFtYAwfqmnKhesdZi5nFrHTthyk n5uBJjsehOrCwAmG0PTAoHptO4ntteAp5znGeT01B4ecHlFRxs8v3M+eEIfMsPivTvfdyrXcVeR4 R6EsEl1M9SYjP5UFWjPXuI85H+CF89MiPAu6NNxnFLAaIBoU19K/NgtZHCOZV4zQWVJCAHowvD1Y YAHDAESJhmULERWrMYM41kinr3o6jusBjQpQEccBJOIW7Q7RQWsFZQUvaKVV8o+vY9IqRE8wS8Fx TZrDUL8SNh7gsGqVNvlUx17mnCdOGa2ZvGHeCIcsqlNrUpsVjC8eaOYzyf9N0r8ixb4KxxXLtjWd f/rBOdCtVmnVyebvNhpu9BiILwHlxmZDRVZa2PLR9qVqhwJyRhBY+ve8hlQqscLM73L3SMQre4d9 bwWOKbG8OP9L/Y224kGaMAVqmIBLi5GJbHm/MIsToNOzJdBTM1jSrcV2arBi5tx1DdOz/NPBXc+e cXWn83/u7Y/eIuEO+3gHRrGJQfa0Kb/XduRX1a8qcFaZmffXe3wbK2lq6JEOuBZGwdnmFq5xWtmQ Xq+LPrqPXdyVarDgFQ+tWX82/88VWdsK6gausMn5FHF14Had2J1Dlge+JytAN7UZBVW08vRTw3f2 nqmuz05OEXdzJKH10xPIBfPTgcH2A4UsDi9zebkvjn79N1wfJ4ZpZCyVYG6AIGFc1hdfYdYSaO4K sbTLWC13/AXSAH9BBjeHMBIzyF7OpIm2cVMcrQD7GJjkDVXR1ei6UIVTmD+1EVtYc5CEAsffoda+ EXe6it1S3FEdh2PvPPrRQhro0k7ErdhBu09hKjoDbl/I2OMgnA+fjquRzJLGEB7ZIfQ2kYJVBVQT lB35agbmEXW0NUJf18hXr52Y+Jqs8VfCRwzx2HfDwjejEpdbsS0h30fUg5u6VQ+BykJ7ozSghZT3 h9RTUmFffGXL3rEVzwby8ZocNbHNlHI2mbwOzPz9UP/Hcp4sOb9iaPhtvtK+3YSwXGGHn+ZnlBpk R9Ki8kRbt/iTMmjhtoBOpVWKgScMXc1CH+SYLmfhOsVSXhiUDXU+GVGpM5+IMxNoeOpo4rXYp6xZ ArEPuGWIuZ/JEuaEp9BjNIihF3ux3aF0z38NrUSC5ZUIPIGhAfrI6HIHu9wviMdvmGI7Dq6GK9F8 K0Lxn+8c0jBqRVOFxlMczx2VSyKMQLRuWY4PmZilKNhDZ6VgUzGebnKn2rvTYbM2G3MtIGFrK5zI MdYDUMVT9/NfT0ISyQ5i+aDp9aT9/GamyfGtitkYezmiZuikRVeYCx29DnkHUiFXBxN6ksOGhggC 7gqYd7jNxMYGmBbOG42pei9w43pPoWX2M1BEJqqoS9x30qD7HaT1bzJaqQ8dqhO1sOQT+DtKz2gl 1/HSl2Cc0rrga9w5Wz8QOqUXh8B8/UZEhIj4b8RdlaeJHXJ+yDuo/twqt0zXi3QTGQmcsQTf5XzA CYGOoIffavg+ecR1463nJan1O3FdOJeP4Kg/OQRxz7xqTteuAQ4u2l0Kv4j3qqSvsZKiCz4hvrCH REPuBLBftvVmmb+eMx3jQqoXs9vSC+lTvXj4iSM8ZP51qaXHrzXmmTaOTOrk7amMxrNR05Y1rUap SgnhNt7U8Sw9sp/tTozToalxkO3La9Uq6RZfZlWOncfbuPttDUZLCULq0sRjlx85AWm0uo6AkbAX W0dxcQ0DuyBTWrxkM900hTcKIVIipETljP9PEgPJCsPJEvp/3xfo1IZED7Nsizb+dlfJ5pcBVsgL Bm56adCZzBnu7lmVpnptrRJUe9/+zL+Fe29jxKlou6y3/gigaRunV43MpjTvlUa9zXhGqDJmYpas mAGzp7kJIInowQgHt5wZGKUTyHfofPLyhOE6aS2ZtC4zE9o1mk0rm4WicRsZqV/oY9+phoL7H1HG BWcy8C7OWDB9PfdvUysTAVLzLW+3YMOASm+Fwo9GzMmK4X7Mdu12TbHvgdXWku4AR6trOGEUYb+m WRVCt3sNX8dVYApn2GsYVNTyOQ64BoIgVo8JG54ViNEEYPJNDGRQXKf8tYsYHp8FytLdp1y/gPlM 2TQLHCg2eeMnN0KJVHHuD+CvxYHJLbHDnQHP7E6+vSajU+ENs4CWBD0Wq9VQ5SrK0d/barBYxnNT 6yTfWtJYsSbB+mLdhAwyCyRdXKPsKoCtXEl5n2s/gzEL9yizd9IdwV18tEt0C+OdQhjEAOof5jpc Yr/bgkdxKP9+5uiPAzKooZS8iIOQZR6X3kDFT793XOcT4o32IMOVsVIXOPykVvZ072FELzj2PoCa qzKmE0vHk1A/VPBsAub6BHDiNmezXbUeaXd5Na3R/fDrpdloHkBIANF5dm4CQCvv2wZfzunCXjYJ MtWV6ma/0dyyStEJwHoCnMmZGyByjCBQPMWmR9iI5oQd8nGuBOzltpH8KnYcxupiGGgNhxaGzpFu XPH1fzuKsKIc3zxhJH0RRFMrjG+eZI8q7SUjqN7ReE0nNS3v3vGbKca+TrTOSMs3a2Hsem2rM7jm C8/eXHXdM5UT2L/d5oG2Wk4dlTc84TrfwRbk3COqf6oDPCTeC2DPUjHZtXvj4qqKqfLFxJn5jKXy f7OQpBn0Y6H9rzqSqqWk+DyxK/1eqx4rGbQ/mQXuXU96zsft15KgR7JP9omft3AbSAmvCZgs8i+d KugqRn0mHnnJeeghvrqMeuBofFHDDq003bDpkIHrbfckyl4oqwvl+T1/RgE/p4d5/nhLKVJH/0H/ rtztQj+R+zp0JjSiydb8SDxbEma9sdEx2FtkpvUXcbs+XunmIXI9dWzxdg8IS4jNcCj6Tztv162W rppePVfwWFjujIQuqNbAnQ0WWoKy1MkIhbTUZHnO8CPBJRHpihVO3GEjwjFqfVNOztaMPqzrs0bf fbzWrV8i3gbvdjmB+n2+LrfEg03+qE+56LxHEG2z/zyMEOwpXzYRp4XFAjzS/Ft58/XZtIJrLyWT /7aRwVmzkgiNwDu5kOICGnprxlQw9vnMvkjzwO5JF/BJujv7pmZQn6vnfnnqvh3uYhCdTtqa9aXB 0o0/VF+9s9L/HdIMEB2lSU5ELrNHTkGX+xOdu4M78wNFwWUjKINAaOTlCqkjld7SXAC9xhO0htBO OBXqkSHCAPKysMDT0giFk+45THjtILUceXXlQNXFLXBlFYirhwYD++qyerenxgaNLp4sJTDQWPWG Wkhp20eFZ+ukA1KfzwrX+vcqcCHqSRnpKOOO+AMF3vwHvd0J+7cD01U11Jm9KzaWxh1ooXCswH8L AJ89TV2MYadVR50JLByuxDom4I26GrxCcGfuO25KJCDFlIjtQ8bJIIJMVme7Ga90jLKyTSZVWGWW fP5sCVoVc8buEosC0sdddhcXRIEJFu0wVMX8bD3cE8Dvuz6914yBmllGxVCDsX3NwXUMl1NdigQh USDQ7vkv6WUC/CP55mVx2HM08LwoDNWvGY3pOs32wceiFvJSXnnrOhN56MvWXHmLNy5DzhEkc4L5 GXkwjkbhT/rzji8JZLkb7z/KKljdEJPzAsTZHlWqdHULTeFnZuCNMWfu2OCGsX1fLVOWQzWaDJ1c sLrnhv7TaEV639R9OvZBLnal4aQSa8008v/R+2FVMMP/6NNwQ0bHgB+apY6ZsnYKlOrLMfvE5eGC bB8mlrkwOVnegmeeArTG9VUBDSiQ9Pf5HM4KpzJcomOdd7fw4vPm7kp6/YCqTcXVlKmNtvTzbzvu AMP87C1yHs+RPfOeurZKviammzOAUMv4/hYkq04O5aksB+SVwQ0m4fsVWcjI//V0pLX37Ibh3QDA JXYWgReJ2CcHNHIlGtSGUKlZv4scTtTDXmDH5oP4GPwrpR+UyvzkfXX70HFqaDanLS8FJJd6x7XU OxrVNYKKPYnmOk5HsETm8Rt2nK3eh/99RKO4TA5l0Ln5lQBLcRbE1Zvwq6Ifj5d2JQVqhjk+zaAX BoBfsDKbGL7se281Stym4Af87XOkpfU/7TZfOAkKePyx4intS3X374wCTpUJt6pVyF5YNyhYzSXX 1CuM8Hzb8Xa4N6ib2QKQb4vhE9Towg+GiJJ646M57t/Yz4fgBGMHGI22V1coE/SOEUMGIPcVqomC Y/FAjBBkVdTCNt8/byYrUVV8t0ZwPcBakE8m+8/IO/6uymp4nAndPkWl4/VjGO1cbsBBm3m4Zh6o +NxOVz8I69Aw0XJDAAeurQNEiqjUOHrurqzU4DJ30gw+Tg4I+WMLNMqF5jGjTYalNEMtVyxCnyKt aRoO8UQ7EDJzrTsLz06tWN4HHJfgLkCP2NL41AdvuH/nvnQUGjM8ZWiu9173vkzzEaWpBhEwToQd gq6OA7zbyi3q7t643sAdsm0o2QEDXeWMan5zKcmonApVoHFAtCJW1nUsw2P39Ooykq4IPkfU1AN8 kI/dZZHOdc056wvEihh5gKV0Yf8HLFC8oaTEtxSr+Z6hF6ao7dmaLZVLJGYUH9zAb/J4iMY2FA5l j3m/wByyJDED+fqQlvnAl0+M1JZyUoC+5qfLmc7T38srKQW3oGOFlqGf7ROaq3L37Rslq1S7DR0j MotOVTpjTw3dLuyrUzKpNyxI++XLbf7XohWiVbThEF+I52v6LZUXqh4neSyIyYoaRXCqjY7bqWaP hKd6B10mqL5PjeNi46KHiNOBb4+5QlmHFI9AiImZYjAHMMo9ahXyi2IfHF07MiPM5UrfSw6130DL B8KPpEUspxODrazsH8XzP/HgZHJh0E6PY6fbedz432xx7/ASXB+v/G87fkDFekfvdJBVPsAVpKGU NOQ8ukqsAd2S0oRmi/4kIg8TUNaihzy9Vx1DEv6aEK6Lebc3VCTU4/MhB5EOTcn8vKW3W+z57CKO G1vaIMU3WrP9TI25HKhWlAri4OhjT+dM2SY23JMbAmpORfJyIOZTFsTBEs5nEZEGaXOfFAsHlNlr pBtPvqKmb/KIVo1WwqLNiBS3tEkskc2h7RXAf+7Uz91Zt1CvhaulLpMdR3FDq9qZuIZvxTi1349X BgvRJQb87iW8qbnSlA6SYifQ2wJewqsH+6xDydmdCayUIjCPNEWcE+kxemRtR1DXuL5zlJ0gGkDc L5G3J7W15zur376Bjcod2cZsOh82A30n2E4kUWCHtm8P0C1il5J8s/uJXSJlPvK/P6O6YZOTcUn1 jK7vYqPpvDnys5Z11VWITDVE8yU6SlHIXSOfV4MegSG8SP0922kLAyfp6kfjRtvwC8oGHiRcQdFz oyQEs3N0eZuqBTcxbYwp8vjdrf+efyQofKqxOq+hB01gOZiuureY4uwtOJo0zLVjaLsr/Vr8qV1d 9Cq7AL6NGFQ6vnMMTuBbb2ouQiL+eWs3M9PQGA99Y8NIe5S4OYHLxaKQjBSEoIJK7NIsduWtK3PZ ennsydxEfCpHQSUZprlMBNroklmR7j1+D8TiuwHY74rrr1vfZvxOvFs8UhiSjCKdT/P6C1Ak/rh/ lD3Qy+1rFHOwzcepif00s7+9TqwtNihAW5+DzI+MeXiENrJ/Nt5G4z8W7Bk/MvB/13mg5LZL3pTj vghofzFevv+BmRSAcdOYGDjzjZzjZebwzwYCkfFRhYhjFT4Cd34FyOpSADgEXKo23E63y0Y6Yr+G MvM+S5hEPmC7oWK4RtH+Nqv67919sBKlQNWYLjmAbVmefYNavmz4nEwxG8o3YdQ6UKT7KmA7XqEz kjLYMdNlBF1HdQpCmZ/4vMJQifiDAhoQ5mXWoxrvf5QlPeLflKu+oyzoBE/VxkpiUaSN/fl3lYdp q0R6y24kIACJ67jnJhjBfeLA6k3e8XPkGm3JL8IL8FN/eaYvt54CeOvquAViH8Sph3Zq58FKKL2d FY7gHFvqy4y9pAoOEfbi+yvYxfl6wFv3HtEfzWWtj3P0I6hIM64QlmGjsKZTDirJ6aQhDDIOR3pb sfrVkBeXUVasuuKmTx10CRktuXBlp/iiDEz2lCkKvGTYHeXcuA0rDt4IPeEAljJmMA9g9LP1mV4r yvbse8KaNM5aijKhMH6JB9ngAx/xCcBjhfu6BVRCqlM5ZNYnEJCSP29ueU8rXfJNDPdfdWXdNx4t mW1WJk9LPw6NYqaFhU9vjIQ4efO+uJe/V+PaHYLBvBN0yPvWAgpuNoOJJRF2/HxlTgd9rKNDbBy/ wEMTnIQoVjuPgmbXFUnxK4862wp2STIhw+byb584TPkZEd9m9v8+TAergKkexGuySCMsUAJuqtoK TsAngN4XFR02BmmaLnzySseR0uUmz7HP/FueFV3DKdPESf6dkbUmzVRHoNWiTEQ5R8XMlt3xwT9p H5q/Dh8fe0HewO79UZa2ckqJYOWS8ZLtwVhcxMoquWTY3ua5x1oSn2668UO4nASEI89am2FobGpq Aw4oqbTcpah+iycMtJf+IxgF4f7MFCjqmFkEcKBNnKTgG3tKr6FFBeswli/qTFhoFQklX9sPlbEs hQI/BzNpCuQSQYVUD2Qz/tbNjk6vvcSUDt7EDjlCvxbRQ1n/LgdAodXEUvDbOeaNhSNqvOmVqmI1 2c4WuysYH7LXpb+MVieD98rSSXpoqex9zjdvTfoJnZxv+MBaQfwXMYBY5HSuiRKgflaW7TPN+SGt VbX2z4ki4dLajUXjKs5C5qTfrGGcOEq3NnZfCdunw8ft1haNP2Bjv3c/w8Nh0oAdmkWxUvWuMbgE 47a20+64Yee1YRvTwi3C+/xuwGzoQmxTGUEkhrIGv0wCMrD7q5hmPy/S1cBwvK/pMTT7x6Ix3gCK FXuHINwea0GMMvNLeBU0MSQ7LQquOdG+hLs0si93LRdw3BKpV2V/k9RTuVs6wLi8vboo6jo1meHM xliIGbqoOYrCshFwrK6vjkwlNR7YKj7fV4j/4viQUgcZQPlPFOh07mkFMwxtiW6fKDjD1Prt9bp+ WSX11M69ionRP2+zCrTA0fdOYHmf5kq/qhwIL4y0ag8o0dsUlgYy6LM3cfphz+0utu1uDG5UjxPX bP/XBd7v+yiSIOEbKupkTkiRpuk0lS/bySu6Oba9+Dp0sp/ZRXbto2/f6ZPjKjdZSzwn/UPdYCue /MtCAoO06m/Oks5DDFlRdrO8arxpfG58QbRdlvO+ax6uFHlQhKxPr6c5RJMwpZ0gLBU0ZdPtklIs xXln7vmJ897F78gpresW2bNx+le2JVW2NkqYdHFBa8lRmyrSfUL/GVhu/tnDa+9ruVoTOFSHv+UE iCI9OP2S9YKpMxDA8y9ZtfVe2we90drar3RjWuO96fYLYWym+TFWogLD5Ub0TY3VPHnuKcw6FLjm 09sCLREkVWLCH5+UQjGWO1LioT0T2EK5BXz88537nvdy55aEdTk5T19RhveXbFCm9p8w+jYKKb+l FznwCl2IN/qpUHpRsNkj9TreqVQvmX3dIIeZRlBhc/BXeV4o++Ewbe0kRUkzV3yC5ugqV+a6SIa4 GLRTwhBnzJJKE/PzywADxgyDbFL6LwjE/lGGLryzEefVYNE5tShcOzYyxWuYx3UY3Napm9LtU5iL 4mQ4lcxxbIobqbwFjeDDao9yfofqMsVYaUnjSf0AwzsiNpYPu9EVcw3TC404Frd/qMl5lQvxyKGi H+UWgMxKgNhpXUuZiBJopmCsm/H8vtE64l4yVAxjgFCZ5u94pf8GVCFdU0qRnTyLd6lmkV0fHXhr gDXvKv02BjQwQdgdEASXRapYWFwNWS6NJzzO6Dpd0fJDS/PZuvjp8arv816twVSxArxe2c50MpcE gGHgBvDHmyGRLgPT6mPqC3pnztcA43/90ndbMqof29lRqTTOGNIXA2GzaRqc4HoyAS66qvvQoU0S GxoKacz+w6v2dxjXAg4i75ZP63ilTDclvJ03ACXY320Hb07QD+bECfDfmKxvXH8zhf4hCuoNVAUv 95XKtfpQ4h97DcSo5JFLsWPYTyOhT+2y9mohDcMjg+LhSpwuoyTys7ZxGKC+MX27uNSeb6n2xn6k 8AGBSitm3L1d7JQvT/4YFiuiElgNt/4AbT4LihUyhSLG18HjlUFajHv4dKB2tzxJbGF6htBKag4l zRpKG1mVNpicIygI/IJk12G3sLP9LMwSNg38kdfbZataoIPKydbVYJ3QmYc7JI2Fv8tZ0IThVoaK 5IZx0h9/L8C8CFjxfOi4/kL3O/oTg9SdwBa2I+ztMVdBErwxlDmWYURhGFz9iZXkHbvJHOkt32hg sIPYg0CArgFiR0vv9XKxH/uWhPbDMJFwisi+oIATn+4go3g5iZSNPO0t/A/CMPcSmwfyMHDa+NkQ cxyQuRaTRohTdKqXPVgkYKjv9e1iXK6+JP/tSWul4STPWaH+J6HX3lbxJunFheNqNqM9lIRva/Ja TYCyVGZxSbKmDyFsxZipfHUaaRviFOJeF+VRGg1tuYBmQFjt213HatM6QPsaw8mlI4Oc3zLLrPVv SDHc+uzPsnnR6uCI6F9X6zZ5/gGrixPBJEkDKKFoqDN/YVY4Bas/OsdB12UDxQHNKrrP335wQ8G4 rWO2w1fjGL4FbJIxQDTQLajp4pLace3AeZWW92ho5RDBiJFjn26l8hKLnGXSBvWFWOUyFUGw+FVp zzuO01NipuuyyHi1/J5OJvGBWzRmvuFkLG6tj4mqWehnIo6S/S+JHYIlP6oFnbC/F2b+ccIZ4585 yxXHTViVT+YDtGGG2zBzUdFHlo0+egeLTqrk/3OdgcyIYcucJxGwbGj94U6JxIx7ZaP/5kL4PKuC 5GmMAawJHpk3Jmq566N25PPL5MPL4IvSW514bW1eWOZluMgco5Y3jLp6IB3inYT/hbFPpZ33qEYQ AuMEIWX1L02r+1xMCit6tx0LxIilnRuZMwfI2jAbBnBZGv+yfR/5v1BMXBu8+cZ9IAeWLgWcGLuU /FkWvzEWhANumV5T/doHjUIPl6lfjzeV1as8d2NfA/ppjLTbOlTshBvcH5BIfQlpzFJNB9MCXc4f y2shyF+y/9tqlYRjPkw0YiCNBEEE39ySZYSkCFmKlOtAxAqI9QtABDK8XL1V8k/HdKSLzi/DV2UV ZmjIJRfsrz7UBiPLOjVVYDn4+fQXWtsPf1FiymcnoE/sYxkQCJL4MVtmFaZapMfY8H/3txUMuUnV 6IVwVOTum6Ngu2ll64mBBDYfjhy82UMiV2OyAz+o7PIAK0lx1/LdlqscOab8K+tEl3HQwbr1+bed MpGDjgEVdWmrcngm8fsmSj8dcXZpxhthpVLKrHpn2YsVbUOCzYmjutarc5vQuoGzL8MYF2e6oJwB S1n7qGdpVCh5xgXQplqWi6F/2epehK192qG4TIrfv6YkNwnOeNcXTlVeeElPQjD3CU+C+QAHvwhz S+WHmY9FO+Y8HaBzRHrMf/hJl4WHYifK4p2zhm6jFGIiu76c2PjNb2JuAxr8uKThJHyMqT0JHAU3 sfEC2ftl6zYsOvntYy6Uqjv5HamV0MeS+ENzvtB2mFfYN2oIN/msor0Lhz+EUqvoWZpAWLbTV1Ke zHoj+cAjYU3ifRrkmA44dxxFjCVS33Jdv7dY8kk+KK21+5vA12XZ8WW4oFDuBAa9KbBt9Ex8wusd o0cIXLMGXFG5uhUUNCrj4NlklCEII083pX+X3hsRlYjG+gfuPupSeMKj2y18fcacB3Pd+HA/0flz 7ro0JnLxcbJpWHcbd8z4Vl/e8AtVqbAwyQ0nix6NVgdU+Mfp7sxjUJ3mC7D6jlKTslINekqVHXtQ 7KvG0jIW9uTGkgQ+TTLQCNrJ6Mj9rVRL3BUF7qNLftnu4c+OCU23nIzlretX91BwNKBwyk2eaQTT yqHN1lNvdmR6wTQiU+HtDRw2ZgAsrTx3ziiS+Tjhg8zqQQ9yO2rFEzIvS8xwrV0TceJvXUtURC42 XjQRu0ygbebvL4gudhOr4XOwI6uhqsPG/TUrCSFOqz83II/7FIG5fLWCtDID/JK/mneEXbL0A3sY w3yMVfjcktNA9oUHVkofMPLjDlT9e+JIVWI34++Ebv/vQ5IyH3ldvLZr/yR2viFmPC2gx7zMwSWB FIIE2YG5AEEcj3BpdO2GpKt7VYBUy3ZkMCBVvqEecmnEkO1UCmvfl1kaKmTtv+RxNQJGfX6xN7LP dIzPlN0e7Fu2J/CNy10zpj+U6x2LxK6hslNzehnBYWKkKzF4MLm+FFajoccglHHQOm7YAdfsqmwv 13SVXIN+LKbHfh1hd6sBNwbxSPJmxza++QsFPww/msCNRAhE9QZvLsYFWC82fBQECxODWKUmDMDk 4+IzR+7wD/YNJ0i4a/212+VZ6IjcmfS23rs0PbkxD2ZRDYec2nfSknMEQHL5eOatalC2CiYdmLwS JMIvM8l/lJRU/oeW15DekpO8SkU6sT6H0Oeyu382YTdSZfvn9SsArLFe4wZRiYYLB052NmKDeRgm fpYvysZEC6nQ3YfqhYW+ul1bm/M3TnoKy00VWcn1WVfAMKs5vVv3lB4gBQIGa8M3jMA9TkffhJ4Z e78MuSHXlUwcaF0C3S/bYlpvj89q5CIBFz+ZeLfSsHq757YqASiehzSJQrXgWHcvORsH/pchlS80 RfHREStnOA8tchmXDhW3Soal8BZedX948tD3WnhwtPsgGiNODtcmS2VQEwmiHMMh+twxXZNVfBCR HWYs75GUYEAwhHqxo4tuifhb+PHkHoH8GPJ2yDEDqfPfOBJfi1JjEXNpEBpwzp806iYi9cG+LhHu hUqhwsgav0b4TipS2ObZDK0/Jxkmp3WFzK/uq3hzxW1Ywd4GXYsYLNoQ5PQn2m99ftXNq/KJnNLN WZ9A9nipbziZ6+R7Zy5HydjL/dnAqKMBFYazca10OHG1IZMpPsHUG25+S6oBGfx3J91n8yf6pg4U XsHv7/R6Ld3cWg5grwPrvIbZaACuEAwqXI5dGBuFi9JkhvM1YYoqxrPyhMh3J9Ra13zTN0P3LGiG 3iKjbon0/eFCjSN31pTMYGi4gFyN4vz8JfaUriivqYaNStQJl0RrNuuM8auBNzaTRiIlIdT9HajA bSpub5TFdqGROBFcYi4q1Fi54JWC5PWuFGfqhtBeuLXiFXhrSgsNXgTqa2WLA9/3oPjW2ZijRaEB bIZ6D+crIrng0DV2bJiN9i+PHhGadI80Z56gwQt+61b5trP+bkpNHbwl6xvrret1ZhEC7pC1HaER h3mzy4e1P08VGVjPqeTbZauitx1gnr2+WEFG9O3YeqC+2EdQEJdYSS9+We2xjFBzgylQDdKptCQ2 PAgtnB/LT9vUSbqw4kbDokp5RlQE15qOIs/DxnMe398tbvAFbNFlUtU8ii8otwJyI/tus9CtrXf4 uDvHbgugI+rRMnzjrXwi9wHb8UxLnfX+knnJwUCFpXOEbUmJfLsvaG/2U08Q2StRjqZr2FoQ0ZFB gSKIhtPXKlEgVtO5jvgztAYwr5M/XTKWJoDZRfTKLOntCOc15rGiVy+964gm6Fgwmf/LRb1Mn3cT lmx+gcsVlliUUeg7CjEWeYA8mejWlB6B5k6C9J5p19B+Vao2QkZSvZAuDKdmr2KK28K7lcabLTFE URwYofBoOG6MFxg0FIaDUbI5JQPr0DvxHe7nTdQcJr8Q9I4Yxg+xccfhC/MOroPV9kka13ALNqQs Lt2Qcv9qSXGNfBrPl/2rL6DVU2q5Bly5rY+7Qzj8ZC8yAlkP3W1K/CKtFoQCfnib+4MaQgIXHsve x4OahxNblEpX//V7xn/0bzPbnQjY5Nw2H2WFojZT/ZIiBnly/PAEaurJA4wps7L6BBEgjz5TiiPa LXzvti84ooMNxNDZlB2LOKCcicZDLfd0p12Bkmsy4AUTnch5O+wN8W9cZsvvF2ltHDmDakQmqjLL Ga0FY87TvbEi05sMzdvqMSyZtSAQRLI1cQWap1SGszvmCp+P78t+jcYVZ5uPVyW4mcKrRAdMuBWI /FNu/eXzBSFq6q2pTaAIGioegcT/hNvLUev+IVp/7gnHRCwJaEAFJgcHBbzsXPm1htQXo+UDCWK6 NeOy+JYuYoXzblfkVHO/q23vKz2AOLTrMr3u0yqMxOeurdCG9n9XFoRossXhVF60dU4pEyeU8U99 eqn4O87F1k3M0QOCjfY3/1YYCzAcziCO3DGFdi42ufkPgYH3V+0X8oUkEk1tV7t7aiLapq949b3J ynuZHZR9Cy1oQ0lJhIoBsy088A9P28oJSTGsM17DRjiL4TE6TQ4Woh7C4bkcogfdFsqCqpGCIYZO tTia/iMsDcDGucYqkgpfttLv8TzmusCKeJT/5ZTe6VZuST9fUlB1IUT8X72jJ518Bk48Sj0yiF8C a4rS3hk4oVMaUT3Xr8DEkz8rcI0Yqi1LkXy9aKiGFByoqBpJjadOEJsF0YL1r95X/oxcN02FmTM5 57P+Xmq1XO8k9RsjH4Cw/NvOkzur7qP5NoYZW46hv9xDh1ExW3lvM0K6+DaOKOy1NdvbzkN1kPD6 932dNFpYAPtUf6b/PbLGM7BO5fjtVm1txA9n/GG4LuMuzPep8EQY9O17QG2PcJmv09Q9hUOm73ik E2rhSM/HOT2MFI2MUSJObCJOA9UIEGoYugEkeB3FeORc91QLapRoAqMVsEilQiKWmT0MFZvZHkyF Uc4diGfJT07NKwuwzNaLk72mpndIEe52qKAF012yQ17KkKooreSEo3JVgPequrtOG+QXjv6Vq/2r hL4KYN4s5Uslgqp0ptM68Rc9FlF6so6hQyuVbcmSMKHSN90XD6rZkqWCTvsJR+qsXyzCewF3j3Fc R2ahFLsXVKnKFZExjJhSUH+b0UJyHSx2Myvj6LdSAIQzlYuXl+eMVvLVGpF2IP1CNONc23tOvfLk deM5wa5O3ga5I+VW4zT3sCL6qYWLXNOORtZa+bZITOoUgSY10x2p6rS68EoBSOwksYcx0F/yWq7x s9cYNhDb3+RvJqB3jFGJleHQF1XU1zYJGJktktBQd8Uh+qmMM3XzcCSzQJjks7a0cGgWU0Dg4zxu f2tnT1TEnogGEGpo/h57L1yGdR60GXUVK/rrUkblBeis3D6IZckTaWIl6w19FZdD6C75T+3YYgp7 7r4GERNU5iNIbHi1cf9Cki1Csna1w5/2ic1wpRzwvW0AVxtpDyGqg4qUu/Pq8bS1/zxoDQ25rhNN rp0CF5UIKwvSUyQhvMcKS4XWyDEMhnoV0csknsUdmvGvp9G1/iz4wmy5GbMJbGfhyMm/fBevFw+y 2QumnDLkpqJ/PN1SDMmdEjFOkd52S0KqV/Gv9nadHo589a2zpFheqf5lApQELdyzpsDrj1Vfdb0a kKMbuSWmhOM3Q0qTTYSQ/02SaYCMiQdfscp5lcjyunBCQeXdAm07MmneqTdWvKTRjtmGNhtfPuei c65RZho/EDc/9Gk3C5MPBVnPyE5pTdwuHOm69v7qZ7ZjPN0Y598eh9srQlZ6a1VmE3J9P8j6mBCp pOc7riZTkecSl1fvmPi7UCek5qjyeCom9Q37BSLsarsAOdD8XAYLRd/BfBVmaTp8B8a2/T5HugPo y5M45DZHvILLOhQ8NkCDtc/G5YU7advoPcro66K60gnbl/ysmLRan8sJJMqGNz06yuemFe0hOPEc oMoqPTR/FABokt00i/rmcY3RidJiSlr/Z4orTs65cjP/17yU0p3W6kG+Gy7ctJNxr8iHBK+JTXod BzQ9ewBmkTP3fBKqlmjYMEb320WwEDN+5yUL90M3ReZ/+VfDhU5GRH6YogyNQM8V7ElVE8zyqjvn eW4i8IDMkYuE59tBs85xIJ8wArGz38lJGPik5p4WuwKowkTsiC0JZR0tbFWlAjXTZN6dE2q6QtwW Zefv3VPnokw5Ix6//Q492PPqtwF3eI/FPTULiXc17dym/lkh3Wyuf932fX75JX3VmPEeZTIilo99 6yMWuNEjYB9S0pt0GraUFgB6FrxFJoKBNJVoYIge4E0Qr9DPSxlxa2Sov7NvB0T4o1ohqeN+aMai Dsbfb5mr+mDO7LCR20wwF/I8F2K0bMuPAgSSZ5otcDyMximPayyw7vefX0qpFIJwcov36HTOdoXu uSTPKQ3HhfFTi73Nc8KuOr22OJQN7Sk9RUNgKVupGQ/ZDdz7ePGCrKqALZMX4fQAaxQ2xNS6G9B7 IbPCV4mXmJ7tRVO9FPZm0dtb7eYav9t3bMcAuL05GS0/f/ZTYv+L57GZZXewiehPFwN+138vVeGn evL3XytYdjW/ZhzAwu2EJNdlDoDmKFVyl6V90cZ/IFloXSTo9rbsMGdRX3mFUUCZBp6m48ShI0Ck Tvs1JJ7WXrTaYsltzmJ1IooGQQDq8ctxBY6saGZ8VE0I9pVLO2hcaHwPJqBvoH4Ry/rx4cXua5dn xgWIA7gx+aeQR466d7+GzAy6ULQlwdD0kiK1VYcuZhR3iVGggW0nbfKywX8iBInfg0ALmwsVfIRZ qI5swIMlCPbPSExmS8adkLggoXb/0+9MizGivBWkz4rLT5W+ZkRLIxWvNdBL8W4IkBvlPQ6E2Ia5 9yPpMTdzGS44/L76WflVRB/w9aJqs5cOa/l6ey0SzsNOi24lut4DJZP/LOjL4ZjliNOPqF4WjVk9 PTURF3Y+oBbz4+LaT0HqwvYBrMPPmj7yz6nN2lq+PGsmIxtIcDsUUV3PrJ5jgv5NUWIdJ4S5fNPv /oYoJv26Y3ogT+T84BleyIraQQVNvS3/BjtuWV2L8RmUGgTWx9idPREoLuvjGwPh63MEi7XaO8EY fvAUQSdy+zeVG7f9E1TYqHBURqL6549InSgclxOJ4zRnY6IguSbo+P6z7njLGRdsaCXONzhGGkJV ow/78r60RLJnf7WvrUoEDtkxXJu8CnNHbb+vZVYU3FXcY3LYM1I4NaA0uDweze2NQYlebYxfTDJc 6lwgNC5EqX9GVtWcdsvR1/PCswK20HVaYdHwJP6R6gNVkRBlkE8uv7yPWKqDseP0a6IfIRX85tM8 wy2Jmtm7Rz4wg/MZ7KNwc2gjxFIMJSggPiJwiuX1ov9fHpzqLePw+7DwDRPkTWeUsd9JD7BvNNBr QXlOWG9ercwekslSqRGZqfhpiUNjkoKTUarDu6j8geh6dUXOGp6UL2KxX2WId2r4PGKRjdlbfoZf 5IT3P46lUNaN5/+j4UIPnIeBXhN04vdZ1YjqMs/88gsK7vh1kpFTlN9tT6Fbdxx3AvZWVY0LaCwg aS3WBwhHYOZx7wFUgrnwCito2wKHjDdxoOaUdSjvLf5Xl+hUSlHrzNxHLP+cq3dCHMbXfP0uXeUl BGGz1ep7mhXG2IVjIavSsVgdX0zPRCOkhJV98CUYtaEMj+eNi7rN+QF3Yoi7wn7zwnh4kUrjqQe0 b9GXCIk+GrpfsOjFnOcHwJ58G0zEogZ1xjUCZcW+qE92In2VeStTTv2Xfnk6ZPGo1fTZWy/SjAC9 IAUFI03Dyc40LPa0NF7OnBc/9u6eE/38Aynkwf+D0jzJ0BFqdB1/9cOZMAygXKzdYEY9TJIs6RC9 usP3CjqaPDG8NQ+xpj0W4LVenlsnLHX2yc3PaIC4iF7GcSwzey5lD/go3s+z9V/Dkf470s9YVIG4 7BU8WyA6qnkMiImFp2H54hNz2s5S3zDCcU4eNjJ9v8BNOu+1uKfM5XUY4v+Wuqp3P0L0VF3jvTcW KlhxFsFR5SLBspRGS/utmhaoq2Fh0lbGmUzo5PCRkPrp8zCrvt1oTM+k0ZHgYCgCq2+5UKymxFin M1O4SqSx24QA998yU2psNBK1HFFPPdfrMdUQJiVFWIBgR+1BM0purBInCYuzEirCx9FkKk/Q2S+1 P+l76MFY3LSsXvPd6nB+amm3J2ju3SjVtfvsW+Dt94z04DTvSXFqyDk8kQhmFhU5T7R64hhn0iPj T7u00VFjtBX9hcfyZRK7OgzxpScuINQitb0yNThPVwgAyqsYoMlpXchcRqE9aukTKY344JTqzfmo DzYbJD7GAPZ18+wZjEU2gLr/hUNog7Zgtk1fJ921hLUK3e6qe6zMssDwUNU0kdyJ3T8ZIeT6pNam LWDYfi+NsikY3fuhQkgJ++Dd7JQyGDJ5Jg5oLsDX6RDgyPpouZDBteukiYxxtrtylrm8aEapcPlu 6LeDf1dVP2Afib0M6RA7uLkHTcfhR6xLkDlGdAvn4vb8NY5dNjbTayYFI/FEgCebvBI68t4UrpmM MYTwqkr/h+4fDZjYg6tcVdmt/J1RbmvfolWf6n2AabHaIcjqlIIu0gs5l45EPN5s5aWT3qciFt3M U2JFFpmrSI3zjxdjA571lLWXRm1gM1Wz/K6aY9uCvSmRTgp9RWflcB33CCq3548luJUS8pxmD3BH N2XtOmovMIHUcudPvulbhm9kmkYzEu00qSp+OEkjs3gNvjCTZ5m75Unfw2atwaWo+0kABz3JXbZv L70KYL0B+hf2MSMVRx+Lc6XudMOZsVQ77Kb3q7SrFi3rzvdSUhE2SDcun/AH+cgb+qBA6qeWnZnN ayjc0LBLwUmi7xjHt6Bm+0UoqhvgpecCV2nNBXHcYvFZNReQPZ4UWwYE7+4TsRmlyer179jUH4Kl Ii/pDiCBaoJWULis1XxNODtcUed0lXiPxrlzxPbmzntqvpNob8kgpkiE8ekMLYL8uYy7YaBcpqqI BFkN7DJUrTJ7pBqUH5vleq0zQVkPjHj3+kVdVoQDGFv7fp0D1SEJmlrszZzyncK/5+rlH4CpvSgE ja+e4xPLklBRYRNaC+jO2tA4Xf2kRnjgrs5Aqp8XVFCC5mBRm/Viaj6qQA+bd0fQtAiqGyg+IChU bR39uv1Mh83dJAoMB/zfCkRMiQthYomooXHQGOPQL+01S4aguqSNSgOoGkfol4Zo+924YVUpoTLc Tf1Lw4SOhD/6h089dlSFGrU52MZ77qUJNUYi+Y3ehXh87btIqoiQpgtpZqqd8CdMIK3zLkvfhlaH 1qKlZkYR/pHXiaWwqeupBmXZjbYtq6yPCQKAnButFUNHFjrdUe9koUBJS5IyA6iSNXJn8G+tlHk9 7Rn1ELq73cCS/CeIK97GRlOIjLNA+gL3UN0FhKsn3rx7S9w+f7p8zXvDNyW+ZzV6wSLdrcsou+sX 7ByfJBUFEAhEA7Wx6b0qHdfd6pzdXXZmtSde4OH9Lb2n1TA79y3eAqQgn+q714mb/mQLqCO7xUwY v1jvejt0i89sPZ+5WAM2IalzicnSPVb2f7xnjj0YtBYn7XnU9lQdIInS1VIBuTvRGCpX8bO2pXss Fb3ucWBWMRPiz8h4IeqMTj0kbAAEb7lO8Nq2yuZCL7zptcVS+k9xdaTb3V0pc9GHf9Sdm+PuE4cT ax1aIKCOpce38VtIqoNnjx5MxN9kkqsNaN0c/USRgtJIK6eG250lsqEY634vKw2g7BEjGzd+nV21 /UCvAb91G83vAf2KkOvd/VwkpWnlGLaeu2muV2iu/PXiLuai3UkiY8SphOK6jlaOvTzUAhTn+h6f HS/AZSA+C6YoVVyQbTa3UyhP9zEiEUGTwntHvvOrDR6n6y9pIbu1qrENKuznmYlmqeWoWuHdJYPM CzyCLOcgHDmFD2QbnQSctLYWnN0t7DCpl/kvP4UsS7/OIynPwCKpuqpfln/tpLz3NixEWCdbO8qu zj+6uBM7SOSKesg4ykb52Y+OA8TVR6MLfUkCM9xIJb/RY1TSjAt1Ab4XFaUMKGNs5c+b87E3Sw9v X0qA3XEYzxmI0n95PxdR39geXF9EaUF9SE9JVc5TVSU8rUXae3p2ITuHP3mB5e1GTuKDcjQmq5Zp KF5kLbQAETNxUkUOuum+IkFaCjgh40HxswCtI0ddaS/INQ0NSqhHjc2AXT+cTMqbDVR6ptH6xp+F 0Ui4NqkVaXiEL2MxdBdWSYc/lfpXeusjWNS0j8FM8EgHWE+xCIZmJBWMJ9NUiT+c9BRs9jz/G2V1 6w6kbSdBCWbP6cvb/F2lRCOUaWARoajgdkxxN3HUBwJxW6kPZAqnSElt14aZN2Q5tCJaDvLmgGhm o65aPvIWwtiqxWyCnrx7IXXsaq9tc4huE7Fs4ZW0BRapVRsCfP4lvMi2HqfPYOGWai0UIJ1+a6hG wXDYHj3Kr7zBGNpcGX32gMYE17/6tANZoqnFPzeT1uRQuusB3jdRAsV8Gz8XvpEDfrcaD/0yL4QL F6nb7arIcS6XelqNm5zOqmcscpuzDn1Il6dH7au9i/P9lhi/EmErW+s7Cka4B+UUi90a5qR5G0Q3 NI+8bRpqo7AjGpMw4L9R/RKKACNXEMYWdlb6TVoLpCjioVLIm4C+9c81aqg20XyzC59+kBc32Z2Z 0F4kJecDghmRtzOxkG5xpCRvCnnvttR7ErWKaF//fs64qqZhHPOqaOF5Ha3WodfiwtJIKAN69Pd8 XVNjmWia/EFXXTcHfTO+lXgLkW0tJiy/6tx5Uk1t/M/SBfX2MLd6mHZxg3MqgSTjh2opv2B9wQ0H QyXPP9wPk3Jx93qqXiV79EEKmDE8bYTdKpN5EYVdu0iexMRc/WpvciMKprqFdyb4iO99Pzopv691 cjGYF2l0pfTr3QofgMIewSoJlq7RnXurYNX0O98y2fS1DvDErhp4jM7s4B+Ru8Vorpwbd0pJQBZb Hc1+F62M0Jeeya1Gfb4dJZD/ZVTF8p3OTLn8EBBXx1MSrNBofVKlVJ45y1DCrR+AiuRzVWhOfpau 1L/KGKAzr5oRl8JgXn4SxxDgekekZOO40gqWGFaJp0Qt9w89DJ3FSSxYwfBG/ILK0PaDC8RzGU3j hOeMGmW+Zs2XC5LhTiJaZBYCAPrMMPx17qBg7QOpEQtBryMEUu91SInPRjcSEdHoL41NQrVOg25f k76hhn2kYW8vFNh3ePafoPjKVxJ1M690g0/pFhsJX8BnW7ToEpGbM2/Geu4exdkPvxwcJnQ29E/H c0xB/HB1v+JUA/ZyGqrHkvYv2YfCg6g517FoYlTXRILo3Lx8QeDa2OCxi/4ZUwYhj7pTtk/NNVW5 /NzBiRoapsn62IDGYWHuCE0AZGwTCp4BQztECTxMMTH8ltwegD7JHpFTwAd3sFh3Y1yF3WuzZpFh x+SgS6GhWWactdqHSxCTFLNqthdXvwgW0TRcPWDaGUF0Q0iWT7WPHB76ZaKo6Urbx7QqXG3VhQJH k2iN667G48bEv6Qhr0PfnUgcmUT1eDDCfvOxgr63tNK1IelKvv7yKomAcxLc0C0kAespgYH9cX2N xUDxk/2xKLK2zCxKM6S35o9RHyfaPVjclFf79J7puTtX1SLJcVcqkg90HwzG+ceclcGo9ZBtbxUb 4zEYQHzlSSM4wcSOnJprSTxGzIvcBQ5+eW51PIFc9BRzTYQQV6YmrlmLpzKv8xKaTpHzTz8qU/5p RaDpYhb+2aqXNZmxYuk5P/wm+cZp/fRdjY+q+8YwCD+6Z5VgJygvpnCHdxJMXoHjsAi4pZDnDEN3 TYDtMq9e5zhk4wp0mhtViItaZ0q1Cxc3g0YzsKo8xFhC/16Bkz0VkWVsaDWXIKruOtVsLPZ/Y+kQ 0cTd7NajmiPGaIKIIiLD4EIjgCXH+zotiWmfVu8HfXgSB+vK5iafVECKQy7abdWCFWG+GPGIPwlG Tmg9WZQiBErn7544Dvf3QISp/GtllhsR3WTeVLOO0qx2K3pDgH8l63u5aZVt4YsJb1DeUz7Tv6px mxcdxPF5whQnbhr9ux3ImpekOhgAvGgeFNxKB8r3WQAx5ALujelJLJh5NGxH1Vir6OvelLHLYfn1 39ugEYy4t8/dE6IvugjYRumh3PpOxl98SJR4hW8E+FZjCeKZRwxPCmCqpZPbrDIfKfhtLe0TwGrJ f6hF+llKgJqawvGQlfYTj7z2w1LacVa2SM5EFUXotvlIjpy6oNpy8jxE+Wy3Sujfm+a8EhqM1m8v /vt7pvsmT/A5wKcN78oYJONtN5xgwqOJciVECzUoqPEAh+uiyo4+2ROeFISkNzxgvw3EMWPfyZ4W sC8h5VMBSkYelXW0x2xurxLvDNeDxAtWBOd2T6WbeNOikVeZOzcVVi31sBj3zJZeimQH88oq5DiG 2U1AEdu/P+NiBRcHqiPDFs53ODm1Uz0o8pnKpZ1zOIAb5YRu4wBCBAY6vePRilBGOPxpZbsUReiK ESyUFiwiudgZj7DU27KUAigH+3JBlNJELlum/KoGSxYAoSV3uv/SjjKG4Fqld20+ZTscudY+KGBZ Vd2HXfk6QCbZ3wkp3CT2ymJs+a5D2xWEn39YHEa+woNSUt4XUfZXvVigSSn1IzuwEdWOaZ7BK4F+ dCgC84pB0x+1EWDYLWdyuZfepEX+armijXOHYOECjTTZmpSQE/7epXYJu1P0folR7XmwpZLN3KZd dh6j/7n7bbj8OKcCq8dDSqtQoe37Zs8N/i2VukxkWAX9c0t+apAhaQVdS1q3XktOn0unF5uOFJr6 +rGY3131V+ZfqfSsJnqdT5aRPRmb8FwWVv4zHlAyk5GcskH2lIAIMrB8WuoXdbCig1JqmYNDhPbV N3oh+U5YJY4a9Ar1SVUdc7fDibHYRdAHeUryo9ERq1BBJF7/REQoPioT4bjwNNfLzNOA2iqgVKm6 Z1Y8rv8QeRYIWZKKUEaqn3L7SEuqjeLRDjPJe5ktCsZzsAp6blQWODTj+IM1KmBhkzUbbCI/ouNl RImtpf0FdwJxX6k54V9yNh9w7b6zd1dPpsTXS47daHbZtn9bslZmqxlqBOhPwowAzu0cNTHlcTrd gVDx6vBqh6R++uDdAqLhXRcuwPtaiMRo3/Hq5goYVQltSnP9Q1IXJpPLDJl8XkMdoInsV5KpWpDL taFj+euc/sFBaoteMN+qSV6pM2MbibZEKgY3W2ZS35NWHLLgJZALLmjU+8N8XEBGIKWWvQNVRD5o lDA7CCh1KqhIIvySlURidUhLHaL7blpBcQmOhFy5Ahtd6+sAUyjX/JeAfISMY+G319AVTVJICMkb 64j4iJrFul0a3t4TRwKM7yiJPkxb6uWOIJnLNY0tW0rzXU5aK3qp/kOfRZ+HskIFT1p1Is7sQxRo 1h29yT0HaIqOuB40gGOtzcR4A5yk7FgR4TigsT7rkXWnJJ+Tk6ufMsYHTeVqf4R3Jl3Nec0eODCD vEjE4Bnr0Xq5IVXo5eyAc4GsnT08XgrPEDC89aLDBiASQ9/RR5WjmcDL+8V3PIDJcQ/Pbie5GYlB 8H95BYBKVbPUziYS7CK/TgUTdRsXVbbgVw0Uw937Qkxt934uyHgVT3L3gqrzqQLEjva7MjIyZSkw iyj16wJ5G0eA0uRXAYxHRJSpnxC4khsj6DPNXQ/kIoWDWCI6jatj3Jd+3z4CigwnNZF3xUAA+i/Z 4hBRJEfh3LK3sFR+sRWSr0byfpfOGu+zpF3KPrgDbGTMniiQp8otym4OcCW/gCmGEgKD9urUH4gZ 0f7ACHpRfDYNgh3jpkkV/PCl6zdVKIkVm/3DJlsOQntSuwaP/1bh7auVB5hPYMIESYdNf5B+vcpO eOeAtzYbKmEqr02R31hpezZnGbcIgfj4rxNLUvLm7RAWMxlwPHRK1nH0t+xZRofOm/DantwREH0z 1Pm9izlFHsgYrQ1jNy4Ym/1FajaRJkKwYh73TVEoSzAR5mjRVH4lqBKCL6/Winmisu01YmQGAeJ5 T6fRaJiKVZkWjIvDm2zlbXG5PsnuzGLXP13vkf1SmVCRcwKHXn+LDqIauIuksQ/H5ykKo6VO26v9 s/LvnhBN469FvQAkMNoSS8AOD6K+RynB/h24dWzZKmqjib6tid6KnIOpOSgctySBgzDe5nKNz5cs TMs8vrrR8O2n5fg4PaNWTiGLPQxdLkgWYzkaGl8F5BPnkuUefATI09KZwUg9Fj6TBVOTu7loGuwv IF/hTqVDuNzFBCO0TKwpJvCLp6/BP3qw7fmWLAzPteDYh4wWzIr13Vbi1Z36Uh3OhsZqXsjqj1np QgveeYvcsPDqk5FNWxtjdDR77AtOEmc4KECMxrtQcB+3ZfQg4cza47fBzEFJdsgTN1U5QF7D1SfA YjDc5Vp5ZXUIrIgQs6O8voYn+Ps+erVUrwZUDL8IgCj16SrkAillQR9cy1tWU/+A4bc9L50KJPBP JPrX0kb99pvULelY62HEIYoUt/Cn23tziN7BMx0BOoMROI2OlskrzuYlQ9BQbylGhsu73e+0L413 Ob3Cgq0EK+B3KecjU2JO3b5sQEGzW4GDIGvktSzjasR9xNOaaHEGgmXrwN3muLhMBoIO1JHs+9Q4 zNRYRKWeKAdNlBHS55jjCstliW32ExKc6HshKdHkfPoDCzLuWuW6ZmMFE6z+bh0l6JmMdaI/WaeH KQi6HLgPDhIoSvIyOPBaq5ldwUgkoGHJJACseJIbDYS5/1GRDnlXD6qzPlO608usGApMzzh+189Q oGgn/Zrd9Jdg5rYsra1XBIn9AfD16+d/7aMbx8kVPmrjV1Rg3rz2itITndIZraO5XqqxW0IEn0u1 zx2hrFPVz86tu4VDP96IsK+iLxKLu6kJDMX/teJIhVJfsWm0NVSja4Rfj4TaGFp/MEuFWMlNfwT2 Q91CuOg76QprJRxrKpQShy37iB4XQxSpsLRwdcVB+bZ/LywQ4/hOZN/t0jGDIgfqHWx+gzaEd2y7 rkP08X9YRlh/+Duzpsdgj1trRNIY63E0sLFnW6JzU+Yt4Tip4b9Az2fReZBVFLM1bTshvYuP2cGm i4FjL3TSa5YoSikUpr+EgJKcg8aBUHBz302SGHPOvcw2fm/TL1DCFKyh/R9epsxDJNwdPwTbP0Kq JRjO+o3q+vBhuP+k16lGmWp+GrlCxoFyJSlulEw34GIQ4bYXM3c5AHknmQpnMWSuWnV0Uj5KBfYy EkKSgsjc/Ti9c9mtWyKgTTNIUdUjIavhwj3ApMp2U7bh1Iz8oKNsc9vS9zxI+42tAWT6nk5ABcAU TuIuTyW9oCsLXduMkJwQLcl97pQJ2vyNq9g8bYTDyukFLMiYet1fp3MRz6dYZ/mZm+T1Z58FOSdo 26gqhPbGV3qFSkI74AFKl5NOZr3mA6XrThP1VYU/ShNQRNoWiuoTwYYmJYedFDTE65RLOPVT5O9I PPBH6VvEsjF3veBHZihnl4Z73KOFqpxOWY2DDkE+5hBAojJTiokvKCEQxXJmKmBK4ciM3RDNG54m ITUtZA4JJyCPGXaLvskKEBiDg/1gXN0xLh9EI1hs4BldbVTHbn3yZeSWvP/tsFNxS36q88MUXLZh JVg33mMx8Rlp7F/jElM7ldmhd4UaDcBubsiB2WmqA0EHcXhGDR1oaxqWgLiKIxPD1eib6E0k/AXo kt4HvdwYV/xqucX51CpTgzrgI+gu7hoqvKn5ANdCIEI4SQzsljrqkfKvDYvWp/XpFn8AYQ90kTel OQZj14cVTlJnkFYJOTEi0sf9hQYMjtXnpMcTSehPBVhVCQrqO4b8AwOzIH2v3AqVmAQszGyXmRX7 KM+4iIH/PWmOe72E+5tmEypTP19GI3XU0ZDiyta0aSuNKRZa+YILvSlQmcIvjJzrtBTuoB8DK+IO JbJQxj+iLzny3mpN1EnjJMKAuAJylu2X6r6c56drSUTNbtHFfKMkohdjoTAqzniAv31dtrHfJEEF 4YQl63i+drotBLCyrs3GTmCvgdAusAyhKOdwEZYsY0vNDxJZ6nVuW+tYtZ2gV4UEvPO0lvbp9qMg iGIQG47P5peZy7I507V7G7AiKfIHW1vwP8JmDAq6cbCfX6vCN9B/7LwFhdEMOvPRqgzR1W/k6iFT LcqTorvcBPD7insT4IJWlSPXrT513/UtF7KT7JtBlrfCR9g0+ZDo+uaPzBc+IR5q0gfceyobRwmk c9b7WY4OEmkRcKl5EQCLY36ikTRhQiC291UxVtVLk5GOCxCOWd1FMT+1ZUKnHJ7XSNfe+YcAbm7t zSlebVOUktdpsF8Vgx16LhVpXXtFxLw/+Ul92bGG25nEoahaOOIie5sm721Ul93OUdJeoc3FfEwu 4CLFZJ45v11Tg6QrAZPT7wDxi/hwjsSbrW3Vwyy0ZOerbvLhJEVThTnoZ6wLqD/aO8AQOlPnXnpd D1Zuo4m/BzGDDcQx3bs2b1R/KT73BhUK2/BSLNbqLKnmwKP4lR+zoqGhSXonPK+8Ya86MkhJ1t40 PiEpEIgwa3eRlnlmNa/+X2bxub5265e7meGCTHZbhO40B2c/9oNeJfJozW+6KfWCvzg+cYHp8N4Q wppcNB0LZdh38dXuXXTtBv16EZ/ZXfG/8LbWpSaiI9/cedpn6dMKAzJvpB+MWnXqkhTHFN+gI4ev UynU2uqSU9sdUtpAk1w9433kR88SCWw5nimYYWlSlGGSXSD3fUX0Jkjel0LpV+2EWxc+Q+aY+ppA yJQErKD00hHY8LYVKOa4DHB9Ugsb2ti/ZLGHbJ15+Dx0YKpf3eHsEhRjlKKEZT3WhN3ZqvB0R+Iy k+6Ev8Hl8jNBx3Un9XDBU96EShI4kM5/2YSljkx0UWKN/JGA2MbHdGnfelaY2tvyhaocw55VZf3A TsR++h00zxqsXrXyC0vV5SNPJkhNBHFkTyWhJZs/HMMIoPVxlPQcV+cCTy9ak0Ez7GXFZecJu3Uz tmbwOPQcExOF20OmJl5+tDfbM2DThbkkYUUyUfzjLW5WuR5wI3jU5dMLjlOckqwjnPD9TQRG9Evq iboNJySxHaXXmFfOrGGDeOW1dFNnqQtfjRYIfewAW+NS/hoWhJMHd7ssL6JggY9dn8+uPMyE4WyY UFX8n4jn65oRmNBpMkoFPdpXY4mn0wyL8S8hGlJS6GvJTKU8hzygVHp2PUPn5EpzEz0EfDTpdNhm d1J1X8+JThDe+kLZlTEv64UE9+JlQUNmj6yq0ScpW+YPUwcs6MM5PbfpfpbqA4hLoHDrT0ENlLiP 1E9936k9sfKgcUci+vH+OWf/XAkNjFcbbl/371sC5VitcW9TCeq7SapY7bWNb5ATY1Y3iFkQiLZY GYIdr4ufCjqgDBQ0YSgY4rHF+/CLr70/HYGOYHMdea45+nwQoG+Lm+VQaMFk8fWg2pGC4kdN233f 8C5NnzbgCkvK1WAVivflUTU3Y6tQFeMQnXo3C7r9CNW5jtvXubI2QjG95xzRtQzvORnk3YC9t5Gp EgR0FmBZ96gMDJCGuVt3EZIWaHfFUiBR7sgZfY6AGNsKxnn7U/79FcfB4CXJ6m4nPExgH7HjGQVp eZoY8oSX5U8F77tEvixoglwqSUAy8YpqoN8Bh0Gm/uQ1tJJjy//wMMHuEjifG+e/EJyS6EZgRj1z yjvXZrv8Tl79V+lt/o8morI0gqtQUJ1ftAddlJ35bUgj7EP0iZ98Aup36GtDcx9Ie68QQ1egFDk0 Y5FZ65mRvLdRJ1pKk6G1fXzBpaA9k48ZuNW+LzZV3h763JuJ+RJ7Tcawsar7Wc1wCUeFx7I6DkS/ xCVMlZ5K+Kv722IL2qwCvxNLaU5aTy263GukPybEvbuWedy6cF0YPqXRiBs733818Tuox8EGSQT7 1W9vx+IB0AQDmiQ2B89HJB67KOPa7rxtYZ6Rg5eBapAiCTqsf50hMQyPrnCJVMwsLEm+ItBTW4dw j9B+iVMTW4Cobs8QHs5KLgWvFddFxw+WDdCDbjKV8bv5DZaEyGC7uEHlR2mWS0cEVLyZbkV8jOK4 BQe0xCpSn8alSkW7EKNscX7hdLNn/oUUleN8DOg9Ib/VoEHdk/OvGo++J/mNmD6YYzrhSwQvCLRV LqdbWkeIQDgcHe0Ivur9kky1h2RqJci1Fqikv+3/xCAdrSgHXgx3q7mAKtHbXxzGH9a7WV8u/QYI hfwxS7wwp5cSxJrUc+UONL11922Z1pdxKDz7ezh8Jhy63RR2If1EFLOO1YrizK7/0el8OQDMZMhe GqBOUTgwmutawgdSoKXmLzM4/3GluMztUz50b/ukJDbs9lZPf44HufwYeZSu2QolYlhpStKD9Xsp iZKKaglJmwqLr52jYDERCXtpH694T6KRNdZ5NPLWMJZUdJMZlnuzA9ENqZp4uW3GS/XFVfB3cG3U CD6H3pmtLeUJMiGUOwbKti/pOytvXnvMTTyppue/3mOh4x8b3z0+/UpfoiXH0MCVdgShYxyKqT6f CqGraS4JwlhkmjTt4WPPe1mNdQzsXFANPQPdUKTOBwN3TNTmjVMccC3F7MTQCd5I4w+teHwOOx3N +5+GZuyVurU7RtJKimblWyGV+AlZwHybKy2gVvADvkv5S3jzkqvT6/cHL387rSM2mob4b4z7KX39 jsb8rBhGR1pUeUh1HZ8b/eLDyVWIHeg2z1dbQKgre+DIZTJ3NRD+HWU8KREWIXJdMNsHd8saECVQ /HjxuRFVpo71eEg6qOZKaLp1ePo4crXrYM1AaNlyROdptOpvA2uSP6qqrEMawvonJD6gRhNLDlWU TF57tScGuo2LdIHgKC0A8THwoJs5y4ElwN/fsVtbJOSXzZ+z3uuLeexPNYyR+6m1SbyQgYyFqfP3 NlbYwlM9HRG9WoIeLIC9UuHccZi+960bnhuImz1Y3SwtWPhOykePRt9iHSRcZuTYxn7wVSeBVmtU EOrLY97xX7o29+1YSO4jeyk8eBqwhDZJcehdImIHlhMsMGBCRqzJkRgrCgv9YxjiY2sTySnQWzJf PEWGyCJvqqJ4JYvF9hoYYbB5A0Acs1PZocqNBDG6r3xaoTbNWIk12D4qgL8hCEPVWXa7AB1Gz5zD ocg3wj4yDblBLDFwH4edHZjydb7zWGzc8nPpVqpOZYZ5dwEswCtL1s9R/8AVudH6IJq/Cs0jaiEg XPl5TiFM6EBvtxNlvk/RErIijba781vEhpsvLFEaQIZscOkTxlZpEtPOfblYL7qrk/XfJ+BAXZ4u EA4wnoq2rc6ANoPXZyxa3bYpWEojVnEwDy6wWHcEZEmxyIW7ZPTumMoDun1aWUAvtTllTA3P7sFv VvNi0QbY8wv9PXLZqjS3BTNENzfza78zPTGlNdwAMxIrzTCYnhTUVjAUKjSWz6yDn2oXzEqfr+nQ mHZ5GC7xv1scBkD6alBMc0aW1N3A331DRHIEeR8VT7Cfoeugiq5c3Ianq9wUVNcE+tWvwdTvx4oe gbeylq5WBx9yFsDiGD2zexk1viqq8BWa3vbbVzorB6WYy5vbgt61z1UM9wa1gCKW0WKXp/MoEIBe VtNmp1aZcyIUWy1ZUy3frt3emy1OnN2Mj3+q9AXC/0HryS79qyxNe+lXJA1zu66DnHDfvFh1ckRC e34e30m83Z8Y1eW2ql4Z/StkdKdwYEkjyreOVr7gLuufh8yH/8kYmts2SplSkL0gLau7HT8xSqub FIXX4UrsdHAeSBbd2ZyuUA1fRX+cqV8TVFY7aO9b3/l3pjc9TqprD0Gp6lJhACntrQlDEpQ88Sdi 20peUI8TViv3suLd3MTwqQbOZIKEJXFWtwtjISaQ7nq5W5ERmqxvxgcqCprZkVYU3zv+itwB4ulQ 3SsLXLyTFqe5tboe2A7zJFGv49RP5qVMJrihLBzkEDLSAMi2cGE/aV/EM6yT8D8ORolWQUT/SdVg Xyg9jRy/jWhL8AuTztEQ2/kAnfW2noIfcciAtq+QEMIynOtKFtGmKiaPO7PB4XMxfa7SOVWp6eny czRqxiYT2xrswYWmFXWSchxNCOXpWwZSmNJ40PGFr/YS/PufcucMy4w+UkGhqX1/l9B8XpB4Obia /m0IYymBt4qrfKy7G3DZS/YMgh4MUdt0t6uE21VBQjtvqZ1kVTPgspFtd2o6XliZ3mlM3U/yDpjc gxaJ9BE7i0yLaGBd0uCpm5z8Sotw/uc9B5CLC8h1e75XBHmPUKsQ4SpXtXPQnJ7CsT1Q4ycCU3dO ajt3D3TX3Juf4uccXGMs1oDvOyyL+5lVbvZ7gED3TqTeSEdil047S2w14Pnkar2NEfDdxxrobna4 SlIiRRmQqixWpicj48S2St/TLaDyvUTK6IH+MUbP5NqIJZ86cJcZiOP5SRO1ZOXirwz2UlJS1Hmz Hq+wtLfNkU104rYLbmc4t6bRqVLRNtEtH/UYLPtVG8TovlU8pqsDm+YVdtGUnLean3XkHkLTbT5A XM3yKJLW/kj3lEHVaCqyEUiIffnu0R7Mju/V9v5CQge/pom41G3NcfxxU0BlM9nfeTPwG762kwTi nx8rL5cFWLmbX5N8Edx+oq5xMVHVKx3r4re4xObdkAt5y1ONo143PdtHuO7h+1CN4fdpMiFGeFro 9pvP23ft/rL/vZL4/PfQnXNIeUIcnfKR+5s9pidvrPBQaV8QyMa0dSm6aKySQDbQ9+K1tJrijDSm bDLFYgg7kltO/rxaF4fEt5TbCiVgSQWtdDWHo98oJMKNOmBQvcCZUvxl05UXOb6AupwIeQ2werlN 2XzdWNwLhDVdN3rcmAUa4NPX5yuKVgJM/igcfU310oiTFIyhYT8ONknCmqCFIYUjrOC4pfbCtI1b moFhv674SM920DZUh9xg5Al2mr6KrPVvxVwtAU+ovRYM9XOTzziL4bWTR+suTQZnvWY/66jUtSQK Tc+RlSZ5E+Bf2F69fYwoIaq07OPTWwP6RYAjFKs4/2Ap4o/B/qzzTKwdaWhgQxr2b1NN13BiP0AB u7qp0ZEae9Neh8VPkk9v882oHCB/lU+KThfQQLy2gQJMy4yZ2viQYdx1UjDnjbVUB+30Km//nQhr azePWU3eeoTqbjqdKFD8fLBMefNN3mY4G2iqyW2CIo1ZZa31pMGvJSvQ0Z1szye5ZM2NJ6ASGbBP PSZO19Yn3QHsgbde+u41wEro2TcY7n4pXFvXzrhph8EF1K8S6Kv0YTPDIIXAI8Z/E98AO7uypgRa zPe03pXwZQXhUToD967ZaX/mys1ai4rqAPWn9PPOHqrPh6LoDrlVdBTF6wGaW4NTPzYQQPvyY9wY 0qV1jptlezirUkjmNcTQG90Kkl9zpvd7QcIdPluOC46zlY1IFho+a+uoSwQbPfArNMOpQR72endn 2IHS5Hwi+qDfUjCgHNZX0pexvz24Cwrm2B7IKk3YDXUwzQK1+1BxdOVJz7z2RtmHEjCaHd4g+l04 MxCgeUb0aQU65PwbS/sonq9Ne0U0QAGf/oyb/mM6vgDnDpxZVIWRvs2fbv3CjnQcdtu186GAFXTa E1yWmfUN76hhkzabTf34gkoYBFIBZGiuY3ZdmGf9Ho6bi7xI/hJtleTAQtx18lRzv8g2rbu2nk7z 3LvKox1WNmoGMa4XyilkeWsrsHTItT+uYqzGPWYuSFv11My+hQE319R7c8bvESIJDWDZe4CE3jr2 GFmdBBcdxJ3Ue/y8wDXgf60U7UymedvfjjlysH0U8f77ueIsfhu5mh9hMj464mqAILvCnTUDrIxE Uvo9nm4C7MA3EnZsTU3ASnlrcei+GfTDYFSAyG2QZQb1IbWqXSgSPHF5BnFS0NXHWexYOfhkEqVg snZN+N9IOTw6HeJZHpWklpqPflnGyJ4tCCvJybOVZIBWnWUK+TwfyUZKfKUGB6m3wbDRYSGZNLXn lGdxxF4Ct0YN+mOc+HoE92VyMJZ064BcHLRsH6eyn5DclCIE9mPblIKX7uC/82POlA7C9+k7okv8 eE7xyPm7AynMKuQKSgz5rrXdPOR2Od36gF1hFqC/wIz25nsO1fSwkyHYZOaBHaWffv9/d2H4SeAO O6zDkUellKmr/VPT0Sx0oIsgn9qJA/sxkgy7t3nSJaImHva0AjNNLqk/o9MFtT7x42LGLdwM3gmP YxsyzkCXjCDzFJtm3tJnIVKplbVzvpOqJX3SU0Y5CciKXhW3jyTZHcjCFKas3Ohp50JTwBOmgUNK g9zuJ8p9cbF6knjA+zZcdcHJVt1GzFQ7YUZTjH+WZX9b2kINBLTOrq4et7odFauSWLeKDn34GkW1 Mby2756do35CFal/laUDZLHGTBTOo4EsS8ruRUb3sE+80lJ9eJnxTJ2j8aUDOQXcn7HPJMIen/Ct BOgrIZ2UCioGTeBLXljPJro9XxtYu+zNHo5poSiA8Q/7DxzOB4voV4skEUClB7f/K0Lgl7XIo/V1 nX4gRuO0omrO7jac5CyfDYftVT8mscjNx1o/4q3NJsz7R7Bn6J++9Y2cdgJPFC45XgB4uwDMq+TC zjOMg6/UcE5Jrg1YrXhAmGcLXiPyf5U6tkspWq23s8pCMAbhPmJKvyRuehGM7HI9Kx4A+c5r8Sli GD4o/9R0yK9XOm/hjOmxAIxIIfDQTuo+LnHBUInmKqW+5jvr6XDt2rSeSX4Ctiug/WhD3FvV9wx+ ywqDaj3/emJzx6lqroPinjZxJYs0ueKrGKzeL0EdrVngjqdZwE1k4ISc+ViIdwkMs9N1OlJstScl FfUkkpt4AZxs7sI2in9Aj5nc5L9IG6NxiLSGEH+/Ng5P6DxiDHaLYhIAsu12mLg3Xz0VaIMx5rKT FrVbLFeEKOKuORDS2Wi78ozOsVC6ZMcoVzwvBQtAyWknxd3Rc0+f6HR4Km16SIphs1jhEHoDAa3Z 9lGVr77O36xlrEmnHDZPsNU/nhTY6xSDUuQqZ0eP5vGMnB8Z/YKAsU4n6+r/ki7f4OBqrYtIyCS/ tZlpfSbGBEyMItDiG7ZPUT2MnnGm2GGvkGj4qak6fmRysffM9qn6su+UBq9q9Erpd9XF0msj2oXh g9sTJc73fAb0VOrzLw3qau2sONEYwZJSOK0Ua+peAIsY0W3qvMDtJS1GuJURJgTztEjCtSMn3day qeRPr03NQY3S/pfedGnAOoLIDmSC+mGnxOO7Yz+X8TkXRt7k1XcsGa2uQ4wRZEvN/MTijWMIEhpi yElkmhgOdMexPlCdBDZuCI8UQ4zodVVkhZhnw0VwotGpwpZsX5CaQF4Oxe0KI/HYTEDO9xd1yYUP QclfmR2S1J/0EU+C/Y2X2KpsR2//EknHkJ4jtGbV2KvaFd15VhmjrcsXoCS/GXYv01SWjdM/aBx3 R+ds3pVsNlziX0XlYu6R8Q+sAhseZjSHHN5wE5EzbC4+YvS4VDYb9GQSH/aJZg74FpQbHWZ/Mf9v Ny0C85nfxizkrCUh3uBNHx9MwaDfVXPIJdrTYxg75ogtNNYSfXqB9gXjz1E1yAJxqMM9Bn4SoML1 FpGv5pb0lNUZyak5fpbyRvfZ3DwIwIycep/+Mdt9FcShLcETE0TuT5VxGaro8hkL5i/Y7zguNeRG o5aaw1mYFHSIQiGhXOOBeWy7HzfwsH/AxUlC6WejGWMNehEyVK3ac9sYac0PO63AsZf7XWK3WoVE 0Dpvc9f0GUZTMg3cedKecEoeTnWr7kBy9w/agRDg8fwSwf2ebqb8wHJM6HWyhYYbCGfjjQBzcAoy FvAy4QiQt0I5QUzOhEBgWhKJnVZx4dKa8KKyVtFzxggDIVJPPsXMpF1gMnDnaVmxz0fdAq0xPFpJ Z4HLZfuYmeQfyt+ei5HL0Er4+ZnNCjYEXoS5T3gMtVV+kiMR74z4PNE3AQe8D4eIQt3BtAyiTJdq i92bhMkGnZKPHdsxrKqv4Wlyekgtbii7UbA5aDZXeXpuoz5aUG4h0dG/lCXbLc36je9cfGktXXF0 5qi+SFehOXQWrWxlSuM+vSGVpWEyzk85mUndMLG+NOhPYW9d6lo9x0/JM9GOKNETtCiGRf9YJ0jk X4zbmHU/jIjZYFoXj304ypDc2ErNPj9JezkFO745IJxVHTYDEEEgZ0cbFT78qpK3SaZZEVPrb2vg DHKe/5D5eD4A4VE9fGIz/35jXQZ0mFow1HHItqY5hHgwjBnfo29BYeykcgbZBeAUWqAhNX+E8t+r QA9Fxb7WuedWGc0ARagdSzAozDZ6HNxFejjbaYXwOWuS4H30qqY42Zccyc9nmqrCOTLucYBPyAXN E2ENCP3Wdv3aGFleNodkVaKOqW3b `protect end_protected
mit
HighlandersFRC/fpga
led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/fifo_generator_v11_0/ramfifo/fifo_generator_ramfifo.vhd
19
78408
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p7Rd+JJS6BPhm3C8uEMSjtB2IOpOZImN8ABL10O7dB2/wknTrPPVnggIUugEe0Un6rsHScVa0yw8 WbsjeU4skQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bancDuzOXzE/C1Vj5QpW3wyih2C6ymZ1vv70urQ985WeT2kXc7KQyN00fbod+1ycgrcEzdZs+OxF /cQLUqqV1PAWyHyEqXlxABFUHjs/nxBl/f/B9V0jlBhAzKCCHBVtW+DFv8KpHE75Z2lg+r4JTjg7 zQiXYHxUisemJqUJdhA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rZMEEzwWFXOuo5snJgtfZx9Urf7eZRBCxLhuSc3DgaT16zNB/FC6Qo2PLk9pQbhTwkt+6VFrAqaq rIuJ+6NqrQaj6tzRnuILLQxRIcZaZnlaNGPM0QELT1/pgSpbDRVs/w+jfcFf6hDgLWdb7+lF2lZt EzdkUS2z3RzGxMw0dEl0kPzX4BrObwXWpUb1u4DD6JMZb6O50zBS5jLIs04xzSPqxA3PuLRWpuc8 zAMmWK1PCPqsF6JmUA+ToDlUTA4DP+Qb/r/OItKXADHbpGUiJXq85NgUc8TOMYazRmcSDk09joNa rvnt13K7ONnKnXu7DU1cLEZpB6zC/Q33/JmxrA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSUvPGwGSOzh5U1OjbBgxWaXchd+ErSm3+d+gvsNPzEzvrhBDlsbz7cjXesFumQgP32hemPRlsUr lFspe8TkimNAMoMtRIt9Rpr9MJxdvSAJ2AckK92TaQKYGICYWnAAwRZdM4hFhKQynq8onwVPOItS 8G6qhIBnq17qx8rO48o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MVMseSXR8Gidb6hUpBeQo+a3Ho0qfbo2cQ4XmaaPwOf5p+bpngyRNVgFStTGlS9V1Gq9sxZR8m59 KVYbqvyTG1F7VywlVWjcCzm53JiHqc7770pyh1TFlHFmlBkxaKOZI17/BbAJVPtrgC1AFUgqJIKl KWFzGNfBnaqYhwSBpkZVKTp2N/RCKh6/dORV7jPLmH1kXSt5iI647oKA/xzmV2IPvCjRau9wfIMP 3BcMw9SliL4YOeA2gPuyEVJdJ+sinBGqyYpGCshGE4syCgACrJDHcCC8bST8+Ee2RwROkSw85PvD RmNqdRJR8yBkuN8MggDeHwsPe2oFAGN33DaQEA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 56304) `protect data_block Iv82dFjUBOJtp0CC5ikeAzmK1F0OGzQayjkX38cIYatIOxf7Sc+0UDNdH8uM4zjW4KY3N5nnWdoS kL9NC+RMI79KYV+cyoIoh9a4WnqJVaraMiIzFgt2KK+kKDnmrIcgPPjBWCBt8VdwcHRi5Ut9B2Mj LWMF2Egu0qyiebByDT9hU83VKBC8FuCbHS4cMzWBkS1/dX4FKbCwuLwv4rYrRb3RwIu0u/ZGZML3 sfgcdg/t9ARFZ6jjG8LQo56KBOlP3Z6yDZlipM/l8PXrTiLYeS8RkrLXQuXiG58+Yg6tddKx0VDC Wm3GbF+cmnJXuMmZTbFQna4SzA+fl4zGdiyCiB3/spzz864E0//Xa3s+bSPHDUDkABkp34vQYUlI 8zqNJooOtt8RFwbLXWAr+gdzpGfbW+vxUwDzbkRHa1TYBZpc549hy2amKl6D0XyfmVWJi/XUfkDS QnBqOibdObp/1MvseR1F/YI+4zRWfjeB53h3Kmk/92gKe12QwFGV+jniLguICEL+rU9T/V9hosex Nu70r8pJrIdjLoojfvPmE6Qtf8rTGKe05E+AOibSesFEDHcMfoz5cZnhy5nZ1hIYvftB9+idhW1c et4Sg3KZ2Vmkjf2GwJEqu6PUEbq9pGdlOkyqwZjYymXqruLGjZMXnisf0ObB/jWsILVSn9CdOLsT hsDnpoXnxqFj0HE0mNDSfTMSQ4NJbQV0odITaKG1THpbLuObDBTVXgoFtTKMI9+YKA2EqUSt47hv 6bDgs17pJruxUhqABEBA/CAqfL1DFMzRHJuUG/ATdqLAHNrb6jKFxCYWR1HZ69k1jbDIgbviHuoa BUi2/7IzCtmqg5Ftl171TyHb5Fcv6J/GVfy5irGeqrwqXTv73EaepP6ADzSGize0AhlkMkr0itSZ hnoa66202gxrtD/GryFfYM9HnU+4GtCviapT/g/1HcxxsjVoakp6IGspv3iQwXY9Y5JaiEeX+SCE 1b73rpiUX/cDVl9bilWYODGaMonApfTzBubpyEqS8NVofK17+BzAvR50PFsBD0dsip+tuIGXy4Z4 /0DAJJcxTeWQIfWFPvC9MPDPgcUbe3Dp5EJ6WI81XLz4XO52aaducvt4igg157BZqeMVpLXfkCEB zS4NutgAkZjNpQ+ZTbGVVtfLPWr9AM1UDkjEfz3Yvi146TYcV43OqGQy6Te6QyWWlfsq519kal5/ EgEFRa8Z5BOneytGZ8xd3jc+PRpFS5qMvtflKCpq5t4sOBzXZ/bSsYH8LXnth8TIY/YCXN+PLqoh pJWqCMa7JaCwdTyL9XiLHXyBq7HbbS7jr0kwuDt+pdzFYoSI9k4tVxU4ad1yIliONrV3HVCQnXou IdQ+GmhnpVMNUUzrhkN4mbjH+i1IHJN/3AvZUdmHBnXNVQq8bW0RSORvzjapDD0GpcCz2M354iLh A+cpaRTjgyAN4T5x9zE8jVuyW+3YNs1dt1ThGHlbg/aGY1xl4v6w8PMRxdgo6kVMKiMqeXZVQnQn /4GuhAt01ehL2IOuSr2Ndc5wsWCZy7Vv9LS6+UUayywO8Mzwx5HCHTaJ4+hb5EqIxY+yp171eAY8 sLaOMLeCHmHod/hjnkUoKj0cS3s4wkyFihHKUmpWDth4NdMRqlhj58gejNnsE0162AL1fkj1/5ge o+SgN8oS+BoKpAAC8iFyGsYr5RjUKUtI9w65oESNn8apEDxMaV31UIBxQPauUpAQCADTdkiDYHE6 TWj/0DKvdWkvyPodF6Q5KgMmtzDLGPwpLJqpD9PoKjecv+1hS3RhTpgfXUnXTDwXSNKAnB4q9fR2 G6h+k4LfFhDzW+14abIcGFDMiYFT4lc9CPTNj680oHvhBnpc9nbiJcJM68CEmPXij1fHM9FfXKzr M3lyCLuv7PBs9A/QdQxk71NRF0qLm+8y+Ndkj5Ba8KccCp6DYClkjmLgvKCshKOUJqaE82TVOXla 9OO6u5dfnwZ3z83r3rVymOOuxqMOUc7wUIe33sMUyJcThq8+d0rqY2oSPT5hlIY9n64lnL7Lk8lT J+iAICzSQ+rvb1xCr/BN9e2cb3ySOXF77PucO6ncPuEaF6yRU+v0TdrNsNFyEH6ESqiETu7/m95l Mw7MzPP9IRWyWazGgQojcdg5T2ORcBdW4G6BIF5uGgp5ThiH2qlYCgdZurdZD1ZoMA9GKM0o99pq 9zJlMVixQKpvv3o6a6xF/sNCkur1rEr4fAVvB8ec5syIhkwLsGDMLqpNsgp9UliBACzrPp6BcG19 06jH1XF7HO0KMFMzKBvmM3rlO/rWaW03TNGKsdeRCoBRtBeokdirkixwfPBkhv8S0ObaUK4h6XpW LjeOSxHQVaBv9GVQdCE3TPCKInayheBkC9tXiqcGeL/MgAxh0ZJRL9+Bv+BnV6vkajk8VFxsk7If bdAN05kdDLcBjzqfUHC8P0wm/QWS+BiVvgrLgUDSRto6oaZHrY30GtU8MKSglgUrwzREQjXEf4Ce 0bmOYpuLlbtY5kby/GvXAMXrTt2yiHso6yuZU2sRWtXT49AGPUf9A9kUDFJIoy38Yl932cQqLXWT LoKX/3t5RP59o4GURIgjN949c+Muva5gTigk+Pu1Comh9HIvSTIJh1Hn4Fmjl+ZRGhZiQ4H8V4mr H8kodbDBhL2Sx71Zahla1S2w9BNrwcaj6giesQSSkVoVhWv8AdMQYvYsv66E2pc+NrZeHIpuj+fw 1IBqRvte8WuVAMTB9XOErQiL3OWcq/HN7bE0RTLGmDbcJAnivAoSlDJf6QT4bj8N13zHYXysjE+O xm0g4q3B4t/vuTGqIib4EMaxMm7h1t3sTlYUhUL94uObNo2gLeFHmjxFBPULlwJPGtScEPFsskSk 3R4HSDGh7C4JKYdqOqSuGrb7MU9F9SjufTnr+ROSVWqKqfEiPMqC4SMLmCh0T0U0/i66uN04AKeZ Owk2TTJXM4oVZPqdB0yuI91l4HQMb+HORuvHQa8BJ0NqIdtTO37ysbnWRBbpRCrRCoh6OW3bz5Hx VQogeuGzfcVQ7/09VA/eQ9nOiw3EN8DFjdF2kaHBEpx7XFkcNM+b06mhbF+fFsIcCYjyuZXjU2a0 2ktVaZlxjh+W5TWCLcv2X5+oTv30fp7GMhKqokQ1UKSIyb1/bp5ZVejOWyBjqFb0S2dZPJfBZCQq 5u/4WfUDNM3r2Yybb9E7uLY15oHUUMtbT39/c9zIQJVyhkFBR5Ou4LXjHPjsEgrw45FT8iFPSCMP OhM8aQDFHb2hRsj/NXnTdm0aQBms9Im/P4IplXkkYNLJEP13dgwbKACj3ALBWcidpGy0iWCXQohz W023Wcoi3sqPi4IfxH948R//BmtvNNi2/FP11ozNlccdsxVVm3ItKULmNciePX33Ksgcofdr/R03 f4gG4vYWsUOROxpjhbQnO8x+EvVatrDZN6bpVr4E3jpkJbZZIxOSPEg/T5pKZuVUyqB1ZJUXT7kQ AShWhmgLl+00meu9ZFKi9HKCflPTiYw5H6mTah0dONt2ufQjcfM07P8PI19Wibe9ilEs2X9YoRHC N37BjRLUNbL/C2vbSZWpnbJAEaFAtA15etdOirQv2JNN7l0cOtuf+r30IOX+LLuobXdd2Dxhk+9H +H1gwSMJryRoJF/BpCv4cBNOfPruLy1yACjP69ShTHhbp+0e61dujxSumNMqEf3lqHXeOHqVwAO8 4+1bG3GKb3H6kWvcI8RdWYYQz4Ggyoa/AiEgHNYrAbWd4JnGJEoN80rtVWJSHvMhAT3qrrAqay3b ZlLiwTkXc0UWAv99NSub3qafJqKtwF4pNAAZKkq9O98C4+RuDpS0W0EMGkfqUxbre9+BhuQBamgE 3juH0HznDLf0SDjmjTR28Z7VstbcGlMunViwxSWR43Nc1KuvnWkilco5b6DmemlxmxGkHwlfi3pd ykXudE0xoyLcqil7pRcJKQqfCnvsk0YvG3RvsgDAqYe115yPLT8N9YWdEBw9S8zH0s77ai2ii9Oi kK+7MP3/RQAuWw+J9fWCJo46IlpTMF6mPNhCVXVr3DOl9yEhEfxMWguAWG23ZI3hRuoDcrTuAN8M mK/JIoVsoX6cOgW9B7qOGcaZIthBthRJMY56NC3bdWjx84qi5b5QirNB7jDX86XsxTvSblMJ4XR0 EYKo75yg0jBNtYfiJOG7QHe3etREMzbZagAbm77HqJQij70zY8yZT113rK2KOKM8HeYzFOzAq+CU MnTGchlX703QyWGTC1GkjT7Qro74trPI94MZwhUHw+b+kC4o2sJPP26dmZ+DKEIa8pZJiDiAPviM kjkYXzX0B/r4Vxx4s+rxRtwimLp82HXCrfZLKAm22lUAbZ0hQV1WRgAc17K4xuGahOnO+kfZJr2n /WUyZXhYaNgI/TrtGva/herOJjPvfKtRk3ul6SCnTMPk85BL3uR20/QoJa//sGSpSRsgDnYUqsXy 6/+k6RO8lhk1Dq/94S5yjO9u7o+gQvGqQc68PiuS/cPyT7zNC9IXPojl3zl1NA4Inrjc9QlTTqJh dKveVDXkS2uS+53eFDnEWDjAh9dhVMUkcuffB8EqgyI275U3D48iV+fDNok7eLx1scWy58jGY1Kf irGfpnf+ZjwwUIm6Uhe/rXfjSFq+DexvMwmd3JSyVVoIaHnV3HKpTAZBSh3FpQc3335KxkDbyIfd 5KvQWE+XWimyTnLYOMlgv9+V0yE35XBebFUezUPRAKtPvrXQgxrHsbBfo4rs8SAYmfXX/mwsb3y4 Wzvd0AJ4tAoHaDWy79koQGpu42E1aZV2hM8W3v9hcg0N1E63j0Gg3yUhrqAQXMg17XK1n7oMo69N KiLVPhh/yPufFDIxNq37aAA698hU78nMv+w/aYNoXE4FQQ58wPk75fy0kV0BdaWUm8K+GeZ2fH6c Tt91U597C3iaf4NQJvzDnWo+1c08u+AfAxalmCFomRirMzRI/vtgFHBxeIn4e9Dfd+rFro0LYJob EGJxIGk0YFJGlfL+aZirbFtDEj7Sf/EgS/XRcWp4vackoOC3BASqCfEEfLBqYspnMmv0395ibrG9 XCqTuUawE7qq6d9QO4RfJWXO7kbZc2DCZ5odRdgZy9Y676zoucEPdcDP0Ql9Z5fArCxn8IpGbhAC 9SCo4MXHJmKOBW0xz6qiQsJiEKcPfOggDjHWGZPyNQ9zgRGvulbHmdF3JE8cP47UsX0wotWIzkgN 2L1vYZWTqSTEL5s3hWBK9vTHFpwf5I4lEFWZY08fd6jIX/irrX/ayYjhSUWDKTr3PMZwQXBp8lW4 2RIWjJoGTMTP5srj5NfAKiHxpX19ySy/7YbQdSUKYyz89fpUGD18ORtDIYXlDFYx9aa0/feSX7s4 pO+Iz5wowDspHGuoqBK+D7BV6Npfd6mJ1/8RRMWqKS9mUPB4cz7UHB+JHupIqiQsFI1cW2Ajxloi utNQOgsbfSPeo3i9WesYxwxyEQBYKdtN00diHZEhmAwGeSyVCXQ2R/lho7SIz9d40+M9ye2xmTRr E/NEhmnZfIGtu1nJ+yqzxHIgWCTaRWVbf0rcqLPJTbRbYgKm1R4lZhxV7CZsKF29GE1t5szbHT8t JiXbJysLkucFEOAhA8NlGkUQzV+1Ao9njuaTsQ87ftAgtYWiLuM8DzsNMPeoOzyW+/063NWHOPcO BgB2lkQtN8MSlwEx4eO8q9KU5TdK7sLGz/JSuSIEP+yc6Jyf5aXyRuDK3RCfFLBOcw1M7WPWxGBr O0TjqGii9CrEmtfJHPJGBAcJwn2RYmBLY34AkNThr0iQZLYNv7eNaXtoDyB6ncofsels33V29O6G M7XQ5cwDDlAMokVpmlrPSRxRStXfv4Jc4GQm5r/FaYqPGFx1iYBWkFmUKmzp4k9DZgqGq2H/KrVb FSXj5Huk+FGHV/u2Tk4uGHMzbl/xYYiuLhGowpsePBs2GftRoXCRaN434a/HcCe+MFmg/WTcgGej EqZtyRHBgHCok6aetsWNayGJiZJN1dtkvi+rw+RmqvdV+3o2W/D6CMpvnQxNqpTF7MeysawOg/JC WjPVBUtBMh1p2gZgEC4sRDg3/2kFg7on6ZD8ae+HiK5tORpPCFgi/HAtg9SPmu2UNci1+PQ0K7ha x5UAcWGxQutMIGhzHxZ0/KqcLAxSmxVv9ZkLSqJnKSqFMr1Ah+jNkIE8HrW98kwgpCWSPkvsw9oA YRSyVw3dw+j18OpIZZ9S5dht2z4dAKqJ/z3w/P/kCEwNcwfmHar+zD962MHkUTiifzPUJl8ROPCo i0seE1SBxo0IT5wDFY3m5B5+IC272dFrhIZonG7xUMO3IMLljNFP5vFsicy+4BjfBJ4RVbYSfkGQ NajJlkpJ2p/EqjtK8TXmlgaZ+fsilScIza/yxhr8No0LZep/Na5lrNOT4gbIPIlL4NuOm1j9zNoc LruHepY5h+C4p2iXdyo/OTyd2rYuHuoFMmHlhkkpeZ5FLJhpj8xqvSX82Tecl4qSUbx5URxl7wcQ OECKt0wdqbccpse329cuLwEW7i4GWpfUZLFePtCQkOa4AEpaF22XNaN15i/zePmU5riOVVtt4pMv E7aKDrfZ8+oDnyJL19joQyqqzYa8obRPtxqh8TsY7gF1CUqEP4GZCkgrLzfKhtg5EYtzH8CxMdnQ 1kKmurfYbmS7jzALEWyOCWeiXfTtpsquLicRqUpP4tj/0Tozr4qnJ3rbbeaGF54Tvj7sa9Y6lf4W EhZBjqmBrS6tUU1ACpkXaZxEanyHh6obevxEBq7CnzZuFn9EGl76jPfVmCOnMFpMxHN4llJoTo5Y abtj3YeF/E1B0RHkxkLh+GEkQ1FpJPZm7gcI/rH7hWfGDbfv0gn6HVoAffRqyQmLT70Jts/0CDM7 gsn2zZlZJUoT+KtRflJywq2jSudECPREVylMqPHmO8a3+Vy/ie/oJ/yHKmNFB/DqgGyUgpBKK1Om ChJ1XatejUFxlzpmB1/8GIbGPHN2aEKg6gAQJ5hl+LXGN12qc6L7vSoZIBNXYRF2aQGh7elOFU7A bv4RWtg3yW8u45qGWf5lzB5cmHtkzTpLQdwy2QwmUuA1qLqjIyZbthP7Rg9Hhkv44DKI86HhiHa8 gEoX+q9lbdaUGk7DLgRRF/kNN4MfIbJbHV0DVDxYUnRSyrLvCB5WOdzwIYCHmdYfI17Iw8CH401R Y6SHB92qFN0fjyNVEVkeCI2V67LpaB7R2uL7VD4pZf4ciyyX651Xyhh5WPV9Z+hLcLh9QPt15tGm a7GrB6Jj2AXqoByESiu9s8l5Z/SBfiLbJkc9pzJH6L3LvCNx7BLoL4aK8O8dodEXCJSAhfjeSiIj qiWbAR01vsCOgIHnv5Vlmgwe4FmFr6taXvIGMDzyTzyB1ueMJz6loaLwdhPgZ/m6AGx6/rgoUJbz Yhs4hT/LiLGeSg8r+tdyqTbNQNB+p7sbQHMD3DmNVysvrx+PBqk0yn6Xozdk3LWk6240dbnsTfkM v+htPb+TeSltnO68pGfmW0XsjXPx2Rwj6MVV4V9hKiAsvFSyMi2fQyfH5+Wa/jhgmTIX3m/nnfIw OppnOXKG6S1YpkvdwDOSOPQOadsmkG2N+uLatxsi9k5qFMVj8CQcsq/5z8kXHR3LwWazK5KdhUlb 5u2usZVhPwEeBzcG0fBCF8i9VBXLiPiU/V2HXHMd0R0W8AB9UoqsTkqqgZyqLQ8Llt+mP2NtpfsS PfnJvPtjSxa+Xwf+Lz/NMl0yJPRCFMY/1XehqK9iqdhVQrbQF898c7UVZI+x1ZCJFb3c7PlKYoqF awGKc1Lk1XHqGTpPg30eZSHuR71tEKTVCtxufi/KENKqXoONLM9/0av6AAsD6E4gzslwYsIPJ2mq V5Ht4FX9eB423c436rMlB3L1X/YOlNVYr2jbFJl6GcCYO5YXqX4Ds6xD91jfCVDDR7Nonvc0Mmuo VDtGYpBe8pqAdEyHTNjhhZ9VgJp7aEiYYZaFlYTeakCvtZ8lIkPC8mPNZ70QaJhYXKXQ2DXoSmVt Oojdykx5PyrohuHSdS1+3qXxMUWJrVVWlrUe7uU8Ykm8SngsPS8fEvUBsPCDxWTkWjtgCvMRX7WA NjHOPJUra7WJjhY7ClAJxKf/yJ2F89u50coCG4efr1ajnuvC2JKomJ979/DrnU806Bbyon7I20Pi +ZrSyDzMKQ3IM4hVSUV1bgXE6nxT43NdyYsy5D5VaPC/+jbV6XRuBWMtkBBm8PT5cwIUDSqHlDRP 4lRukwra4pbdNfbLPE0FB2IcXX7YE1XB/juIxBgA41rPvk0iyjDD9PQkNLR+MZRO+UdRTzf7ovYc kA+O16FMRnvQE2Qj1IAwuevUBIzgm7wCLQYY6hvQ8oLk/G8B1yuqK/CG7aKchQP9FASKmTvVd8QI 2IhBGzFRK/+kuXMHueDgTGjalfqTuY9QuTkDGHqwL+1thiFN37BLZ88MVc+xjCcGxXF4jFY4Hmkc 7ISBK19wnth43RbNKu4MIwJhHAPcFwchumXMlMa4w+UUiQX09B1u04J9e2J2GTgSizU4ASSc4uuf P2jIieXChYzPWEDJ3+OPOqxCQrul1g4QXhEydHaxcBw4hAgd9WQ1M/yrNbPnYyqNAahl0pebFF58 skjQjxr7UDlgq/tpY4KSq0h5BLqBo+El2iZvA7s9XDm4CR9C/KyS8WdvWynStPai08912vREc9IN b7/opW9EOeycpz9kado2gj+MwPuSN3jpcNOm0MhhIvn2qEE6Ewye1PuleJ0dDiEyTckYLoptnPvT VGUDdN71CbtTjHOyxwTtscpubI0RepIdjC4c0zWTta9AHpHbdvvaBOe0DVmZLmsW50LIpiJX8yh4 r83c38zf5khQH7AkChIr/z632K9tHdmJuBH9swai6/DOf7bXv9R/6PZV2FzqwsUxXyneIHZi2mng p/pTRjMT9RrCKzUn+4doJutx+S7V8HHjyoJ+IoHC3OFW1h1jSSg2fNou++8sitO3qPiqWedl+pmL sTapSD3GE7oRoiQibkUArFhgR9ZM8OlG5Mp8YxXLJRuLT60wASizR9xJ7OaQlDzc/zsYg/Apmn7m MU66ttbYHW6Bly9TFunkONmQRpdNDEtVC5UX/+XiOvdjq2ZGNRFMKIvtkIaJnXwrarkTENhYzGQV rH7PnKtaBEJxgkuAgTYGQ+T6TAEB2SUxKiDnXUmZSUrUTFaKbz1zZHDIpdcdz2Z0Gf5oziITKHGn jkWA3Y+J1kt2VA/cw19m0E9VXlSKnUuKUrcu7bjq0mmrJLW8VyTYfndeG8phVtiSCaA0HpNaXdPv e5AbbK5LxVJusRTTEbPJ7a3/5q2jExg3FHLwZkLg0coW4dm9SvUBT1t4E4cpxXCStf5ZLrmjkzov y5T5bXCD4ejY5jqpFfQIOTqDlTlqungSu/JPq+nDEGLQ+FENA/qWvPLGFA/GgeLgeZBAXXReYvAm 2fqhhBish/M86qQKaVzQcmpW+EkKrpbICXTrAQA9m8Lg+PvZr98E5CsUJ16iTRHyijwwtzoLcbkt FrH0v5t9btcOj9QRxXmCKo6w8IOA/awgO/zwwPrENZpnKAqhfy4k43V+4v1kh9U4Xl8Bl7wOOJNT s6Kzu9ESh5TTeW237yMH6l+gvBZorkvUZDH93x364t5bYWw+CgR1Q2hrcMWgj5POpHs6qkGi63oV 255lNeEEh7ZZacXLhGOIygLbe2DLOZZRyNb0oVcP8bBUhvgHS0NIz3nxNn/vxIdk0CQionTDMjcN V9Hq1uajfDc1PRe6QWnh+EJ4H5kH/DIdqcqfQq7bxd44lCYbNMsae10tBvZFJ7xBnvnALp7HN6kE 1THyckX7a76gmdDhZ57BZzEAGoAEzs6wdUn0lLsSFSpLuDr29l7tzc0jVSjVJmH5oX8LgTVKUBDG adAC97pHqCSGVaMTe1xsfJ4/vhijrsNUoSQZ8aYKZKRDfqtJA7BQMe87ka87T+bY3BDYPVt6GJ3M yEprWhNs/RfpghDP4KcmQ/FLuSk+G/CRFgOJ581me9UJ4u1oRBlqYamExmtnelcw01YhRh8IW283 FOB/00XDOGtekae4LCop6gQKYMyi1D7y8OJiDFh/5sJa57e/D6eSqkURmfcoIPuPBtpuGxdNTQVn X4cfVHyn84r1HkIPn8ItmgyOe1Rxzv6/ZlEwDS8goPyEPpRdxbltJVJ30D+yWR2T2bEcs7kx4+tY QHTCYCX2hdwj8BL3Bi5/5mL0xABlB4s0NKQ6wE6iJpmscUtVYHLDSwOL/O+dyoNiYP+3be8P5EW8 RGaVd4LXTZs1I2MyHyXhL8kxwZPIA3uM1XiOZ31QeUjJkVRvJViMJbBYlEjyK/VPO7aMzKt/8FTr IYOJFAekEGbtJm5yo4qEyVqXJDQ8NHjWbyXBBlbEDQT3rij5mkqmfEoDz0Ef/ZHEMhWTRO25Yc9P xk3qLWHMuZjRcI/pwXz87d6WqXDwedlpkD9E8nu2KjkRQz/MNdCN+R3zvz4XYoN3vTXWUSbvZ8RI QItZYComwHzHLeN3bW7EB2me2TkrKaQF9Ap3n0OR83ks/LcbV6IQfQNsgYnWRul1Iyz5pXOK4kUO /S4axaP+iho4Lx4mYRQOTJRi+74EeNeAls2z3CAH5HpmNJKUySQzNlcO6nh316rAMX5JF2spmTSX XEo3Vflj3uTme0ZaJ1YExy2UQUh2hInnQbFZpGiruXUmr09ZWv7qwbp2FSJ2aYPdMLztOHu8df4y Rd/Oazuh71QRjRiCuW0vhfb//zod5jNy0+aeuLAogpSCKfn0dC6xtXhyNClYpEzZhsPscGll1jGo M80qkWEiEX8mqWPswxy4GuWuzseB67mNRpDVemfsmoOOVD8inNc+uZCD/eKz8H3kSAJcTpCNgQ0v 7xD8X8OCwmYQ0M0xQtUVAydqIte50W47rdQtzwnMJh9OkTH7qgphWFwLPsmJTJLwuJfLJBaTLkWx MydbeKDpbeWaNIomqHwneGL/++9hc1505AfyaXrQhtXFVJh2IB0+RN/ph7HKatcOJ+MsFMAku2xV h3NMgyHg4dOg+Srjbc4yT3dUiJ9o91PSsoGf4h9QxalnrAex14RA5ZQ75auBbv70J8uA84XE4Jee nsH/5ZqPGXYy0I56MxaplNLlBcPypQHaYUQPSszZNITYN8SV6GrEq0sFmeFZirEMD2Z3ikUHlVIA 2SD3ogFIz+zhCvUcJqnLjgS6blDf0G5VsoJ0RC3j27CjqIgRM8yaPIdtRt2sbfYlzldIQklppzEr /YeKRSQOtpSMmX3K2dcB+4oOGpvhJjHGCM09eD1gwAisREOMolCsHXPeUE/yO6NKbfZoLyle1sq2 pUaCXRLHF3wUik4/f7plTVvYzmGPwFOP4zyWYAHA+mFSyc4o6pbsqu1RN2hfVUy1ClETHMqOa/ts ibjIM5ABzZKDF32l0OBaV9sll+Nj+NaS1dgBnpQbf3mYTHvrJKhQD+M0K0My+T3bTEOHx/i5t7D1 yE3+xR8xy2OmaJcPbLMGsI1oQEHbE5fcYtvM5WVXm0+tfJALs1NhoI4G0gg4xV8QuA7Ugf3eL608 loW24dKBLWTPtk0so+Cc25G1+7orzplMlIc17wmUzIm0HlDbHSkvQWqewsiF90MdR+BYcf+IhrX8 YVNer7oYbaAi+Xy4q/1SctzDMkKuBdVFmOtgjEUWR8B/k40IY/zfMEemvWVr44ua8TqGo5EvlDMZ CrggUPsfbgsMd+vbMjGYUnY7qiZ33s57EgbJeZ3kfml5/0gq5bR3EnBWyV2ytfy2I4GS47F8OdrN UeqnygcNisGFIhtWGUAL/6CRhr7yHC/+xpI56GbXgUuWKiWiPILlgH+Fksl5RMb5HFhwKx2/nWpe A6GgzXa2Wxw2kNDZt7VRnzb7vh+HmXas2KpQcwBWTyUUyYjL3LDWfn6AN0/932xnvEnbECLNpIFK yKEwKPcTWwEy16sRBtyDvZ5yLdInfaZSfn4jAuBgYBlPhqPkZb//iJjuyq1lLpfJ2gmpOsTRIRmC xPWXUjLI0+2tPJhIfx8eCv8B5C2CpZhLtTOVKIfQMyza5TZqdifrFnf2Mqk1rRJclhHKZVF0CxIQ cUWOjNKObazGS/6/Ncs7T5K9DT3IYeaNxilW8UnllJlyo1T1I7oYlz7WbxqvZktSrzBZzpMu9sU7 O6Jh58MWTP5DXj6hhpX3cMNkmRaTZcnJZ2ZNu0MXDulqg/lMba6ydDMI6QetHdDlUhK7qdmCnxP0 SiZ1RQx04kpuf44aYkrs3BTgkt1njfBPwWyDS5ns7fTlOqgAjiBC5VCSbyljd5N0dugl+wLlPdPi LI31UfjJCfinDwFGpo+p2lVT/Fd5jbwmbaobmvuQ0cBTGP0B2Gst3ykXD9OODasYafsgWYlsQ2mh ZgijQbb7FDDWw7KSMzq0ba8pcL8i+3d4jZnvZOsmqhMmpluo4lVwC4rIf34GYhsssDqwvrsLa+Ux IKssVVIBvNs68n09xUDH52q1k6BDuK3940YidPKElFOLEKCGLVCdIzJhMd++bwDsySoMFSi2Z8m9 wlI/6D5s6WHp5kkm68j2Q3Oeev9cV43GTVRWTEphmJJcokYeZ+R92Z5Zcg1JqUavk4EhakTEcmz3 1TS/33Rch0++fxuU7ZxCe6xcVB0r1x1MataNO0E5exxGiq+KXQh/QrEDXUwDzJ5YdHuKtbi2sCED niaLxeYOQkpYneBrD7mchCFMydD92a5HzBvxTro3zD3Er8tw8XqMYLO2F1K9oX+mxYGqLjJGT0+h uKBhXMs27YzXury9s+hBHDKpIhWS50ZAx2eMpYMkiEsl62lLNryzl6uMBAJ/lC+hBBeR9vkE7M5b Z91Qmmm35dnv3q41VPodJ8W+1sZEny1cOhPMdmDWTYhPYKWSIdRRT5WqinRUtp0qL8QwhV5zz01r N1UgRchDcJbsMOfWfa+rZhl1weIrXwMpuAxP/Vp4Hee+afmkNFYO0vBouAyUQxI1/7xkkTuvwc6J 3a4dgi66j226fVP3aRiB2EmpMKf6M9GsiDhWrxp5WR1luVA4Em/hb9tngAgkTP4hwzyJdVIepHoN HLcJXB1e13iQl/oNm8NmW8lF9EB3H31OQkqKpMBaIpVFHmIcBeOdARocpCgzbCOg18HXWrBgj52W AxK4IvhrCd6Hw4031QAsu2dOG11L94soruhXN4PSmXiVRhsZAoCwlUPGYVQmsVy5Qom3eUBZbOnP PaZDwlzZnCGOmzpdrz6S5vGM7ugulwPu1Ggf4LVrN9mEPaJPRLexRskcHZQRnf3uK4l7fp/JmIiF z5hyWH/t5F9RAoIJs4QiWG/oVNo2vD/4S8tHIGePkV3V74j/ZR3f2eFwmPqYo+RG7FPs3oeva/LU POeJbQMkYCcDwQ3wAAEQbIQUGVBQ0cJjr8Jwdgz9vfFdBUWMYB+myBqWp5fsnMDslnkUUFNDEWDT YtaRLlPyj6HTldJR8ToOpwPeNkWjOkUwlNOCTO0E+L0rASTOwnFUNekQFVJAFt8tUzIEAmckyfoI W75d/SzzvT5a+tHfye1mxJAimof25G6/Go2ZCHcX4vvE8cZDi8XX3f42jg/iyHeWbXXhIApyoGPo S9oObuu0HrTypgy1xZ+iQtZKkOWoKvSEnkfYwO+BY8Xc9XHkbD2ebDDNHLlvikVTMs2hy4DZ/gH4 NqwsMEuOCkgJq0B/6N0bkH3APO6yjGxEeAkMq22A8cTEJJvkg8Y+h2PvXa82K18gxlMhgR9TXl11 u0w6I/Zqf7LeL4PX1oajjHbxEEot2O/V9+BxMmCUKCM7NgEWsMoex/OUjN+bnEC7qzsRb8UVNPwZ ZZzay+8eqqNXGZDJ9euZTeazyKac0Om0AXiHZcwINHGTfnQWYLTBGbzj4+FEEc6HY6e+vb8vxV2J Tqio+KibSS18baPj3qfRcKxJp1ahrRkMd7BTCcYQaPKW9qY3hI3VtXWsrpVb2m9ZbFYCqZQl+0VP N/q3GqO/70aZPGvh0WmgMWcWumccfAQgGOtZ/V6GShH4/Qp0EqHnNfPqfsQlbVPfMdeXsFRyJqGZ bps/93/mAFX+j12lbYY7mdXqX3zZFkCwouGzV5XgrqKAHuI2Jl9N/0xd7rqScHIIlN41amf85e5C /HCGQb9+a2pexOGLyx7qtwxbIF/l6uFaiBJXPspD89d/6kSbMZ0S55rmC8SL4aGzGOzwxeIW1xNk XrFf1zWP2nvoYiePkao2frXLyUdxBbG1qhjZi1qoAwOX5upcr65eutg7b4gsJHv3OrUQblTivvRi GxbsO3WbJL4/iRSNEHFGeFv1SmFAPcizcp+x886mqAoSUcsnmM6nd2Aa74X/nKt6rw91dlAAxfpQ 3JOhjko1NP2EwX75nk9Qhzxk1R55pTxVn5/i2hRO9qhFisBpHUplZTzrz1ZkgcYn7fv5nTuA8tbw oMyoxcmur1ozs3EtGcBRN/q7moEtu/brzScR4r1kZlpXdFbCS+Ikyau6GOCf1dKQktT1zcmmxfUN 9E4XhHUVTM8uahUZoNCDz0ERMC4SG8D9Vl1R6l5Davh2ur6XZor8mVHcGzyXDaHXZjpa1mvHtSSR yifPNc4ad1sDsnL7N31A/3r2T+PWeR+fdqbyhhyK8sIOPB3N7pUnQKGbDQyyBDrEmDjSRuh9RENh yntYLWqrs0ZqrYh80w/J7g/Z1TpJ1BBqUO73t+RV4jFs1UgQ51rQey24slJiO5UwSA13n05wFpjZ 99UTxqzMJbwrnL+pYZSAMol/aDOsNYQl/mCVzvV8bUYynw0TSD7WhQ1QhAl0tRp8DnPDoG83Cwek Ckk8dTQrQk4wmKEZfVlqRT6hAoAtfAkWvnfQ2ZDXsMEG/fkOen9vXr3Gqj3xefv0P7FGZsfIfnny vF/t9HzuGKrhbaRJjWfmu3Bhh85rEfig+Eo37eDE2szz2bdtGznpXMQ9hckufbmjhcaZPBCvikOi FenCSJmwzNwG56diSfjGIoBWNv/sC+8lKRgbo+6Jt/UzVRuPOhBvlzo2iVsRfGK7XYGcF+UApOyw sOgxmd1aPMCT4q7jClW1mpXhhVIAYoNsIJUSefSgdhzD6EZwoX3Fiib6RPv3px5tiA+BLgvyvu22 5RMVphi1PR2QR1OOjbLe8rYbGmTlekDBiyCa5+8iTOZFnbH6vopssnGJlARkamPnjQuzWs3LMAj5 fKl9B9Fa+NKhNS+4BTY3XcWecZwgnUSUJQlMsH4L/YRCCpiPZvoAd08naR056EhZyHhaw2pqtk/F Yd5A+C+HgHxaTp/BiVImgc6PvpVevIhWI+aUxM3vS34hOU3PsIqayWgeVVM1262SfFRAwQ1TjgUT EMRb8Tkbbf3PIYrmm6kXqlJ9Fm1cFmkk8sTH7HxVp8nW0aja5OhZ3f9eSBGOSjwvXH5b8Zfi0e9U 4qsudpJjAru2AeNC+4oBTDXg7fmZzbAnmP3VmPTTkyQ3TdAwKmT+9ZDhq9TBp+CdRwNvkaHRoR+H ni6A0ntvG+0dVG3E/xbR8b5gayZ3FJ76ZsUTdDgbwYUY906beZLgXkKONi6ZmuonsSIdiPEQSb5/ D72ZgLU/tnscHtzNcN3+aS7GCdcnOB7oloL2tAbcmZsV0uKcOENL+Dxql0bRDzBOC2WB8HqHhjcd 8qCCR/aeg84AgD++LVgBHKmTpCmHUdP9/0A8ldu8n1gx3pUdkSl71N+DwfQiEGb+k+/q3D6F9dh/ 0GSQrGywWPPBgrH7ar4qRR2go3+RRgJZ3ceJRX6Dh2/I4KPs8YzUhVlRzDJ3bEpO2aIbJeFUWXko Nt9KJBpx+ok+2NIZP8j9TB+DOdGs1h9aDP71V+JfewWQ60Dc/lbOaF3B7n+cppjmHOFx3268aT7E +NxjwMHMN62eArTo3LLYe/pwhimA2kziw41HJMxTg2TfIIHh0DvJoBN0ZuQniIgQdPWr24uh69dF YJV9AKv+j5ihUEBXJMpdmul+EwenTcZDfTbJwL+yuibYbIqGoO2zw/gt+kbW6pubGO2nmYtICOLp DtDOHA2aBPvMDMZB4/cxImqyhxIQfZdPrQHVrzzIftW4UybFfyLSjxB3ckJCa+yy91lX2KgM4HmT 5Hb3Oq6WpbZW2UviwkzWgFwvSCpuEsiq9J7gAKCg6dm6LwzORC3sGXu9D8M8thBwPlnks8JzJk8P gpPVXNB8ICK+A48RgU2qTlYUwuKH/CgekRLTZknTxRM9Wo8Z2FiuI6UgfW4twPto/lAuxNgFfsjk Uh8sXH6Od+jlDsZNlCxSG11DqfYM6O9P4q9xBBJv0C8cGYk/sKKe0k5Fyf7KhkYUbFt6OiFd+SNa tKQKUcqDIiXTl8TD9AtZtk6VqhN4ip+pR0z4xOCoi7+K3nRhkp0GQjIH8InBEwM+BOqZlkqVZb5/ SQQdOScTC4+S2PDODmC4Bf5KlXT5Cdvqr7P86C+799GoIBi4oRN1us7tHjF3VKtltMrjya5c0KG8 1UnL2mJKxzMQoQahcuVMi4gwrg7hyknqjKNgVRbp2DQ4W40cFcxHiyhnOM12SAJf2qtidKqOATxc uMgCPiWWClHkck+GkeZbSt26znHtYIj+H4y9SiNvNBIDHrEXXi/afNwUQ6E+dRqRVa7TgqfOCCYG Nk+S94ZTyoBOT/SECDOSnP25Boset51LN54jgk/maYfVZIlDaEx+nPB9oZ4vjYkmXPg4NmI24o62 V0jHAEFJ4Z+hPbaTnvUbSF/2zg40r0Dkg9fmc4vkSOx/+tWf+hQBsyaVYJsyh5EGMR3w3zdy+ROV n4YiXqCuPXij2FUmTuO5SHdZddKYZ2AbNOGRnwI5znja3Dpaddxp+isT/y+ummb8oNVnW1fpG2AT 0Dr0Bpn8EZ1BdoROabtIoqin2r1o+3vEb1voiy21szL4yFX3EDJNE5unydCLPPfUIZ9GeC6pcHZF /pweaUk99g2qm9QYUfef9TquQuH4BnldULhAZu+8BRTwfOCJz3zwfEcy6QWgnRy2nT0ReyUsEbI6 EnBzPuvUfLD/+NyPoYQf89JY7iK3XNe4fZUUJ1K93Dn80iUIELzApviwRlzK7rXR32Le1RM4h8n9 zKK6es3KTyJSn3gsPdXwWJqkQyDdgGFXlYpUlhSjiA+UEI/XIzcNuJvKFXZAyOcwx54jsB3z+FDE TgO8W19LgoBTyNTYGFei5tnJMRj6mYXMv+g2qYUCB9ASQbCJXujyvsfryjkvp6p1QcYl3ycDhcmM dpJHuTJEaUimP1H4X8InqmuVKRw09DZEGK0jk4EaWd1LCVN0K4MsaUczEZPOcCrBLrr6Bonq8HIW bXwPGEvKCLmiE4qEyV//rhFmHW+wA/I8Iy0ICkYMlnO9GRPZngeAIqMkbWTlgUZEWyE9KBrpPapB dGYWQ+2/p3nXW9ML6ZGwG1GCPsblVPXPCa8QtktlvlqXkCL/9cF0oXUHJI2NKRC8QCTtf44gNDDM yvB2zrMpJgN1ZdG4G74kPsE//v5BlMS8JOB0PXnbek2cnrKeOkeOuDCvIvQE0W2qVUTGBEUrGWok zVBAG75oDi46INSibyYsuSDx6b2A9+iHpAF28A2cO9kFnq6hIlKi4rYNJGbHerl+iMRhl4ZJoZyS BtVnkqvagFZSn7SMOgE8K7bIwobs1JHonCgROG3cQ93DXOtSRdP4kbDwd7EBptvUK+A/Qc7vMYC4 d7f/rnWJDW1l4mOHO1tEF0fQIQU3ppzVobIyF+Xev/75PdZXFZEBBEplkZGo+/B3BNQaDx6+BYTQ LJresxOZiTvD1YZHT4yuGxkX8q8kopPBl8CSmpOsCZh7KmX7oTzkJSWLlNJDeLyM63jp91jP6HpC fRek2X7lZI53tl7bRtwLZwOydBX4/D4YesLXiFDib+PORxMh9UUDCHrvzToxOPyS4XD9n0lXsyvh RCEBazWE/fxGSAsqmbq7pOKqqwPP7PjgCt8Rc1JA9sIMKjg16ZjIwPkFsD3t/veeyCWqxHHXefuM HwejJBQffUOKFaPiI4VKHEH2mjpULNM/zW22HRor4FcTMHXtXSLrJbChywDKqhNoN+5nvYzni32E 1bnTPSYuiIN2ZnSYre085ooHB5fFmt6ErfonVaSbeAxp3OUOKeNSjsUU5d5RYzfYFIkDBWKjB6Nl W2dHXrIlvQBAcndPg+U74J2+E3umQWiPpO9eskF/uWf3JqEn0Gc8w5trCbdzyDUW27kL7EDq1CV/ PDuEgEHEv8PXsrT+B16DfbnciieBnrcSpGqkBQMea4+gb7iCjdPv90l+vnPfu8WSavYi3VqEbC0t pvoOcFjd29JE1HxnBiLFKgHMnOVvJPvBmvr+jJbVtqDMuQHukFaeESh49kdgItX1ddcFroQ10e7v AtAcmWuzvVbgh5l5+J1enHlSMpbp3zLNHshFT1GpBY8khOXP8vYzs3OsMQ27ZkxDFwRqzy30PfCZ lA0ll1KoZxjuRI1zATYTqfYCOuI84s14OlIZLffwW91hHR4hp9Lm91H7/UijvwYj091KLY7JWw5R hxAG6YbUafLVG0ap43mdBXTwYPMAkyOJ3lHmpd5gOsmcGI6wHSScNgSaKKTHoefuhPXEm4AcQox8 F31VrK6WXCsi+LnOAtNodc+9GjWI0/k3LYazBBPfDSvhRIWsheprkvO7r/kRYVi4EL0LFNIVC+d7 7EwyIG1P/dV7K19jLeM4kAU47QAZuNzrsvBQvAyZ1ex89y3oufJO4geETch8bqh2s2cu0FL+gTu+ AoSylNO6apLbqU24MDtS27KGAAZPhbGwqfE8H/fPCMHk/f5/KsEilYAhro8xVB7mc68PJAzkq2p+ 0mfg5g0OPGzSOkmL+aX8eT238v0DRoHaPYWhBAuaOewnnlKOUjs0WFV7Rk9YzWRJ4hExnChBZ69O 1GW4ZV82NLWj9IKt5Pwn6lRLbSAH8GZ1wQaQRRC32998cweGJZBqheYmuruyB8x93aWbPaSG84IU 3ejtQKXzcC+7tAc5+/j0sHh3IhbqHrS8DGHG5lg4o7WDK4MYAig8m2bY8qFAJjwFRONVzl+gyB7N +E7y2+kGdFEBmtzOz5VRoUd/YPh6L57g5fSAdd9YOfthX7dwfVXi7kb9WzK5kveAvbegPOKctF2M IG9BY0EK5LKaH5yvn/bsyUR6Ded70uQ6ENs8pRx9GfN53EAorJsAfRMjoHMFr99QgXLanWza57ei 3iJY5lZsdf7Q53JHVbIQzwQf2HSwJABSHZdnXY4brK6aXEg3chZGqeMrFaK5zeEOKHZbb4apxH2X 0eT2Khx/SQ3iX0XoTYBEa4+xhFiRs9qmd7IBTecyW4Gjv/uTog7sPEhM+2idAd8OXfIbI7o9004P Jb6L4BTdyqGd2DP9I91TLkMXCTgIixNILJrLAUYMz6OAixSngksa9IM+pZUCfn+YhGiuHF4pIX+i ikVmwPe+uOvCNc4Kdfb5QoSoxbxQs9janOdhDCmu+GCucVi09O0mS2qk6fS8Yc6ZEYE5soTv5crY Izy+c30dWuFvdIEnJqGhp6af90X+JujcH9wn7FWznIzrm6WMvDiuSBIxhg8PPMhalE2hkb/VL9tk POV9yGONelAoBaCbdx4LPZYTeToGZ6hwEvVg55nSFoMmFzSajDBJPWW29C2V7N6ou9mnno++abmu IqaIGQZgNZyGqZju0PpLOxa0WGNbN65MUQGq8BX4tXJVo5b2kgkiZ2sQrW2BegsWzRvOe7EGDnfa 1gN89l5D2vUpEzSUlx+TRSRqmfjPT34gmxHJ/sa1uGNEHusnW+paVQ9RGfzbhnGkRu3DS3ba+qu3 rchIIMuKKXn5nME5tJJSFgmX1OZNpfENxcRImvKDC0Z7cL6tVJhnyFf3J6Z/qj441HvtKPtX8id9 ev48BhPxDVRcCbQI+74AddJxurkXXpO3kYZHO+XE0Wpmggdghx1rVAly2+AZFOAXX6PDAn/mpbbT zYlFyJAQ6LAUWH1pI9pVdBOzVglqdVYrUa9ZAOjdKn0vvbPlPPSpydmDNPU4hSgO8/UxsMybNp4a hnQR3WgYrv34Ycn1ZbSH+18vUw5R8sSWeTiwf6Egx61v06KpFq957F7eBCSWqUynOdUoBzGKzHtV v2Bm0/6vM8esSRvlY9AVgHwJvyt56LLPZaNH/EJ9jOaMKuRYjmlnN6SjuCPGB5QTMHXPrp82HR3P zo/9F6lDEIuYgJLsC740h1XarHrtJ/NLumtDHW/YszvOs9xsZqpjNaqLc71yxz7TgnPHE4AsxzXf OZQXlRgFi5K1sBRAqN6uN053jYurvA2aoUBCUONAiCtFcO7umeh//Qjx4zbquu1Yc0y/1lboybzT aGv6PyJZCFxmZuKFLcH2HO+fubH1DADAJ1zNQfXT4QiLH4L5YiEzRQYIYBteCT36sbb30YBJMI24 NgVJH/1WL9A9zRj8hKgD/pgZUxfTc4DYLYYbjOZI1LFrVEsa7KRm/2bGiD6vKLn1fsTAumUu4X/2 VPATiC/TBDdb8oAAWkjE5jbk7NV1w+YRuZKW8rYx2KPgu+V+wi8oC18b2PBWLpUtC5c5wlK0ALL5 b336/STBnYLsiR7pRFBd9tJsyJ/hvjpFXOkqS8qlphIXVaRV5itvJG+D+VtB7f2VzPn75B+e8Pi2 5bTxkNWttTdUSV/qSjGHUh+j68HtMlG75BS9t5jwRpbQTuE+/ymTOSnXdRzz1IKG78NsX7x5EL6m I6YCYpjQdlpH7yFNDYuqTGs0uhn/vJlig4v2o7oRHqSLaP8+mc32AoqFPb/u2c1TOsSk8yjhxCq3 f4Q3UaBAGSyss7Lw+JZ7PYi99u0zbtoeQFaoW3BY3Kr++W4gMimhGVkXyOnm2bPPGYqo6ciz3TjD fFQvYS5J8kkOaSlLEsIa68AIZ3nEoLAG41o2MNhvGbM8x96vaBVQ9wt9EOtk/2vZD2XzgAgbM0dx Y+tjGELszYQX1wCgKzIfBnrE1CGAG6YxrpGNcW3/AYozCj+hfu8Q9AlWaCLIPWveXZinlmk4d3Yg UtJ2PJe4+1g8/arYZBlTZOaZn44j1rQDRK8NZXohIXlEfPBHYoc/lDnf/hqDbVMAw702aoAJ02ds aKLcI2NnEf19Ea9N0qgcj0zx+ID7auMUOP8pzsl7gtOx+kj47rCYQymqBTxtmlH5WSe5WitwgzSU 7iim1U0Fy/mFisnWx7UISGRgTiNJDnDOHZQhN5NDb9yFY54EgkivUhWCb8npZMXqECkgJtxPREsZ 3KIBKFSXo63m+MKQ9Ldp363mJznZ2FfljU0GeHJL6gbWz5FrAjXjQL3kwIE/qhWLoY4a337DX3/5 LilimR9ERC0TI33+9tCl25/ZhaVxzZ7RT66aqYJoPqKPfAHunDq8PpW904TnNIIFNQhGUlFofTNU Ue7tuPTeQXwwJ6o4aeRxkcqZbFQePfMM9JZ/aDNm0HRWLzOVluY6OgN4IIyyw73ZnGgpTr38fRmi 9B8QDlnfsRJ0SNraEVBU2OgwOtsumHE2ifdLbwmmtZr85pP9rc6nEqGU2nU6X1OIa/o/IebNEM3V vzC1gXUSN4vnUc2+VrI+uPjP+3L2Hye9sp04NPTdcULrGAPt6ZZbc21GpNETlb/m7Lasmz7x7hFV DE8MD738V8NVCU1HPytytVSPvvTmI7jR4gxe+ID1x9d56NMmhx+4pfy1U4hV1lzVbd0K4AqfsQxP mDzQ6WlJkIUXsU2TGHEZBsp8Ct5q6X1kqC0soZkflgpaBS3x4v4woIqlOF+74U4UO+PfOBf8WLw2 da3dTYidP1K9iRrmzrG7mHLrrkqUvPcW0aXLuc3dJiHnTJEOtpp//P5q+oeDqiqBU6caHXw7BJpu SBY6PH7jMZOGue6KR4A6XoXoC4M0oVOYF3Y9cY2AWJt+SFiuYGysTebdSWk1kzAG59e2BENTY/wl 8lclLa7BMhTsR0d5M7jYz/DdkX0bKN627oRPI7LL5RiXzYBS6WUKVt4eOfSpH/fAThAaeYCHdJDL 4iRHUqmcdQcxVSPoDTQp3HTVsDjEf0Gw4o/vSjWcvMlg32sGXue2D+VH1sZtT6bY9xncrhpEjyXt zLk25Jti5i7x4a56iCIZWMWTG5s3DMjmskNfEOlMRSmyjFWOQ+JRBwaAGqZEkrvJp4qk4QcUPNKB xRMD0NndFbl1xQsugiJrBkMZ8dqOcKDUgH6YuaU40yP/CtXP69IP+12yeYZYdClmeH8xM51aEUyj sYgEaDmBC7IZeN1lDmD2C0jck9oMERYClbu3ZbPsJt9WlsWrawvwHWX8uMhK4YaER/u1SrOKzjZe pevJ7UXzpQONATx0bZNp9+qe7rTdKx4zEF1NsF6oK9gCeCHZSTz9ogOTJR+LdehnJblOxL+4GTB4 ylsXIiCj+8C2RTXB3Nh5t54763gyfMeHYeYUU2ESN7C/Nt8TyYthbnSqIFnaT9IJVuVPciWApH+l ly+ycP0EUx2jsIjfO39RogAZciEuKEDGHnJtuX/DF7bieDw6KP5GX9bPFfPbC9Y1L733xP0PoAK0 qCn5+mRRsiV/B1b3VGorfvTRc/xxuSd1jPQfvajTewZNPt52s1A1IOUDgWijxzjBdh47Yjoq4slZ B4NvXITWOB5i9AUsDd+IS8tw6+tZq17esUGHeIqm978Tc/pvYIhpb5MhJqrv4Lvu3+kKEarEiXL7 0zh/Qn5E2xFeRrCr6/3leLsJgtwGEwDzhtM4cDuSJSpdL3v2FK9pyQBhnmXj4wxCwXb4v92Jd246 wmVfkBinBOGGjgG2i6u6FLTrivqW92hFlZsKFtEMoR9+YGYoHrUlCYfCsghZ5EUuj/KPXv9Wg5Mi jD6YdPvmzJahmUSTJxsBZn5DpDTdH+/Us3QqihlyM/hmPTh2WGRdCA02WUCkZtS+7HrmSZKiQEOC fB2CNqJy/2kJwLLT+tT70odAoxAeYLe+NhsdG44M2Wo8zXbOhrLsTchbQOc9Anc5evxLJUcvK9ej /olA7KG1ZRR76zYv8kfcEZVCCOK3aJvpSv/j9iu4IesUNQ3IVi7ffGtACwpAM3TBVQsltAbyrz42 1s9+atWuQqwqWqYcaKoVjNl7eCSumBr8MAiuqN1SyDivvj2ulW1GmX8NO8NJifpo3W4/2jIC5dKM lPm2PNAkIngJbrxpRDRAPO7+oS0h8IKgF4lb6kSe4+SOJYCBTWuyTP2HIWGDr9LRP65dL8w6MKFf Jw1BwQ0cbU5szf+B5VyL27CxXq9wFtvUGQ/JRAGcTvN65NPPJ656GOgHUwfFQsJnL/vQi4LXrma4 qKwO5QY83g+vHf0XTDBbLtmBuzcORDibF3gxGTMdTePey6+zZXycrIUsCUvHsiSzJFx+yPCy8laS lM0vXOvyf5VNl0pVgxl0Ni2NSgP0o9vKt268HHoUDWn6gQIwZJN8V1MbGkkjyqLm5HuxFjALBod8 akAr4ycVWsX7Y0BA8Y9b7F2wdxkcqsPm6FvPyhl17+gPCq/RsTLHB9XZjq7bd202nkzYxOTeL8FN zYKXPFM9q4PeG4t7qm3UWua8TQc0hVNiJ6ryJ8Rwh/ASVzAuxM//Qvl/rTc0+ueDUqf/VqN+NqPL bT4LTdtah7A2AMc81z2dVC8HHdvjtMn6ztrOuXDdOcXRvDoNoDoFKMh+TyYbNqJmt9lVkuiiy7C7 0Fw2kXoklWpw9vGuM+di9nviJb3hvXxzL3AJUJGTeyJ73t7YB9LFnngbJnZ38ABRfGti98K6t/oB yA3/2mXxRdLhMigW+1BSrYeQIw4grgYefY1zfdCcdJKIc2CjoxFTA0/2HgmCFD6tJCR+pCO+uHif oO7t0mhKcAwTO64hvCPVDYD0E+B88aLj+/oNBAwVguhpxEbJhclPqVbgkvXK7MV/8I2/wnUsvkxY bflsIwWHVwL8Hxj2RHx2Oj2Nj7Y5XH3qQDVklM49Tub+iUBvhYQt8iejHsO7rY9p1KXN/9NZLZbD /j6fhc/GZSMK4Nw+bdFUG4UVGIoF+plo/KqmQSYGDHbDHI+mxoR1BhffGEoZvALnu2ENmAfT922x wu4uvOHinpxiEQ3XHMAYoJCAGZShW3FN+nWkTd1HZ4HmhqiqqIkstRDNAH0ls9QoXPS1q1GDa0Qj piAGQsQtSC1QI17epTFLxC4emzk5toRknSCjAGkMmKQCZ0UeR5OLA6QkmoikaPi528cw2z1AweOI t1O3yBcKP+tgipVGnOAuRcfaQK7h+yKpG7bYehiFBbANUqS3q9ILltMKEtLyggZd9d/jYpWHP5uQ xegerE9Sffax8in+mtQ1OiwVXCizhr6UVjsitT+TscStP5nNHph/esbRDsYzE+bpFI6T4JYCLJon UAzn/vvG9iqMbRZfh8kNqak2T1lVCdSx1dJPwfJL/ZsQJcc5YbkDz2x0DqDDkhdreVn2j4UqtLCq i5u0mXQTkHBSDON0+mZAXDMdIWv/liqfd3xWl65c/ig0S3MX18jAl5kTPupa6IppC9WrJac8f5my Hhse54uMm7KR8WXv2xjuqxwk55/mNnsCHHqbnyM6dY2lKNYZ38kzMMbjm2v024IVpQ3tkm/mwDNq tUSBnARq1Y9oHc7LQO3R7XBMm3Wxa2ucW6lw75bO4s/g+cDz6StK8tzWPEVewjt6PuVF6O9kzJ/i 2ZRMN6RELgDikcOwnLxueqtZknrK5h0ZYhLsIDAipsYABa4zws+XXk03/ITrH4EpmUHz6eqHZul4 ayNncimIbqbPNKHBg5zU3m0mxTqZyS2fD6wGsz/UUDGxxNEa+03YNPYH7iWMaAT2eD7JEO04+0cF Rpo36thrMjy6CJce1+D1gZ/dBOPYOlKiABvvzvLYAPXA8CXncQtbs7d/tm4z6QPYW/h4R9dluDrr o0dVFpvPuEUVMDe+RF9d86rTqKgwE4j58+x0v7NWNK6Ck0NeAsvMEr7YZbIzl25Hg/Db5bpFlYGs V4JetBZiNonYHuNmI9fVMcdMHHLSLugalLtjn1TGNuEBa4M3Vd4k/IQf7O32pSz2y+EijVxMJvIJ yr1JpCGqHP+8ZP4xAiomfaCVw+3bmzc8rW4GCRzsdZhT4Fp9aPSVdqezRzGSWYtfK1200Ktcvdil BpdiC33GGTW0Xt9CrlC5DitD8zBgWxRwG6LVSmugTdl08iPDQZxUwZjsBCI92I7t7NjDzb0UJDb4 S4a0j3Z8mTuF/9xYJspzRB5yvvCDpsxfXt88+n0HL0RCj9x/o2xGfzeUOS9VaOlAGoP/c+N6fdGA +Xf0jgUnEEGQsIZ/wb2FPrsSDjM53NKxBo9NhW6ECUi/tKNCR9i3FJbbL7rWysrOdT6ZY8Jkj3Dg bGDSk0sXPUnwqcEs0vcaOxTH4BxbcsevXTQt48XqZGWB039UrT+0oBB6/FNGn+lp7JhUg5/EPT5o SCuVnXLLh5NTRMZOIY/Jun6tRztEp1D8/7HmPxct37i6QLMaNgYLO1sMpehFnlf8i4ISXJTejnmg 8KVeB/bb2K2ym94oNb92z7fcyoRwZMldC7oTNcy4e4eBhtayntgJUOPeLUAfkEGVRTIutyd+fyBb FX1QFANdt+6wmY/UZq6AW2UuxSvW7zBLS/5kGX/uMiJnDS0J5kU1Qr0EH7TAipoLrD/qyUf3ZzYA 4mtuJj0IkS4fKtyCPKP4LSB/88S216JvpgtkoGycopEaVd0HeRD7IAm84PodOBkPpHLnJuUhaCdz iB0+GRMdAFBQg4OaTAYC/Z6Nl9ul9wJVqq+cpmRe3fS6excURLYHLvoe9ucosU+tPTnca3jD+S9q n/4AX1Bxj37A4KV92MW55+754prfpIr9pFB3NxqKxE1doRDXZh/hf9hpsQrYo6zJfPAu/VYJoz8K rKUWRoFg3laylitK0CelOq+6ET8Cia/zQnZlVSDq/wh+gl+MDjSnV/7GTrF8fLZNCDWLWwEPwRR7 3tLOXUal1AAW75aYKfp5x4/jTrBvpDrJs4udLnhx3vGNz3uNzPijBNhEznkXi/KvPGgQRW/c+9kP VRdgq3voAQvOpwtuSA/4yWfP9NXB4RMhcQNxtpDVgDrBA5AYEQmG4r47V1snHnzCjSUPvoYxoaTE QZnlYHt2ySegECut/ZBdmpar4osYnyeISH/a1UMOSOlih1g2MS+Y2/qmiSQ6DOfkH6HhJalySWeJ Theh6RshORlbMtXDGBItnC3oahU9+MaVGTHsKBEoEOCHo3HOZEb8N9yon1ft7wUPrbX8g0q7wcUq E1kbOqZSrdnFfhTeDyg/GdD3SG2jC0/yxzdIteWGG36XhcxZrVW0zXtuGT0zRhDAjFO91gY4is/W VxdtIiBjDJ+822KeQJQeNa5/krEIC5oY4zhGyQLA7fcyqW9pp5TMQ28P8bd+pIHHSTGV75cqsv28 hCejWNtLDZC0MFHQ8rA+yQo6cJm8X+spTWCfQwM27fx/Slz76dls/PAQxUw2pO00OJdWy4vlaAwD e5ow4ZHGqYne/pUB4c+D/jFPUytx6aVK+rqyMaAmc09PFWOc7NtUYxp/4Gbq0V/PUN93i0Fr/bM5 PtG3LCuMUKl7uT8I91joPFRdVWQsFnnvWnyEQO78zzO/HWBM9GyerGWSjz7fZoDtqSLgmO/lhuDQ V9nwBIuN4VgHrXX1d/Q4zVS66hl8PnQulTxaRkJSU3njSl4eIUwUZPpT7D9exSJ19QFhwpNIj4Rc eioqoNx4BGt/iVYOW8zJvwBn35ppeCsl3XG1YFizECxdNSCefnyg8TM5g8olJlCKmCxoPfF/EsBK VVWSwWqcZhVMu60NEYo3weUsCZ59DRdMJ+aErOf3Otz7fsSdNRif+UZnEtlRFnVi8W3gYuXat435 lMnjwe5AgpfCW4RYmetUBayBlNP0hFTSCEMWKNsw7fplFUFlPY/BghC/IyaGqGwEdy7wM/QYNmNp oYNbsmxr5c0TYu32wZFd3p0Ko82OLwMSYzaYvZUoQR/0QzGAziiJs8h0H3ffMJZybczKqkOHdYuf VUv29urfzSIklHKWFLMYmC5FIE9OEAUEBjRB/ktPgciJ/6/qIsE1nBozDUFMW17Tu26B733cBcGP ZLLhDkdxUYT5+86zohWcCwDaLaj54mObAoYdw3TOgGYd85c3WR7L6dicRLbcBJ/CvoQWqs938XfA HjuzzTG+Lqd8MF2jtdyLrXCwWlYIcio5v7hLIQ/TAYfVl9aHKuKUZcZro9rn8BhBfa+xTzzEk+GG UPqc4e49ALIU2m1/JpA+2X8oL6ZsCyrVJbAbYPBiG5zq6qQ9RTfKPR4oTWSLO5CUfskJ20Ema4rM E8ex7pvqJqt0vvuVoBAKKAJcGErvXaYUS8+a4k9iqqB4l0+IiktvC30uOk2WtEa1XXy71ftr/bMG vHkQ7G2INiMQfr7VZTg/RIUomssMgH9/E+VIXTJA0nLA4jbs88Hd7RjW8a+oEjk3/M2s0GpQx6dD 4e5TEEUmEtoB4RxBAW8PHnsD3qcT5BtqUBrng8pg3CVYdKh9KHATH3ljqIAyUzWMUbkygpqIDMZX WEyOts8ksNjrziDPTiApA7RXP2W5lwACBr/hc2gsC2Ope3FG0LsmOZeWz6fvAKXvI3AUZE3QkBjX ErUoX/fNUOHn38vPU/eO7FpxCIw//ZUeyh8dnE7XCV+j4ul/OdZl35XzsVNRBVI+TSdO9Yt2sMQA 9gk+sesZTi9+zXOig/73oZO4mt5uymlBoOiqdc94LR/sKXXlD7IF0xN4K0OPpQMaJ8AERdAMcTSr 8XiiPwgT2kIwTi7XcmHqbpIP+Q34DrdU1L0k124uJ1/6/rqb15kTnHkuXhJVnDpkCdougw7hOowI O8fHNcvjXYivlxyy0fACBQ25ZWH4/FkGFZ35Sw9hzknYQpTvFFTg8t05rM947vOuoU/OdnWatJjW QCI03GPvCYl/5eJXDU5vcXJKcGCxoLNH4YlgR7lLnTL7Q0sISxGf4Tn2x3qOpbKI4j7W/cuDnURL o4OnwlGI1gQnUWSVoek8gQoQUG4GUDWYEc2/Mmjd3gGKxr7+R2g9atmXCEc793tFIg3gqWjGXFUZ a7uoYs7sh+EQg4tXJ/AyTdv+KE2KRMzPt8aBr9WK92hVq7RR/DY5nro4iiCucRxam+kDF0q2RE7f 57Yf18qnC7AiajbbHS0Guyjl+tUWBM2w1YS5i961OUN5qqlCQxuLCXo5PR0CYpg5jKg807/C9gPr hRBGmfb6261ZBW4I6Rsiiem+U+QnderWSSuNps0PSD9Re5kiZz0RDnqnNxbaFkiTMDKMVxAmjvau 6oKAe+GBaZVQvwC4QFm2o+7Uk+1pRVIhbc7Nhpp/MMTmH7oJH5jwKeoOr3p0H30nmsxMOLZXrcxY KEFKqcmNiTg3V8NsmxOW+cTOLRspTZbP0C4BDbvG/aOIUOA+yRdtNnuI0aRFu/xUeWaOSbs3a1DE tvor8K/jKohYJpyBKdsP1Xucfjdh21xo7PZ8IBa4D9/0bt+xG6rHaALDKnGNA2Ky/myuMpYtjSF5 +pAOkSK9YctKqEZxTkAXWKP7pd5BkqBDTHVU/DdOH3V1/X/HLH8PpyU151YP8wnf6qJPCL4WqgBH hXDaggrb3mZRhI+wtHQ66RYOZhqtywgSeo3eV0HjlQTdW0fCBv7pDLpMDfuFOQoNT7fRuYAsBUOI GL0cZaVVoEhwcI9G6aScxPBPpq4DTYV++/jGGDcczkh/HoJpvZGovXXdjAfkr1IAJMu4/NNo7IWc /PtZnUTAqRRCX8KdPg4RhBm5ezpqhCRijiYNDm4jcpXvjRXO9zo5VDVMQjJ20kDBz1REIsms6X+V GZqBTEZHfkZojNB6AFFMXjoukIcVcpM8SVpE655sIZrdlPMxq1uyzFFnL0Z75jJDkTj48NLgmJKr CzrLSmTc8fWvxUYR/OYZGeFLQSTHcitJPUfDncU9swPMXdD+fe+UD9r9nNjlnY6xDBiHpxA2y4ds E1U8vtaDyg/eVKdpDBU0RTVrIBj7Vwaak9SdO+6R3wG2/B+F5Q6IdE4J6b/bnafJdSrn5xhCwxAt 2NGWnIg55pW6EabrMypHgo/x6Jk3x5YWp5mHZe6utURYHsP4ZMuXgC3m+B7FekwWdB3LrqRO+5bT W0iPStCNQBYPoY/WRQUHiclIqDbKgDYgyLBfK9uMVpgwBtr2U9YtfumweJdeLpEwo6GhC8qYCxlO 8eiC3AZGsVDKhb20XVk8qf0HkduOxhyf8p2UkcTo3ywIM19V4FYe+VJwLOVzWVWEduH/2QUU7qq8 c3MrCitzhTVL6uD8kV3i+vGq13F04Dl/65aRKnVRbvR1+lRAJrxjrj62LA6zvrF/xfRRUDfugWtT I/XfBtWC1I/4QPseBLg2oRPnvR9I8eed+i6dst/piQjm4JsMCHMJIjn3AiGEpzdtsWYcb6dasFhB /ZBnqnwM+PI8hgNXYah6L8iUHpwj2pKYNdcUBEEg3KUs3dp8S9Mwxp/Myi7gaMx++PopuiSQuZix g3JwUzblrmwv5w2BRPSCbHc+iF7vxYL14l1Uq9dR0YU8f2pxgFf/tbdPN10tzWelGZdQHZlMN830 Ezgb6vpkmfoB4JlVwchQDSRZpTmby5/V3BnXjIHp6Kb956OK7BWL60KgHmhkRHPtUpGpIwHadv7a Xa6di4t7KpnL87AzkVQRl5zvy5ExJwUVJ/aw5JxKQvysdccx/1Q5VPvyVLwKJUVBaXyrnwn8pcdg oXHOeUOp0VGh6kpITq0T2P3f3HeJ2B5W6HqWPG+MZeq4NW8zd9/tujipCnJ7FLH0300PP8am2YA4 /55tDFzY1Jq/kyW47MyT4elKnK1B0OxgSkrW4wVFbXxpsIxbgsmA5JrxLnY7l8BNO4yK+y9WfEEK MbAD5x8tC+0UkowdRPqwkYlr+OK11MFPhNj56n3PQhbJr8td8ZVc08bofcZcXte5D60e6qQOmXT9 DlOq1Y4zS3tnhWB0pwUqeoBkKbieLn64h0eO4e6Ct04gFy2Cg9Ql5QyOMTZG3iuQVN1YOcMLiFQS o4qzq+VfpcgvZPlbsVyre+/K4zbtFubNtIexBF1ZC+EdSLanTYjEmwyYWjAnp2FJ5tmbwdBa4HFh ImEZpEs2wjJS9d49PubFhtcF66zxrZoDTv1XmuM+sp1jvBRMGZERnzH5UlzqxlqrIr95sNIJBqX2 Lw7qYUZtQmh8QdXN0Py6Ealt9tjpxxvcsFyRbhUMYAXWPMCmHvv76OVO/+Y5Dfuoxd4lbPnOhnkl DYY/KBHoDJ0HPYcQWDhX+kVMlv9luTh55x/bSCXQmc7ZQ7RKHfTzE2CGv9/jtVd4lUH4d6fFH+L8 I2I/kBjJRo1PESQhtcnEN0SHRP8pYHy+fKeMpusTPIgZpguH3hjDHOzZilvnFD3EVta0f5jGGXgM bbO5Woqz4vnI76HrWRT4m+Qp8u8tbzKFFgFkn7JQIsopAmCd2UQLa6h5p+1RDYNLA06LYvbv5iMe nfPJrR6h9jLZ8U/FcyYsgBrO7oGJBc1/OYtrheWc1Me881dEHQCRcicZRVsXASOom2XJzJnoHYVc TFgDwHOOd02907M6jjjIE3L53kwLW+z0x63zrSdHeF6fNyLiisSU+zqDF7Bq+1gy7XP3N2D/TwjR RaipVUtrzfwKQAzmCP7f3aYn9hEICKYy99TySEQPq7SKQwpT5WUAe3zOd/mPGz28RklDvj6ieb3D vuN9/0GCQ+bbFaAEMsPiLcz/bITbbc+FhVcDOQjxb8vRzigA/dC0rj1nE4qkEAsnsiiWmR261Q9t Diwpn5x2Fn06c95gnRF0uDAvCUJqX8g5fbxY+YHTH3410sL8lLlFVj6N8yD669L/BPSMEaGkS+iL D2cZF14my6zmXxKzqbyJnZLdaMurbro7wmmnhgaxBwgA7Thhydw/bg2tSbrthXt+On7oo2N04xCe CjIdAs3Je3J3xC03HKo/K0KyiR1iNUf3auULgKYjODzde5JiiN19I9gZMEEKU1vzvR/BxS7BoPGU b94c7SZPe/I3MHcaRrB3mP5a0OXl51z3aEb/FZM4ZgISBuTRFlOBJsFaKpZG2umINHhKpWq9teiU gElQLi1OV0dnW7YvO1ztBrEGlTuSipZNXf3MSkOSmMR/XLCMkxAq1+G7PZvn9L5vfIj1ZyY+Xbq1 fo9ADfs8erVe+rPoC4DwOEUgowLTfaAcjLxGafrQnC3UD29IZV0S7iWg68AGrAvWdkosrh0qf4zV Y2Lg9fT0JEP8qHzqIuAckytWTXgyJN6bDDUniDQPkAvJhdNWyAEd9UIuYkLk1vibgBC3HLQjTRgl I+YH9Qc827qnuBf1rZth/i3yKV4jGhI5bJUoMLXV25yOqC0t59jm+rw4aFidiBcR/68/Wqo1D5CH pV8OxEIs0YPNKsJrFV0fLJc4vR3JfCvgE+LfRusiZYoOneFe7qNdYRxuBxzzF4D87Q/a7obY5eG+ jkcPGhHCBYJENcK4k0awGuoOlVo61GxD7zxT1N+rYewCxqHvHgZV3Pok/bCYcV/qmsNqK61aHLYy bZqtSW2PEodjj3jnbw71kARCDnzFuKGfBjtj8j/e7/gqxlveW1E14/7N1Lq1hH6N15+CQ+rdFtui NiePysyraZAwtXtnV5KwQb7mZdy40ofsqDemKz4iYUMCsKA/RiwztUdBtEsPpW1OxiehpK+FEJyp J/T923TCxhRv9z1v51RiL8hvI6X41nhB+FSznI6sxBq1pfe+r8Kg2tSX83llH7T+KcPm+mJl6qw4 U8C6rifzFbEQMUJW1SNfZSn1s7m8KYyf4HCquVOEDaIS/yxuklfAEwgW7Yo1Y9gDnCXseBtzvHhL FmmbutfHMYYYEhG+bzNgXcjgzxNABbszRuS3gf2TuPBq419bvAeV4IupBJ7lj94jWnblNJLvVPm7 Q29x0KYKu/YN2MG0W2BJFRueaCFCKhzhS7XUVVQNfh5U9S5c2ZPXRc0LHBhmqhhOW/bjknFbo6tT KMLMhA3cg0XKVsAAt4LHflFZyccthUFqnfrFgIvoi/s/MjJnS15cJ8Vos7I+Wii/jUvTTaxbtZZy LZeKWI3ZBZCieTIK5NoXbYdra/LBnK0OJNHJx7aR947y6IVQJM9W455+4v8K4gmE1Theze9J8Fa3 zKCW1ciQlYyjctvBOLp+D9t4JF0aRVlLnf4X+RL2fDuhTvuKIpMjN72DcarHQGd66SHY10jUqr0N ypdmXEYId4wxBTucxFLf8Fp6+4fuRbsnVMJBPgumA9bBJOESQPBkZPVQnl/NwVlzS6u59axWUJME SUVxXSl7TxQHYBNohBSBbZZFtJrTm6OIPtvxqF0lZ8bRSMmTi0QnCN8GhGTBFcSxz7eGu8/oCXtE hOCCjRhTkUU+fd77Kc+9QVcilf34JB9Tj5LYLRg6KtSVT71zfHzsozIut46LdkzyIBDsfcxaH3ug 5jpzWIq86WtpvgA/JfOUFosuWwNB1YxfycMTGxkRWRf0uOZO0nlhTtHVNxxfKj5+yLlXsLytj43n TkiVRTkm525ldIMoDW4AwMYViDZ879OM/wDldgpZiECui5cf9ly8VEEGJvlRiPqEZo3dLS5nDA8b T21xixz72yqUpPgASoXYnT95eg8q7N4aZN6g9SqgVBPxqI/RuWlbeWc5olccNyb2ARA/JMMCJzfW hbB167cjK6r10UAgQi8lrpRFHgK3GhORBxNPkc3fDnJG+3TSgCFhD98CS1QxL6dgFCLAlycKK/5E zOrLZo7If+XF1NZq/IApWG8Kwk6DpjznuPEQ3Wiax8aXrEhnr4FgBZqdAIlpGhW3O2jOQRcBiadP tfZaAcfDlNeWgzK0oy3+cDTtfpDZaUOVhgqQAbCUPQQZhWz89DQfo8h+BwS5Ji/6edSarftRPXoG Sin2oB7ORp0/M08mIK2uvOt+rF90t47lHtrswN859Be+slzKID9ye0nVYoljedJ/bOYbBtnVraW3 t9+EHO+t+agGu646ql3QhmzOrGcmrpqFh1viDBRBpxEDJnwjKc34uzFWQ+jqJO7deZnL3Yo1doDC rrLrGa1Rk8/HLYPmAEKW5RKs+2gFnR9L9RygJAV7sS92T8Nt5mrZjHX4qsK0VVtSiiey4cbKjEwR KC9qui+kEqZrMGNj+svA9o0O+CfPUutZvvOdohscmy7xdMMLB+aPAU89NMEdnrov8LLeWiPUiwHD 87DEOcVvOl92kihiQRnTWW2qbVs7ryTbY9/3fcbm92TAfLv82Do2JJawtxDBSWor2AHWxY5Bkxj1 hOeUVLf3xD7glqNQ6KUTMPTe5FsJdEozBtMnQ3u4lbXYQqbLkInZPwB1abj0qJFkyQJ+q+dfxiRM LUuvL7UMSpiIjKQ4HDV+U95dpllR2l+O72fUoDc4wRJTaS6VJj0ArcUXDDYv0IE77ac9Dj7LhpZN 1+ztJhwbOpAt9zPlxb8kl7C5N0mAdkIssz6xDRMbPM5IxO+99DM+nPKjcU2t+5nS26IligWAdLj+ UoKREghQS/uN2Ms0LL5ysY2mzVZndKSQU+FMuYXZ4qlUiAJRTTsAQDajgTTw9emaIQc1yxJJ/iPH /O0YbeUEjqNifkqb9Nb8jRC2lmn5jushrpV9JwYxViBZ5m6THC8bsTxExIAN0QpFCWzUnC5oZozk g1hwbbjgY8GzpqiNgsTEELt/xHCbk/EpK65L5+/LJTH5CZmLw9dPc3ct7ozTaHFd4BRXh6fJ1ud2 DoFy2PVtfxWUs8o7VVsznhLuZjGCNWUdiJrq5eZuttar31os0fqa6cIp1jjJa7aCAb4CvRygVH3Y 3WcY4N3nyMVmfLGVt0KEZaayPEWXTHzZ8dr5edmVbe97I6ToNkZMvjJlbnMWofjBPHoplJfqT5FB 3lKXXJgpLcD3WobCqeR4UsYGGxafGIZ8Eln4n64KkjDwaxeiM3cd3Qjd0js/k8e92gGFx+wBA41x 36GRcB8frcxwnGPEBKBGg2ZSl1PyC27x322zv86ZZGW4ygN2Ywq3lf9vELxuzjyGBAM0bOy9/v0f GTox89Wr/td42lOM3Y+ewueYb2IZQ4AwAb8VOi6nLFZwqPnJMRGrP3hOmf1BeYsl6PjnBoT4uQ8T 8yikcWLAjoetLhFe1thTzH+ZEMDm5X1s/zAJunWQvrLFXA4Ua7Sg0I0SKPf8Y1GiCb8k84ABwI1d PebwumGvb55NZVmiHOTj/uTnBc64bEtYdiGBL/ZDf7tkw9PD/kc5+xHUtfxUckAIRE9YG906xwHI Ub7I6pAzqiwMze48e5yePzlPzwh4qX1RZkG9nIoqlqRFZgXAPfjwGjM1Ag4XkT+QVWfjn1ofVNEf EY5I3hFjaI/VdbM4vwL0Qvd2vcou+Bd0SPTKVii2K5HdtqbF4Yd6QE3LxTJU4QqSArIEEiuEt8ms 29ie6RoCXweqVBNYScEvgHtr7iD7+v+dY6JdZX/y2t4pwstpt4g0RjMTZfjq01jMLA8g7vcDloZi GStj3c11X/JKOOT3dPHvuK/m7mH0imRnPJUjsttXbXkNyqWAN2/7tqqujnj0qbfItLun3IviMb8g GDFIaB4ezR/efonJCVej0tML/ffC+6UfLcB8QoFoACtLh8/xmnE3g7H/3Z7CDF8gScpdCr8hCA9I Wzj47eWgNrFu/43Rk7tssPkMspYjLv7b1PEXeYHFzDwPFLTKtN8LoxGIR+n8P+CyRk9w8V2U1i5k imPr4WbAMvKzJU4AoDPhn1m5CKdhDNMO7ZFw4HE2tBnF27GzdRVsF1wwO46n5zX7gfH/9dWk1dZ2 hQBzg2dxNAwJ3TiFJxRSPp74TooK75mzU0zwT66+rYVcYnU/lBHzLdtPYAPwk4bWf8YsKplEHvvD WwNVRO8d/+d5h90A3+3ItXxSpOgfaE0+i/g1lZTxd56Zw5HGA3YGX4JlG+S+pjmVznr912asqDwZ x7QaJrdsa9Pin0kwupakXQkAEm2OPcP4TKbjWX9rTmvcgNv9RWcX0B4/koLAYyS56oxHo77EDpFc IsrL7FHH1r/i0R+Q6Iwo0TexL4EEMqoMhtWQLSjK9aorSUvf+Xx8eZDu1APOiEg/bedr1eFFluOW RFa+iw8sxPOtl2Ajnc2S3HwhGFrOKiVBAqKn3H3zNfC3UlV0e5SwXTA5RPu9cEu01poJw1WFFCbR +mCKseNr8OyR8LkgbnAVLHXQ087l4ekKF5qL2CEeIh+cN/7BOJknW3DjS2vYUDrMONynmSi4N6d1 6f0dNHdVjTOxbponJFE+YbHkv9Uh8gO7SsfC6ZhUF1tITYmM+kTco+lsXKL5Uv66K9cIrYMg+XKM ZmKWzH5gRsd/zAQzQ1+F89/xrA/BfHHpbkYNsxDRWzc9xzUjJeHkBJZRTCVQAMz+Uz5dOa9xUMpm bzXvYmWOVsdeRPG9tFPZyq6O5amCNw4Rw3aEtbUHBLHRTuYwTyD5GmwYd6WATJQ2vRrk/r0P1zT8 dLu02k/u8ik74Zw1CWIbJ3ux1OHlfbHN4iQ7Gn4TAy5MtszZdHnELVTOF9xaoo2rRCb5KVYoKreV pASsQN1Tfme69loOkx53jEbS/r4Tdah7mw4+jU3E9oLiJ2y9rwZLqbhg/6t9yUN5EYoRlfRkL+5Z NHUmXvFHAEED0HeA7D3sBpO2ikIwZRiI7aEyjrxSPW91j8fhD7Ovd52wOl7+YrWI4gK1UeebEZEp Z+KqV5s2DdJdL9W3lYCIdYWH/LlEHaBPAk46cnA9wtAf6imHzL7PLISWfI9Vatnx3xFfYdnWxbw0 tHtKvWRf8mSlVA4oKCzDd0YDWhft9WXllpb0LV/rQIKHH5ZfViSQ/62fUY0joj2DFtamwRT2PMQz m+0fAr6TowRYWIshXaMnb86uNtOO0LZJBmgSc4vmmHp5ydSl7RHEuar29bijEu3d/BcxReS4MCFB +B7Kb/i0PKv4Pc7CNOLHVErVpxEQtXqsM480LDOdsdpq2l0CU5R5r5n9YxWJU99to77BRMVKwf4T DnP4IFl3Go/Dj8qJ8l/m46D5tMx2NPsuIOFx4fxFtllNgX8+58zGu+glbXEzpcBZm6HYLVh5MX7F 2TXixH2b7BDbBxKaP40XFyLRh1UdgqwRmYpSudeog/nqp8wh+JAF2oZVK2tLv+GQsrBSMKpsH3ts P/2Y1on+zqdb3dk+GiGnJr5vfhsdma7AzOMDxkyQiKeMpEz+S7uKsRA0Yuf2H3lqalDyoJ7lgW7j ssW/4UgIYqgJLv9nVSTrZgabKR6A5jmMtXERr1nQKD3rcHRNOymqfiFHj8dUQFmvVOCgWy2OgNsh g/H/XRp6ChX+m4voWySbJCp8K5uye0MdiXyKk/KVZQohEhtLI4gWTmnSZTUE6zlMyFbJ4V2Loy9u 8/dDenXJi1LVWMnmG0+d1NPEr5pQ8+SMu7sH3zhLWoCXyXpzNCRzQdw+y6pVdKetPIIPyuq+RBsL A8ulOZ6Uz14fJP01iZKg88ZdyJy8Yo/oKQoYG2fbpiyKZBWOAMRK7xDyekpESvlJLBhCxcNu2yLW c/jaoCCHW5JHImZ757zTneRmsMcWxyiD7ejdUzBotguh/KquXBrFJhyXmi70NJefECQ0aXbT0Hia JMc3IBdV+f4B8avHdAiXUpeOLUMe+YGQbsEAScQO/wAxB97W6CJIdFH5+FM9KYqJQJDOV7NnmvDH XZTbQZH155S8ECLVpREwSF3NDnVf6x8/hLYfxugrQ7o7jhl2YK9ONqIldaI/v1fOM9GCBejG5rI7 8SQ4NsRBj/3YRzcy/rIamUXwfSROyY31KYlLNBROJbZnEGqCX2VuFsrOsCXrUaOy4+fNI3W2Cswa 0X8fXK6CgH1PAseyGkqg4v7EYv/X80hhRfFX1qRKC/mHUYBE53B56MUES8xdVsFHg7mFN3dWKudC 1XwEh172L5hBkhXGrGLBuNkpBJMZz3O2JLLZ9q/tLjvrGypE1yaHJL9IlKHnuC2GA+0muDgfLt3U xXHaGZUOcQSGXovltbjWKQDRuAE9LbkX6Rufit0npJSS5Lu1XzZ0m6FweiwKwm/DLPQ5YI2LmjmM PCm2Cm8mb4MGAqdH5kx8DwuP2SZcKX9CeCYQsKjVV3j/a7/6GaOy66ravmU3U9nLkuKLRaT38iPZ PBYTFO6iaaD5QUQYgC3bJBa3EB5GLQY6sk4M2UieEDAQJJbtUw+CPwJTMM6GF7GFxJKNX/B2xKkW rbjqE5j/46ItGzhfOQVyLawoSdiDXcOZEm7A4udgqs4i+pyJPJmKrDiO2r6QpwhvZ2tq3ZNtyZcP pM3ma2Cl97V5lkUQMhVU6aEKGBfqz3sUKokm16YiHVREbDokwVscHH6lnwkR+H4E81ycsAq0NkwM OOcwRJGAniVLG0hiqLeWVaAjzhwz+DhLX4hANcdXduFRwVt5nQuaSRMGplqzb52EW2H3Bm4YWI2C Fn+W1RvUeGDCFOcZLcQaBPnO3pC2mW1Ra7Mo4uQJuA897Bvnl1vR6ROqC3wl3OEKYJyCgJExZ5K+ YTW4M88wskaou6ZK29yQST97Zb4LYqeNtbak47xDl19rxwBHdrDs4R7THEqP8KMpUZE5nJhku7oW dSagJ85TR6bzUH6Wrrrbpt69l1bb6mIymrJnR7Lhgs87CIjm5c9DZGpGN9SDbL/SMyUT+zg9tlBJ CpthVCZs6RtWhDw4Mc0/B/X7NpaRWUtR10PCSryrb514DDh2lsRbntKQ1pLN0TX3DuzKmWzrisqz cghLoon/FOOV043Ns7Y3v3Gz2Wxx8T07A65LYkc0dCf57jlSGj57XztgAzcRygplyC50B4cydWyH M3MzrrXFKfLJC3kt2d5DLbQ56SwFlOw8+FmNNfW8sBMRe5Xin6IbmzD2PyyvCww0/ZEQEiq/G5gm oMUa3mDO2gHYsaInFe6dWcYCM2ahQSJwZWHI9E1WP/db68ZqY1QkHbrGvgzdYF3rBizQ8kKO4g5n 8gLKvtiB0WlDNvEIInNIzLi8zil0uSzTFlxAUWYqr4NtiNyIhdrkJoTH+sDz1mg1LjG2qpPeeTMu r3h3Cp5T30iwLzvkhXBcGQ+CcZyXjiqtYtdTXTPe6WXREK3ZFis7Hwt1+7sRgP/GocFSys6an/FK SxDmlxBJaXSuiM9ZkzdO2JdccISx+4ZqAC31eluStc/EGgIFSPdOEG5RtAgWFQjtgChuV8zDbL6K exYCuCNHCBg1NkokDdg62rmlxTlD4n8o64J3F9Ik4xFxgk5h7JKMh/sICEmDQoeE2YP25Oc2HfRW XvnqPqeAzUpZUSQGlYCc89kPfIX+P7BOuy41j3uPj67F352gkU1nk7Wy97saHlUM46tBgGg59pN+ o4vJzSPfzwzoU7PgUtdxik9T2JRgJy0OgPnkQZNM2sx0jTQkPpzE3rxu1CW3qN7/vxgFIfMApKj6 sxc7ZZmD3OF+FX4Iz3XiFh+X9aOY9muAi6Cg2uX1uFjzgjSgKHu1KJxUdu+5p8iZU02EibqOaWcF IGB7qDU3wIwEBiJasGLD+aA34LEHn/5LbBf9rOIOdG/Bu1HlvGc6SyEs2FVbwsH7oH+4KXbe6PAy A6BVQpqivrb259Mxe/ev3rXlv9CzU/odZBCQar4LTLctwJz9NwxrtoYhugJ8XXS9mnoiAfY38ukH VC6OBYzEzJNHuG024d1V8u5rVUKxkwRTT6qsZXF6VFdmMGRpeeEGlVHyTv86dPaRoku9sPWJj929 ZpPqRAQh+Vms4vVKykbCnWS60RO0PPw6ftZIIqKu21y8oz+CjaevJdTwTYLJNp8bEVt+LOqm2rMa OuuneOFu6wJstLlweSKr9F2fe60QZx5jMowPizH3l4ho8vSgpiRr1fuUdzWNWdOXh++937IOoexG UmalDisfm2/CwKPG2wdRhxp+HotcP7NeT57/pAlT9Zfm3h4OgvC1Dsn5ibb68zfO95qyFk8bum05 zT5p9Gx7DW3u+0UGr65kyNjEQJG9egjGUlu/k9+pwNdtDcpQvUr5R9NOipC1rvShgRIKcGzZQl9I NAhejfTNScQrIMcRjBRedx4dvHTvZFnWeNMd1rRBx3iv5VhtOd8S1PhSNzgeMlUM7I9urdQn6tYE YmFwwdjXEvlkI0s1v+TyiWfOtMLBGdqUSDTVxFgNL0X1nZRYbH+n/Us34axb2VpJrn5IA7iVosIR f20Vy4baItA2DyW/tF6RpK0arMx9YKz1rZa9vnd8iwFATG1OOUKWO0m6kheIflNjqdc/hzsoNxlU ycwjiY7k8c0jB20eI/ekSwN1a94q58s0XzJ9QhK5HkbCOLO94TxDjlN+HGdfVpDlxR1PRzmvW/q3 7yPJbcKR/3z1DnIJcC9KLVL0E2HsN0aZ1sE2o/aOqebU3ydlW32rSiqYNwgt4J4U7T/HfU8XnWUa 99lnsJyoN7JVD1TZkXoauOoEAsRoJUxhimDhmjtw5TdRE59EzkXE+dZ/h72/lj4gQi7SXo7bMgwG XGlV4++vFcYzUvorJfqvK+AimCjo+y+fzny48Ix0WJUpjgIUt7KVAiLZilGksg9aHIKU4k5D4LzC O5JBp1WZ+SneOpPyxS/89PIu8cqLWkUWE1jZdX9c8Vd9pmixE/vBQ3IfKVGKRSbANpk5r26JplA0 otCsEMuVP5paxz5lPFbch01C35M0KO3FZXi7kX0fLlI6D2iDhE6akZkTbNF9sAahsomqdJAI5KZR S7+XIEHWFnhzIs8r1tFglFjAPu924Vv02Nd0mNFfa9McSnO443pumJ4zV+VcKOKF2A54nlp6ZDIw Y8z7ee5tQ4b4l/OotJfbAhhVIEjFX7t/i+CSlR3XTTaAB1BIwq3PMn5e+hdGDTDX7z60/XTtys2r 8ImMVigqbXxs/ZwCmxpseZRYvyD/WdWQFy6XUM3ox1KqS8u1IgOn6fPUMPBlWAGaAF5vmQgdvEMj /rAZ5xEgsKJo/WsFnt68yYf2unzGmVuZ/7JGFhRbJ3ZlhJg49G9Fpo4k9v/vUE2c49MHuuiLanTk yF6WJMsl7rvxWPocFm8c5B5gFiWmjUX7mYf81ohboHlbbFFO/GkpEI1YJoUzQgpQdn1iKGRXtfei oGazO7q6/uCpyqH5C1EaXAXwNyWF1ILT4nLWSDLd+jG5lr1NUzqp67bwdp74Z9nkQJK2qgPKMTTQ HYVKPqRWL0LgthaBYYSzVHecxIQ5VlsKITWEauPnnp8Xu2DAHSIqDEH2Q9cGQNfKnpYL8EsqfInH yCbZJQg5n871dUDBz1ONJqdyEP2WVFDQ8/n3kEnbba++EmROFiIitxksz9ktvv35mcmSPPTNzCHF zHZ/NtjCndB+x740AfZVaK6ukh2UQMvN66Js4Ji5aHL6hpRtZok3jKI5c/++wu4hqHHQE46dZLKl cbk+dVmmBlFbY5O0YpNw3dBcHpn0OtCxlPdyQrfqGXZyzW1iVjHX52RSzBJ815JTK/KCKFD1iilC SDYvfQv3BOkXYg0shGRiouESc0MZ+l+PvSD69QflP6f4wIXhztgomr6ui63eu4nOrTArRK/U23p1 vPBEn0W8aNOS1um8TZmWaLWYLR3B4NwfvCkZtqXPITkMm3PQ8R8oWe/As9IsZtcAlf+VqtluAeXq d8EpQSMcyvoFYNbCRxNbUwngY9FXzYHW/bVbM7OGLF2hygxXcl4IhQgC2s9wFjg+EizuUTSrdbuM vQbkyjSXvU4A7ACBljq4xren3ywmS6c+iH9dSkGh6Bn2iaDsq0TQsDeoTAh47tQqFxLkLDL9Jk94 zlkLwajoGVyNotnSv5uOaUIRt3c4m8j4mMLSTa+axUV2wZB49ZDaatVbX1drQD6mm+TH7lnkY4m5 PwrrvxusBlXc7qs/u0oU4PlTesG2E+4QH6V3tnf3S1A47QyXkMFUK9lr0WuJPBJkJgfQIsv+GUQA WN3aA6Egbhqnb8lti+V1aTUE27jQS8/WoKjPlhIFJ0G6I53YAjRzOi+7D8yll1GauThzpTh0CyKP E6d+vtkP3pyEy7LBJmIh2aSjg3zHcywSTGUxzdHrUiD6V6QOizAmLkdv8tZaGZbAFps127tGJdVX bPuFpMZhujtS4hnIVbQLLjPvn6hqQqMEJU8AHEJ3PuCK9ylQZ6z/YESbzRDIhyAfU9EgMZVLp9QG goJ9zOm5EuRjy+D69CToMMm7xTIdvN0ocLmaFBglb7IPHqJ8x8bhjidighwk6KRU6sK2f4zAtuNa DLfXMW53eE/8W0F1qR2f72pS6Tv86zTQLjn0RT3eKKCXtYzEC4sUKTIHmUzJb5BCt2wT22f7OS1f FNfuPEQSg4AAfrzDRBjDYt6Oi9H3SV2Yx+spFCWGbhehItfWrqYwDC2MqQ2g+yK3UZ1bJLnObQ6o ZetqvgW1xc38F359Ewtruqp0L9WpM4vcDk9KumJ3DYWcqkm5xOoXa+eOSuApFQq4Jx4wYodQOsWQ Mpq0r9i3tgsHZ3uKcG6VZg37rUGAQhNWL7vC7tsKo+cClPPCuvCAzHEgxLzRtr2Og89HAlj1VMxC oJGt2/Xp/HFvYJr2i8DpwOsaOVElnqY5z9yrmfVuvOr/JHArSMYWuVyNlCM+HLy5t8+zd17sIcpz H70LKIp0Q4eKE1Ubc72TDk3+tFCzWfPqctKoDrKXXcMV6eLCMRpSkSMgpVZhyiWMFRw7Wmj/JWGz gPSD9BXuGVoBivaOamYhBwGjzMkKAwohv1buQHIe1ZUwcM8usJ8kdqIIqg8ghxBPYITZVL1PxiPw NTNlLYVoukklfKRcLC0pogHNrIS8TVH7okzt87XflQ548st1oPpG/W5FpxMTOkfofIRUDPGU9c2N ZouAJuxgKTnLEHZ0uU/Ctd3x6+EUZ3jf55w0tjv4Tw2S08fbKvwJ0clMX+XIhV6jjO2LG4tO7Ww1 CguZlgPiVtw9S26k2T5R1Ixxpc9e55jKUVU/0xGkhoqOw5CIgAI2V09f2R7xX7Ceud4bBF60CKi/ rBMbKRBwz786wdnD1iUIPRrOo7UiAhK050azsVmE2l/i6NiWx0s3+S19EUF9S5jCsQFa1Ufiguqj eD+KydRf3nuqX+eqDB1Yzy7/jhFkFgmc/Tpxelf2QzKPtHctJ43KlvnQeTqBkl1UrRSfb0t1Hh7i tWb1ZNSV4GP9PlWycOg/FLcbSYbXQswvwyZhI4800IEzF+q6SEGKr92HGfc35CskJq6C4PljAxxq k7sGk65gSFtL2OLQE8utsH6SHpK4GKg1/8PkttWVlNE4ltB06bzVB5pw3egHtnwjCok2CwOEB9VJ DerGjwsuXxB41/V0+VApdZytVPb6FAiur87ATwg8U/e4hiNuKQjWVkGZ/bV5XoLxX/tjPCiPWxtR 49t2bLrMWVAfEx7roq9+4tUHjTy4lAl4EFRflTY7nhZZzd1ZeF7OHI9j6DgD5RSIKbgm/a0td6VV +jrCb0kAsfIEz+iyqWBtnyQBgsoO5KewUhoVqlNNvghXC+E6x3pfNmfKpSd3PtxAmRNs11SbUhUY iRZVoOnI885j2DrjE0DbQPGOC1RvPGaqh31H0Luu6/eLPOSW7cbSmxuZD9Icb6Lsy42wCFB4CvjN O7xtnY+zeI2SA1bH3S7s8XNDvmI4BsXNB+ybWsaKQgn4dTRvwjY69UAYIJoOrPyvN8oP3Iu1OlNW ecvSCGEp9U5Y1iZM/ktIHuFY1np5EflXqmjXuZeBPDSHWG/RFIcczFfItGlDJNBdNpVcEF4+rY5H 6hNcgvovw6zF8k/L+Ha9++zT41ODJaRCqwTmpYk9eSoh7AGTPj0pbh2wkq8fS+WaJzSda3SclufY mmdMeu62Xb8HUEpBZ/JHPcZo+CJYPXKF8YorB1jDGU33S92GnEb7FDixvWUYY7knMy+Y+KWXZ1xF 36iZVsXhnY4ctgj5ePvu371/zrFtwv2aslbQcBIty6yiqlQAWN63VC1E+Wl06RcluE5yqmA0N15F L376xjDViV+sHinuW1KP0FtnY0DrBbqGcBTMTOIkNhLNeT3UfGWlV+Gem+BN2j4b22FTDGioccuN 3mRQX56oMP0izR9I2GCL98C7WPkOXOvDb2BMb14LxD4u1znvxRFFd1mvadDBWfruHNQN+euq4WFv B+s1Z5i22wrE9QJ2+iqw+yfUwU3D/SJpjW28w1RaIZI78+yPhcZa8St5fAYuby7+xcUnnvLxo2pD ZJe+wceXKUa1bBmA0W0ZtYmxWbZcc//Bo0vWPakcZNI5xCD2GdJjlbp+3aerCsgqI0pA4J0B125i 5CEli5dBY6ZMSyaZf3k0I7gllBQ/6CqXII4BPnJXkNNNMFFmvqoJ1biUSOsHZKC5OMLby4wAwlU6 J5L19NGULPKk6UeNemovD8tZvnX2nbDBUXIh7kiQryolF6E5czYkrA9qhyix/ylKjnvy3ghrnAP1 k74vxDbylHRmnCCZ9hmbKWUNSX5W4cdsvmr4MNJmMKjS870v1Om10Y3YlETK9eD4z+EQMrhTStfp IDtwpuYD62UNLPtuYkjwEMv7FlOweLrbcmHsCjQFYsW538+UTy+fvTwcxZvYvN3m26SKdVgI4XLW y/29NeF2ztu8hkEAnl4VGANWT+41RD/i7azV5MeM9ZgAhKpmInsMWmL/Rb0o0XOjCV3I9tkqQLnk 8dueo4L76TLY3PfOegpltDIR8PxzrqtH1BsVHtOBTf9DjUslroNPzHWLjsl2X/saIEz6lACKCclb VZAi2QqL5Qm7rSxxCUxsH90DPZfTk+XR8iZLaTgfCVt1njz6B9ce0CaZ6zZQIn0qzmco1lxAd9o3 1XzCrx3SQeGLFjcCmQx1sTE5zVJErORjWCM7BMSZJ8pTNQvlqQ01YOQvzFJ4kLgsrr0MT/BbEWbW wLEXtwc/JBP0l6ep9+A2YAzZM98J3WaIAKOVR7qH7qZcKaEk2om8fg53E80XkbpFiRomC+dC7IB/ hF+Z3WTY8Qm1t07e70WAjf5r+x6e+WAomp1H824seeW8UHv3iEbjsj37ogrbqMvDWVnnvOtwlgL3 ONyEKGeE3Z+ELTh5nQVjlEy48eJUOzPNzgs3yqvpxb1RqucteGm8G7Os1o1hHJLnNijgvJJxFT1y 2FKqLT+Lf9uuqqodMalbu2b9OMLh7vQBsbzKY7JHZBX3WI/T2GkCoOpYkFnDrFNRTejeeVal/M6Q OzTioviVXZ3lIxHBactsQOS0mbiAuY9QyLsv3CVDLpGX9PjBmMok0WDn5GHUXMw0U047V+roqYrr YvtIwm6gA/ffEWGdQ3v7qgB7rR0ph8IUBpMCOTrWV8Ljz4f3iIsxpVJDN9kEhZe3M2vm0bapGNfT GARlpjo7szx7x+ZNGZOReSxe4XRVyuv8SAPWGP3/jokRnWAi5zzZt2KyWcgMpIWl9WKSQwXXv9Zy p8nHHwW77sua79gG9EmVZN7aLusmByaQ51hGsaMz4E2g/YP4hIhCLIHbRSOgFRljPv/Vs+cFjm49 o5NId7RyqJgsxL2jI3y+VFLwx2AuQlU91LkV3tR2Xkw732sW02kriIgZ4yYI1BFeqynbcSEjQFZc jF+Y/DmkoEAI0ym19VZ3SW1c+W9ZjqQtDogM9wWczPH1e/t0EZ/LZgFLuB4z4qE+otPBb80OWmRa /AB0pk9zZYc9hHMdRnQvy3BiOMLDFQ1yO+MUZFlwoMJMP/nCQj9gB5/LdCpm2OORXy92fVTrqFO8 IRHSTjgByNYIjbKPRqgaW2o5fz/ycsi0nfMRtyiI5N70Q1+sibD3nTrrpz3SRVpV/wZm8qk+MHfK /rhUYz6spShjIXO1HyUVWoT4wLXckUur+VD9PQrXUUqubEX3iUdMypSJj726Qij87FVHdzxUWfRy JhWHC8PVy4cUjhLOcg/GMi9E32Qirl+50luSRUgdDGXMDvs1OpOrNJt3rEprTvF/DY45MFYvP+xz YJQyCWgLrtsyreCdqMh5C97jixLuK3rhHkgoqMTWVgoF5j7+V36ObAPOdujfhIeSKZeTyGnegRNN zA4V6/5VgJbo6pY/DxP/Iyu5L3EI2Z+RBv8y+/qxMop9dSeYBjkT5ITopCqsa77ujpOd+0Qjimhu g7h/4Yeej5QQwvZ7yTy8phy93380bhiRMq8aLUjoau0Ex0BBIKDZkMVlGjnFQ776JnfMVl5jueUA 90T9N5x0gtI9T53E5YB1NwRN9MdFICKiVraq1rc1j7GhYPny7vYZC6eSZdseJvA4gj3PHPWZ0u2P tq2d30p9csTyzzb5Bjbs0lHkn5/m7rE6jvjeod+yGTZty3VyYAxXMt5NAdL8MlUq3LiPuiuUzjDf o0cIb5JvpYxjYwrA+sdMZUVtFdJr2knZ7cv/CpppybkQsvxfG003dkzuQjM1k/zM9/d3DiVIRfMv +PNXM+tpN8zXzHSoGY3cGfBLmTCV9fuhX9lX30vu021DPDctqTWLD0Incs47DCe1OOX3ksXMSj89 sn9YcZiF4Lgda7JbH1qCeu+QG279vT+TsxyD3S3JlukBP84BFAdGg6IaKuJ4gID5QhuH7GJsU4n5 IPsJCbh4Jgbo6EBU/d8b7i5Gz1S4E8kaqHGLzBwlvghq21BaPwKBH9b3IvJHanFL0MPtxDNGBgIB qIxcHnT6EH6/A9++RGAkC28W86JSXG3Gyero1xSsItL54EdQV8mrd2dYvCKJVfq+VLjBOF+CK0jG Qwty5yNgiJWQPUSHUhL//GwJ4dmTay8Fy8iYyJsm9nKVH3wLSkCIN57nWZ/AgvYccRIntnMHCtSJ ttHwL5IMDg5QtVh6FvGzjyZNBQbWc4RbjLpTYm8tmnFl5o06Q8doV6XoS0u9/beDac8KwcatPkTo rL/bQgrpY/zeObEnf0aLdxNuFsvmOfCKbrBehBO3aHzH8o4lxCLZGBUcFzJ2+LPWbpbQtKb/WkgZ GAh2sOq+jwWKNhz1eCpVIWK2YRX03EcOHRg+EpIueSSCFgtO2cWxDK1ASSY4/WNHMAJ3rg7iMN2X BGzyd9/ZMYPrJvSQAEjzi1iZ+85qqp9zXGJbvU28usqqY6AaN7DJHR4NKx4c+ECUtM4BUAAjq9jp 1IZvi/w5gI5ehET1dxmTweBT//MUJyVnA64BOmzWakcFq6PhP/GzM3mPMPfe0ySIgGNZJDfRdPYo lmdZUKY5sjsNuQlt40P5gIfQ3imgVBZ2XQwnMQyMDs7o84EXvduCnN2QpMBBMRj5kCBf1upmb/t4 pcfdue7gK+Dbh4FmwkfUWOtt9ih3MLltFBYbEVxWohicfEC8Chpv7fmqu/38hVN8e5eheghWZnCl ovsD2oondf2YiyM5wtmQ5AGEBpg06LHgDL3RRRO449dzutFKb9Otd2ky0TGDiSHedM+yuuAyVfPp vYFyWHWqICsXteV3ucBqRHIS1eNovstrZErVA5ydw9k1zdP3QIcvEkzVJLMLO6plCHd9gox0t4sH SgKyVls0A3nLlskS5rHWb64KE/WV2B81w1cm5w+HF2SV3l4ECV9tHALNo0n1zfpQHJk6AMSj0tKS FDC+w3yFQ9tlgbZrZjXDLUw6RK1MR4omeL5f95TQ7WxIOMBKSTqrYiBPkyjEVzfCCoAX58lPM14h WqSXye2lHannS4fzMUz9TS346s+J4Hryg7MG5j+WdS4LEDzOMNz+oeDuQ/ii5DV6HpNaroQpvgsa MNjtvOZgWRDJUnSMIcxt2tIih2M69geXFb+I9hHqq/aOrxEWIDUPt592P4KbpR+RxQaVWmmnlpgs /qbCZQJgSeFmVbajSdFRv6Fuxg9JIFiCG4Md9cKv+Ga3ImsGCOVORUbSb7gZQJ6f1CXYP6jLQ33/ TXTnWscyW2tE1POh7USVoDYU5orerBfuTHyLiYAOR0KUZeByj1BdnTQG9lKT9Rr5teIPvuG2kiFi U6lrXZTCpwSphbjsl/7nzBZ+WGIapbbw+y7Q+EtpGnfY022lHNH/ClIE+PSVVtuIeiwYfE7uNt44 bcLmFbY+MedqzG1NwPyjcYat0AzWq5vtbCT4p7qS29zLtMOBPc05r1E/9DyLfk1F7FKPuksy8JMx 34oC7HtiYyWaiWSdJljUrxZZu8eUtK1ahTWaAOfOZbTFq8vUVwwP3iS++sOkXShT9GLh4Jh2Mnxr ubFwPNSjTw5Z4lVmWJfIxdmYiH0lnBhyGZiHX+Ep3u7JVcHYwBJKRT26AgzzjqoigIpyiZfgHhQx brWA+veb+ubXRwf0rpdlipJy0TFVOSUeu92mBwaw9Ep0E0TO1JxcMs+w4wF2pmr+mEIKhMWZ1Kh4 vAVjM0NyzHvU+I0+L5a07DwdS8fxHmm7OX2PhM2FZfK1fjK6yfDxEmn7R2Rm3eWDIyqpJlBTnaUG tqH1hmB25sjmRy5r+KvDHARv1olA0JudqpRdz1NpKWek1b//2FquhH2rWoU/0T+/o8kyq4/euPZ/ 4vJhHPIAOMdegl7JRAqXyayqDmcejuGiyJS0aPOtsfs6Ia8WQjq8quCz7t18x/2kKCfZghRRakqC 2KPrcQBzQNoQVBCgcFu/1V75+HASF7ZC4B6qnYsjPSIjDMeE+ql17V8fFkPF9rvM0yKiOQiTfrc7 HytTDfor2NrTeocA08XxDkWGxWK3u9Qk3pjlN44a3lBaghhznvMVfcAYrZXIoy7GUPdITdDQKLfb 3uS45Q8b+n4ueQUjMV3yIPhbalUyRuV+sSvi2NzToR7a/QeX0Ix4a3Bh6KJTdvJLGxvHA9zTyO4Y s+Me0NOwSqUM0M/OiVCVLpZv+IpIXE2SiMc7jZ7MePhoiRvGTuzcPE39Zz58beQMENWELezpMwUp jOhqJ+aDAWDWaiyQqiKAHtKKNfNAa0oz39SfPoMK4J6FCDP36TcX5BXsiX7nTHZjmE0FwZ/g9xBY k4MQFD9Ks7SYfEeWP1Z4kyu9FiElLi5kpi5na6iQJwOV2sQg6D9SwRFftsWgtaF0x60slODO/fAn u9qEAlD+TdzEWBoRrTnb7u82eBim/n0bJgTwa+jLBH/xpwS19CHIYWWha/WmRZ2/YdJOO3Im+XqU 5bxrT/AMBiRm9Azt69aDSxIlqaahUmTeWa9KPSJr+0ytWiRTV5rQaek15EJ/MqBY7t6oIZ/0f6fZ fXlghYL0VZ23Q0uPviYSdHHwZa/MoVUVdTh2+I94tkhC7z42c9gv0PGjb6A5W7JEAO9pXqzG5Zh8 NgiPLWJ6Gw14mnQQ2sR8kRYGyFMbqAhVJ+m2MFnkwVWxMlmUl7wBYcyPqEQbRzk6okUJQLgotaR9 6G7AJLf3bMtpCsvonSTMSp/7wITPTD5FTyNKb3bry6J5YRZfLytCb/n0K1+LTq3edRRR0EoIUd9h N3iw6zEVD8F7rkUfXWlUdCSoOg5Q3FKRKI+UT08wqmhXbex2Rd7del28ExRVmDBCwGYPd1ELnWrD Q3iPxN0T2S3l1HBdHOiu/qgXOUjJjPvMpfLbemTDNNZsC6cHS17x8G0kCBu/qS0AsbSbQe/9F1SD iMyTI07cV7wKJ6j+wLwX6h1gqPp0so2ZSANZ15LSZnfrdEl7CcM05NKOK3zFimAT6NjJ/2bff/AU YoyOy3qPZQ+y38MEWx7IVdY3aaKEnAV/FREqaiSUtP/fpvh4uVeZLz/muxuKvx8FWZaJO7hdr2Jg GR4mBiuX3JW/dq7Xewtw+6r/yG1YmK4G17BzaEWSk/AmIcjkNWLtxFfyz+kfW5BzF8/wQMlSskZ8 lcyZosWjy7qhtKo/M1VS2rG0JH2MhF04tRIJ0Wpq5dzJWGa4bAie0PP2YfdIuhmHFKUYoJgbjF9p iBFWk3teLeCoZppYYDuol3A69qPoADESqgVLIKij7+9Z4/9jbjhQ4mcqHkw3KT7eKG99OVxI8HSj jXK4PimH8QOEK0HE0x+kdOECiffb8f7MqUN+WFJgjio1b+f74SO7iIJrrWJJ3iR5q0gRn2wD9wfW 5nNGWpCLe8Qo7q9ulw3rMRiNhic3j9bsBy4cCbHOkPlFg2yOysX7j/HGs+XOI5YKSAZI3dEzZpqL UCsdCJFrR/MiykVJ1gSJVmv/JrLnoHcVHQBllLE3u9AvMcezlXQ6c5k6sYn9YnggH5UX2Op9tnDA 6CtMAypG8hRNycXdkFss9cE9858pd4M/k2zagYHZPbFblmhpJdkZr/bfMg3aQqQ8FG2AhnxOYvEM bLNtAo0TG29P9z1wV7OdHaMxJqyB4BMfQraiZ6hg+Z6jg4CNyp/TMeH7PzbnAG4DrRV4NVANrpjY RjkTm2gy17C4TVh6ABywYBTFZPokzKoud3an6f2yf1+zzr/IBMq5MVQesYCV7Gl73AHPcgp3hnV5 lLwi+g4x6adI9u/h7nBSXWQ+zv3YrfGBBly8RoFwkOB2HqeHmjOuI8x7YKqKiPHMoIMZXNfn/yTK 3EVZFWabu1pXa9tw+SvMtHYLDJxby4U+CLTH3dUYaU4mVK1hPIsKj7s1nWQ2XMj2s231UVw/Qwf1 rpN/lS65FR/mLqAAbRIOvo/jqNWJYb8zMradaHM7FRKFE03XfIt2ycAinrr41wvr5W6JJz69whav +/kRWuXGocnFbEXXrsOXN+WuJUC6i0FQNYmYpGgFtjucZyG1ET4pYI3+eMHUIuQGAv+i7m/FE6zA AzfA+UoPJjAnQEI/Bbxw9jzC9XofTPjMgJ8AgtqDWs+t9PaUMae9MDoww4WqG4Hl9A0Oi7VWfr05 fVvP/sk/J1zK3xCAQXCKdOFTfEade3BkJY2gYsOUE/r+CRuwZX765A6jnAKsOmUiWBpzIYZ++KKt BPRNeeDR400WDwAijigeT3Vqt56N002/K/Xh8PJXPrup/bUFU595HyIzb/APq06ykJYQhf+iJa5D 7Z/r1kxjO5uNrrhrUPegSw0aLQE7aYu1hH6reqjevztA8EtELXlr6lDBGPryHItSvhwnvnWpBe4f /ZpzjoSH9Ls3+zgNIqqV4gaLHBERpPM4H39oRTeYPIDaVT03GgqZgZ5e3HAtidTKj3+m7vghaU3P Gy+WYzxL5wAyp5OGfKUk1TTXgyMN7wnEbSq9doZkygKpFkWz2cAyZcA2kYuswPdrV1jVcqfAosff 25erUDeoXiq0kDmOJ1JjZgTDmRWQxFSRb2M8Enspft+a1eqeqK+Tyzt5NRgaRvGgUf/sDEOY98O/ yHAzmtKLbCZIczfBbsnaxIhtv/bDmXEVcWw5ifv+hj/VFRuch6rPUdWkn+/iXeHOM+k7C+ZWqKzS r11ArWOFmwUfy6i8NsWcDLI8dwbZb3DPDI3iAlVxs7TiVzz3iBULvqPzRZG5JwQJpPefLjcCxhln A7R3CSWn+nMHW4xhA6/Tjfo+E8R4O+sKDy2JbS8/iXZUS2ObU45hZMto7s5G0+Msbz2rvK3k+Hls oUadWU98xo6yWDjiPatHx3np7QX6LKZMU5ztzNNHVsJyQbp7nbIdZTSXSpFPnx4CBYY+pUbkpY7g LU/PNpPHxI3imgDbLit1NBkVY5uRQF8dULvTYT7DbNHdvZZw0Bw5b1CsVllaS9zxOpU550xC18n9 7ib1WYfdiFceZmtDBsKVIqmIlA7840cnaJxRhgw+ypKHSNkfOkoVpU/vqbwcUVddFHVmW0FU3OsU 5Y54Weg+Ysf+J4Fb+uQC3SUrLuogrXCXcantpcICoCZHBTKARBiQKUkv97zHt4qSiXG8Qxs+C6jm tIeIvpzrEHe9pA8KZjuvy8ifDBMyRkSHAHeYOVFZ94LLnQWdQjBLtP1OWJVklvFI78DC1NVaXUzi gjwwcRjElGhHjNAVu8PwQQJGXKI0cb/NeAo3H57jb35kY1rpaDC9k10k3bOrIbbxxB+oXeqxKvd3 7aEgp/Hvv1aAZ7DwXJIiF/uUflJ7alg5OfyiiNooxpw5D1ccBjAUwqvvtDv3zDayriou9IqW4fF/ T32FkkRMrh0Rw0jyDwYH7NLyiR5KwEmwzTY8FuFF/dIp/aWVJ7MQbT/8RpfP2nBIM7PpdRtVFnMq q+pSVPEMeommLfn1TKHsY2YyUctwOWqsyFm3yOKJI0ChJpt4MRjLSPgez07XrxLN1n4XihEfFbUr Rp24L1zHMkCQqisYPntSkMmpFRECIE5uwIyWn6ZrrAvGEXPo9iExy0jBZ9bccyK54lBs9K4NLZ1i WRpDIG00/gt2T91Ji86fbzYuuxxPVywEWDgWJjN91EOswYpUxxw8WvXgDykXbOaQDdIPYBr1VQ0R r4L3C+F4kMEkq90Nstzyp3bUzdNbpysrCYZlRbmuPy8onmI9soYV/3x8uY8JxcuNIRgPNWbxbmOs 6HYhs3e+XhSam25fqH9NoojYw05GuxRdANZzGzaxCjoi1Qf3qJtO8v0l3Ex4MkKu2XlmblDfNDMB p9NEFh+OhAl21PMvTjJCMGaxcmzxhTxBXXsy6lrSQn3v8UJrlFkmYDAay2YQqNa517tyk0kowOeJ m1Ma+3YYMDfmkQhnaQcXsE6gBf/rNvZ5w7HZgJkdl+pOosJBnwgQbJNbp2IFqRaLW6FLd2fWwp3G /DF9AiNfKDYzHWirUwGpx97RkXga6Oe9N6NnhQXKNQA7O0lVbtzgpiDfBrrQum+7lPeqfs68MzmS 2bfdycFcynVHgYEj57dtvCRHHUNZV+t6l8VgQk4Fj47TaAsNa3LBCfj/hAWvzSCzxn71zfP0B9DI 3VwiKD0F9W/4yGyfb7n/5iuKaC2OxvfQI9TGCf+/HdqZ827aRBVXi0Oswppuo5wYY712Oe963JcT z/tSXGO9a0L2XVFepSWVfK+3h8VfOwLf0zer1IC1TRzx43w9A4CIIxtAQPEkyqu47B4rFbJyiyPp SArG4Uf2c2pSEkMTMakM73OozIGJCAmNvpV54wahL8jNlYkc08M0O+mLAQeHs2JgpOovcn2R/ujL TmqKYZz97fSXuEf9P9npeud+MB04gmkRV2JO8sXayOOqaVNJfCy09cQmPOE1PWXi4DnKHzj31RYc 3ghFpoRBHQujePT4NtMGqxznjoKkm/xcMWx/KxeQHugHLbX6aItgH6ctiJfAajkW9hsBbwS558GH uQ2ZjI3Sb8+0E6rVYbD5sQ0gUZtIXObgZSzcq1gYMCO0cg2aWH6kSgC7zDIPBrWS6QNFHcm5kACI 7iuZdVzCE7Ri4zJNdSuoioc/aJjog4deObFNJMshQ47nm4g6ZmRvgby6K9689iJ1SxGXYyiCNDk+ AWQzbWHc5u2EvSuaiq5gc4N03jjPqttUOYNLpfnfL4oZPCCllqn6j003Ofy8uXKpXgeZBP4Kcqzb eUPXzxgYerw/9+2TqSylW0wV39m8TQ//jZ3LoXt13TEPWJr3p+O9tnaxw2AZ3e5PbMzsP5OEfYLm X1TpQ/TtSa08YjZX3FFf4ff/edG20v/TchsDAO+tdGpcizAQk/Q3jo7b15S5wiMpNnv2Zd6RWSj2 2pzfRGXy7ZgAaoS1J66ZofAPihXdMZdihOqDCdhb/ORh2uunVu4Nl+T2S6GBJtiKYnOIib6YUuiL Sx79p7SoW63KbeDLQdxOm7f4n8Z8WTs1t8TfNNVE5TnhTCgQfy/whgto7Z3NwoJMEiGNWbatpaRs /p1yY+t/MaaiRFM+b6k+EipdrCL/4Bzlv8oGrnmfUi6LYcLJYvB5A7pn9fYU/N0PaWOocVe0Ub6/ b/97gqyoll6ZD35ha7riNIdSTlbFfZmviQSft98RsuRWmCp4gqKQrMT3Ie9fhIm2yJFGYBzBOR+j +Ff24arpS7/sPdwV+b5Ioi1Kd2pUShsgDs9mDgunvzAHHSsqw+MTSvNKtTKLVTe5ZEwTl4co8XBZ xFtdgi6YQI6i333rQeYitJ9glHS7Uzayhjmm7pjEXoJ48mudl6vOdv70FVauo7yA9C7VlquOJ9f8 7yGdkU+1JKu0EqtQIj73XGea+vH+79LQi5Wd/fVCpv/bUNDHb/ctKW2Ozjdv7nfDTokdkYd2rBDK u3AYwAHYzHsDgficPQmSsau+3Uce2a84pD52Xk9wHD/kaIbm70mTpIYcrmPJ1WbcljhbPKZl9Vu7 a1///Buc7p6cFEgyLml6EBCjg2F3yni/Mc0E7ryt8v04Wd7oN+YDwBNCRMCgrrTK5DBDDptsbJtX tynNCj443LArEelA0jfK3aMlJvpvjoDmW02QuPmbXHdlDghAJI7VxLFMIhHgSziwk+dYRt91ylHU ITcztwSEcVRGcuLrDBCErVBfTM/f+b4NrRUsCHgzJERnFkF+DF/DoFmHNmINn1h7hMMmO0jvwSU/ Q5v7NPmo06pcV8/W26mDSRsYBpmFG4ybaNRJcoAYi8tZKonCWao6vPP4N4DuEKq0+KO+iD08vYjH Hci02eF/S7cp18WvSYkyVnO9lsiVpiiicpdTdEO+g5efuUOij/421tovE04bBRniIKWjH2jfXlEW PEIy282QOrA2YRrl1RGHh8ysT/QDSujM0D/DfEu+I2J8kEUfLWYSNthhu57VbFy4Zg50b+RT8hpi f7xNbx/+CXaIyCf3DEzTiY0uN7i8aICl7njxCXaX6hKoEl2OxJIX66xf9ZUmWrvQjnb2j2cVwbe5 5xNbWhDL/4OTW+QSKvKS5O92/tNTZXQKGq+siUePq6VwiHiOUyd4h3ZmJD+e5umyGNgFT9Xo5a3H 1ggxRlh7Eaqjol6ux2NFfAWD5Eh3RSNpT5XmbrhPyAWItPhNTlTZGBVrCbxP8oufITqRLaDaUKqn FGNFp/pqaqf2gOVN72KSpaErrusPWQeHC364Ip3mtn/1mexXjmkJFKhpy7YfkRlCl4lRkcMjw9fv DLlSRehKcJ4zLmf2ObeMnZoSxRtUJa+len/SRx8Dj8U4pqKc5p0lyCpDmayr+fNzseBF/tq0FRCo Im3modzJocrepvwwmLNp4rT/BouR17Ipwg3lpsfLDK+WIu50SVPKYS1Dqc5Yq74uCCS+nntYesOj S++SSn/vkaMmWimy06XL4fcM6jG6kFEN9eF4E+zrPBia7INK8Dz1jEhchXANrlcBYz1EUn01+vE2 TYTBaXnWJUCwFD6jIpfA9l5iKs9Kc7TeLKxvnqFZORVlhHwLey1mo+K/ON3Xgz3YwhaLanpZq2f8 vRbcvEzVsNQk0psbst0XiqkdEJIKo7QZK1Ujx40OKsnyxj0H71eWnYMLmW7sjppY7ZYBSowvzr19 /iGs6zXGicpEex/a4wd7ZYyvUg7h1zeqI5GO3zfK++Ktnkm+6yO+xZTYPmqdjZGkw+4WOCQORmHw t91CQYLMTBWlSjuQ22tkb12J+25/yY649E10VlnV1ApFDYdeKwlAkwBJEfgDnn1V9Uh/F2gp1w4Q kQ05WAasaa6Tdq6O1wQcHocJzfDZxK/cp2qkIs5tKouUuoNZxOS71Y/RNTZRiR8Xidv95gkzzRHx BYLN6UoJXavGriHzfA7hLsZZK8Bu12of5fNMHcpAuSj8fTFlWDvIi/Sd5K8lTm9xGfW64O3S1mKR c9pAZCDwWQWzOKr0fYm0DCNbcmuH2ltVib4J/WhA9q/+fwzZLtyJq3GZKJcnnPXypZ411CXpL50r a0jmLZgDmmwhc51aazPaHyt/fKb+c7oZipGIlzsT6RjhVLeG2uZqr4x/QffFdk80IgA5E0K5mu96 dRgAq+goxDfSFIF8qjJ/G/Yt0KXpe6gGUwXwMGpM4RG+eXXQSdcMjs+UyEOPPlmFEitL7/aXd8cr AeqKN3jf9ySYWpqlA0K/7nqJmgigU/3Bj21k1AyYynt8WiAhdpPl6wp8nJguB/tlP4HU7E2mWGhx P1wTwmwkpYgDTFc8ognJmCnw+w1HVOVBQdJJIZhfTbJJJFp+1LVJariCulRGNEmgHPZROxCnME5c BqtTf2vYujbTB/VMnBrl4kE/PWHSlBWij3kPM4BOl50kU1TPMXNsj/Pfpa74QKkP7MenwEH9Xt+w wwaf9PArVD0ixfe2+6h/Qc9/WHhIHlLLEMaiWtyj1uaCP1lRc51kPvGh0ow9WYXFL39mPo9EZU/q 9SZLUJBR2xJQwJ15bti3LU1qSKoDdteB8w2X7D+//6YuKqm+WtQJhhcGzzljI+V4NVpPaIsO9EpS Jfz5Y3W4oY8DJyy0NDZogRKzlncHHWTzVEXleHJ59EG05OhgxTClrJ+MxVUlppmIJooTnvyBRprX cJXEgm+VKnYHxr8qP435kSDu8+J2WPXOhiBLbeaH04+np+9hvorcS9gPAMu2He2Hvd/efC/FAuCF krAgnDMDFIFYbZTrnx4PeFIuMMMVsFE/Jt6umGMABXfxtQvCXvSG5ggp4XSlfYtIHgo6+SFk7JBQ 6eDXLKpciJo3tZ7E10a77q0hNWHCKtV2MaPGhexINvUIZRcEgONFvj7GN0/w51Mn+1nueQKGQPYX tVcnZEL3muxln2qJ5mPqUPJUllyDIZaaJJ4vsG7Zwh/Zo2SeB03gX7GYv+TCSZWm9NGqbduyKNRL iYW3VMEKNiDtyZGlUvGbg3Rp4b2oUYJpjWEeZ2mtaqR5f+w8dX4Sq5aHBQABqOLuGfN/4ec/Y5FZ zXxr5ZubDcJbjBorz8lVKYdI6GeVGdrb1Y0tk7E5oPZa5drZTB64jEY2kQcBuyY0eOk/jdWHaRNh 7W7mQIPa6AvJ/NLvDzs0KBlt47CKzwFo3WA1IOub3Ug4o32DA/Gx++GjTK+mZ10m94E1nIjcfLLg m2n21lBnqLDZVuQ8g3XYqS/st4V+mgG1Jq7fkKjFPjnthmptMBeljbC1UCjlwzC1kxM+unumrC7M DoXdSgct6Way12/7LmRCZFOhJopmpeZ2auvvvmWc8bVZSy+JRCNwdcUzBEg+PMauyJV1XfXgTm3X S6M0KCaeYZnDgiaT0cPwKFH4mQ8r6rbPLj6WTsa0l0QR96HcA83FL49bCAet4Pn3N5KVxywJWoLm noEpMQOToxfwWirtKdjuThOmjuE+ScA0l8iiq89YEiMjo3MYDWmzhDLzngWReMF5wKknThNzplV/ xTO/vBpHK2B2NpT5Rm0fg4x3y3I4b7ak5BeY/X/PawjbCqSM4IzQwnJ0fVn/B7qceakS5DhREwh7 a3/VZz4tVOSJbLVW6xGR2lVUADJDw7NaGIDHXMJHLS3OPNBm4s6KKCDrlzmDPYTpYrEr/V+bOcca R+fjc1OItJUBlm9qGn8C8it2EbSBVuqW+AfLEGnTanU+nR2u7D5MkHZbWC4HNTpjmn4pALpxZxVY NrwxadzCeY8o0orTwIeo/rmZSuIi5z1gQyU8LkNRrFLu54hrH3DUthfuI7nWKTi4BnEhAI0zjFch EW6jWZyimGqw5InHLa9/hqVGAJnvCV96ujGkY8HAjvbCrSRL9RKoeUyXm6vbt5Z3Cb/qO1UjvF39 FeJ3AJeSRlpXhGCLLElZtWyEorXhdQclSzzEOMYU3FbpK3Oxs0MLs8wczDjAwkkD7i5ioPsTO/RW gdmjW2E35UbIR4sw246GmtqW28eTX7nPzDb6LyJp7GOFCjXU8aMZ8r88jYY+1y2xDmzv5+RpcxsS SHFYbE0nkCQVkQpEdaImUlZC/l/FRxPHag5UaD8Yf5ZBbzvAesZAFH7FHHOM/uHddKAdm87GOjYm popiwisNsNGKcaP4PJHs+fchoXFEvNwQL+mZMEERkwQpKFvln1QvEWzuxDOFXAIx9UEEg73GTtRi s4DjYwrW0Cua1oii6NJgn4hx3Mf8BkZlewDK7Oq5Rj/Wrp7LmM3GWMPW8iadRH1JtjSAbhUeZMuW GnaeMfXzlw4ga2tzMO9ZhB51PIY8uQ+ztTnkU8rYHCgEm/zQi4Wv2kEV9laHkGnWkJadZWE6okXO zHNu1Lvf3ndOEBnOz5llQN0WCgynIyhXTIurljRjADHBwn6seCsH0uMUP5fB8rDhwgJhHWd8y3G4 WTIpD/CQpf+KP1NPqNKfpgsOZ91qgrNBHSDZzugyOfMCDtsxtc1+RdR30WMrBDGS6bzj5itEiTM6 oUYvlEheMXmvOxBnWcc+jom5cCiDLTm8kI1e4AE73KNaNtLZqlxOdxTQ6vjWj+Zy4QK/vVAYUCFo tVKsIEeh9sQ5T90e3j3AvE1E/yRTMgrmM0VexDzGWp3D3z2iroghsFbdmo5tWRQwXkrvFaPbrxJA gNguNqu4J6wvywI/PKMa91qnEAMrC0bWSm9nTS7QlYjc/0JcWLLFxfBBqigUD4oh5nASymQiw662 znpd3LtnGFBJTvGdkx1Oz/K8VymlywXBBJBX+w34tzbanx5QI+NRQfby+Z1ygfnu9meSVdhCrOBX m2DCjSK4rSsRMUp16w7MSpyAC0WJw6iRALZw4DKC6MDC4ElFVOCagBmU/pTOM1oLBQxguOuc++GB o/ejLtyEyvqaPSYGc5Gj/yBKU1H1jGr03Ga/3Nwx2dUC5oV1fd6h5udyXfG5d3CIPR/pUO7RBKPh c4XVAGtIDbYrAEM6z0sniEevbrqc9elplrPz/V+vDW30dXqdANJ0z27WzC8yHo5eftAmVSwCVs69 hlcn6GHbWBZSjhHmexjEv9VIhalMUZMV9NAbUFXHoB1Nc5hj8YvryDKGc4KZduQXWdIQsaHlMZ1H xwoDlz5nM4affajnd7/EcTTTvaVxKDTzEPzqIqrPoXeA9ZJWLnzcYEAhu7AeZZk2LjyzVusyWMaU mKQrSru8isPUrOQXjJUHslt7ztoMX0ItFJ8INELsvCWTPCVlNTzPNEua0Jlg8yiaYFq8M7GTOZuI sGUrrdGFyz/277geOUW5pRoeYkOxF/tNF7227bEWUV+Ai5z3DKrYMT3n7jomidC37tpPEpyVukiF JWdlfkv94SuTWiwVwC/VHq/J4aNbwmsr6W9hfECrYOMqf0xz/XmGUzpJx/P1s+tRdCCmmwP0JWMy ypOXSrVSKVcNOysWIH+f88DFaM11azN0jJFgQKabPIumDstUoCcWfjMriK7+gptDj2Fx9hF9ibvj 63EHjCZwaSUs5CtW0LKWFUXxMLLUywufc8LfNdguFY03NdhiM1UiDUtzSl7dCfcOPHwFnjGqUCsH K7Q8Fah4aeKOQbhGADWcsrG8GAi/fcqasNzgxkvz9fWbEy1r74N7d+fLQT6TBcXDnqEpgy+3OyfZ 3eSlIriYsYdooV55/aFLkpzr7A4KiPMUPOdKjhY0BCI0ru42l70od2ErCEiJVZTUErAl6ntDIsRR v1A3+AVo3UKZlAqiNETq66dLARaBWRJ275ArTPRLisRAcAhs8sMXG1CyquhoapV4xv7sBiOXwyYC a6ZLi5jx5g07dZiLsuoa+JZaikvE1X63T3dXby5nKoxIUkDJFX70s5E2PKQBmRIFY4ss/oDf2+ZW zw8On1tPDoceuVgHC6ghQKYaKPrjyt12IvXOiRBOH/a2Dj1Nhkj5UO6O/GrlDnXGUhaQ1Vs58qvI 5NibkrfrUvKipOjUCDSOYiKuYw3hYFqTEgaWGKluQZrEvqQr24YVjX3+/Gx6EvPwZ8C9d8BAh6Gd C2ONnfJDwX/h3gIURw3EqIgKteHjYQz2GQl+HM6XdKHC+1r0tobxvuMCX8B9m4eFp/+d7Ju87pwd h3g5juf0cjhvEI/axt3CX9ylEJkVNCjObdeUT8bEsGkU2ukf93PW/5ILN4Il2ROd+qlD0IJxb1Xa Iywc7TzLtFMq6+odBaaCq8CrLCnmbJZ2YKKovujGvR5LAJCTIAXpgeUezw2E/QReCWzlI/8wk2Qj QPPw83SVv/pB8fugLT/mawjNbYQyVBAm3R06+qPwbwCpfG5klgG6CFj/1xiODo3Ha5cywDRC60NV tZasLKpuvH1l6QnvAh4pMYQqYU/xwlSFsfuPtYhGsfhvN+OnXqPTJ+igaYwn96uGKL9fXX4+KhwL izkGtLUNaT6ejGfB+DJucaMVEhYd21A/WfjI5HpziI75pe4F1fBrltel2ya3mX09D3Y3zVznUf2L 0awiUZGIKkMk6/7e671J0q7n+SAxv9bX6jZbKfKYoYZSVzge6DL7vNKC05QwkZtrtYrv1GQvKJ7B +edX6sFPizuwicRZ3owIaChWUkOyedmQh0GlXjJ9qnTIkLotzU65eqCq12Al5DtMulm/XYZEmmXl 5IJW+5C9EzWME0vP4g95l3C8VLXzsNqfbWlU/IIbLDyg4a4JoS2EQbnNhT6OhgdLwCYcav8M7qCD Jht4iYFtNO1eb4OUkFuLNFgATdufV7VEc/QPo6Zb9NegoTdUDXJTpQr8CR0npsFbzHkPvORYYS7Z 1YjcGrjRW1RdtBUDFaYXz4kPLrbBXUxCtfGrQn1sxra+4a8gKcsiGF8OAL98jVv5tIePfNp8RVf0 WZ8gBDRD9rmwcN9qT0y0M03s/ibhNkyB0hiXswdyFQZU3GVYRimuvjKC+3+h1nxEOdoWmFuf/lgg cSgpSAQVQePXwyij723jS4KF0YpVvo2ZjsCd2hEwWY6XpDtopBt1HTja/QKcC3hRx3YxgSnklNdM ufMSRwvCjUTNpnsYSvbq0feHKTt2KayfivMgbcQWK6ONmpDRUyKsXYSXUqELq2x64pyy+7D1zdLc efAk8uStH6pScVdyxS4irOcl05APk7QEbgDdyPHGsNXVIfQ8i4FYV9PWt6C51sS/E9N0E0sWDwbm lmd0V8wzGUqRyFnDc9kQNPrGl6kzNvnxZtZVJ4gPaSQhfKguC0/S/Tj19xJmsAVNet/ULI+x42Je 1doL/8zYDNaWgXR6/jmMx5enPTUjxeDurd9h9DA6mTFWASSIxcg2GT32cdzTyAI5LZ5gyBNb73re k71yVYWWNsfo/whaIsgDFrtWp7puTgS/+Ln8SWGZvb4KFzlaTFiLObqe1BtU1K1HuysQhm5qB5df 0LYqFCfUXbVJyTh60XDpzzjza9am+/buZ5KpBD9NBnGnj9MsI2a7XM0t6ZtTEMK3dH+e8nha/uoh d8VmMUkk8aShcbRpth7j60fTQtibWlsS/rXL5i6sIYiMfdFjamwEfkCx7GZEZWdMn8a1/N+0PFvu L+AgNGb4pRoGhMdwoUNDYjloSCFzRGL52WVbXv7xkFK/NhCI0lFmYb2/0gphdCz0o3KegZd6CICC 5w7D/EuEaBPp8AAIeVsCahUQlrdvILsiqjTTWG4aSmfRoyY+mxMFqbeZKpeMkuzCXUeSFX5GrTnu t4t4kv7ov/tBVKMspijnOa5QcpJESZXFkdKIKswX/wGoC5PVu9yiOJD2X/ffo9Plf/AKoQ1AvzO/ /tuKY2NjfiZyzg+hbDXiTALHzhoGOzZvc6ltkcJJsdf0/SYYPIus1PrtCqjLT9Pp92H9xuqUyaXU 0AMuN1YlE2eWxwWT6Wo+/RZdmBWGvXEci/+omTOVhZ6CxY6jKf0KK7WWuw2PLNh3qzUCPoP3wsx2 iqUBdRRjuHOZ/SNZzg9+aL/v1LZYQvlndQvXJWVHeYbtKn1g2OFlCoFp65DGrFE5vtK1FHf0UNhf KiAz41cSIzk95S2phaWGUVStX2J9+j+Wl8nP09bKwq6QkM2CXp/JylHe/TgRUOFkIx+2HwxTn/Vy 7mttq4/nL7g9I+tpAnZP6XxlPgPe8/ZaqX5G0mTOwvYRMnT+Kz0OvN70wzmePgNP5xd+TQ6le67A Imr521kLYr9M3q/YhO7ksGzLgTe/SfNaoiGqwPooNiu0XqDRNx+ntc2/XMht1dG/7iFrSMVM8LYv /fSyAdBTQoYVyG2icgZXVEqFB18hkJK/RMfxEbr7XK4EWd711MnFwQp76k9TiSCAcz/1TeVdlOAh dzZpooUBhCk+LXLILkPkZHFt0Kwr0pBSWKv9G+/o8jnMhHSWo/FVGYWRkZuhKVyc8vm2iIQAcx9e +1xKiZWRhTp3aWLksCn1X4EyTnoHMQolHO+0hA/or9/efwjgla5zCidbiHKOKoAJ8m3+KnPYFpKC j776+xXg/zgq0qY7nsIjBLEPxC9r6rcPpybPMM9eZv/enia6Nz/cwif6L5xVBcLPnGBb6Xm4fLIw yIiiFk0Z9DvjUWQjgzILrCAZbwNHJAp2YqFs9gnWn9rFL3PUmKs9zHv4XnKbIGt80GjZ6jtFdkET akdgBg4j+OOdlPiSikxW9C4IPk1B/8RjvwbE2Cg4DYih+8D4Z3OR6hrx7IOauw7SJSkSFdM0d9gH YWd33L4Tdkw705/Xva++Ft9XlJfQCrsGx9QB+cw8zDO+Sg7K+zubIIIl7kny+PQ1LPH5l7Y3Vs2t m5NXnJcKhQd9f43JCthnS68TGJLLCF98lBiBvUTqfkz+zx3G860x7H74QkN/qL2ymKTlBeyhA3ap y3cQ9QVzDn/Ih6jgH96vhn7UVaxhl5ToeVmb9oJkILpVMbb5Dmh20q8nNBiMTHqZZDJFCIJr+UsJ jZRZPYPf5obJTpl01mvtMwrhHInKMKqHeR3wK3BJT4SAJWrLKQvp/gymIrdPkymNc1Ydzj/LeLwK T9EecrY6uxrJCNO4nmOIT3Rt6HZQsjvayEJ2UhVrup3dOSnHnftNQy4kjEvR+yl3HMwtAd/wpN+U 24F5Lw68atIf/6m0Lxzu2I6VEbpX+vsDoXSpqB5Z85yfhfSRG62l9ShGbgOIcLD9CyZEahn/nm4N 4JBtDkuSNcXfx5UnWpFSxcQn3/z8yXz4cLHfQmg678OmoRc6yIGNU+rhpgbzbUOOFelOfScjWcwb 3HxeMCW/xo42ni51qc7SX0ZSZbx1mlIobtZoMsniXanb/EmoXNimgpcHU+XB/B3Q38qkR+7vN20b qU7iu38rnTUaw2thWpvlJyzyY/bFQHY9qGrYHM3zUuyV5MSaT72HOra51u8u60do3XtZQs6f+4yF ccAt6h/EMFv2YRB5uPy1w3BmUD5CPx/PINDL4MbZ1HkcsH1I/D5q054zxnJ8cUqhho0joovuxzLy 70jwtIadLfLuIrx5zzzH15Lr7CpiFiP0apljFJ49D84nQ16SCRVnQqaW+w1MpSNkeW0ngGuY1lui 1RtJvBzzj2h9TBTWOLE/YrAgl0D8WO6w1Gx3K96Z8GdZnOd61xibDRHSCWxumupp7+9JIxlcKAxF +A/3BFl4gOt8S8GzoaifbUk5YUvjIR6hnkIRHruIerI/549GbufkqHRiRtmBOdICY6+uJPqGbhdN o5ZEpp91U+/Q5ueJzzQ3EtHTad3SxQ2BYyxk/0gbKNG260qz2j6aqBS0iCmnGlScc7e4a4Vhq/yu 8e9459MzP9oYptxRd2VuJlW0D21FycS7aexXxo75BM/IV9pwc8gWgvjHqXC46meR/TBVpKLGHjzq crsbEisAlw8ZWUoxK6u8Lx+ObGyrstIsCvmbwFfe2g1EaPJ2pC+2vW+/G9ZFlZOuf2E1sF1nxX1Y KgdyvwkTBIvUnTr3hGbSqeg/Lqp4qgvL+iauy8FCcOH31o92C+nj6ryPv23lfet4negjdHMqdst7 FVMP5vh4+w+CEwC6EGGTzA7EdxBC4MMEWKcUzQgqDG7nJWAcjRDnV/qixS8SGxTsbSzfCv8tv6kr prViiYHAMzoz3n+z1INqXES66J+NnhIYdvuW5DeHoVLBl9tUewEyLNVoRu62MQrFw6EumvBot4xC nP+PuExW9V3NTIZtllhthxl9tNT6o/B4/LliciMSI9KQHvruWb6FmweOfwrpRwJRyql3Wq6aZWQe JgeonSXtJNjLKlG5R0uOyYrjAkgcSpZ1O1tk0MgWpb20LE3VyWIC8VP8nf3FuTr0/iBZrdtg6FGs OCkMhHNGOpTPqbnRLiTMQtwND0pEdcOTs6FCGX7ALYqnCetfIuQnDa45TKFCOd02n9N00XH2EDvp A3xgwQyuWIBcofK1mxKfepHHR/3DsAHOuYJx3Ja7kIfhirPjZ4NJasSWtPS0RPkFXXSiLSGVrFIf HjF/Ej/0Mpf723bV80x7F+xeApRvbhyPjdyYuWtcwaE8Z8pX0MQEQOM3oxwI40FPgrg1duOFVCPu My5gGrxFbPKzt+PldJFleoyyeGY6aJ/C1CBWEtf99lAuuBKKOAXOvQj2di/YWvFPodrjfqiIMPHV 2Zd2KBJjkLGYph6hBwKDXvmfgm44Jynx+KFZTaQFu+Qp/SQ8YbMpUFN/JHcP2RsgPFT9ap+mQyou 4RqMmx5iqdESLIXYO2rqHQM87TiuPy+G1mWR/0cyx5pjKXF7CF6hwYoXH4+vgZAIZEKKyoF8xdt4 ScdOhWDQFGnP90gpIdXfGfgA35yvLFmK2PqsBjZn1Fm/8pWSLtPIAeNhLnuu3A5Z7fzZJTnweGVA /cZgxeys6MgWKUh67UJSHhpzZjWkcM/9i/ct4TlDWi8WrHAnWTo0vBilyl+q6kGk2I481GqSxEM8 xY0vx6EHrNP/yF9XhdDdHI8UO1pNYSwJyy5gA3/CUwW2imz1qnKR4xo+iqnMy6E7Eso8TFydpO7T 53LQYTopED6LjCh5iaJCOvy2kmkXU437vMTvMF2y3ilN1xtZEBLyklK6bwqB1ynp9boFE7Upsn3m /CJ6XRfMFK+zFYzOYTIv5y0JX2H3JUveR74N/sh8qSymxZwJ2Rr+EHJnK2B8DNCuN+f/N+CmoaCj Dgh4WIuhgT4ldrt1zZoqXIxDrK7u8t5m6HpDRFL3QUaDXNuBqFh1MuH3n++sYVLbP5t7RZ5sBBH2 tv5/QM7aATcRkFjVQF67P42I6RTzIa85Rohh7rQw0GKYa7FwOQLf2Ej4osEJGsnoqLTNMmK5Wg4q rAhaw0bTdHTmaX+y8jH3pInVNyqud8IDqPT8fZmiz516MTLB5rx5U6gmoOSEik+zRg9gUHZ7RtaW jA/LWQhniG6VnxoaMfzr/AQSeB1uTBCgwybWlmqlGMkyUsui92SKbbN1kG0dzJDoKeMlXrzpkGy0 hmI397viltALYBlWLmaJLTAP6Rm6LJ6d3ZHJXGvN765vaSFrhuGvd5GbMrJ8aQCSPgr15T2PClah Jwjx/MPJtmEyez1kZgB3bWNSvX9fTGYjiMhmSgqclBgTECfIt+ZyZ038k56ZDSKyTgmeHeESiZOT 4co/hqxuYB/HoXcsl6az3pJAuK/NJVhCwxIM/hpe1guWofHfUWZoBN+hUc1q1podb4mW0Wq0YOiu uvnVzMumblnDxl759mh8T2/gF8VVLz6WPKdDoognXL3f9r1V4efX8rTnlD6HnsTpolncsOV0F4b6 7q4JTyq+jhoiReefF+yknpa/QHRP1qUDBcSWRtCWOoHez9dkDEZyafMWy9ygnSEgMFb3mQtjslir Un0Zaawv8CNGsxwrlnLV1PhmXQ0BezJW4cuWcORlgmfbvGECpRoWgD/OPs3Ep742L7VnRFem3qYX d7ktYED91Zh6hqDRVKEEMU1BtSvBtfvirD/1tLhXooGlGxLahILD2NtGmpClEN8Ud6wJtovLoD86 arcvxRvcQWfSAjylp9DgaJ2/Di4HFCP/XmM/9P5jisilOpeVxNDWawGvLZ/Fxpx3s1s5P9phecp7 Ri/iIkeViJOu08aqZTVPZLJON9fzszU9JeeEJ3AiRISEZxNrr4oYn/bT1IwRKavfz5zxnbEAeE94 6dzIjKL72zhXtGmV7m1Pgn2vk4TyxOHdms8gpB2lznR7w1msSEY1IFJvCZ2sGZofCRv0zli0peVY 9B+HKCeeNY7Bb512o+K7Kr+6ZkYQ8sx5+EoZejfDTHyOdUwhaH00b+S8g+EyQ3iXnG+ScttdJpY4 BNJ/HBirzjMK+p7NlAzGxf9FIl+0E+u4ui62pAqtxwUd8uA8F1Coq79L1Vb1HVMT+UeTq40frVV5 jErMBl3LAzPamlNixJHubeNcRYL3Ek4NdTkzyo46Qv2nCF4erMMEA3cwolktOqmw/+FfUoc11T/T 6LMGUqLvxTfy+r4XsPh6NOZJRaKEuwNIL4wsc+x7/MzAYbT4ifqGuGhqCIZZ5QDkGs2kats1tPyc ayavd8UmWblz4hxzZwackYKYUZIhLGgPY9YQx0hLDulm9AusI0VwkJovTEzvz3ut9rqEvRSfWdFu wuL41hWqghoRIAkp6bdEHBHE6KGr2MfG4mORLDSnBGnJ6KqvQWugD4zP0MxsVbJR2EEwW4RWVn6z R0uRWOlMHRjWSEt7T5zwNRx9L5uQHopScIc3LxWlKYZHf/qe1RFTtd4Te1c81wLgKWExC8TALIW7 OnnYydi2saaiTU3PWnzwtCRBWvhochdcDWSqYCnXJ2tPkzVRasl6l4PUS4JPHlBHUPEiguCkICJz tv4Cye0skEcFQ2O10lVWqRyAWzHwCwgN8eSBtvMtTnR3SSwUbA12hg+TnykfUyUwwGAVi9uMjDlH /25zF8P3RsVRDeRO+6yI3igPHyBL4EtPgOElpsKHXcUB8SdouF/g/SqGt6EpqKOO0AcJuWuW3pPw Y+1IjEbteqN6HHetd+eJ6vT5V7wd4yiLHnwWGKctcblWwjczlLt+1AlgSSqeaa5zCxuDBVeyImHi mSyZJG3iaKWzNaNLdux6HhCw86TKO39lV6ye3A/cgZpcSl+auTnK7pEuUt0GXEtx+S9iSCWyq0d9 hHx7tXEg3kSIpcOXElgox4mCnJHa2X+Azhcivxd9jblFv2nR1CAf/cyg8t3akSTWALDNAZc0PUSK W6SYUa5gIBy2iZ4HRhRjS6b+V2thIg0kBnnzBOeO98Rvd3h5DDVf+6+vVbuUKjnEVOFLCyqn+j3U TWTvJQtE5Rqu2INYC/yWWDAutjL+x8KP9VBxAoW4YvvuAQHYm0G0+HCt4M8LU+fDCu3UOSW6asMk 4hQk8Hh8jnWjauowh9zv5ciH3yOVTql17ggj5yIm2e0sNkqp7io0eK7UNjzOAPFFxL2dOjtz2Fg0 tS68hO4MXgvkPDVyH/hiWguS0pCvstMlNv1ZY+ZvxJR/UMIJKFaVQCRgdTs3M2spA1U7dyd3fE2O mqdiDnUO2zSFJ9SW15EAaeomZ9EeX7uJQtK1L9g59ICLxWxitb3hgzRFK0jI8slxWUVX0Xn0BLAd NqbViGxMkT/SeJ/u+T4EPSQJ2dbXdXZ7a5lFGxQQlV1LTOY4YT6jFY9nX1lO5QwyZU4tC+N2+F1D MQAvZzgWsNex9jKDniSlNbuDh5rPmAfGWXeKJ0BzlxT5szRiG8tYNww7f7RQe0O1H8mIE18cA4py EquTtGF2YXDc2HorDE7MIExaczT6f2g//m66Sznmz4aNHwpD4lpGZDnPIprn/Y1V4Dl5FsBitdKZ /MRz0s+iK6tR27+EPNHKsz2gxQygopH6ivlJjk6zO7P/WDnRwI3oY3RjvslQW3vPiN4MAafrOBe6 dbFzb+QDUQZLMN1iqHcRtD3EUkax0ju0LJFkbDVF9Ya6Evnv5tWBT9tlVcGx+ZhKzUnoU31eWwKq sM5c7pLH+WC2VoUwSQDPAOsQ+lFCyBLGNuHWtT96bYhQLqa5pMh758NAFuPeSG5EmNhqWqK6Hek3 DW85m4PInGdOtLYdmvk9hsmxV1D9DPwvu2bVZKogQqrSzei/nXutJesfGaSQmyoho11cXvA+aJaN L2AdDl5aWfHCFBWxk82X9HZMWmJgjUHewMkgyidKnVEf4WrZQYJfW+OAOkoh/HR8Z3lvi8trVGnD +1kLKihU78BYXNXPG3D4nVgtRg/0ex6nEZnf8e2BIHWdeTi+RGmd0PQvep6zDwlaSQxxgFprwWPc BkP+91S5oE6z7hJIx3aEaQZEWQFuMTMzh+pBTKx12p+CnQETFcnFER1EWl5+7sLEhbmNONHyCCTO hSqFaYHCM3d7UGsCSAZZ79oFpIUs47aH6yF6zsz94W/BYrKksQuCl+yIBtzoh8ZfJzXEszBV6k4w ZdRYyWnX3BGgJH/xc/M33KYSmZqlevmVNEuMDwoiicKcBhwFm2PK1PRtDYAHnqjgJ3zEA0Xs0LlR JDqNVTl+tjJnkBQNPOeSCO151kImPXcjXrdoSySIE61BeYmC/L/44VxhRz7kk2Bs5z5hA39EZQjR Yw/JSZzZE79gJuZT/Hz37vgsnJYetG+rUY2erxv08DPmF9AypxniAFqt0V+tRp1aHjuiZM0weVdu E7rHqvx9msCR7DkR3zu0ac3di55++UNH19h4pu4+QO/LT9Q4EA1vkuVSSMF4i3Uuik/AKohHK5LC EO5xz+Afh9jj9CAaNTjIocyxPQ6beu435ZpSBq7u9VHtpmJDw1Q4ffEXWP/d98zn70lKszn4DYmf QGFV53H+JAt9Gr4SjSHsC2x2fc0gW2KE4qCYYdVPTISDL7idWDNBcbNTIzLK6zFSlKb4MXPqJ4Mn fWV/bnMZMJLIIksn2bpzAsZftNOxtPxF5p0xqyF/v0mYkEkVEz/V2aqf6IevNrLBjIepXmVtRFLA piaTygQ9YrAy+FRoAOLR+IoICnQklBARdEvPJRLP4Umulvadlq3BLnkidthJYgK0U55fNjZmwPiJ cdGV27jRIuWguoBF+FfxxdWzAKsw9TkYlUQM6daVSdPgjf8C3dOAOBPQ4q6eGC3FazW3B1R18Qx2 69iBvT0D73DkJnALfQehUNCpS2UWyQrrDB6DChawSiK989ln1pBopRzK28knbBqUthPqMUEP0wJ3 ehTIHMttiYnTQ95bJoa1Gv9GXHR2NNtcK3AoAsbPTkEGcx3XWAqFutmbOBcCsWl2lUroQjPCmCEF w1E4qMlM6e/P1tb57U9zxPjssNagHL1p2uQEM22JffNqgaHcAxm2VZKkY1E+gLOwIAWq/kVAM+fZ 32/azfebZEyFnnSF9lSnF3IL3+WLjKD3IhgiBFvLNdBcdbb7WJ+Tr4rRBcxcdZ1ft6+d0ivfuYFk P8Bk9uQPr15cjLz4WrqUMoojlt00EouyEDfVepikT4V/SEKkO5u35I+uPhnG6dIQl/YSUnykpMYE VccwAIdT5BBv0fDTFRZpRSapan2hFvItpLpAMir22CfKpgtDk3H9erBvk2gfJZzLJxZ9rmqkq19l EENxD8Sceio9yOqOL+n+dTho7NIIlhGX8tViIzF8RMvQtEQcuU+bvl5O6H134yLTmHqIzeTv8CEr PxwHshScrYKoceet2y5F0yLcqT9chLTrVgcFQfouBzw3KpuRwmSFq/7KFkUz3tOCwH7r0J3oSGZu P6J9RGn+XVjzLthGaG0fvrNcejplkq7WeFPgidsk7yr4cz3+SSJUqM1SROqpSd/8Hnm26uAw7M57 atQD4/pMcPNulN29sVIFQFm257YFqVGkvjSrbGo8OFvpVPhlfO49/zK1WRtS9AUZc6AooOcfVDJC e1bwe+ri3pE7A6K9UklS67kkG95OsQFg+Tqs4Wjtk4jBGzmblEQrB3wEEVQmmdY0h5grB/2MFcp/ WZCRIOpn3ctsYkz/yRC2QlmE86y04moe5bR80jNNARCBUIcji7qPEUVKRNS6d04SUTb3mZYszKU7 +fLOZCGDXG7o7vPqArEN5Tj4Gd4T8/3AbKYUZccG0Q33RAUbwCN2X9GMqLm6WtFiEFwsoswjbS5a 0fVOKIA4IlvsbtLqNBUXzI09UG7UQLZlgGZyG4CE+ZARAnnvQvb1dR1Vl91V6UyfWFHmx4FxIltg JUQALnrxa5P/dYk+hISuUu68uixMpoBHwXekggCnvzaT5Z8CZANwNMP0OOF9/B6nHej+8RS9S5BO xzGj3BtJsHyoyto1OEyNvmIm2g8AHBosqS5eGfhrunlbsyaoR3r2T7JnyaVYKxmoKwIobZhx9U35 WcOOOW5hvSeMb8UOifY0UlmQ6LOHHIc6MqD/DkvdtikxcTLP9lwmi8Bv/Db/cuDhuKa/cJ43QTLw qsn6bdF3yx1Xhrb3VXFqKuT5QqDVHWobK966Js9pIAIR7XX/PbEizavCgdSSe/vOBIQM9j2eNIyQ gXMvET0HD4+ydvmFnXgpFNoJ7dG/tkumeBaWzmK+okYSxUzT153s6eHvStnP0GnrcZu5UOPOphtT iE4FUo8/zWAuo2U1kwXvXZ4cHsJ47Rd2vzXdzFLT+ep0/ZR9oRtDu32F29rkjLHYQd0HkLeeHBFp mp2xdQM2w0qey/ytm0NzM+4N9cnTeSuyjA8XgaBJ4y4fLUqHySR2XM4LaeqcE9UcigHEgopSR9nI wi3WVTjf/mbpbNUz2F/WzbxDIAodPTy2AWT8mOBwwnluH2W7TisWLHMIA+en24nU/nSIYFjFfWhd FGISEPGQFfUpctM9mbaDjd6v51Y6SByhCxuMUKuKkf0TMdH0H+xBCl8RJXLj8hoxf1cOAAg/ikEb YBznT+VrgNNEyElbivJGquHWCSrH4SIB829KdfpITy/yDmABmsLx4dTzFu0h+3INdBM3gY8ZAiSG ukK8ondTWsh+j888Ji8GBpIGM28iDHEjDir1jSswXlZy/72VaDs8P6S3yqnAymhyjtiAk1TT+SpD omSTy6dFpKEFkQo/iBEGP6y5pQIe/BufqqxwKgNHoga69jurtq6Hm9tPH67IqDBC/JylCsdD8ITr UoJzyqfXQN3mtROc0giEHsPctiHvfU6IqD6uql7ZrlHlLsRXSu3lsRe/V5z7adaRDYpEMm2LWx3c 4n75zOlDHHtY5RDHXeVwP7Xe0R4/hCeyD03MviLHyMXOzlaXMRd+SaK33We0E2qotZMHkPC//GHp cCNFa75+5VZO7wgVS/e8/h9WVVhV6ISgE8nxcyJ3IzOYFcqbQVlf+y0b6y/ODQizwpnKV32GffvU KEuGMl8inyhh0Aw1dGcM6+iVLNHGS5E8BDFuOpJ8AEYcVa++OTvabyYSiYdGytpzGrhHO5Ov52zN XKL7mwQYd3Kv3uRxAQCuDo14CTGXdKp0n3ajm5IqqdkJbEFUxZXPTSuRsQvqN1rbMtBEM2uRPjbW r9PVqHNXeOHdUZEwLn2Wj0DhQ7uVMrz0xWkkqg4TAMoWx6oKCMqVN0qyhumjhl0+9scDo5dq/KB9 eNKXcaof6szC11tbe5YW4eYhYwMsf2474We46qzswFJomi1Cw9V6nClkCkX1g7Y89BS00beSBiic SQhxNZHHydMr4qGKY4Qgr89kPHJTh6JOHQOKKYPJFPNQCklrR7uigp1SwxiSUvvKs0PlMw8yzUt8 g6Xx4eBW9nlK3Boi2jfBMuClDamm1n9bols+etYQ9smWmFMF96fk2ePfUS9yVwK71qqXG19gDJt7 S6qaVRTpvGyfwWAgr3j7kVw5Dr6rh/oNPijy32nBJGd3TYs5kN+wVWnLNfMoV3cYmAaiePyVeBUE O0oI8aKEINENFESBRI6v17wh8LznN9Ak4mY3jziCIJdvX1dqnXyCO8av+YgrEeFv2VWXtQXaSKYt GwzdMggc6KlibeVstOijTvlBm7H+y/lSLFEh2Qsx+btlCOw1HapSBn7sBzPqAspWYK+HjTzSaTmS EvoPBqBMXwjP4m2tIreAKTEIVlF8b2DebTsr9WYjhL7pE0TEWmFybOZ6ml2HdgrXs3WSfBqRzfJ+ EwrFfLwqG33Pa/f/ft020uLIAftEk9ksfZ+2+QpzwCDnYRGBXmfPwmji5pESPR2VgVYUots8IVHG 7ox8EFtQR/7ofRNldWmLd62j1eLu5JNXJksf5XERmH8vqUrJFOuS8gY8vRpNbTUZJ4E74CsZtrWI ZGh972CrardGm4RD1OPY6TRoeoyFk5YP9hjDYAWDbTaMUSDeErOlyFsr9vMi7AEwZfMQdEor+Sfu q1bq882lU+IJjnIRnOn2+iDSXQnNPItqIu6kypTOSz1pbNWsgEbWB11fosfz92OXZE67iSEtG7y9 BqLAzZc/x1xwoOir/dWCRiuhfp5g+XfNBJN7rSnXKuVSuDSvPvnyVNS5uK1jVum5/qLtor5/lFoC SSLyZsf8xtEfnSzhlJiMwmHgVFNgzgbzcktGiuF5s0wiA9+EPw+o1Tc3DudflACHE3ZT0gR+ESkN JPezc9gt8/6GAdnu6bX3qH+yDn3c8PfXbuSsQ4QrXfLxxlQf5oWA4Vsoup2hEAhJyglYx4abQQS4 bSzRxleA63rmKVEWNVhrcQq7jvY12CeVSljWdLQ5SuMwHzxQL405A7dwOAuFMDkfV4eV8vDkyeP1 AWNNBfEmOoBBEUUAydAXiWiGSRl/sZVdw4f7uYlnJhjHp5YtSi3jkgSmbcJoDBQTKY8T7Cdpm1dZ WxvHNrg2+7XVUcbx1s4ALOQm/BBD/EJOELaqfZ+OLpl+vOuqrcSt+/rLJgqnrj9z8T2YAiJ8yu7T hMEfzpEm6SqAKEbG4xrQihu0m4nIQi6P0P5A3vQ4PP3A+JJ50tMLRZBH2cdj+IRljAMVBTyb/CAD 8geqiMAiVX6+/YA3peaEp5qTKAgoYAoSZ2krztU6Gx/Ty1iVhedrbefUWL0vdjwEAggYLUT8jq1w tAvzkVNPKNIhyIdUhI4DSFYyWmNJ0QuPEARBiyBfr70B9q/6abDbL4mcDaXo8cRvqy5VEyKyp1NK UaziOp/BSU5maAoLVpp1FZECT1U1iQoQ39b4RFkMoGqEBniGbbfauh1BaqM+Kdl0R+ctDPxCl8sN LvPnWpqu7CnYaDpz/KZVhxRuyLn674nCPzWiZcXeXGyR9lQS7dB/nRBAo8ccgouoO6bYwZPoOvtA siR5S/fGfCkEq9FZBVpNDteg9lJktNaTrKh6Iqc8DIB0OFI8XOtFkJ1sbkGqR9Z6lQqljP+Opfzl /zz7zOQx9wbCGMYefxlBckjQDYptICBitxQObP+TnV2QMKqi+FiUYcKbs8bv2ntAqlsHRHVfkOgP V8UYe1ezAc21rYda0KgWEAQ45iB3kpozL8JmrQHqw0WJ8w5hjgO+ZG/GCKRoMj2P46OOaq+NLcd/ J8xCFjnPWzjfuCyR5bWgvFVaOqqAsuf5/aF+YeOEZo+fNY+MZ7VhQ1MxD58NiMQTOVFU5dat8/89 AgsDVAGUjsiIZaDbWbtM+ouQWLYlniVMhxbNzLAxHoHMIN8qJfg/ORX+xSGqNTGc6YYXR4VWeC7T yib0+yA6Oux904BRmaCTFrqdaI9bLjMsJe1sy6+Z4ZyPsidy0yCdaKvH5i6qn/vWV8yS0Fkd7TKi ztZJiRPvF83C9fRHLTARbRJZUnYGEUc0zeglietFSFMd+EwWJ4Yl6dV01H5mXr9wZkJAsUXuwJOZ IDGEYmNuyo413uLoAWBJfkCSd3G+a9qYHCt3paAcRusLSsT9D5W7HRnjsmLFXCACAh80Ive1xV4P b+I6ICyWC2nixOMduneEM7miT1IXDRZaxaEI+aH+bZks6ad0NOM67oYgt7EydFUo7g5AUGcosFWI eFMpp4qjPlvN+aq9jyPv8cVqMmB6lfHPZtDIhLBdBVn7z2rrOW4ekzaQg805rTm1iv/URUZTs4VC CKzT1nGsOpo2wyBVt1q6RALmrgsXOU1XPmh1F/yzRwYAT3ENUGyfq3VRER09DbCz98jb5CvKmZEv hDv0SzbHBvx/ZGjXftggwvVBWjFdrh/heXIMUf5cdmMvsbtMIEpBB6+GtT06HliAU4pZJlGYvi21 /CBfAxRI3AT2cZOodM3GVln2Je6BLnrPCVMa4xXilC/yV4g6f8kvPCLYpyXcXBFNDXLdLHFnP785 C+ijYiKgueH4z9a/igZy8H/wTKI6RwlN9vGdgvGKCwR6vOxGffOciYrRha/8QOPjiG/CDPpl2F9P ROe1rN7oyGNKczfRHWxp/rRLpKacdciEDhtbaVCTrUcTehZQ/px/tm9DH71kxls5Vue3jzwdG1Vp pwxMH6yJKCRa+SmTKfefkcGWxNy0y3q3f6DmyGhT/gbpYBgMnFnWzUrP/oE4l99ncrPxJ3+VKOYC 0cjSxOa+kYBDfanbd6AZqLYSohXFGrlAErBOb9QoHpb+mcqFLfn43QakQgSZqOCaxj6qHmQFqgyh rOExKMfF/gpo87wC1vULck+x6K4+Fzby4EHmUhwmxyQ7FDtKGr9e2VNPPeulzSaeJ3GnNsqICtIG C2LWGNJwiE70NyYF8idPir5CjJpFQBBZyPruVewtOshBtFvWtpVY6rVe2Ro82fjB1sSLa/WKezo8 QmSCTCHtK544VxvutzVXf0RmJZEk6dLSTQ+RNxCDtsDRovJIf8RfnLI/gkIIsQGgCvi4uVCYYJsn WRjTQ3YOFE5FIh7kFq9FAozRjK6WuTd96gg3FWEvH3EQBhrdz4am0jZNKAoHkKOMGf7N4I6WxuLT jjfALRFZvUycyqY0wpg3qX3EQmLJfZmCJna1n1BwcC2D1NdeC3eI8840eBgcmDepuuOXNpz21jQG 4yiX2Ee9j0YGsYRspjuXWLQDV5s44gQ+nbX3DBxCPLHGT6eQVqriuTFyAOxankSAqhWXROsx4HiV XILYquMvN87SAPkanCErdqCjLweqmow9ykmi11fRpOq6mIidRZsdOqguvec1hlT24D7O+bADBXc0 opE/cJd1WYeTGcsvP0zGqsyPABvCvHEb7S9Y/p7Tp6bZa+TI8GH+q+ykYVrI5vUdKlzXUjOg02Sz xfCCFdU6IAYGEdYek6lCM+i9R+pl4ZyDqoIL0iVvIVosxcPjD3xu9zN1LZKHUdlgIv8Y5nLzwS44 iqav4IaQoSTrHfLiXhlKaSRYut4mgYA9fZjUmnLgaxmjQZl9wCuvk7ay8ThHvsOUMCfzm2uuOGe8 M6402MkxU+OP6d0ctGuNTmCkR8plvy1xey8EV9adQckwX8bjxa9Y9hGB9l2u9fvkzs+bKXB6j1ke E40+A7pLCzu0CeRX4AIDWqpXYpq8CA+RwPjTdk4GRe5bA4VPCdwmxmSk4Gl59EUeFqQerzHFVaci ovxXUuEYiSeyvBjKG1S3irp+Jm/6pPEKDT6dtyJMG48a6G/Hhe5neGYVM6hivWi+uZwlaMHMNFqE /9+/+Go/ds1JOdC/UujknXCnMkax31mXXxIgI+Zije+0JrMdrP7q2fojx+nbGw1Vi8WJiNj6vAYm qLyoj9nm+EkJEOHAns9fEAuADMSb5QmNYqqvPUZc0aaDAw+BrSxFJ7rj2ztZpImcqydWk0KEoycc YH7+YufD09ytGhGNNDR7DLfil07hY6G1YCzmCHCCvKeUmlhFnmpaT+p3pMOqH2aLlvUDgTT+VvYO oFMEPjlTOiDNK0r1OLLlrqx+DWZ9h5SLAPgdUXZhQ5SHAbAQ7v5mJLJddVQ6SIEdJYeg/+N3K03s /mlcRYH6wiI5PIt04bGa47q8VCWY0xOXUhjFvfr6dRgpSebYZWudwTmR6swy1q+XM4rNg0XE9JAF iZ1i2TJc3pTR504KvATbXG1YbhgABJf4CNzO6iN8mMSZJi0sM0BDMU8MpB4a61uVBu7hixxYRg2b A7EcYujKiaBya+d9RZCOJqAa/J+LLsZHC9ZvXap2ZLS5HQK83H2BBDpySdxNAUoyKiyE4ru8uS82 mljzRF3sHH0uIY40sRIIm5EBD5dvXckurxodPvmCP4wth7Bjc9pLHez2hy5htNbMIKiZ514QTXit N17HkzrsUxMZS4YE8EsL0w5Apd3rvVaiT2+LEkdh394hkcNQPEKS7blN/DLAalMMsrc/ZkJErTW6 JbKlCHi0fRXnl+102aWc/T1gOVvsrbbqYowxwImAJaZN2XMIWdj22/3IiJ7OR864IyxZVBqzrawa UneEOBB7jtcQuht5xNOugk2R+ddRI+910LFa6lt7pazotsh2mC647KCOKxVUXJpMRvq5hHS5S19r ghKtr0VIRJHUzZJyYN0CQ6eOKLj9T5wCW4slG82hIdTsZ5Nf6X57MrJSaJof `protect end_protected
mit
HighlandersFRC/fpga
oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_auto_pc_9/fifo_generator_v11_0/ramfifo/fifo_generator_ramfifo.vhd
19
78408
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p7Rd+JJS6BPhm3C8uEMSjtB2IOpOZImN8ABL10O7dB2/wknTrPPVnggIUugEe0Un6rsHScVa0yw8 WbsjeU4skQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bancDuzOXzE/C1Vj5QpW3wyih2C6ymZ1vv70urQ985WeT2kXc7KQyN00fbod+1ycgrcEzdZs+OxF /cQLUqqV1PAWyHyEqXlxABFUHjs/nxBl/f/B9V0jlBhAzKCCHBVtW+DFv8KpHE75Z2lg+r4JTjg7 zQiXYHxUisemJqUJdhA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rZMEEzwWFXOuo5snJgtfZx9Urf7eZRBCxLhuSc3DgaT16zNB/FC6Qo2PLk9pQbhTwkt+6VFrAqaq rIuJ+6NqrQaj6tzRnuILLQxRIcZaZnlaNGPM0QELT1/pgSpbDRVs/w+jfcFf6hDgLWdb7+lF2lZt EzdkUS2z3RzGxMw0dEl0kPzX4BrObwXWpUb1u4DD6JMZb6O50zBS5jLIs04xzSPqxA3PuLRWpuc8 zAMmWK1PCPqsF6JmUA+ToDlUTA4DP+Qb/r/OItKXADHbpGUiJXq85NgUc8TOMYazRmcSDk09joNa rvnt13K7ONnKnXu7DU1cLEZpB6zC/Q33/JmxrA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSUvPGwGSOzh5U1OjbBgxWaXchd+ErSm3+d+gvsNPzEzvrhBDlsbz7cjXesFumQgP32hemPRlsUr lFspe8TkimNAMoMtRIt9Rpr9MJxdvSAJ2AckK92TaQKYGICYWnAAwRZdM4hFhKQynq8onwVPOItS 8G6qhIBnq17qx8rO48o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MVMseSXR8Gidb6hUpBeQo+a3Ho0qfbo2cQ4XmaaPwOf5p+bpngyRNVgFStTGlS9V1Gq9sxZR8m59 KVYbqvyTG1F7VywlVWjcCzm53JiHqc7770pyh1TFlHFmlBkxaKOZI17/BbAJVPtrgC1AFUgqJIKl KWFzGNfBnaqYhwSBpkZVKTp2N/RCKh6/dORV7jPLmH1kXSt5iI647oKA/xzmV2IPvCjRau9wfIMP 3BcMw9SliL4YOeA2gPuyEVJdJ+sinBGqyYpGCshGE4syCgACrJDHcCC8bST8+Ee2RwROkSw85PvD RmNqdRJR8yBkuN8MggDeHwsPe2oFAGN33DaQEA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 56304) `protect data_block Iv82dFjUBOJtp0CC5ikeAzmK1F0OGzQayjkX38cIYatIOxf7Sc+0UDNdH8uM4zjW4KY3N5nnWdoS kL9NC+RMI79KYV+cyoIoh9a4WnqJVaraMiIzFgt2KK+kKDnmrIcgPPjBWCBt8VdwcHRi5Ut9B2Mj LWMF2Egu0qyiebByDT9hU83VKBC8FuCbHS4cMzWBkS1/dX4FKbCwuLwv4rYrRb3RwIu0u/ZGZML3 sfgcdg/t9ARFZ6jjG8LQo56KBOlP3Z6yDZlipM/l8PXrTiLYeS8RkrLXQuXiG58+Yg6tddKx0VDC Wm3GbF+cmnJXuMmZTbFQna4SzA+fl4zGdiyCiB3/spzz864E0//Xa3s+bSPHDUDkABkp34vQYUlI 8zqNJooOtt8RFwbLXWAr+gdzpGfbW+vxUwDzbkRHa1TYBZpc549hy2amKl6D0XyfmVWJi/XUfkDS QnBqOibdObp/1MvseR1F/YI+4zRWfjeB53h3Kmk/92gKe12QwFGV+jniLguICEL+rU9T/V9hosex Nu70r8pJrIdjLoojfvPmE6Qtf8rTGKe05E+AOibSesFEDHcMfoz5cZnhy5nZ1hIYvftB9+idhW1c et4Sg3KZ2Vmkjf2GwJEqu6PUEbq9pGdlOkyqwZjYymXqruLGjZMXnisf0ObB/jWsILVSn9CdOLsT hsDnpoXnxqFj0HE0mNDSfTMSQ4NJbQV0odITaKG1THpbLuObDBTVXgoFtTKMI9+YKA2EqUSt47hv 6bDgs17pJruxUhqABEBA/CAqfL1DFMzRHJuUG/ATdqLAHNrb6jKFxCYWR1HZ69k1jbDIgbviHuoa BUi2/7IzCtmqg5Ftl171TyHb5Fcv6J/GVfy5irGeqrwqXTv73EaepP6ADzSGize0AhlkMkr0itSZ hnoa66202gxrtD/GryFfYM9HnU+4GtCviapT/g/1HcxxsjVoakp6IGspv3iQwXY9Y5JaiEeX+SCE 1b73rpiUX/cDVl9bilWYODGaMonApfTzBubpyEqS8NVofK17+BzAvR50PFsBD0dsip+tuIGXy4Z4 /0DAJJcxTeWQIfWFPvC9MPDPgcUbe3Dp5EJ6WI81XLz4XO52aaducvt4igg157BZqeMVpLXfkCEB zS4NutgAkZjNpQ+ZTbGVVtfLPWr9AM1UDkjEfz3Yvi146TYcV43OqGQy6Te6QyWWlfsq519kal5/ EgEFRa8Z5BOneytGZ8xd3jc+PRpFS5qMvtflKCpq5t4sOBzXZ/bSsYH8LXnth8TIY/YCXN+PLqoh pJWqCMa7JaCwdTyL9XiLHXyBq7HbbS7jr0kwuDt+pdzFYoSI9k4tVxU4ad1yIliONrV3HVCQnXou IdQ+GmhnpVMNUUzrhkN4mbjH+i1IHJN/3AvZUdmHBnXNVQq8bW0RSORvzjapDD0GpcCz2M354iLh A+cpaRTjgyAN4T5x9zE8jVuyW+3YNs1dt1ThGHlbg/aGY1xl4v6w8PMRxdgo6kVMKiMqeXZVQnQn /4GuhAt01ehL2IOuSr2Ndc5wsWCZy7Vv9LS6+UUayywO8Mzwx5HCHTaJ4+hb5EqIxY+yp171eAY8 sLaOMLeCHmHod/hjnkUoKj0cS3s4wkyFihHKUmpWDth4NdMRqlhj58gejNnsE0162AL1fkj1/5ge o+SgN8oS+BoKpAAC8iFyGsYr5RjUKUtI9w65oESNn8apEDxMaV31UIBxQPauUpAQCADTdkiDYHE6 TWj/0DKvdWkvyPodF6Q5KgMmtzDLGPwpLJqpD9PoKjecv+1hS3RhTpgfXUnXTDwXSNKAnB4q9fR2 G6h+k4LfFhDzW+14abIcGFDMiYFT4lc9CPTNj680oHvhBnpc9nbiJcJM68CEmPXij1fHM9FfXKzr M3lyCLuv7PBs9A/QdQxk71NRF0qLm+8y+Ndkj5Ba8KccCp6DYClkjmLgvKCshKOUJqaE82TVOXla 9OO6u5dfnwZ3z83r3rVymOOuxqMOUc7wUIe33sMUyJcThq8+d0rqY2oSPT5hlIY9n64lnL7Lk8lT J+iAICzSQ+rvb1xCr/BN9e2cb3ySOXF77PucO6ncPuEaF6yRU+v0TdrNsNFyEH6ESqiETu7/m95l Mw7MzPP9IRWyWazGgQojcdg5T2ORcBdW4G6BIF5uGgp5ThiH2qlYCgdZurdZD1ZoMA9GKM0o99pq 9zJlMVixQKpvv3o6a6xF/sNCkur1rEr4fAVvB8ec5syIhkwLsGDMLqpNsgp9UliBACzrPp6BcG19 06jH1XF7HO0KMFMzKBvmM3rlO/rWaW03TNGKsdeRCoBRtBeokdirkixwfPBkhv8S0ObaUK4h6XpW LjeOSxHQVaBv9GVQdCE3TPCKInayheBkC9tXiqcGeL/MgAxh0ZJRL9+Bv+BnV6vkajk8VFxsk7If bdAN05kdDLcBjzqfUHC8P0wm/QWS+BiVvgrLgUDSRto6oaZHrY30GtU8MKSglgUrwzREQjXEf4Ce 0bmOYpuLlbtY5kby/GvXAMXrTt2yiHso6yuZU2sRWtXT49AGPUf9A9kUDFJIoy38Yl932cQqLXWT LoKX/3t5RP59o4GURIgjN949c+Muva5gTigk+Pu1Comh9HIvSTIJh1Hn4Fmjl+ZRGhZiQ4H8V4mr H8kodbDBhL2Sx71Zahla1S2w9BNrwcaj6giesQSSkVoVhWv8AdMQYvYsv66E2pc+NrZeHIpuj+fw 1IBqRvte8WuVAMTB9XOErQiL3OWcq/HN7bE0RTLGmDbcJAnivAoSlDJf6QT4bj8N13zHYXysjE+O xm0g4q3B4t/vuTGqIib4EMaxMm7h1t3sTlYUhUL94uObNo2gLeFHmjxFBPULlwJPGtScEPFsskSk 3R4HSDGh7C4JKYdqOqSuGrb7MU9F9SjufTnr+ROSVWqKqfEiPMqC4SMLmCh0T0U0/i66uN04AKeZ Owk2TTJXM4oVZPqdB0yuI91l4HQMb+HORuvHQa8BJ0NqIdtTO37ysbnWRBbpRCrRCoh6OW3bz5Hx VQogeuGzfcVQ7/09VA/eQ9nOiw3EN8DFjdF2kaHBEpx7XFkcNM+b06mhbF+fFsIcCYjyuZXjU2a0 2ktVaZlxjh+W5TWCLcv2X5+oTv30fp7GMhKqokQ1UKSIyb1/bp5ZVejOWyBjqFb0S2dZPJfBZCQq 5u/4WfUDNM3r2Yybb9E7uLY15oHUUMtbT39/c9zIQJVyhkFBR5Ou4LXjHPjsEgrw45FT8iFPSCMP OhM8aQDFHb2hRsj/NXnTdm0aQBms9Im/P4IplXkkYNLJEP13dgwbKACj3ALBWcidpGy0iWCXQohz W023Wcoi3sqPi4IfxH948R//BmtvNNi2/FP11ozNlccdsxVVm3ItKULmNciePX33Ksgcofdr/R03 f4gG4vYWsUOROxpjhbQnO8x+EvVatrDZN6bpVr4E3jpkJbZZIxOSPEg/T5pKZuVUyqB1ZJUXT7kQ AShWhmgLl+00meu9ZFKi9HKCflPTiYw5H6mTah0dONt2ufQjcfM07P8PI19Wibe9ilEs2X9YoRHC N37BjRLUNbL/C2vbSZWpnbJAEaFAtA15etdOirQv2JNN7l0cOtuf+r30IOX+LLuobXdd2Dxhk+9H +H1gwSMJryRoJF/BpCv4cBNOfPruLy1yACjP69ShTHhbp+0e61dujxSumNMqEf3lqHXeOHqVwAO8 4+1bG3GKb3H6kWvcI8RdWYYQz4Ggyoa/AiEgHNYrAbWd4JnGJEoN80rtVWJSHvMhAT3qrrAqay3b ZlLiwTkXc0UWAv99NSub3qafJqKtwF4pNAAZKkq9O98C4+RuDpS0W0EMGkfqUxbre9+BhuQBamgE 3juH0HznDLf0SDjmjTR28Z7VstbcGlMunViwxSWR43Nc1KuvnWkilco5b6DmemlxmxGkHwlfi3pd ykXudE0xoyLcqil7pRcJKQqfCnvsk0YvG3RvsgDAqYe115yPLT8N9YWdEBw9S8zH0s77ai2ii9Oi kK+7MP3/RQAuWw+J9fWCJo46IlpTMF6mPNhCVXVr3DOl9yEhEfxMWguAWG23ZI3hRuoDcrTuAN8M mK/JIoVsoX6cOgW9B7qOGcaZIthBthRJMY56NC3bdWjx84qi5b5QirNB7jDX86XsxTvSblMJ4XR0 EYKo75yg0jBNtYfiJOG7QHe3etREMzbZagAbm77HqJQij70zY8yZT113rK2KOKM8HeYzFOzAq+CU MnTGchlX703QyWGTC1GkjT7Qro74trPI94MZwhUHw+b+kC4o2sJPP26dmZ+DKEIa8pZJiDiAPviM kjkYXzX0B/r4Vxx4s+rxRtwimLp82HXCrfZLKAm22lUAbZ0hQV1WRgAc17K4xuGahOnO+kfZJr2n /WUyZXhYaNgI/TrtGva/herOJjPvfKtRk3ul6SCnTMPk85BL3uR20/QoJa//sGSpSRsgDnYUqsXy 6/+k6RO8lhk1Dq/94S5yjO9u7o+gQvGqQc68PiuS/cPyT7zNC9IXPojl3zl1NA4Inrjc9QlTTqJh dKveVDXkS2uS+53eFDnEWDjAh9dhVMUkcuffB8EqgyI275U3D48iV+fDNok7eLx1scWy58jGY1Kf irGfpnf+ZjwwUIm6Uhe/rXfjSFq+DexvMwmd3JSyVVoIaHnV3HKpTAZBSh3FpQc3335KxkDbyIfd 5KvQWE+XWimyTnLYOMlgv9+V0yE35XBebFUezUPRAKtPvrXQgxrHsbBfo4rs8SAYmfXX/mwsb3y4 Wzvd0AJ4tAoHaDWy79koQGpu42E1aZV2hM8W3v9hcg0N1E63j0Gg3yUhrqAQXMg17XK1n7oMo69N KiLVPhh/yPufFDIxNq37aAA698hU78nMv+w/aYNoXE4FQQ58wPk75fy0kV0BdaWUm8K+GeZ2fH6c Tt91U597C3iaf4NQJvzDnWo+1c08u+AfAxalmCFomRirMzRI/vtgFHBxeIn4e9Dfd+rFro0LYJob EGJxIGk0YFJGlfL+aZirbFtDEj7Sf/EgS/XRcWp4vackoOC3BASqCfEEfLBqYspnMmv0395ibrG9 XCqTuUawE7qq6d9QO4RfJWXO7kbZc2DCZ5odRdgZy9Y676zoucEPdcDP0Ql9Z5fArCxn8IpGbhAC 9SCo4MXHJmKOBW0xz6qiQsJiEKcPfOggDjHWGZPyNQ9zgRGvulbHmdF3JE8cP47UsX0wotWIzkgN 2L1vYZWTqSTEL5s3hWBK9vTHFpwf5I4lEFWZY08fd6jIX/irrX/ayYjhSUWDKTr3PMZwQXBp8lW4 2RIWjJoGTMTP5srj5NfAKiHxpX19ySy/7YbQdSUKYyz89fpUGD18ORtDIYXlDFYx9aa0/feSX7s4 pO+Iz5wowDspHGuoqBK+D7BV6Npfd6mJ1/8RRMWqKS9mUPB4cz7UHB+JHupIqiQsFI1cW2Ajxloi utNQOgsbfSPeo3i9WesYxwxyEQBYKdtN00diHZEhmAwGeSyVCXQ2R/lho7SIz9d40+M9ye2xmTRr E/NEhmnZfIGtu1nJ+yqzxHIgWCTaRWVbf0rcqLPJTbRbYgKm1R4lZhxV7CZsKF29GE1t5szbHT8t JiXbJysLkucFEOAhA8NlGkUQzV+1Ao9njuaTsQ87ftAgtYWiLuM8DzsNMPeoOzyW+/063NWHOPcO BgB2lkQtN8MSlwEx4eO8q9KU5TdK7sLGz/JSuSIEP+yc6Jyf5aXyRuDK3RCfFLBOcw1M7WPWxGBr O0TjqGii9CrEmtfJHPJGBAcJwn2RYmBLY34AkNThr0iQZLYNv7eNaXtoDyB6ncofsels33V29O6G M7XQ5cwDDlAMokVpmlrPSRxRStXfv4Jc4GQm5r/FaYqPGFx1iYBWkFmUKmzp4k9DZgqGq2H/KrVb FSXj5Huk+FGHV/u2Tk4uGHMzbl/xYYiuLhGowpsePBs2GftRoXCRaN434a/HcCe+MFmg/WTcgGej EqZtyRHBgHCok6aetsWNayGJiZJN1dtkvi+rw+RmqvdV+3o2W/D6CMpvnQxNqpTF7MeysawOg/JC WjPVBUtBMh1p2gZgEC4sRDg3/2kFg7on6ZD8ae+HiK5tORpPCFgi/HAtg9SPmu2UNci1+PQ0K7ha x5UAcWGxQutMIGhzHxZ0/KqcLAxSmxVv9ZkLSqJnKSqFMr1Ah+jNkIE8HrW98kwgpCWSPkvsw9oA YRSyVw3dw+j18OpIZZ9S5dht2z4dAKqJ/z3w/P/kCEwNcwfmHar+zD962MHkUTiifzPUJl8ROPCo i0seE1SBxo0IT5wDFY3m5B5+IC272dFrhIZonG7xUMO3IMLljNFP5vFsicy+4BjfBJ4RVbYSfkGQ NajJlkpJ2p/EqjtK8TXmlgaZ+fsilScIza/yxhr8No0LZep/Na5lrNOT4gbIPIlL4NuOm1j9zNoc LruHepY5h+C4p2iXdyo/OTyd2rYuHuoFMmHlhkkpeZ5FLJhpj8xqvSX82Tecl4qSUbx5URxl7wcQ OECKt0wdqbccpse329cuLwEW7i4GWpfUZLFePtCQkOa4AEpaF22XNaN15i/zePmU5riOVVtt4pMv E7aKDrfZ8+oDnyJL19joQyqqzYa8obRPtxqh8TsY7gF1CUqEP4GZCkgrLzfKhtg5EYtzH8CxMdnQ 1kKmurfYbmS7jzALEWyOCWeiXfTtpsquLicRqUpP4tj/0Tozr4qnJ3rbbeaGF54Tvj7sa9Y6lf4W EhZBjqmBrS6tUU1ACpkXaZxEanyHh6obevxEBq7CnzZuFn9EGl76jPfVmCOnMFpMxHN4llJoTo5Y abtj3YeF/E1B0RHkxkLh+GEkQ1FpJPZm7gcI/rH7hWfGDbfv0gn6HVoAffRqyQmLT70Jts/0CDM7 gsn2zZlZJUoT+KtRflJywq2jSudECPREVylMqPHmO8a3+Vy/ie/oJ/yHKmNFB/DqgGyUgpBKK1Om ChJ1XatejUFxlzpmB1/8GIbGPHN2aEKg6gAQJ5hl+LXGN12qc6L7vSoZIBNXYRF2aQGh7elOFU7A bv4RWtg3yW8u45qGWf5lzB5cmHtkzTpLQdwy2QwmUuA1qLqjIyZbthP7Rg9Hhkv44DKI86HhiHa8 gEoX+q9lbdaUGk7DLgRRF/kNN4MfIbJbHV0DVDxYUnRSyrLvCB5WOdzwIYCHmdYfI17Iw8CH401R Y6SHB92qFN0fjyNVEVkeCI2V67LpaB7R2uL7VD4pZf4ciyyX651Xyhh5WPV9Z+hLcLh9QPt15tGm a7GrB6Jj2AXqoByESiu9s8l5Z/SBfiLbJkc9pzJH6L3LvCNx7BLoL4aK8O8dodEXCJSAhfjeSiIj qiWbAR01vsCOgIHnv5Vlmgwe4FmFr6taXvIGMDzyTzyB1ueMJz6loaLwdhPgZ/m6AGx6/rgoUJbz Yhs4hT/LiLGeSg8r+tdyqTbNQNB+p7sbQHMD3DmNVysvrx+PBqk0yn6Xozdk3LWk6240dbnsTfkM v+htPb+TeSltnO68pGfmW0XsjXPx2Rwj6MVV4V9hKiAsvFSyMi2fQyfH5+Wa/jhgmTIX3m/nnfIw OppnOXKG6S1YpkvdwDOSOPQOadsmkG2N+uLatxsi9k5qFMVj8CQcsq/5z8kXHR3LwWazK5KdhUlb 5u2usZVhPwEeBzcG0fBCF8i9VBXLiPiU/V2HXHMd0R0W8AB9UoqsTkqqgZyqLQ8Llt+mP2NtpfsS PfnJvPtjSxa+Xwf+Lz/NMl0yJPRCFMY/1XehqK9iqdhVQrbQF898c7UVZI+x1ZCJFb3c7PlKYoqF awGKc1Lk1XHqGTpPg30eZSHuR71tEKTVCtxufi/KENKqXoONLM9/0av6AAsD6E4gzslwYsIPJ2mq V5Ht4FX9eB423c436rMlB3L1X/YOlNVYr2jbFJl6GcCYO5YXqX4Ds6xD91jfCVDDR7Nonvc0Mmuo VDtGYpBe8pqAdEyHTNjhhZ9VgJp7aEiYYZaFlYTeakCvtZ8lIkPC8mPNZ70QaJhYXKXQ2DXoSmVt Oojdykx5PyrohuHSdS1+3qXxMUWJrVVWlrUe7uU8Ykm8SngsPS8fEvUBsPCDxWTkWjtgCvMRX7WA NjHOPJUra7WJjhY7ClAJxKf/yJ2F89u50coCG4efr1ajnuvC2JKomJ979/DrnU806Bbyon7I20Pi +ZrSyDzMKQ3IM4hVSUV1bgXE6nxT43NdyYsy5D5VaPC/+jbV6XRuBWMtkBBm8PT5cwIUDSqHlDRP 4lRukwra4pbdNfbLPE0FB2IcXX7YE1XB/juIxBgA41rPvk0iyjDD9PQkNLR+MZRO+UdRTzf7ovYc kA+O16FMRnvQE2Qj1IAwuevUBIzgm7wCLQYY6hvQ8oLk/G8B1yuqK/CG7aKchQP9FASKmTvVd8QI 2IhBGzFRK/+kuXMHueDgTGjalfqTuY9QuTkDGHqwL+1thiFN37BLZ88MVc+xjCcGxXF4jFY4Hmkc 7ISBK19wnth43RbNKu4MIwJhHAPcFwchumXMlMa4w+UUiQX09B1u04J9e2J2GTgSizU4ASSc4uuf P2jIieXChYzPWEDJ3+OPOqxCQrul1g4QXhEydHaxcBw4hAgd9WQ1M/yrNbPnYyqNAahl0pebFF58 skjQjxr7UDlgq/tpY4KSq0h5BLqBo+El2iZvA7s9XDm4CR9C/KyS8WdvWynStPai08912vREc9IN b7/opW9EOeycpz9kado2gj+MwPuSN3jpcNOm0MhhIvn2qEE6Ewye1PuleJ0dDiEyTckYLoptnPvT VGUDdN71CbtTjHOyxwTtscpubI0RepIdjC4c0zWTta9AHpHbdvvaBOe0DVmZLmsW50LIpiJX8yh4 r83c38zf5khQH7AkChIr/z632K9tHdmJuBH9swai6/DOf7bXv9R/6PZV2FzqwsUxXyneIHZi2mng p/pTRjMT9RrCKzUn+4doJutx+S7V8HHjyoJ+IoHC3OFW1h1jSSg2fNou++8sitO3qPiqWedl+pmL sTapSD3GE7oRoiQibkUArFhgR9ZM8OlG5Mp8YxXLJRuLT60wASizR9xJ7OaQlDzc/zsYg/Apmn7m MU66ttbYHW6Bly9TFunkONmQRpdNDEtVC5UX/+XiOvdjq2ZGNRFMKIvtkIaJnXwrarkTENhYzGQV rH7PnKtaBEJxgkuAgTYGQ+T6TAEB2SUxKiDnXUmZSUrUTFaKbz1zZHDIpdcdz2Z0Gf5oziITKHGn jkWA3Y+J1kt2VA/cw19m0E9VXlSKnUuKUrcu7bjq0mmrJLW8VyTYfndeG8phVtiSCaA0HpNaXdPv e5AbbK5LxVJusRTTEbPJ7a3/5q2jExg3FHLwZkLg0coW4dm9SvUBT1t4E4cpxXCStf5ZLrmjkzov y5T5bXCD4ejY5jqpFfQIOTqDlTlqungSu/JPq+nDEGLQ+FENA/qWvPLGFA/GgeLgeZBAXXReYvAm 2fqhhBish/M86qQKaVzQcmpW+EkKrpbICXTrAQA9m8Lg+PvZr98E5CsUJ16iTRHyijwwtzoLcbkt FrH0v5t9btcOj9QRxXmCKo6w8IOA/awgO/zwwPrENZpnKAqhfy4k43V+4v1kh9U4Xl8Bl7wOOJNT s6Kzu9ESh5TTeW237yMH6l+gvBZorkvUZDH93x364t5bYWw+CgR1Q2hrcMWgj5POpHs6qkGi63oV 255lNeEEh7ZZacXLhGOIygLbe2DLOZZRyNb0oVcP8bBUhvgHS0NIz3nxNn/vxIdk0CQionTDMjcN V9Hq1uajfDc1PRe6QWnh+EJ4H5kH/DIdqcqfQq7bxd44lCYbNMsae10tBvZFJ7xBnvnALp7HN6kE 1THyckX7a76gmdDhZ57BZzEAGoAEzs6wdUn0lLsSFSpLuDr29l7tzc0jVSjVJmH5oX8LgTVKUBDG adAC97pHqCSGVaMTe1xsfJ4/vhijrsNUoSQZ8aYKZKRDfqtJA7BQMe87ka87T+bY3BDYPVt6GJ3M yEprWhNs/RfpghDP4KcmQ/FLuSk+G/CRFgOJ581me9UJ4u1oRBlqYamExmtnelcw01YhRh8IW283 FOB/00XDOGtekae4LCop6gQKYMyi1D7y8OJiDFh/5sJa57e/D6eSqkURmfcoIPuPBtpuGxdNTQVn X4cfVHyn84r1HkIPn8ItmgyOe1Rxzv6/ZlEwDS8goPyEPpRdxbltJVJ30D+yWR2T2bEcs7kx4+tY QHTCYCX2hdwj8BL3Bi5/5mL0xABlB4s0NKQ6wE6iJpmscUtVYHLDSwOL/O+dyoNiYP+3be8P5EW8 RGaVd4LXTZs1I2MyHyXhL8kxwZPIA3uM1XiOZ31QeUjJkVRvJViMJbBYlEjyK/VPO7aMzKt/8FTr IYOJFAekEGbtJm5yo4qEyVqXJDQ8NHjWbyXBBlbEDQT3rij5mkqmfEoDz0Ef/ZHEMhWTRO25Yc9P xk3qLWHMuZjRcI/pwXz87d6WqXDwedlpkD9E8nu2KjkRQz/MNdCN+R3zvz4XYoN3vTXWUSbvZ8RI QItZYComwHzHLeN3bW7EB2me2TkrKaQF9Ap3n0OR83ks/LcbV6IQfQNsgYnWRul1Iyz5pXOK4kUO /S4axaP+iho4Lx4mYRQOTJRi+74EeNeAls2z3CAH5HpmNJKUySQzNlcO6nh316rAMX5JF2spmTSX XEo3Vflj3uTme0ZaJ1YExy2UQUh2hInnQbFZpGiruXUmr09ZWv7qwbp2FSJ2aYPdMLztOHu8df4y Rd/Oazuh71QRjRiCuW0vhfb//zod5jNy0+aeuLAogpSCKfn0dC6xtXhyNClYpEzZhsPscGll1jGo M80qkWEiEX8mqWPswxy4GuWuzseB67mNRpDVemfsmoOOVD8inNc+uZCD/eKz8H3kSAJcTpCNgQ0v 7xD8X8OCwmYQ0M0xQtUVAydqIte50W47rdQtzwnMJh9OkTH7qgphWFwLPsmJTJLwuJfLJBaTLkWx MydbeKDpbeWaNIomqHwneGL/++9hc1505AfyaXrQhtXFVJh2IB0+RN/ph7HKatcOJ+MsFMAku2xV h3NMgyHg4dOg+Srjbc4yT3dUiJ9o91PSsoGf4h9QxalnrAex14RA5ZQ75auBbv70J8uA84XE4Jee nsH/5ZqPGXYy0I56MxaplNLlBcPypQHaYUQPSszZNITYN8SV6GrEq0sFmeFZirEMD2Z3ikUHlVIA 2SD3ogFIz+zhCvUcJqnLjgS6blDf0G5VsoJ0RC3j27CjqIgRM8yaPIdtRt2sbfYlzldIQklppzEr /YeKRSQOtpSMmX3K2dcB+4oOGpvhJjHGCM09eD1gwAisREOMolCsHXPeUE/yO6NKbfZoLyle1sq2 pUaCXRLHF3wUik4/f7plTVvYzmGPwFOP4zyWYAHA+mFSyc4o6pbsqu1RN2hfVUy1ClETHMqOa/ts ibjIM5ABzZKDF32l0OBaV9sll+Nj+NaS1dgBnpQbf3mYTHvrJKhQD+M0K0My+T3bTEOHx/i5t7D1 yE3+xR8xy2OmaJcPbLMGsI1oQEHbE5fcYtvM5WVXm0+tfJALs1NhoI4G0gg4xV8QuA7Ugf3eL608 loW24dKBLWTPtk0so+Cc25G1+7orzplMlIc17wmUzIm0HlDbHSkvQWqewsiF90MdR+BYcf+IhrX8 YVNer7oYbaAi+Xy4q/1SctzDMkKuBdVFmOtgjEUWR8B/k40IY/zfMEemvWVr44ua8TqGo5EvlDMZ CrggUPsfbgsMd+vbMjGYUnY7qiZ33s57EgbJeZ3kfml5/0gq5bR3EnBWyV2ytfy2I4GS47F8OdrN UeqnygcNisGFIhtWGUAL/6CRhr7yHC/+xpI56GbXgUuWKiWiPILlgH+Fksl5RMb5HFhwKx2/nWpe A6GgzXa2Wxw2kNDZt7VRnzb7vh+HmXas2KpQcwBWTyUUyYjL3LDWfn6AN0/932xnvEnbECLNpIFK yKEwKPcTWwEy16sRBtyDvZ5yLdInfaZSfn4jAuBgYBlPhqPkZb//iJjuyq1lLpfJ2gmpOsTRIRmC xPWXUjLI0+2tPJhIfx8eCv8B5C2CpZhLtTOVKIfQMyza5TZqdifrFnf2Mqk1rRJclhHKZVF0CxIQ cUWOjNKObazGS/6/Ncs7T5K9DT3IYeaNxilW8UnllJlyo1T1I7oYlz7WbxqvZktSrzBZzpMu9sU7 O6Jh58MWTP5DXj6hhpX3cMNkmRaTZcnJZ2ZNu0MXDulqg/lMba6ydDMI6QetHdDlUhK7qdmCnxP0 SiZ1RQx04kpuf44aYkrs3BTgkt1njfBPwWyDS5ns7fTlOqgAjiBC5VCSbyljd5N0dugl+wLlPdPi LI31UfjJCfinDwFGpo+p2lVT/Fd5jbwmbaobmvuQ0cBTGP0B2Gst3ykXD9OODasYafsgWYlsQ2mh ZgijQbb7FDDWw7KSMzq0ba8pcL8i+3d4jZnvZOsmqhMmpluo4lVwC4rIf34GYhsssDqwvrsLa+Ux IKssVVIBvNs68n09xUDH52q1k6BDuK3940YidPKElFOLEKCGLVCdIzJhMd++bwDsySoMFSi2Z8m9 wlI/6D5s6WHp5kkm68j2Q3Oeev9cV43GTVRWTEphmJJcokYeZ+R92Z5Zcg1JqUavk4EhakTEcmz3 1TS/33Rch0++fxuU7ZxCe6xcVB0r1x1MataNO0E5exxGiq+KXQh/QrEDXUwDzJ5YdHuKtbi2sCED niaLxeYOQkpYneBrD7mchCFMydD92a5HzBvxTro3zD3Er8tw8XqMYLO2F1K9oX+mxYGqLjJGT0+h uKBhXMs27YzXury9s+hBHDKpIhWS50ZAx2eMpYMkiEsl62lLNryzl6uMBAJ/lC+hBBeR9vkE7M5b Z91Qmmm35dnv3q41VPodJ8W+1sZEny1cOhPMdmDWTYhPYKWSIdRRT5WqinRUtp0qL8QwhV5zz01r N1UgRchDcJbsMOfWfa+rZhl1weIrXwMpuAxP/Vp4Hee+afmkNFYO0vBouAyUQxI1/7xkkTuvwc6J 3a4dgi66j226fVP3aRiB2EmpMKf6M9GsiDhWrxp5WR1luVA4Em/hb9tngAgkTP4hwzyJdVIepHoN HLcJXB1e13iQl/oNm8NmW8lF9EB3H31OQkqKpMBaIpVFHmIcBeOdARocpCgzbCOg18HXWrBgj52W AxK4IvhrCd6Hw4031QAsu2dOG11L94soruhXN4PSmXiVRhsZAoCwlUPGYVQmsVy5Qom3eUBZbOnP PaZDwlzZnCGOmzpdrz6S5vGM7ugulwPu1Ggf4LVrN9mEPaJPRLexRskcHZQRnf3uK4l7fp/JmIiF z5hyWH/t5F9RAoIJs4QiWG/oVNo2vD/4S8tHIGePkV3V74j/ZR3f2eFwmPqYo+RG7FPs3oeva/LU POeJbQMkYCcDwQ3wAAEQbIQUGVBQ0cJjr8Jwdgz9vfFdBUWMYB+myBqWp5fsnMDslnkUUFNDEWDT YtaRLlPyj6HTldJR8ToOpwPeNkWjOkUwlNOCTO0E+L0rASTOwnFUNekQFVJAFt8tUzIEAmckyfoI W75d/SzzvT5a+tHfye1mxJAimof25G6/Go2ZCHcX4vvE8cZDi8XX3f42jg/iyHeWbXXhIApyoGPo S9oObuu0HrTypgy1xZ+iQtZKkOWoKvSEnkfYwO+BY8Xc9XHkbD2ebDDNHLlvikVTMs2hy4DZ/gH4 NqwsMEuOCkgJq0B/6N0bkH3APO6yjGxEeAkMq22A8cTEJJvkg8Y+h2PvXa82K18gxlMhgR9TXl11 u0w6I/Zqf7LeL4PX1oajjHbxEEot2O/V9+BxMmCUKCM7NgEWsMoex/OUjN+bnEC7qzsRb8UVNPwZ ZZzay+8eqqNXGZDJ9euZTeazyKac0Om0AXiHZcwINHGTfnQWYLTBGbzj4+FEEc6HY6e+vb8vxV2J Tqio+KibSS18baPj3qfRcKxJp1ahrRkMd7BTCcYQaPKW9qY3hI3VtXWsrpVb2m9ZbFYCqZQl+0VP N/q3GqO/70aZPGvh0WmgMWcWumccfAQgGOtZ/V6GShH4/Qp0EqHnNfPqfsQlbVPfMdeXsFRyJqGZ bps/93/mAFX+j12lbYY7mdXqX3zZFkCwouGzV5XgrqKAHuI2Jl9N/0xd7rqScHIIlN41amf85e5C /HCGQb9+a2pexOGLyx7qtwxbIF/l6uFaiBJXPspD89d/6kSbMZ0S55rmC8SL4aGzGOzwxeIW1xNk XrFf1zWP2nvoYiePkao2frXLyUdxBbG1qhjZi1qoAwOX5upcr65eutg7b4gsJHv3OrUQblTivvRi GxbsO3WbJL4/iRSNEHFGeFv1SmFAPcizcp+x886mqAoSUcsnmM6nd2Aa74X/nKt6rw91dlAAxfpQ 3JOhjko1NP2EwX75nk9Qhzxk1R55pTxVn5/i2hRO9qhFisBpHUplZTzrz1ZkgcYn7fv5nTuA8tbw oMyoxcmur1ozs3EtGcBRN/q7moEtu/brzScR4r1kZlpXdFbCS+Ikyau6GOCf1dKQktT1zcmmxfUN 9E4XhHUVTM8uahUZoNCDz0ERMC4SG8D9Vl1R6l5Davh2ur6XZor8mVHcGzyXDaHXZjpa1mvHtSSR yifPNc4ad1sDsnL7N31A/3r2T+PWeR+fdqbyhhyK8sIOPB3N7pUnQKGbDQyyBDrEmDjSRuh9RENh yntYLWqrs0ZqrYh80w/J7g/Z1TpJ1BBqUO73t+RV4jFs1UgQ51rQey24slJiO5UwSA13n05wFpjZ 99UTxqzMJbwrnL+pYZSAMol/aDOsNYQl/mCVzvV8bUYynw0TSD7WhQ1QhAl0tRp8DnPDoG83Cwek Ckk8dTQrQk4wmKEZfVlqRT6hAoAtfAkWvnfQ2ZDXsMEG/fkOen9vXr3Gqj3xefv0P7FGZsfIfnny vF/t9HzuGKrhbaRJjWfmu3Bhh85rEfig+Eo37eDE2szz2bdtGznpXMQ9hckufbmjhcaZPBCvikOi FenCSJmwzNwG56diSfjGIoBWNv/sC+8lKRgbo+6Jt/UzVRuPOhBvlzo2iVsRfGK7XYGcF+UApOyw sOgxmd1aPMCT4q7jClW1mpXhhVIAYoNsIJUSefSgdhzD6EZwoX3Fiib6RPv3px5tiA+BLgvyvu22 5RMVphi1PR2QR1OOjbLe8rYbGmTlekDBiyCa5+8iTOZFnbH6vopssnGJlARkamPnjQuzWs3LMAj5 fKl9B9Fa+NKhNS+4BTY3XcWecZwgnUSUJQlMsH4L/YRCCpiPZvoAd08naR056EhZyHhaw2pqtk/F Yd5A+C+HgHxaTp/BiVImgc6PvpVevIhWI+aUxM3vS34hOU3PsIqayWgeVVM1262SfFRAwQ1TjgUT EMRb8Tkbbf3PIYrmm6kXqlJ9Fm1cFmkk8sTH7HxVp8nW0aja5OhZ3f9eSBGOSjwvXH5b8Zfi0e9U 4qsudpJjAru2AeNC+4oBTDXg7fmZzbAnmP3VmPTTkyQ3TdAwKmT+9ZDhq9TBp+CdRwNvkaHRoR+H ni6A0ntvG+0dVG3E/xbR8b5gayZ3FJ76ZsUTdDgbwYUY906beZLgXkKONi6ZmuonsSIdiPEQSb5/ D72ZgLU/tnscHtzNcN3+aS7GCdcnOB7oloL2tAbcmZsV0uKcOENL+Dxql0bRDzBOC2WB8HqHhjcd 8qCCR/aeg84AgD++LVgBHKmTpCmHUdP9/0A8ldu8n1gx3pUdkSl71N+DwfQiEGb+k+/q3D6F9dh/ 0GSQrGywWPPBgrH7ar4qRR2go3+RRgJZ3ceJRX6Dh2/I4KPs8YzUhVlRzDJ3bEpO2aIbJeFUWXko Nt9KJBpx+ok+2NIZP8j9TB+DOdGs1h9aDP71V+JfewWQ60Dc/lbOaF3B7n+cppjmHOFx3268aT7E +NxjwMHMN62eArTo3LLYe/pwhimA2kziw41HJMxTg2TfIIHh0DvJoBN0ZuQniIgQdPWr24uh69dF YJV9AKv+j5ihUEBXJMpdmul+EwenTcZDfTbJwL+yuibYbIqGoO2zw/gt+kbW6pubGO2nmYtICOLp DtDOHA2aBPvMDMZB4/cxImqyhxIQfZdPrQHVrzzIftW4UybFfyLSjxB3ckJCa+yy91lX2KgM4HmT 5Hb3Oq6WpbZW2UviwkzWgFwvSCpuEsiq9J7gAKCg6dm6LwzORC3sGXu9D8M8thBwPlnks8JzJk8P gpPVXNB8ICK+A48RgU2qTlYUwuKH/CgekRLTZknTxRM9Wo8Z2FiuI6UgfW4twPto/lAuxNgFfsjk Uh8sXH6Od+jlDsZNlCxSG11DqfYM6O9P4q9xBBJv0C8cGYk/sKKe0k5Fyf7KhkYUbFt6OiFd+SNa tKQKUcqDIiXTl8TD9AtZtk6VqhN4ip+pR0z4xOCoi7+K3nRhkp0GQjIH8InBEwM+BOqZlkqVZb5/ SQQdOScTC4+S2PDODmC4Bf5KlXT5Cdvqr7P86C+799GoIBi4oRN1us7tHjF3VKtltMrjya5c0KG8 1UnL2mJKxzMQoQahcuVMi4gwrg7hyknqjKNgVRbp2DQ4W40cFcxHiyhnOM12SAJf2qtidKqOATxc uMgCPiWWClHkck+GkeZbSt26znHtYIj+H4y9SiNvNBIDHrEXXi/afNwUQ6E+dRqRVa7TgqfOCCYG Nk+S94ZTyoBOT/SECDOSnP25Boset51LN54jgk/maYfVZIlDaEx+nPB9oZ4vjYkmXPg4NmI24o62 V0jHAEFJ4Z+hPbaTnvUbSF/2zg40r0Dkg9fmc4vkSOx/+tWf+hQBsyaVYJsyh5EGMR3w3zdy+ROV n4YiXqCuPXij2FUmTuO5SHdZddKYZ2AbNOGRnwI5znja3Dpaddxp+isT/y+ummb8oNVnW1fpG2AT 0Dr0Bpn8EZ1BdoROabtIoqin2r1o+3vEb1voiy21szL4yFX3EDJNE5unydCLPPfUIZ9GeC6pcHZF /pweaUk99g2qm9QYUfef9TquQuH4BnldULhAZu+8BRTwfOCJz3zwfEcy6QWgnRy2nT0ReyUsEbI6 EnBzPuvUfLD/+NyPoYQf89JY7iK3XNe4fZUUJ1K93Dn80iUIELzApviwRlzK7rXR32Le1RM4h8n9 zKK6es3KTyJSn3gsPdXwWJqkQyDdgGFXlYpUlhSjiA+UEI/XIzcNuJvKFXZAyOcwx54jsB3z+FDE TgO8W19LgoBTyNTYGFei5tnJMRj6mYXMv+g2qYUCB9ASQbCJXujyvsfryjkvp6p1QcYl3ycDhcmM dpJHuTJEaUimP1H4X8InqmuVKRw09DZEGK0jk4EaWd1LCVN0K4MsaUczEZPOcCrBLrr6Bonq8HIW bXwPGEvKCLmiE4qEyV//rhFmHW+wA/I8Iy0ICkYMlnO9GRPZngeAIqMkbWTlgUZEWyE9KBrpPapB dGYWQ+2/p3nXW9ML6ZGwG1GCPsblVPXPCa8QtktlvlqXkCL/9cF0oXUHJI2NKRC8QCTtf44gNDDM yvB2zrMpJgN1ZdG4G74kPsE//v5BlMS8JOB0PXnbek2cnrKeOkeOuDCvIvQE0W2qVUTGBEUrGWok zVBAG75oDi46INSibyYsuSDx6b2A9+iHpAF28A2cO9kFnq6hIlKi4rYNJGbHerl+iMRhl4ZJoZyS BtVnkqvagFZSn7SMOgE8K7bIwobs1JHonCgROG3cQ93DXOtSRdP4kbDwd7EBptvUK+A/Qc7vMYC4 d7f/rnWJDW1l4mOHO1tEF0fQIQU3ppzVobIyF+Xev/75PdZXFZEBBEplkZGo+/B3BNQaDx6+BYTQ LJresxOZiTvD1YZHT4yuGxkX8q8kopPBl8CSmpOsCZh7KmX7oTzkJSWLlNJDeLyM63jp91jP6HpC fRek2X7lZI53tl7bRtwLZwOydBX4/D4YesLXiFDib+PORxMh9UUDCHrvzToxOPyS4XD9n0lXsyvh RCEBazWE/fxGSAsqmbq7pOKqqwPP7PjgCt8Rc1JA9sIMKjg16ZjIwPkFsD3t/veeyCWqxHHXefuM HwejJBQffUOKFaPiI4VKHEH2mjpULNM/zW22HRor4FcTMHXtXSLrJbChywDKqhNoN+5nvYzni32E 1bnTPSYuiIN2ZnSYre085ooHB5fFmt6ErfonVaSbeAxp3OUOKeNSjsUU5d5RYzfYFIkDBWKjB6Nl W2dHXrIlvQBAcndPg+U74J2+E3umQWiPpO9eskF/uWf3JqEn0Gc8w5trCbdzyDUW27kL7EDq1CV/ PDuEgEHEv8PXsrT+B16DfbnciieBnrcSpGqkBQMea4+gb7iCjdPv90l+vnPfu8WSavYi3VqEbC0t pvoOcFjd29JE1HxnBiLFKgHMnOVvJPvBmvr+jJbVtqDMuQHukFaeESh49kdgItX1ddcFroQ10e7v AtAcmWuzvVbgh5l5+J1enHlSMpbp3zLNHshFT1GpBY8khOXP8vYzs3OsMQ27ZkxDFwRqzy30PfCZ lA0ll1KoZxjuRI1zATYTqfYCOuI84s14OlIZLffwW91hHR4hp9Lm91H7/UijvwYj091KLY7JWw5R hxAG6YbUafLVG0ap43mdBXTwYPMAkyOJ3lHmpd5gOsmcGI6wHSScNgSaKKTHoefuhPXEm4AcQox8 F31VrK6WXCsi+LnOAtNodc+9GjWI0/k3LYazBBPfDSvhRIWsheprkvO7r/kRYVi4EL0LFNIVC+d7 7EwyIG1P/dV7K19jLeM4kAU47QAZuNzrsvBQvAyZ1ex89y3oufJO4geETch8bqh2s2cu0FL+gTu+ AoSylNO6apLbqU24MDtS27KGAAZPhbGwqfE8H/fPCMHk/f5/KsEilYAhro8xVB7mc68PJAzkq2p+ 0mfg5g0OPGzSOkmL+aX8eT238v0DRoHaPYWhBAuaOewnnlKOUjs0WFV7Rk9YzWRJ4hExnChBZ69O 1GW4ZV82NLWj9IKt5Pwn6lRLbSAH8GZ1wQaQRRC32998cweGJZBqheYmuruyB8x93aWbPaSG84IU 3ejtQKXzcC+7tAc5+/j0sHh3IhbqHrS8DGHG5lg4o7WDK4MYAig8m2bY8qFAJjwFRONVzl+gyB7N +E7y2+kGdFEBmtzOz5VRoUd/YPh6L57g5fSAdd9YOfthX7dwfVXi7kb9WzK5kveAvbegPOKctF2M IG9BY0EK5LKaH5yvn/bsyUR6Ded70uQ6ENs8pRx9GfN53EAorJsAfRMjoHMFr99QgXLanWza57ei 3iJY5lZsdf7Q53JHVbIQzwQf2HSwJABSHZdnXY4brK6aXEg3chZGqeMrFaK5zeEOKHZbb4apxH2X 0eT2Khx/SQ3iX0XoTYBEa4+xhFiRs9qmd7IBTecyW4Gjv/uTog7sPEhM+2idAd8OXfIbI7o9004P Jb6L4BTdyqGd2DP9I91TLkMXCTgIixNILJrLAUYMz6OAixSngksa9IM+pZUCfn+YhGiuHF4pIX+i ikVmwPe+uOvCNc4Kdfb5QoSoxbxQs9janOdhDCmu+GCucVi09O0mS2qk6fS8Yc6ZEYE5soTv5crY Izy+c30dWuFvdIEnJqGhp6af90X+JujcH9wn7FWznIzrm6WMvDiuSBIxhg8PPMhalE2hkb/VL9tk POV9yGONelAoBaCbdx4LPZYTeToGZ6hwEvVg55nSFoMmFzSajDBJPWW29C2V7N6ou9mnno++abmu IqaIGQZgNZyGqZju0PpLOxa0WGNbN65MUQGq8BX4tXJVo5b2kgkiZ2sQrW2BegsWzRvOe7EGDnfa 1gN89l5D2vUpEzSUlx+TRSRqmfjPT34gmxHJ/sa1uGNEHusnW+paVQ9RGfzbhnGkRu3DS3ba+qu3 rchIIMuKKXn5nME5tJJSFgmX1OZNpfENxcRImvKDC0Z7cL6tVJhnyFf3J6Z/qj441HvtKPtX8id9 ev48BhPxDVRcCbQI+74AddJxurkXXpO3kYZHO+XE0Wpmggdghx1rVAly2+AZFOAXX6PDAn/mpbbT zYlFyJAQ6LAUWH1pI9pVdBOzVglqdVYrUa9ZAOjdKn0vvbPlPPSpydmDNPU4hSgO8/UxsMybNp4a hnQR3WgYrv34Ycn1ZbSH+18vUw5R8sSWeTiwf6Egx61v06KpFq957F7eBCSWqUynOdUoBzGKzHtV v2Bm0/6vM8esSRvlY9AVgHwJvyt56LLPZaNH/EJ9jOaMKuRYjmlnN6SjuCPGB5QTMHXPrp82HR3P zo/9F6lDEIuYgJLsC740h1XarHrtJ/NLumtDHW/YszvOs9xsZqpjNaqLc71yxz7TgnPHE4AsxzXf OZQXlRgFi5K1sBRAqN6uN053jYurvA2aoUBCUONAiCtFcO7umeh//Qjx4zbquu1Yc0y/1lboybzT aGv6PyJZCFxmZuKFLcH2HO+fubH1DADAJ1zNQfXT4QiLH4L5YiEzRQYIYBteCT36sbb30YBJMI24 NgVJH/1WL9A9zRj8hKgD/pgZUxfTc4DYLYYbjOZI1LFrVEsa7KRm/2bGiD6vKLn1fsTAumUu4X/2 VPATiC/TBDdb8oAAWkjE5jbk7NV1w+YRuZKW8rYx2KPgu+V+wi8oC18b2PBWLpUtC5c5wlK0ALL5 b336/STBnYLsiR7pRFBd9tJsyJ/hvjpFXOkqS8qlphIXVaRV5itvJG+D+VtB7f2VzPn75B+e8Pi2 5bTxkNWttTdUSV/qSjGHUh+j68HtMlG75BS9t5jwRpbQTuE+/ymTOSnXdRzz1IKG78NsX7x5EL6m I6YCYpjQdlpH7yFNDYuqTGs0uhn/vJlig4v2o7oRHqSLaP8+mc32AoqFPb/u2c1TOsSk8yjhxCq3 f4Q3UaBAGSyss7Lw+JZ7PYi99u0zbtoeQFaoW3BY3Kr++W4gMimhGVkXyOnm2bPPGYqo6ciz3TjD fFQvYS5J8kkOaSlLEsIa68AIZ3nEoLAG41o2MNhvGbM8x96vaBVQ9wt9EOtk/2vZD2XzgAgbM0dx Y+tjGELszYQX1wCgKzIfBnrE1CGAG6YxrpGNcW3/AYozCj+hfu8Q9AlWaCLIPWveXZinlmk4d3Yg UtJ2PJe4+1g8/arYZBlTZOaZn44j1rQDRK8NZXohIXlEfPBHYoc/lDnf/hqDbVMAw702aoAJ02ds aKLcI2NnEf19Ea9N0qgcj0zx+ID7auMUOP8pzsl7gtOx+kj47rCYQymqBTxtmlH5WSe5WitwgzSU 7iim1U0Fy/mFisnWx7UISGRgTiNJDnDOHZQhN5NDb9yFY54EgkivUhWCb8npZMXqECkgJtxPREsZ 3KIBKFSXo63m+MKQ9Ldp363mJznZ2FfljU0GeHJL6gbWz5FrAjXjQL3kwIE/qhWLoY4a337DX3/5 LilimR9ERC0TI33+9tCl25/ZhaVxzZ7RT66aqYJoPqKPfAHunDq8PpW904TnNIIFNQhGUlFofTNU Ue7tuPTeQXwwJ6o4aeRxkcqZbFQePfMM9JZ/aDNm0HRWLzOVluY6OgN4IIyyw73ZnGgpTr38fRmi 9B8QDlnfsRJ0SNraEVBU2OgwOtsumHE2ifdLbwmmtZr85pP9rc6nEqGU2nU6X1OIa/o/IebNEM3V vzC1gXUSN4vnUc2+VrI+uPjP+3L2Hye9sp04NPTdcULrGAPt6ZZbc21GpNETlb/m7Lasmz7x7hFV DE8MD738V8NVCU1HPytytVSPvvTmI7jR4gxe+ID1x9d56NMmhx+4pfy1U4hV1lzVbd0K4AqfsQxP mDzQ6WlJkIUXsU2TGHEZBsp8Ct5q6X1kqC0soZkflgpaBS3x4v4woIqlOF+74U4UO+PfOBf8WLw2 da3dTYidP1K9iRrmzrG7mHLrrkqUvPcW0aXLuc3dJiHnTJEOtpp//P5q+oeDqiqBU6caHXw7BJpu SBY6PH7jMZOGue6KR4A6XoXoC4M0oVOYF3Y9cY2AWJt+SFiuYGysTebdSWk1kzAG59e2BENTY/wl 8lclLa7BMhTsR0d5M7jYz/DdkX0bKN627oRPI7LL5RiXzYBS6WUKVt4eOfSpH/fAThAaeYCHdJDL 4iRHUqmcdQcxVSPoDTQp3HTVsDjEf0Gw4o/vSjWcvMlg32sGXue2D+VH1sZtT6bY9xncrhpEjyXt zLk25Jti5i7x4a56iCIZWMWTG5s3DMjmskNfEOlMRSmyjFWOQ+JRBwaAGqZEkrvJp4qk4QcUPNKB xRMD0NndFbl1xQsugiJrBkMZ8dqOcKDUgH6YuaU40yP/CtXP69IP+12yeYZYdClmeH8xM51aEUyj sYgEaDmBC7IZeN1lDmD2C0jck9oMERYClbu3ZbPsJt9WlsWrawvwHWX8uMhK4YaER/u1SrOKzjZe pevJ7UXzpQONATx0bZNp9+qe7rTdKx4zEF1NsF6oK9gCeCHZSTz9ogOTJR+LdehnJblOxL+4GTB4 ylsXIiCj+8C2RTXB3Nh5t54763gyfMeHYeYUU2ESN7C/Nt8TyYthbnSqIFnaT9IJVuVPciWApH+l ly+ycP0EUx2jsIjfO39RogAZciEuKEDGHnJtuX/DF7bieDw6KP5GX9bPFfPbC9Y1L733xP0PoAK0 qCn5+mRRsiV/B1b3VGorfvTRc/xxuSd1jPQfvajTewZNPt52s1A1IOUDgWijxzjBdh47Yjoq4slZ B4NvXITWOB5i9AUsDd+IS8tw6+tZq17esUGHeIqm978Tc/pvYIhpb5MhJqrv4Lvu3+kKEarEiXL7 0zh/Qn5E2xFeRrCr6/3leLsJgtwGEwDzhtM4cDuSJSpdL3v2FK9pyQBhnmXj4wxCwXb4v92Jd246 wmVfkBinBOGGjgG2i6u6FLTrivqW92hFlZsKFtEMoR9+YGYoHrUlCYfCsghZ5EUuj/KPXv9Wg5Mi jD6YdPvmzJahmUSTJxsBZn5DpDTdH+/Us3QqihlyM/hmPTh2WGRdCA02WUCkZtS+7HrmSZKiQEOC fB2CNqJy/2kJwLLT+tT70odAoxAeYLe+NhsdG44M2Wo8zXbOhrLsTchbQOc9Anc5evxLJUcvK9ej /olA7KG1ZRR76zYv8kfcEZVCCOK3aJvpSv/j9iu4IesUNQ3IVi7ffGtACwpAM3TBVQsltAbyrz42 1s9+atWuQqwqWqYcaKoVjNl7eCSumBr8MAiuqN1SyDivvj2ulW1GmX8NO8NJifpo3W4/2jIC5dKM lPm2PNAkIngJbrxpRDRAPO7+oS0h8IKgF4lb6kSe4+SOJYCBTWuyTP2HIWGDr9LRP65dL8w6MKFf Jw1BwQ0cbU5szf+B5VyL27CxXq9wFtvUGQ/JRAGcTvN65NPPJ656GOgHUwfFQsJnL/vQi4LXrma4 qKwO5QY83g+vHf0XTDBbLtmBuzcORDibF3gxGTMdTePey6+zZXycrIUsCUvHsiSzJFx+yPCy8laS lM0vXOvyf5VNl0pVgxl0Ni2NSgP0o9vKt268HHoUDWn6gQIwZJN8V1MbGkkjyqLm5HuxFjALBod8 akAr4ycVWsX7Y0BA8Y9b7F2wdxkcqsPm6FvPyhl17+gPCq/RsTLHB9XZjq7bd202nkzYxOTeL8FN zYKXPFM9q4PeG4t7qm3UWua8TQc0hVNiJ6ryJ8Rwh/ASVzAuxM//Qvl/rTc0+ueDUqf/VqN+NqPL bT4LTdtah7A2AMc81z2dVC8HHdvjtMn6ztrOuXDdOcXRvDoNoDoFKMh+TyYbNqJmt9lVkuiiy7C7 0Fw2kXoklWpw9vGuM+di9nviJb3hvXxzL3AJUJGTeyJ73t7YB9LFnngbJnZ38ABRfGti98K6t/oB yA3/2mXxRdLhMigW+1BSrYeQIw4grgYefY1zfdCcdJKIc2CjoxFTA0/2HgmCFD6tJCR+pCO+uHif oO7t0mhKcAwTO64hvCPVDYD0E+B88aLj+/oNBAwVguhpxEbJhclPqVbgkvXK7MV/8I2/wnUsvkxY bflsIwWHVwL8Hxj2RHx2Oj2Nj7Y5XH3qQDVklM49Tub+iUBvhYQt8iejHsO7rY9p1KXN/9NZLZbD /j6fhc/GZSMK4Nw+bdFUG4UVGIoF+plo/KqmQSYGDHbDHI+mxoR1BhffGEoZvALnu2ENmAfT922x wu4uvOHinpxiEQ3XHMAYoJCAGZShW3FN+nWkTd1HZ4HmhqiqqIkstRDNAH0ls9QoXPS1q1GDa0Qj piAGQsQtSC1QI17epTFLxC4emzk5toRknSCjAGkMmKQCZ0UeR5OLA6QkmoikaPi528cw2z1AweOI t1O3yBcKP+tgipVGnOAuRcfaQK7h+yKpG7bYehiFBbANUqS3q9ILltMKEtLyggZd9d/jYpWHP5uQ xegerE9Sffax8in+mtQ1OiwVXCizhr6UVjsitT+TscStP5nNHph/esbRDsYzE+bpFI6T4JYCLJon UAzn/vvG9iqMbRZfh8kNqak2T1lVCdSx1dJPwfJL/ZsQJcc5YbkDz2x0DqDDkhdreVn2j4UqtLCq i5u0mXQTkHBSDON0+mZAXDMdIWv/liqfd3xWl65c/ig0S3MX18jAl5kTPupa6IppC9WrJac8f5my Hhse54uMm7KR8WXv2xjuqxwk55/mNnsCHHqbnyM6dY2lKNYZ38kzMMbjm2v024IVpQ3tkm/mwDNq tUSBnARq1Y9oHc7LQO3R7XBMm3Wxa2ucW6lw75bO4s/g+cDz6StK8tzWPEVewjt6PuVF6O9kzJ/i 2ZRMN6RELgDikcOwnLxueqtZknrK5h0ZYhLsIDAipsYABa4zws+XXk03/ITrH4EpmUHz6eqHZul4 ayNncimIbqbPNKHBg5zU3m0mxTqZyS2fD6wGsz/UUDGxxNEa+03YNPYH7iWMaAT2eD7JEO04+0cF Rpo36thrMjy6CJce1+D1gZ/dBOPYOlKiABvvzvLYAPXA8CXncQtbs7d/tm4z6QPYW/h4R9dluDrr o0dVFpvPuEUVMDe+RF9d86rTqKgwE4j58+x0v7NWNK6Ck0NeAsvMEr7YZbIzl25Hg/Db5bpFlYGs V4JetBZiNonYHuNmI9fVMcdMHHLSLugalLtjn1TGNuEBa4M3Vd4k/IQf7O32pSz2y+EijVxMJvIJ yr1JpCGqHP+8ZP4xAiomfaCVw+3bmzc8rW4GCRzsdZhT4Fp9aPSVdqezRzGSWYtfK1200Ktcvdil BpdiC33GGTW0Xt9CrlC5DitD8zBgWxRwG6LVSmugTdl08iPDQZxUwZjsBCI92I7t7NjDzb0UJDb4 S4a0j3Z8mTuF/9xYJspzRB5yvvCDpsxfXt88+n0HL0RCj9x/o2xGfzeUOS9VaOlAGoP/c+N6fdGA +Xf0jgUnEEGQsIZ/wb2FPrsSDjM53NKxBo9NhW6ECUi/tKNCR9i3FJbbL7rWysrOdT6ZY8Jkj3Dg bGDSk0sXPUnwqcEs0vcaOxTH4BxbcsevXTQt48XqZGWB039UrT+0oBB6/FNGn+lp7JhUg5/EPT5o SCuVnXLLh5NTRMZOIY/Jun6tRztEp1D8/7HmPxct37i6QLMaNgYLO1sMpehFnlf8i4ISXJTejnmg 8KVeB/bb2K2ym94oNb92z7fcyoRwZMldC7oTNcy4e4eBhtayntgJUOPeLUAfkEGVRTIutyd+fyBb FX1QFANdt+6wmY/UZq6AW2UuxSvW7zBLS/5kGX/uMiJnDS0J5kU1Qr0EH7TAipoLrD/qyUf3ZzYA 4mtuJj0IkS4fKtyCPKP4LSB/88S216JvpgtkoGycopEaVd0HeRD7IAm84PodOBkPpHLnJuUhaCdz iB0+GRMdAFBQg4OaTAYC/Z6Nl9ul9wJVqq+cpmRe3fS6excURLYHLvoe9ucosU+tPTnca3jD+S9q n/4AX1Bxj37A4KV92MW55+754prfpIr9pFB3NxqKxE1doRDXZh/hf9hpsQrYo6zJfPAu/VYJoz8K rKUWRoFg3laylitK0CelOq+6ET8Cia/zQnZlVSDq/wh+gl+MDjSnV/7GTrF8fLZNCDWLWwEPwRR7 3tLOXUal1AAW75aYKfp5x4/jTrBvpDrJs4udLnhx3vGNz3uNzPijBNhEznkXi/KvPGgQRW/c+9kP VRdgq3voAQvOpwtuSA/4yWfP9NXB4RMhcQNxtpDVgDrBA5AYEQmG4r47V1snHnzCjSUPvoYxoaTE QZnlYHt2ySegECut/ZBdmpar4osYnyeISH/a1UMOSOlih1g2MS+Y2/qmiSQ6DOfkH6HhJalySWeJ Theh6RshORlbMtXDGBItnC3oahU9+MaVGTHsKBEoEOCHo3HOZEb8N9yon1ft7wUPrbX8g0q7wcUq E1kbOqZSrdnFfhTeDyg/GdD3SG2jC0/yxzdIteWGG36XhcxZrVW0zXtuGT0zRhDAjFO91gY4is/W VxdtIiBjDJ+822KeQJQeNa5/krEIC5oY4zhGyQLA7fcyqW9pp5TMQ28P8bd+pIHHSTGV75cqsv28 hCejWNtLDZC0MFHQ8rA+yQo6cJm8X+spTWCfQwM27fx/Slz76dls/PAQxUw2pO00OJdWy4vlaAwD e5ow4ZHGqYne/pUB4c+D/jFPUytx6aVK+rqyMaAmc09PFWOc7NtUYxp/4Gbq0V/PUN93i0Fr/bM5 PtG3LCuMUKl7uT8I91joPFRdVWQsFnnvWnyEQO78zzO/HWBM9GyerGWSjz7fZoDtqSLgmO/lhuDQ V9nwBIuN4VgHrXX1d/Q4zVS66hl8PnQulTxaRkJSU3njSl4eIUwUZPpT7D9exSJ19QFhwpNIj4Rc eioqoNx4BGt/iVYOW8zJvwBn35ppeCsl3XG1YFizECxdNSCefnyg8TM5g8olJlCKmCxoPfF/EsBK VVWSwWqcZhVMu60NEYo3weUsCZ59DRdMJ+aErOf3Otz7fsSdNRif+UZnEtlRFnVi8W3gYuXat435 lMnjwe5AgpfCW4RYmetUBayBlNP0hFTSCEMWKNsw7fplFUFlPY/BghC/IyaGqGwEdy7wM/QYNmNp oYNbsmxr5c0TYu32wZFd3p0Ko82OLwMSYzaYvZUoQR/0QzGAziiJs8h0H3ffMJZybczKqkOHdYuf VUv29urfzSIklHKWFLMYmC5FIE9OEAUEBjRB/ktPgciJ/6/qIsE1nBozDUFMW17Tu26B733cBcGP ZLLhDkdxUYT5+86zohWcCwDaLaj54mObAoYdw3TOgGYd85c3WR7L6dicRLbcBJ/CvoQWqs938XfA HjuzzTG+Lqd8MF2jtdyLrXCwWlYIcio5v7hLIQ/TAYfVl9aHKuKUZcZro9rn8BhBfa+xTzzEk+GG UPqc4e49ALIU2m1/JpA+2X8oL6ZsCyrVJbAbYPBiG5zq6qQ9RTfKPR4oTWSLO5CUfskJ20Ema4rM E8ex7pvqJqt0vvuVoBAKKAJcGErvXaYUS8+a4k9iqqB4l0+IiktvC30uOk2WtEa1XXy71ftr/bMG vHkQ7G2INiMQfr7VZTg/RIUomssMgH9/E+VIXTJA0nLA4jbs88Hd7RjW8a+oEjk3/M2s0GpQx6dD 4e5TEEUmEtoB4RxBAW8PHnsD3qcT5BtqUBrng8pg3CVYdKh9KHATH3ljqIAyUzWMUbkygpqIDMZX WEyOts8ksNjrziDPTiApA7RXP2W5lwACBr/hc2gsC2Ope3FG0LsmOZeWz6fvAKXvI3AUZE3QkBjX ErUoX/fNUOHn38vPU/eO7FpxCIw//ZUeyh8dnE7XCV+j4ul/OdZl35XzsVNRBVI+TSdO9Yt2sMQA 9gk+sesZTi9+zXOig/73oZO4mt5uymlBoOiqdc94LR/sKXXlD7IF0xN4K0OPpQMaJ8AERdAMcTSr 8XiiPwgT2kIwTi7XcmHqbpIP+Q34DrdU1L0k124uJ1/6/rqb15kTnHkuXhJVnDpkCdougw7hOowI O8fHNcvjXYivlxyy0fACBQ25ZWH4/FkGFZ35Sw9hzknYQpTvFFTg8t05rM947vOuoU/OdnWatJjW QCI03GPvCYl/5eJXDU5vcXJKcGCxoLNH4YlgR7lLnTL7Q0sISxGf4Tn2x3qOpbKI4j7W/cuDnURL o4OnwlGI1gQnUWSVoek8gQoQUG4GUDWYEc2/Mmjd3gGKxr7+R2g9atmXCEc793tFIg3gqWjGXFUZ a7uoYs7sh+EQg4tXJ/AyTdv+KE2KRMzPt8aBr9WK92hVq7RR/DY5nro4iiCucRxam+kDF0q2RE7f 57Yf18qnC7AiajbbHS0Guyjl+tUWBM2w1YS5i961OUN5qqlCQxuLCXo5PR0CYpg5jKg807/C9gPr hRBGmfb6261ZBW4I6Rsiiem+U+QnderWSSuNps0PSD9Re5kiZz0RDnqnNxbaFkiTMDKMVxAmjvau 6oKAe+GBaZVQvwC4QFm2o+7Uk+1pRVIhbc7Nhpp/MMTmH7oJH5jwKeoOr3p0H30nmsxMOLZXrcxY KEFKqcmNiTg3V8NsmxOW+cTOLRspTZbP0C4BDbvG/aOIUOA+yRdtNnuI0aRFu/xUeWaOSbs3a1DE tvor8K/jKohYJpyBKdsP1Xucfjdh21xo7PZ8IBa4D9/0bt+xG6rHaALDKnGNA2Ky/myuMpYtjSF5 +pAOkSK9YctKqEZxTkAXWKP7pd5BkqBDTHVU/DdOH3V1/X/HLH8PpyU151YP8wnf6qJPCL4WqgBH hXDaggrb3mZRhI+wtHQ66RYOZhqtywgSeo3eV0HjlQTdW0fCBv7pDLpMDfuFOQoNT7fRuYAsBUOI GL0cZaVVoEhwcI9G6aScxPBPpq4DTYV++/jGGDcczkh/HoJpvZGovXXdjAfkr1IAJMu4/NNo7IWc /PtZnUTAqRRCX8KdPg4RhBm5ezpqhCRijiYNDm4jcpXvjRXO9zo5VDVMQjJ20kDBz1REIsms6X+V GZqBTEZHfkZojNB6AFFMXjoukIcVcpM8SVpE655sIZrdlPMxq1uyzFFnL0Z75jJDkTj48NLgmJKr CzrLSmTc8fWvxUYR/OYZGeFLQSTHcitJPUfDncU9swPMXdD+fe+UD9r9nNjlnY6xDBiHpxA2y4ds E1U8vtaDyg/eVKdpDBU0RTVrIBj7Vwaak9SdO+6R3wG2/B+F5Q6IdE4J6b/bnafJdSrn5xhCwxAt 2NGWnIg55pW6EabrMypHgo/x6Jk3x5YWp5mHZe6utURYHsP4ZMuXgC3m+B7FekwWdB3LrqRO+5bT W0iPStCNQBYPoY/WRQUHiclIqDbKgDYgyLBfK9uMVpgwBtr2U9YtfumweJdeLpEwo6GhC8qYCxlO 8eiC3AZGsVDKhb20XVk8qf0HkduOxhyf8p2UkcTo3ywIM19V4FYe+VJwLOVzWVWEduH/2QUU7qq8 c3MrCitzhTVL6uD8kV3i+vGq13F04Dl/65aRKnVRbvR1+lRAJrxjrj62LA6zvrF/xfRRUDfugWtT I/XfBtWC1I/4QPseBLg2oRPnvR9I8eed+i6dst/piQjm4JsMCHMJIjn3AiGEpzdtsWYcb6dasFhB /ZBnqnwM+PI8hgNXYah6L8iUHpwj2pKYNdcUBEEg3KUs3dp8S9Mwxp/Myi7gaMx++PopuiSQuZix g3JwUzblrmwv5w2BRPSCbHc+iF7vxYL14l1Uq9dR0YU8f2pxgFf/tbdPN10tzWelGZdQHZlMN830 Ezgb6vpkmfoB4JlVwchQDSRZpTmby5/V3BnXjIHp6Kb956OK7BWL60KgHmhkRHPtUpGpIwHadv7a Xa6di4t7KpnL87AzkVQRl5zvy5ExJwUVJ/aw5JxKQvysdccx/1Q5VPvyVLwKJUVBaXyrnwn8pcdg oXHOeUOp0VGh6kpITq0T2P3f3HeJ2B5W6HqWPG+MZeq4NW8zd9/tujipCnJ7FLH0300PP8am2YA4 /55tDFzY1Jq/kyW47MyT4elKnK1B0OxgSkrW4wVFbXxpsIxbgsmA5JrxLnY7l8BNO4yK+y9WfEEK MbAD5x8tC+0UkowdRPqwkYlr+OK11MFPhNj56n3PQhbJr8td8ZVc08bofcZcXte5D60e6qQOmXT9 DlOq1Y4zS3tnhWB0pwUqeoBkKbieLn64h0eO4e6Ct04gFy2Cg9Ql5QyOMTZG3iuQVN1YOcMLiFQS o4qzq+VfpcgvZPlbsVyre+/K4zbtFubNtIexBF1ZC+EdSLanTYjEmwyYWjAnp2FJ5tmbwdBa4HFh ImEZpEs2wjJS9d49PubFhtcF66zxrZoDTv1XmuM+sp1jvBRMGZERnzH5UlzqxlqrIr95sNIJBqX2 Lw7qYUZtQmh8QdXN0Py6Ealt9tjpxxvcsFyRbhUMYAXWPMCmHvv76OVO/+Y5Dfuoxd4lbPnOhnkl DYY/KBHoDJ0HPYcQWDhX+kVMlv9luTh55x/bSCXQmc7ZQ7RKHfTzE2CGv9/jtVd4lUH4d6fFH+L8 I2I/kBjJRo1PESQhtcnEN0SHRP8pYHy+fKeMpusTPIgZpguH3hjDHOzZilvnFD3EVta0f5jGGXgM bbO5Woqz4vnI76HrWRT4m+Qp8u8tbzKFFgFkn7JQIsopAmCd2UQLa6h5p+1RDYNLA06LYvbv5iMe nfPJrR6h9jLZ8U/FcyYsgBrO7oGJBc1/OYtrheWc1Me881dEHQCRcicZRVsXASOom2XJzJnoHYVc TFgDwHOOd02907M6jjjIE3L53kwLW+z0x63zrSdHeF6fNyLiisSU+zqDF7Bq+1gy7XP3N2D/TwjR RaipVUtrzfwKQAzmCP7f3aYn9hEICKYy99TySEQPq7SKQwpT5WUAe3zOd/mPGz28RklDvj6ieb3D vuN9/0GCQ+bbFaAEMsPiLcz/bITbbc+FhVcDOQjxb8vRzigA/dC0rj1nE4qkEAsnsiiWmR261Q9t Diwpn5x2Fn06c95gnRF0uDAvCUJqX8g5fbxY+YHTH3410sL8lLlFVj6N8yD669L/BPSMEaGkS+iL D2cZF14my6zmXxKzqbyJnZLdaMurbro7wmmnhgaxBwgA7Thhydw/bg2tSbrthXt+On7oo2N04xCe CjIdAs3Je3J3xC03HKo/K0KyiR1iNUf3auULgKYjODzde5JiiN19I9gZMEEKU1vzvR/BxS7BoPGU b94c7SZPe/I3MHcaRrB3mP5a0OXl51z3aEb/FZM4ZgISBuTRFlOBJsFaKpZG2umINHhKpWq9teiU gElQLi1OV0dnW7YvO1ztBrEGlTuSipZNXf3MSkOSmMR/XLCMkxAq1+G7PZvn9L5vfIj1ZyY+Xbq1 fo9ADfs8erVe+rPoC4DwOEUgowLTfaAcjLxGafrQnC3UD29IZV0S7iWg68AGrAvWdkosrh0qf4zV Y2Lg9fT0JEP8qHzqIuAckytWTXgyJN6bDDUniDQPkAvJhdNWyAEd9UIuYkLk1vibgBC3HLQjTRgl I+YH9Qc827qnuBf1rZth/i3yKV4jGhI5bJUoMLXV25yOqC0t59jm+rw4aFidiBcR/68/Wqo1D5CH pV8OxEIs0YPNKsJrFV0fLJc4vR3JfCvgE+LfRusiZYoOneFe7qNdYRxuBxzzF4D87Q/a7obY5eG+ jkcPGhHCBYJENcK4k0awGuoOlVo61GxD7zxT1N+rYewCxqHvHgZV3Pok/bCYcV/qmsNqK61aHLYy bZqtSW2PEodjj3jnbw71kARCDnzFuKGfBjtj8j/e7/gqxlveW1E14/7N1Lq1hH6N15+CQ+rdFtui NiePysyraZAwtXtnV5KwQb7mZdy40ofsqDemKz4iYUMCsKA/RiwztUdBtEsPpW1OxiehpK+FEJyp J/T923TCxhRv9z1v51RiL8hvI6X41nhB+FSznI6sxBq1pfe+r8Kg2tSX83llH7T+KcPm+mJl6qw4 U8C6rifzFbEQMUJW1SNfZSn1s7m8KYyf4HCquVOEDaIS/yxuklfAEwgW7Yo1Y9gDnCXseBtzvHhL FmmbutfHMYYYEhG+bzNgXcjgzxNABbszRuS3gf2TuPBq419bvAeV4IupBJ7lj94jWnblNJLvVPm7 Q29x0KYKu/YN2MG0W2BJFRueaCFCKhzhS7XUVVQNfh5U9S5c2ZPXRc0LHBhmqhhOW/bjknFbo6tT KMLMhA3cg0XKVsAAt4LHflFZyccthUFqnfrFgIvoi/s/MjJnS15cJ8Vos7I+Wii/jUvTTaxbtZZy LZeKWI3ZBZCieTIK5NoXbYdra/LBnK0OJNHJx7aR947y6IVQJM9W455+4v8K4gmE1Theze9J8Fa3 zKCW1ciQlYyjctvBOLp+D9t4JF0aRVlLnf4X+RL2fDuhTvuKIpMjN72DcarHQGd66SHY10jUqr0N ypdmXEYId4wxBTucxFLf8Fp6+4fuRbsnVMJBPgumA9bBJOESQPBkZPVQnl/NwVlzS6u59axWUJME SUVxXSl7TxQHYBNohBSBbZZFtJrTm6OIPtvxqF0lZ8bRSMmTi0QnCN8GhGTBFcSxz7eGu8/oCXtE hOCCjRhTkUU+fd77Kc+9QVcilf34JB9Tj5LYLRg6KtSVT71zfHzsozIut46LdkzyIBDsfcxaH3ug 5jpzWIq86WtpvgA/JfOUFosuWwNB1YxfycMTGxkRWRf0uOZO0nlhTtHVNxxfKj5+yLlXsLytj43n TkiVRTkm525ldIMoDW4AwMYViDZ879OM/wDldgpZiECui5cf9ly8VEEGJvlRiPqEZo3dLS5nDA8b T21xixz72yqUpPgASoXYnT95eg8q7N4aZN6g9SqgVBPxqI/RuWlbeWc5olccNyb2ARA/JMMCJzfW hbB167cjK6r10UAgQi8lrpRFHgK3GhORBxNPkc3fDnJG+3TSgCFhD98CS1QxL6dgFCLAlycKK/5E zOrLZo7If+XF1NZq/IApWG8Kwk6DpjznuPEQ3Wiax8aXrEhnr4FgBZqdAIlpGhW3O2jOQRcBiadP tfZaAcfDlNeWgzK0oy3+cDTtfpDZaUOVhgqQAbCUPQQZhWz89DQfo8h+BwS5Ji/6edSarftRPXoG Sin2oB7ORp0/M08mIK2uvOt+rF90t47lHtrswN859Be+slzKID9ye0nVYoljedJ/bOYbBtnVraW3 t9+EHO+t+agGu646ql3QhmzOrGcmrpqFh1viDBRBpxEDJnwjKc34uzFWQ+jqJO7deZnL3Yo1doDC rrLrGa1Rk8/HLYPmAEKW5RKs+2gFnR9L9RygJAV7sS92T8Nt5mrZjHX4qsK0VVtSiiey4cbKjEwR KC9qui+kEqZrMGNj+svA9o0O+CfPUutZvvOdohscmy7xdMMLB+aPAU89NMEdnrov8LLeWiPUiwHD 87DEOcVvOl92kihiQRnTWW2qbVs7ryTbY9/3fcbm92TAfLv82Do2JJawtxDBSWor2AHWxY5Bkxj1 hOeUVLf3xD7glqNQ6KUTMPTe5FsJdEozBtMnQ3u4lbXYQqbLkInZPwB1abj0qJFkyQJ+q+dfxiRM LUuvL7UMSpiIjKQ4HDV+U95dpllR2l+O72fUoDc4wRJTaS6VJj0ArcUXDDYv0IE77ac9Dj7LhpZN 1+ztJhwbOpAt9zPlxb8kl7C5N0mAdkIssz6xDRMbPM5IxO+99DM+nPKjcU2t+5nS26IligWAdLj+ UoKREghQS/uN2Ms0LL5ysY2mzVZndKSQU+FMuYXZ4qlUiAJRTTsAQDajgTTw9emaIQc1yxJJ/iPH /O0YbeUEjqNifkqb9Nb8jRC2lmn5jushrpV9JwYxViBZ5m6THC8bsTxExIAN0QpFCWzUnC5oZozk g1hwbbjgY8GzpqiNgsTEELt/xHCbk/EpK65L5+/LJTH5CZmLw9dPc3ct7ozTaHFd4BRXh6fJ1ud2 DoFy2PVtfxWUs8o7VVsznhLuZjGCNWUdiJrq5eZuttar31os0fqa6cIp1jjJa7aCAb4CvRygVH3Y 3WcY4N3nyMVmfLGVt0KEZaayPEWXTHzZ8dr5edmVbe97I6ToNkZMvjJlbnMWofjBPHoplJfqT5FB 3lKXXJgpLcD3WobCqeR4UsYGGxafGIZ8Eln4n64KkjDwaxeiM3cd3Qjd0js/k8e92gGFx+wBA41x 36GRcB8frcxwnGPEBKBGg2ZSl1PyC27x322zv86ZZGW4ygN2Ywq3lf9vELxuzjyGBAM0bOy9/v0f GTox89Wr/td42lOM3Y+ewueYb2IZQ4AwAb8VOi6nLFZwqPnJMRGrP3hOmf1BeYsl6PjnBoT4uQ8T 8yikcWLAjoetLhFe1thTzH+ZEMDm5X1s/zAJunWQvrLFXA4Ua7Sg0I0SKPf8Y1GiCb8k84ABwI1d PebwumGvb55NZVmiHOTj/uTnBc64bEtYdiGBL/ZDf7tkw9PD/kc5+xHUtfxUckAIRE9YG906xwHI Ub7I6pAzqiwMze48e5yePzlPzwh4qX1RZkG9nIoqlqRFZgXAPfjwGjM1Ag4XkT+QVWfjn1ofVNEf EY5I3hFjaI/VdbM4vwL0Qvd2vcou+Bd0SPTKVii2K5HdtqbF4Yd6QE3LxTJU4QqSArIEEiuEt8ms 29ie6RoCXweqVBNYScEvgHtr7iD7+v+dY6JdZX/y2t4pwstpt4g0RjMTZfjq01jMLA8g7vcDloZi GStj3c11X/JKOOT3dPHvuK/m7mH0imRnPJUjsttXbXkNyqWAN2/7tqqujnj0qbfItLun3IviMb8g GDFIaB4ezR/efonJCVej0tML/ffC+6UfLcB8QoFoACtLh8/xmnE3g7H/3Z7CDF8gScpdCr8hCA9I Wzj47eWgNrFu/43Rk7tssPkMspYjLv7b1PEXeYHFzDwPFLTKtN8LoxGIR+n8P+CyRk9w8V2U1i5k imPr4WbAMvKzJU4AoDPhn1m5CKdhDNMO7ZFw4HE2tBnF27GzdRVsF1wwO46n5zX7gfH/9dWk1dZ2 hQBzg2dxNAwJ3TiFJxRSPp74TooK75mzU0zwT66+rYVcYnU/lBHzLdtPYAPwk4bWf8YsKplEHvvD WwNVRO8d/+d5h90A3+3ItXxSpOgfaE0+i/g1lZTxd56Zw5HGA3YGX4JlG+S+pjmVznr912asqDwZ x7QaJrdsa9Pin0kwupakXQkAEm2OPcP4TKbjWX9rTmvcgNv9RWcX0B4/koLAYyS56oxHo77EDpFc IsrL7FHH1r/i0R+Q6Iwo0TexL4EEMqoMhtWQLSjK9aorSUvf+Xx8eZDu1APOiEg/bedr1eFFluOW RFa+iw8sxPOtl2Ajnc2S3HwhGFrOKiVBAqKn3H3zNfC3UlV0e5SwXTA5RPu9cEu01poJw1WFFCbR +mCKseNr8OyR8LkgbnAVLHXQ087l4ekKF5qL2CEeIh+cN/7BOJknW3DjS2vYUDrMONynmSi4N6d1 6f0dNHdVjTOxbponJFE+YbHkv9Uh8gO7SsfC6ZhUF1tITYmM+kTco+lsXKL5Uv66K9cIrYMg+XKM ZmKWzH5gRsd/zAQzQ1+F89/xrA/BfHHpbkYNsxDRWzc9xzUjJeHkBJZRTCVQAMz+Uz5dOa9xUMpm bzXvYmWOVsdeRPG9tFPZyq6O5amCNw4Rw3aEtbUHBLHRTuYwTyD5GmwYd6WATJQ2vRrk/r0P1zT8 dLu02k/u8ik74Zw1CWIbJ3ux1OHlfbHN4iQ7Gn4TAy5MtszZdHnELVTOF9xaoo2rRCb5KVYoKreV pASsQN1Tfme69loOkx53jEbS/r4Tdah7mw4+jU3E9oLiJ2y9rwZLqbhg/6t9yUN5EYoRlfRkL+5Z NHUmXvFHAEED0HeA7D3sBpO2ikIwZRiI7aEyjrxSPW91j8fhD7Ovd52wOl7+YrWI4gK1UeebEZEp Z+KqV5s2DdJdL9W3lYCIdYWH/LlEHaBPAk46cnA9wtAf6imHzL7PLISWfI9Vatnx3xFfYdnWxbw0 tHtKvWRf8mSlVA4oKCzDd0YDWhft9WXllpb0LV/rQIKHH5ZfViSQ/62fUY0joj2DFtamwRT2PMQz m+0fAr6TowRYWIshXaMnb86uNtOO0LZJBmgSc4vmmHp5ydSl7RHEuar29bijEu3d/BcxReS4MCFB +B7Kb/i0PKv4Pc7CNOLHVErVpxEQtXqsM480LDOdsdpq2l0CU5R5r5n9YxWJU99to77BRMVKwf4T DnP4IFl3Go/Dj8qJ8l/m46D5tMx2NPsuIOFx4fxFtllNgX8+58zGu+glbXEzpcBZm6HYLVh5MX7F 2TXixH2b7BDbBxKaP40XFyLRh1UdgqwRmYpSudeog/nqp8wh+JAF2oZVK2tLv+GQsrBSMKpsH3ts P/2Y1on+zqdb3dk+GiGnJr5vfhsdma7AzOMDxkyQiKeMpEz+S7uKsRA0Yuf2H3lqalDyoJ7lgW7j ssW/4UgIYqgJLv9nVSTrZgabKR6A5jmMtXERr1nQKD3rcHRNOymqfiFHj8dUQFmvVOCgWy2OgNsh g/H/XRp6ChX+m4voWySbJCp8K5uye0MdiXyKk/KVZQohEhtLI4gWTmnSZTUE6zlMyFbJ4V2Loy9u 8/dDenXJi1LVWMnmG0+d1NPEr5pQ8+SMu7sH3zhLWoCXyXpzNCRzQdw+y6pVdKetPIIPyuq+RBsL A8ulOZ6Uz14fJP01iZKg88ZdyJy8Yo/oKQoYG2fbpiyKZBWOAMRK7xDyekpESvlJLBhCxcNu2yLW c/jaoCCHW5JHImZ757zTneRmsMcWxyiD7ejdUzBotguh/KquXBrFJhyXmi70NJefECQ0aXbT0Hia JMc3IBdV+f4B8avHdAiXUpeOLUMe+YGQbsEAScQO/wAxB97W6CJIdFH5+FM9KYqJQJDOV7NnmvDH XZTbQZH155S8ECLVpREwSF3NDnVf6x8/hLYfxugrQ7o7jhl2YK9ONqIldaI/v1fOM9GCBejG5rI7 8SQ4NsRBj/3YRzcy/rIamUXwfSROyY31KYlLNBROJbZnEGqCX2VuFsrOsCXrUaOy4+fNI3W2Cswa 0X8fXK6CgH1PAseyGkqg4v7EYv/X80hhRfFX1qRKC/mHUYBE53B56MUES8xdVsFHg7mFN3dWKudC 1XwEh172L5hBkhXGrGLBuNkpBJMZz3O2JLLZ9q/tLjvrGypE1yaHJL9IlKHnuC2GA+0muDgfLt3U xXHaGZUOcQSGXovltbjWKQDRuAE9LbkX6Rufit0npJSS5Lu1XzZ0m6FweiwKwm/DLPQ5YI2LmjmM PCm2Cm8mb4MGAqdH5kx8DwuP2SZcKX9CeCYQsKjVV3j/a7/6GaOy66ravmU3U9nLkuKLRaT38iPZ PBYTFO6iaaD5QUQYgC3bJBa3EB5GLQY6sk4M2UieEDAQJJbtUw+CPwJTMM6GF7GFxJKNX/B2xKkW rbjqE5j/46ItGzhfOQVyLawoSdiDXcOZEm7A4udgqs4i+pyJPJmKrDiO2r6QpwhvZ2tq3ZNtyZcP pM3ma2Cl97V5lkUQMhVU6aEKGBfqz3sUKokm16YiHVREbDokwVscHH6lnwkR+H4E81ycsAq0NkwM OOcwRJGAniVLG0hiqLeWVaAjzhwz+DhLX4hANcdXduFRwVt5nQuaSRMGplqzb52EW2H3Bm4YWI2C Fn+W1RvUeGDCFOcZLcQaBPnO3pC2mW1Ra7Mo4uQJuA897Bvnl1vR6ROqC3wl3OEKYJyCgJExZ5K+ YTW4M88wskaou6ZK29yQST97Zb4LYqeNtbak47xDl19rxwBHdrDs4R7THEqP8KMpUZE5nJhku7oW dSagJ85TR6bzUH6Wrrrbpt69l1bb6mIymrJnR7Lhgs87CIjm5c9DZGpGN9SDbL/SMyUT+zg9tlBJ CpthVCZs6RtWhDw4Mc0/B/X7NpaRWUtR10PCSryrb514DDh2lsRbntKQ1pLN0TX3DuzKmWzrisqz cghLoon/FOOV043Ns7Y3v3Gz2Wxx8T07A65LYkc0dCf57jlSGj57XztgAzcRygplyC50B4cydWyH M3MzrrXFKfLJC3kt2d5DLbQ56SwFlOw8+FmNNfW8sBMRe5Xin6IbmzD2PyyvCww0/ZEQEiq/G5gm oMUa3mDO2gHYsaInFe6dWcYCM2ahQSJwZWHI9E1WP/db68ZqY1QkHbrGvgzdYF3rBizQ8kKO4g5n 8gLKvtiB0WlDNvEIInNIzLi8zil0uSzTFlxAUWYqr4NtiNyIhdrkJoTH+sDz1mg1LjG2qpPeeTMu r3h3Cp5T30iwLzvkhXBcGQ+CcZyXjiqtYtdTXTPe6WXREK3ZFis7Hwt1+7sRgP/GocFSys6an/FK SxDmlxBJaXSuiM9ZkzdO2JdccISx+4ZqAC31eluStc/EGgIFSPdOEG5RtAgWFQjtgChuV8zDbL6K exYCuCNHCBg1NkokDdg62rmlxTlD4n8o64J3F9Ik4xFxgk5h7JKMh/sICEmDQoeE2YP25Oc2HfRW XvnqPqeAzUpZUSQGlYCc89kPfIX+P7BOuy41j3uPj67F352gkU1nk7Wy97saHlUM46tBgGg59pN+ o4vJzSPfzwzoU7PgUtdxik9T2JRgJy0OgPnkQZNM2sx0jTQkPpzE3rxu1CW3qN7/vxgFIfMApKj6 sxc7ZZmD3OF+FX4Iz3XiFh+X9aOY9muAi6Cg2uX1uFjzgjSgKHu1KJxUdu+5p8iZU02EibqOaWcF IGB7qDU3wIwEBiJasGLD+aA34LEHn/5LbBf9rOIOdG/Bu1HlvGc6SyEs2FVbwsH7oH+4KXbe6PAy A6BVQpqivrb259Mxe/ev3rXlv9CzU/odZBCQar4LTLctwJz9NwxrtoYhugJ8XXS9mnoiAfY38ukH VC6OBYzEzJNHuG024d1V8u5rVUKxkwRTT6qsZXF6VFdmMGRpeeEGlVHyTv86dPaRoku9sPWJj929 ZpPqRAQh+Vms4vVKykbCnWS60RO0PPw6ftZIIqKu21y8oz+CjaevJdTwTYLJNp8bEVt+LOqm2rMa OuuneOFu6wJstLlweSKr9F2fe60QZx5jMowPizH3l4ho8vSgpiRr1fuUdzWNWdOXh++937IOoexG UmalDisfm2/CwKPG2wdRhxp+HotcP7NeT57/pAlT9Zfm3h4OgvC1Dsn5ibb68zfO95qyFk8bum05 zT5p9Gx7DW3u+0UGr65kyNjEQJG9egjGUlu/k9+pwNdtDcpQvUr5R9NOipC1rvShgRIKcGzZQl9I NAhejfTNScQrIMcRjBRedx4dvHTvZFnWeNMd1rRBx3iv5VhtOd8S1PhSNzgeMlUM7I9urdQn6tYE YmFwwdjXEvlkI0s1v+TyiWfOtMLBGdqUSDTVxFgNL0X1nZRYbH+n/Us34axb2VpJrn5IA7iVosIR f20Vy4baItA2DyW/tF6RpK0arMx9YKz1rZa9vnd8iwFATG1OOUKWO0m6kheIflNjqdc/hzsoNxlU ycwjiY7k8c0jB20eI/ekSwN1a94q58s0XzJ9QhK5HkbCOLO94TxDjlN+HGdfVpDlxR1PRzmvW/q3 7yPJbcKR/3z1DnIJcC9KLVL0E2HsN0aZ1sE2o/aOqebU3ydlW32rSiqYNwgt4J4U7T/HfU8XnWUa 99lnsJyoN7JVD1TZkXoauOoEAsRoJUxhimDhmjtw5TdRE59EzkXE+dZ/h72/lj4gQi7SXo7bMgwG XGlV4++vFcYzUvorJfqvK+AimCjo+y+fzny48Ix0WJUpjgIUt7KVAiLZilGksg9aHIKU4k5D4LzC O5JBp1WZ+SneOpPyxS/89PIu8cqLWkUWE1jZdX9c8Vd9pmixE/vBQ3IfKVGKRSbANpk5r26JplA0 otCsEMuVP5paxz5lPFbch01C35M0KO3FZXi7kX0fLlI6D2iDhE6akZkTbNF9sAahsomqdJAI5KZR S7+XIEHWFnhzIs8r1tFglFjAPu924Vv02Nd0mNFfa9McSnO443pumJ4zV+VcKOKF2A54nlp6ZDIw Y8z7ee5tQ4b4l/OotJfbAhhVIEjFX7t/i+CSlR3XTTaAB1BIwq3PMn5e+hdGDTDX7z60/XTtys2r 8ImMVigqbXxs/ZwCmxpseZRYvyD/WdWQFy6XUM3ox1KqS8u1IgOn6fPUMPBlWAGaAF5vmQgdvEMj /rAZ5xEgsKJo/WsFnt68yYf2unzGmVuZ/7JGFhRbJ3ZlhJg49G9Fpo4k9v/vUE2c49MHuuiLanTk yF6WJMsl7rvxWPocFm8c5B5gFiWmjUX7mYf81ohboHlbbFFO/GkpEI1YJoUzQgpQdn1iKGRXtfei oGazO7q6/uCpyqH5C1EaXAXwNyWF1ILT4nLWSDLd+jG5lr1NUzqp67bwdp74Z9nkQJK2qgPKMTTQ HYVKPqRWL0LgthaBYYSzVHecxIQ5VlsKITWEauPnnp8Xu2DAHSIqDEH2Q9cGQNfKnpYL8EsqfInH yCbZJQg5n871dUDBz1ONJqdyEP2WVFDQ8/n3kEnbba++EmROFiIitxksz9ktvv35mcmSPPTNzCHF zHZ/NtjCndB+x740AfZVaK6ukh2UQMvN66Js4Ji5aHL6hpRtZok3jKI5c/++wu4hqHHQE46dZLKl cbk+dVmmBlFbY5O0YpNw3dBcHpn0OtCxlPdyQrfqGXZyzW1iVjHX52RSzBJ815JTK/KCKFD1iilC SDYvfQv3BOkXYg0shGRiouESc0MZ+l+PvSD69QflP6f4wIXhztgomr6ui63eu4nOrTArRK/U23p1 vPBEn0W8aNOS1um8TZmWaLWYLR3B4NwfvCkZtqXPITkMm3PQ8R8oWe/As9IsZtcAlf+VqtluAeXq d8EpQSMcyvoFYNbCRxNbUwngY9FXzYHW/bVbM7OGLF2hygxXcl4IhQgC2s9wFjg+EizuUTSrdbuM vQbkyjSXvU4A7ACBljq4xren3ywmS6c+iH9dSkGh6Bn2iaDsq0TQsDeoTAh47tQqFxLkLDL9Jk94 zlkLwajoGVyNotnSv5uOaUIRt3c4m8j4mMLSTa+axUV2wZB49ZDaatVbX1drQD6mm+TH7lnkY4m5 PwrrvxusBlXc7qs/u0oU4PlTesG2E+4QH6V3tnf3S1A47QyXkMFUK9lr0WuJPBJkJgfQIsv+GUQA WN3aA6Egbhqnb8lti+V1aTUE27jQS8/WoKjPlhIFJ0G6I53YAjRzOi+7D8yll1GauThzpTh0CyKP E6d+vtkP3pyEy7LBJmIh2aSjg3zHcywSTGUxzdHrUiD6V6QOizAmLkdv8tZaGZbAFps127tGJdVX bPuFpMZhujtS4hnIVbQLLjPvn6hqQqMEJU8AHEJ3PuCK9ylQZ6z/YESbzRDIhyAfU9EgMZVLp9QG goJ9zOm5EuRjy+D69CToMMm7xTIdvN0ocLmaFBglb7IPHqJ8x8bhjidighwk6KRU6sK2f4zAtuNa DLfXMW53eE/8W0F1qR2f72pS6Tv86zTQLjn0RT3eKKCXtYzEC4sUKTIHmUzJb5BCt2wT22f7OS1f FNfuPEQSg4AAfrzDRBjDYt6Oi9H3SV2Yx+spFCWGbhehItfWrqYwDC2MqQ2g+yK3UZ1bJLnObQ6o ZetqvgW1xc38F359Ewtruqp0L9WpM4vcDk9KumJ3DYWcqkm5xOoXa+eOSuApFQq4Jx4wYodQOsWQ Mpq0r9i3tgsHZ3uKcG6VZg37rUGAQhNWL7vC7tsKo+cClPPCuvCAzHEgxLzRtr2Og89HAlj1VMxC oJGt2/Xp/HFvYJr2i8DpwOsaOVElnqY5z9yrmfVuvOr/JHArSMYWuVyNlCM+HLy5t8+zd17sIcpz H70LKIp0Q4eKE1Ubc72TDk3+tFCzWfPqctKoDrKXXcMV6eLCMRpSkSMgpVZhyiWMFRw7Wmj/JWGz gPSD9BXuGVoBivaOamYhBwGjzMkKAwohv1buQHIe1ZUwcM8usJ8kdqIIqg8ghxBPYITZVL1PxiPw NTNlLYVoukklfKRcLC0pogHNrIS8TVH7okzt87XflQ548st1oPpG/W5FpxMTOkfofIRUDPGU9c2N ZouAJuxgKTnLEHZ0uU/Ctd3x6+EUZ3jf55w0tjv4Tw2S08fbKvwJ0clMX+XIhV6jjO2LG4tO7Ww1 CguZlgPiVtw9S26k2T5R1Ixxpc9e55jKUVU/0xGkhoqOw5CIgAI2V09f2R7xX7Ceud4bBF60CKi/ rBMbKRBwz786wdnD1iUIPRrOo7UiAhK050azsVmE2l/i6NiWx0s3+S19EUF9S5jCsQFa1Ufiguqj eD+KydRf3nuqX+eqDB1Yzy7/jhFkFgmc/Tpxelf2QzKPtHctJ43KlvnQeTqBkl1UrRSfb0t1Hh7i tWb1ZNSV4GP9PlWycOg/FLcbSYbXQswvwyZhI4800IEzF+q6SEGKr92HGfc35CskJq6C4PljAxxq k7sGk65gSFtL2OLQE8utsH6SHpK4GKg1/8PkttWVlNE4ltB06bzVB5pw3egHtnwjCok2CwOEB9VJ DerGjwsuXxB41/V0+VApdZytVPb6FAiur87ATwg8U/e4hiNuKQjWVkGZ/bV5XoLxX/tjPCiPWxtR 49t2bLrMWVAfEx7roq9+4tUHjTy4lAl4EFRflTY7nhZZzd1ZeF7OHI9j6DgD5RSIKbgm/a0td6VV +jrCb0kAsfIEz+iyqWBtnyQBgsoO5KewUhoVqlNNvghXC+E6x3pfNmfKpSd3PtxAmRNs11SbUhUY iRZVoOnI885j2DrjE0DbQPGOC1RvPGaqh31H0Luu6/eLPOSW7cbSmxuZD9Icb6Lsy42wCFB4CvjN O7xtnY+zeI2SA1bH3S7s8XNDvmI4BsXNB+ybWsaKQgn4dTRvwjY69UAYIJoOrPyvN8oP3Iu1OlNW ecvSCGEp9U5Y1iZM/ktIHuFY1np5EflXqmjXuZeBPDSHWG/RFIcczFfItGlDJNBdNpVcEF4+rY5H 6hNcgvovw6zF8k/L+Ha9++zT41ODJaRCqwTmpYk9eSoh7AGTPj0pbh2wkq8fS+WaJzSda3SclufY mmdMeu62Xb8HUEpBZ/JHPcZo+CJYPXKF8YorB1jDGU33S92GnEb7FDixvWUYY7knMy+Y+KWXZ1xF 36iZVsXhnY4ctgj5ePvu371/zrFtwv2aslbQcBIty6yiqlQAWN63VC1E+Wl06RcluE5yqmA0N15F L376xjDViV+sHinuW1KP0FtnY0DrBbqGcBTMTOIkNhLNeT3UfGWlV+Gem+BN2j4b22FTDGioccuN 3mRQX56oMP0izR9I2GCL98C7WPkOXOvDb2BMb14LxD4u1znvxRFFd1mvadDBWfruHNQN+euq4WFv B+s1Z5i22wrE9QJ2+iqw+yfUwU3D/SJpjW28w1RaIZI78+yPhcZa8St5fAYuby7+xcUnnvLxo2pD ZJe+wceXKUa1bBmA0W0ZtYmxWbZcc//Bo0vWPakcZNI5xCD2GdJjlbp+3aerCsgqI0pA4J0B125i 5CEli5dBY6ZMSyaZf3k0I7gllBQ/6CqXII4BPnJXkNNNMFFmvqoJ1biUSOsHZKC5OMLby4wAwlU6 J5L19NGULPKk6UeNemovD8tZvnX2nbDBUXIh7kiQryolF6E5czYkrA9qhyix/ylKjnvy3ghrnAP1 k74vxDbylHRmnCCZ9hmbKWUNSX5W4cdsvmr4MNJmMKjS870v1Om10Y3YlETK9eD4z+EQMrhTStfp IDtwpuYD62UNLPtuYkjwEMv7FlOweLrbcmHsCjQFYsW538+UTy+fvTwcxZvYvN3m26SKdVgI4XLW y/29NeF2ztu8hkEAnl4VGANWT+41RD/i7azV5MeM9ZgAhKpmInsMWmL/Rb0o0XOjCV3I9tkqQLnk 8dueo4L76TLY3PfOegpltDIR8PxzrqtH1BsVHtOBTf9DjUslroNPzHWLjsl2X/saIEz6lACKCclb VZAi2QqL5Qm7rSxxCUxsH90DPZfTk+XR8iZLaTgfCVt1njz6B9ce0CaZ6zZQIn0qzmco1lxAd9o3 1XzCrx3SQeGLFjcCmQx1sTE5zVJErORjWCM7BMSZJ8pTNQvlqQ01YOQvzFJ4kLgsrr0MT/BbEWbW wLEXtwc/JBP0l6ep9+A2YAzZM98J3WaIAKOVR7qH7qZcKaEk2om8fg53E80XkbpFiRomC+dC7IB/ hF+Z3WTY8Qm1t07e70WAjf5r+x6e+WAomp1H824seeW8UHv3iEbjsj37ogrbqMvDWVnnvOtwlgL3 ONyEKGeE3Z+ELTh5nQVjlEy48eJUOzPNzgs3yqvpxb1RqucteGm8G7Os1o1hHJLnNijgvJJxFT1y 2FKqLT+Lf9uuqqodMalbu2b9OMLh7vQBsbzKY7JHZBX3WI/T2GkCoOpYkFnDrFNRTejeeVal/M6Q OzTioviVXZ3lIxHBactsQOS0mbiAuY9QyLsv3CVDLpGX9PjBmMok0WDn5GHUXMw0U047V+roqYrr YvtIwm6gA/ffEWGdQ3v7qgB7rR0ph8IUBpMCOTrWV8Ljz4f3iIsxpVJDN9kEhZe3M2vm0bapGNfT GARlpjo7szx7x+ZNGZOReSxe4XRVyuv8SAPWGP3/jokRnWAi5zzZt2KyWcgMpIWl9WKSQwXXv9Zy p8nHHwW77sua79gG9EmVZN7aLusmByaQ51hGsaMz4E2g/YP4hIhCLIHbRSOgFRljPv/Vs+cFjm49 o5NId7RyqJgsxL2jI3y+VFLwx2AuQlU91LkV3tR2Xkw732sW02kriIgZ4yYI1BFeqynbcSEjQFZc jF+Y/DmkoEAI0ym19VZ3SW1c+W9ZjqQtDogM9wWczPH1e/t0EZ/LZgFLuB4z4qE+otPBb80OWmRa /AB0pk9zZYc9hHMdRnQvy3BiOMLDFQ1yO+MUZFlwoMJMP/nCQj9gB5/LdCpm2OORXy92fVTrqFO8 IRHSTjgByNYIjbKPRqgaW2o5fz/ycsi0nfMRtyiI5N70Q1+sibD3nTrrpz3SRVpV/wZm8qk+MHfK /rhUYz6spShjIXO1HyUVWoT4wLXckUur+VD9PQrXUUqubEX3iUdMypSJj726Qij87FVHdzxUWfRy JhWHC8PVy4cUjhLOcg/GMi9E32Qirl+50luSRUgdDGXMDvs1OpOrNJt3rEprTvF/DY45MFYvP+xz YJQyCWgLrtsyreCdqMh5C97jixLuK3rhHkgoqMTWVgoF5j7+V36ObAPOdujfhIeSKZeTyGnegRNN zA4V6/5VgJbo6pY/DxP/Iyu5L3EI2Z+RBv8y+/qxMop9dSeYBjkT5ITopCqsa77ujpOd+0Qjimhu g7h/4Yeej5QQwvZ7yTy8phy93380bhiRMq8aLUjoau0Ex0BBIKDZkMVlGjnFQ776JnfMVl5jueUA 90T9N5x0gtI9T53E5YB1NwRN9MdFICKiVraq1rc1j7GhYPny7vYZC6eSZdseJvA4gj3PHPWZ0u2P tq2d30p9csTyzzb5Bjbs0lHkn5/m7rE6jvjeod+yGTZty3VyYAxXMt5NAdL8MlUq3LiPuiuUzjDf o0cIb5JvpYxjYwrA+sdMZUVtFdJr2knZ7cv/CpppybkQsvxfG003dkzuQjM1k/zM9/d3DiVIRfMv +PNXM+tpN8zXzHSoGY3cGfBLmTCV9fuhX9lX30vu021DPDctqTWLD0Incs47DCe1OOX3ksXMSj89 sn9YcZiF4Lgda7JbH1qCeu+QG279vT+TsxyD3S3JlukBP84BFAdGg6IaKuJ4gID5QhuH7GJsU4n5 IPsJCbh4Jgbo6EBU/d8b7i5Gz1S4E8kaqHGLzBwlvghq21BaPwKBH9b3IvJHanFL0MPtxDNGBgIB qIxcHnT6EH6/A9++RGAkC28W86JSXG3Gyero1xSsItL54EdQV8mrd2dYvCKJVfq+VLjBOF+CK0jG Qwty5yNgiJWQPUSHUhL//GwJ4dmTay8Fy8iYyJsm9nKVH3wLSkCIN57nWZ/AgvYccRIntnMHCtSJ ttHwL5IMDg5QtVh6FvGzjyZNBQbWc4RbjLpTYm8tmnFl5o06Q8doV6XoS0u9/beDac8KwcatPkTo rL/bQgrpY/zeObEnf0aLdxNuFsvmOfCKbrBehBO3aHzH8o4lxCLZGBUcFzJ2+LPWbpbQtKb/WkgZ GAh2sOq+jwWKNhz1eCpVIWK2YRX03EcOHRg+EpIueSSCFgtO2cWxDK1ASSY4/WNHMAJ3rg7iMN2X BGzyd9/ZMYPrJvSQAEjzi1iZ+85qqp9zXGJbvU28usqqY6AaN7DJHR4NKx4c+ECUtM4BUAAjq9jp 1IZvi/w5gI5ehET1dxmTweBT//MUJyVnA64BOmzWakcFq6PhP/GzM3mPMPfe0ySIgGNZJDfRdPYo lmdZUKY5sjsNuQlt40P5gIfQ3imgVBZ2XQwnMQyMDs7o84EXvduCnN2QpMBBMRj5kCBf1upmb/t4 pcfdue7gK+Dbh4FmwkfUWOtt9ih3MLltFBYbEVxWohicfEC8Chpv7fmqu/38hVN8e5eheghWZnCl ovsD2oondf2YiyM5wtmQ5AGEBpg06LHgDL3RRRO449dzutFKb9Otd2ky0TGDiSHedM+yuuAyVfPp vYFyWHWqICsXteV3ucBqRHIS1eNovstrZErVA5ydw9k1zdP3QIcvEkzVJLMLO6plCHd9gox0t4sH SgKyVls0A3nLlskS5rHWb64KE/WV2B81w1cm5w+HF2SV3l4ECV9tHALNo0n1zfpQHJk6AMSj0tKS FDC+w3yFQ9tlgbZrZjXDLUw6RK1MR4omeL5f95TQ7WxIOMBKSTqrYiBPkyjEVzfCCoAX58lPM14h WqSXye2lHannS4fzMUz9TS346s+J4Hryg7MG5j+WdS4LEDzOMNz+oeDuQ/ii5DV6HpNaroQpvgsa MNjtvOZgWRDJUnSMIcxt2tIih2M69geXFb+I9hHqq/aOrxEWIDUPt592P4KbpR+RxQaVWmmnlpgs /qbCZQJgSeFmVbajSdFRv6Fuxg9JIFiCG4Md9cKv+Ga3ImsGCOVORUbSb7gZQJ6f1CXYP6jLQ33/ TXTnWscyW2tE1POh7USVoDYU5orerBfuTHyLiYAOR0KUZeByj1BdnTQG9lKT9Rr5teIPvuG2kiFi U6lrXZTCpwSphbjsl/7nzBZ+WGIapbbw+y7Q+EtpGnfY022lHNH/ClIE+PSVVtuIeiwYfE7uNt44 bcLmFbY+MedqzG1NwPyjcYat0AzWq5vtbCT4p7qS29zLtMOBPc05r1E/9DyLfk1F7FKPuksy8JMx 34oC7HtiYyWaiWSdJljUrxZZu8eUtK1ahTWaAOfOZbTFq8vUVwwP3iS++sOkXShT9GLh4Jh2Mnxr ubFwPNSjTw5Z4lVmWJfIxdmYiH0lnBhyGZiHX+Ep3u7JVcHYwBJKRT26AgzzjqoigIpyiZfgHhQx brWA+veb+ubXRwf0rpdlipJy0TFVOSUeu92mBwaw9Ep0E0TO1JxcMs+w4wF2pmr+mEIKhMWZ1Kh4 vAVjM0NyzHvU+I0+L5a07DwdS8fxHmm7OX2PhM2FZfK1fjK6yfDxEmn7R2Rm3eWDIyqpJlBTnaUG tqH1hmB25sjmRy5r+KvDHARv1olA0JudqpRdz1NpKWek1b//2FquhH2rWoU/0T+/o8kyq4/euPZ/ 4vJhHPIAOMdegl7JRAqXyayqDmcejuGiyJS0aPOtsfs6Ia8WQjq8quCz7t18x/2kKCfZghRRakqC 2KPrcQBzQNoQVBCgcFu/1V75+HASF7ZC4B6qnYsjPSIjDMeE+ql17V8fFkPF9rvM0yKiOQiTfrc7 HytTDfor2NrTeocA08XxDkWGxWK3u9Qk3pjlN44a3lBaghhznvMVfcAYrZXIoy7GUPdITdDQKLfb 3uS45Q8b+n4ueQUjMV3yIPhbalUyRuV+sSvi2NzToR7a/QeX0Ix4a3Bh6KJTdvJLGxvHA9zTyO4Y s+Me0NOwSqUM0M/OiVCVLpZv+IpIXE2SiMc7jZ7MePhoiRvGTuzcPE39Zz58beQMENWELezpMwUp jOhqJ+aDAWDWaiyQqiKAHtKKNfNAa0oz39SfPoMK4J6FCDP36TcX5BXsiX7nTHZjmE0FwZ/g9xBY k4MQFD9Ks7SYfEeWP1Z4kyu9FiElLi5kpi5na6iQJwOV2sQg6D9SwRFftsWgtaF0x60slODO/fAn u9qEAlD+TdzEWBoRrTnb7u82eBim/n0bJgTwa+jLBH/xpwS19CHIYWWha/WmRZ2/YdJOO3Im+XqU 5bxrT/AMBiRm9Azt69aDSxIlqaahUmTeWa9KPSJr+0ytWiRTV5rQaek15EJ/MqBY7t6oIZ/0f6fZ fXlghYL0VZ23Q0uPviYSdHHwZa/MoVUVdTh2+I94tkhC7z42c9gv0PGjb6A5W7JEAO9pXqzG5Zh8 NgiPLWJ6Gw14mnQQ2sR8kRYGyFMbqAhVJ+m2MFnkwVWxMlmUl7wBYcyPqEQbRzk6okUJQLgotaR9 6G7AJLf3bMtpCsvonSTMSp/7wITPTD5FTyNKb3bry6J5YRZfLytCb/n0K1+LTq3edRRR0EoIUd9h N3iw6zEVD8F7rkUfXWlUdCSoOg5Q3FKRKI+UT08wqmhXbex2Rd7del28ExRVmDBCwGYPd1ELnWrD Q3iPxN0T2S3l1HBdHOiu/qgXOUjJjPvMpfLbemTDNNZsC6cHS17x8G0kCBu/qS0AsbSbQe/9F1SD iMyTI07cV7wKJ6j+wLwX6h1gqPp0so2ZSANZ15LSZnfrdEl7CcM05NKOK3zFimAT6NjJ/2bff/AU YoyOy3qPZQ+y38MEWx7IVdY3aaKEnAV/FREqaiSUtP/fpvh4uVeZLz/muxuKvx8FWZaJO7hdr2Jg GR4mBiuX3JW/dq7Xewtw+6r/yG1YmK4G17BzaEWSk/AmIcjkNWLtxFfyz+kfW5BzF8/wQMlSskZ8 lcyZosWjy7qhtKo/M1VS2rG0JH2MhF04tRIJ0Wpq5dzJWGa4bAie0PP2YfdIuhmHFKUYoJgbjF9p iBFWk3teLeCoZppYYDuol3A69qPoADESqgVLIKij7+9Z4/9jbjhQ4mcqHkw3KT7eKG99OVxI8HSj jXK4PimH8QOEK0HE0x+kdOECiffb8f7MqUN+WFJgjio1b+f74SO7iIJrrWJJ3iR5q0gRn2wD9wfW 5nNGWpCLe8Qo7q9ulw3rMRiNhic3j9bsBy4cCbHOkPlFg2yOysX7j/HGs+XOI5YKSAZI3dEzZpqL UCsdCJFrR/MiykVJ1gSJVmv/JrLnoHcVHQBllLE3u9AvMcezlXQ6c5k6sYn9YnggH5UX2Op9tnDA 6CtMAypG8hRNycXdkFss9cE9858pd4M/k2zagYHZPbFblmhpJdkZr/bfMg3aQqQ8FG2AhnxOYvEM bLNtAo0TG29P9z1wV7OdHaMxJqyB4BMfQraiZ6hg+Z6jg4CNyp/TMeH7PzbnAG4DrRV4NVANrpjY RjkTm2gy17C4TVh6ABywYBTFZPokzKoud3an6f2yf1+zzr/IBMq5MVQesYCV7Gl73AHPcgp3hnV5 lLwi+g4x6adI9u/h7nBSXWQ+zv3YrfGBBly8RoFwkOB2HqeHmjOuI8x7YKqKiPHMoIMZXNfn/yTK 3EVZFWabu1pXa9tw+SvMtHYLDJxby4U+CLTH3dUYaU4mVK1hPIsKj7s1nWQ2XMj2s231UVw/Qwf1 rpN/lS65FR/mLqAAbRIOvo/jqNWJYb8zMradaHM7FRKFE03XfIt2ycAinrr41wvr5W6JJz69whav +/kRWuXGocnFbEXXrsOXN+WuJUC6i0FQNYmYpGgFtjucZyG1ET4pYI3+eMHUIuQGAv+i7m/FE6zA AzfA+UoPJjAnQEI/Bbxw9jzC9XofTPjMgJ8AgtqDWs+t9PaUMae9MDoww4WqG4Hl9A0Oi7VWfr05 fVvP/sk/J1zK3xCAQXCKdOFTfEade3BkJY2gYsOUE/r+CRuwZX765A6jnAKsOmUiWBpzIYZ++KKt BPRNeeDR400WDwAijigeT3Vqt56N002/K/Xh8PJXPrup/bUFU595HyIzb/APq06ykJYQhf+iJa5D 7Z/r1kxjO5uNrrhrUPegSw0aLQE7aYu1hH6reqjevztA8EtELXlr6lDBGPryHItSvhwnvnWpBe4f /ZpzjoSH9Ls3+zgNIqqV4gaLHBERpPM4H39oRTeYPIDaVT03GgqZgZ5e3HAtidTKj3+m7vghaU3P Gy+WYzxL5wAyp5OGfKUk1TTXgyMN7wnEbSq9doZkygKpFkWz2cAyZcA2kYuswPdrV1jVcqfAosff 25erUDeoXiq0kDmOJ1JjZgTDmRWQxFSRb2M8Enspft+a1eqeqK+Tyzt5NRgaRvGgUf/sDEOY98O/ yHAzmtKLbCZIczfBbsnaxIhtv/bDmXEVcWw5ifv+hj/VFRuch6rPUdWkn+/iXeHOM+k7C+ZWqKzS r11ArWOFmwUfy6i8NsWcDLI8dwbZb3DPDI3iAlVxs7TiVzz3iBULvqPzRZG5JwQJpPefLjcCxhln A7R3CSWn+nMHW4xhA6/Tjfo+E8R4O+sKDy2JbS8/iXZUS2ObU45hZMto7s5G0+Msbz2rvK3k+Hls oUadWU98xo6yWDjiPatHx3np7QX6LKZMU5ztzNNHVsJyQbp7nbIdZTSXSpFPnx4CBYY+pUbkpY7g LU/PNpPHxI3imgDbLit1NBkVY5uRQF8dULvTYT7DbNHdvZZw0Bw5b1CsVllaS9zxOpU550xC18n9 7ib1WYfdiFceZmtDBsKVIqmIlA7840cnaJxRhgw+ypKHSNkfOkoVpU/vqbwcUVddFHVmW0FU3OsU 5Y54Weg+Ysf+J4Fb+uQC3SUrLuogrXCXcantpcICoCZHBTKARBiQKUkv97zHt4qSiXG8Qxs+C6jm tIeIvpzrEHe9pA8KZjuvy8ifDBMyRkSHAHeYOVFZ94LLnQWdQjBLtP1OWJVklvFI78DC1NVaXUzi gjwwcRjElGhHjNAVu8PwQQJGXKI0cb/NeAo3H57jb35kY1rpaDC9k10k3bOrIbbxxB+oXeqxKvd3 7aEgp/Hvv1aAZ7DwXJIiF/uUflJ7alg5OfyiiNooxpw5D1ccBjAUwqvvtDv3zDayriou9IqW4fF/ T32FkkRMrh0Rw0jyDwYH7NLyiR5KwEmwzTY8FuFF/dIp/aWVJ7MQbT/8RpfP2nBIM7PpdRtVFnMq q+pSVPEMeommLfn1TKHsY2YyUctwOWqsyFm3yOKJI0ChJpt4MRjLSPgez07XrxLN1n4XihEfFbUr Rp24L1zHMkCQqisYPntSkMmpFRECIE5uwIyWn6ZrrAvGEXPo9iExy0jBZ9bccyK54lBs9K4NLZ1i WRpDIG00/gt2T91Ji86fbzYuuxxPVywEWDgWJjN91EOswYpUxxw8WvXgDykXbOaQDdIPYBr1VQ0R r4L3C+F4kMEkq90Nstzyp3bUzdNbpysrCYZlRbmuPy8onmI9soYV/3x8uY8JxcuNIRgPNWbxbmOs 6HYhs3e+XhSam25fqH9NoojYw05GuxRdANZzGzaxCjoi1Qf3qJtO8v0l3Ex4MkKu2XlmblDfNDMB p9NEFh+OhAl21PMvTjJCMGaxcmzxhTxBXXsy6lrSQn3v8UJrlFkmYDAay2YQqNa517tyk0kowOeJ m1Ma+3YYMDfmkQhnaQcXsE6gBf/rNvZ5w7HZgJkdl+pOosJBnwgQbJNbp2IFqRaLW6FLd2fWwp3G /DF9AiNfKDYzHWirUwGpx97RkXga6Oe9N6NnhQXKNQA7O0lVbtzgpiDfBrrQum+7lPeqfs68MzmS 2bfdycFcynVHgYEj57dtvCRHHUNZV+t6l8VgQk4Fj47TaAsNa3LBCfj/hAWvzSCzxn71zfP0B9DI 3VwiKD0F9W/4yGyfb7n/5iuKaC2OxvfQI9TGCf+/HdqZ827aRBVXi0Oswppuo5wYY712Oe963JcT z/tSXGO9a0L2XVFepSWVfK+3h8VfOwLf0zer1IC1TRzx43w9A4CIIxtAQPEkyqu47B4rFbJyiyPp SArG4Uf2c2pSEkMTMakM73OozIGJCAmNvpV54wahL8jNlYkc08M0O+mLAQeHs2JgpOovcn2R/ujL TmqKYZz97fSXuEf9P9npeud+MB04gmkRV2JO8sXayOOqaVNJfCy09cQmPOE1PWXi4DnKHzj31RYc 3ghFpoRBHQujePT4NtMGqxznjoKkm/xcMWx/KxeQHugHLbX6aItgH6ctiJfAajkW9hsBbwS558GH uQ2ZjI3Sb8+0E6rVYbD5sQ0gUZtIXObgZSzcq1gYMCO0cg2aWH6kSgC7zDIPBrWS6QNFHcm5kACI 7iuZdVzCE7Ri4zJNdSuoioc/aJjog4deObFNJMshQ47nm4g6ZmRvgby6K9689iJ1SxGXYyiCNDk+ AWQzbWHc5u2EvSuaiq5gc4N03jjPqttUOYNLpfnfL4oZPCCllqn6j003Ofy8uXKpXgeZBP4Kcqzb eUPXzxgYerw/9+2TqSylW0wV39m8TQ//jZ3LoXt13TEPWJr3p+O9tnaxw2AZ3e5PbMzsP5OEfYLm X1TpQ/TtSa08YjZX3FFf4ff/edG20v/TchsDAO+tdGpcizAQk/Q3jo7b15S5wiMpNnv2Zd6RWSj2 2pzfRGXy7ZgAaoS1J66ZofAPihXdMZdihOqDCdhb/ORh2uunVu4Nl+T2S6GBJtiKYnOIib6YUuiL Sx79p7SoW63KbeDLQdxOm7f4n8Z8WTs1t8TfNNVE5TnhTCgQfy/whgto7Z3NwoJMEiGNWbatpaRs /p1yY+t/MaaiRFM+b6k+EipdrCL/4Bzlv8oGrnmfUi6LYcLJYvB5A7pn9fYU/N0PaWOocVe0Ub6/ b/97gqyoll6ZD35ha7riNIdSTlbFfZmviQSft98RsuRWmCp4gqKQrMT3Ie9fhIm2yJFGYBzBOR+j +Ff24arpS7/sPdwV+b5Ioi1Kd2pUShsgDs9mDgunvzAHHSsqw+MTSvNKtTKLVTe5ZEwTl4co8XBZ xFtdgi6YQI6i333rQeYitJ9glHS7Uzayhjmm7pjEXoJ48mudl6vOdv70FVauo7yA9C7VlquOJ9f8 7yGdkU+1JKu0EqtQIj73XGea+vH+79LQi5Wd/fVCpv/bUNDHb/ctKW2Ozjdv7nfDTokdkYd2rBDK u3AYwAHYzHsDgficPQmSsau+3Uce2a84pD52Xk9wHD/kaIbm70mTpIYcrmPJ1WbcljhbPKZl9Vu7 a1///Buc7p6cFEgyLml6EBCjg2F3yni/Mc0E7ryt8v04Wd7oN+YDwBNCRMCgrrTK5DBDDptsbJtX tynNCj443LArEelA0jfK3aMlJvpvjoDmW02QuPmbXHdlDghAJI7VxLFMIhHgSziwk+dYRt91ylHU ITcztwSEcVRGcuLrDBCErVBfTM/f+b4NrRUsCHgzJERnFkF+DF/DoFmHNmINn1h7hMMmO0jvwSU/ Q5v7NPmo06pcV8/W26mDSRsYBpmFG4ybaNRJcoAYi8tZKonCWao6vPP4N4DuEKq0+KO+iD08vYjH Hci02eF/S7cp18WvSYkyVnO9lsiVpiiicpdTdEO+g5efuUOij/421tovE04bBRniIKWjH2jfXlEW PEIy282QOrA2YRrl1RGHh8ysT/QDSujM0D/DfEu+I2J8kEUfLWYSNthhu57VbFy4Zg50b+RT8hpi f7xNbx/+CXaIyCf3DEzTiY0uN7i8aICl7njxCXaX6hKoEl2OxJIX66xf9ZUmWrvQjnb2j2cVwbe5 5xNbWhDL/4OTW+QSKvKS5O92/tNTZXQKGq+siUePq6VwiHiOUyd4h3ZmJD+e5umyGNgFT9Xo5a3H 1ggxRlh7Eaqjol6ux2NFfAWD5Eh3RSNpT5XmbrhPyAWItPhNTlTZGBVrCbxP8oufITqRLaDaUKqn FGNFp/pqaqf2gOVN72KSpaErrusPWQeHC364Ip3mtn/1mexXjmkJFKhpy7YfkRlCl4lRkcMjw9fv DLlSRehKcJ4zLmf2ObeMnZoSxRtUJa+len/SRx8Dj8U4pqKc5p0lyCpDmayr+fNzseBF/tq0FRCo Im3modzJocrepvwwmLNp4rT/BouR17Ipwg3lpsfLDK+WIu50SVPKYS1Dqc5Yq74uCCS+nntYesOj S++SSn/vkaMmWimy06XL4fcM6jG6kFEN9eF4E+zrPBia7INK8Dz1jEhchXANrlcBYz1EUn01+vE2 TYTBaXnWJUCwFD6jIpfA9l5iKs9Kc7TeLKxvnqFZORVlhHwLey1mo+K/ON3Xgz3YwhaLanpZq2f8 vRbcvEzVsNQk0psbst0XiqkdEJIKo7QZK1Ujx40OKsnyxj0H71eWnYMLmW7sjppY7ZYBSowvzr19 /iGs6zXGicpEex/a4wd7ZYyvUg7h1zeqI5GO3zfK++Ktnkm+6yO+xZTYPmqdjZGkw+4WOCQORmHw t91CQYLMTBWlSjuQ22tkb12J+25/yY649E10VlnV1ApFDYdeKwlAkwBJEfgDnn1V9Uh/F2gp1w4Q kQ05WAasaa6Tdq6O1wQcHocJzfDZxK/cp2qkIs5tKouUuoNZxOS71Y/RNTZRiR8Xidv95gkzzRHx BYLN6UoJXavGriHzfA7hLsZZK8Bu12of5fNMHcpAuSj8fTFlWDvIi/Sd5K8lTm9xGfW64O3S1mKR c9pAZCDwWQWzOKr0fYm0DCNbcmuH2ltVib4J/WhA9q/+fwzZLtyJq3GZKJcnnPXypZ411CXpL50r a0jmLZgDmmwhc51aazPaHyt/fKb+c7oZipGIlzsT6RjhVLeG2uZqr4x/QffFdk80IgA5E0K5mu96 dRgAq+goxDfSFIF8qjJ/G/Yt0KXpe6gGUwXwMGpM4RG+eXXQSdcMjs+UyEOPPlmFEitL7/aXd8cr AeqKN3jf9ySYWpqlA0K/7nqJmgigU/3Bj21k1AyYynt8WiAhdpPl6wp8nJguB/tlP4HU7E2mWGhx P1wTwmwkpYgDTFc8ognJmCnw+w1HVOVBQdJJIZhfTbJJJFp+1LVJariCulRGNEmgHPZROxCnME5c BqtTf2vYujbTB/VMnBrl4kE/PWHSlBWij3kPM4BOl50kU1TPMXNsj/Pfpa74QKkP7MenwEH9Xt+w wwaf9PArVD0ixfe2+6h/Qc9/WHhIHlLLEMaiWtyj1uaCP1lRc51kPvGh0ow9WYXFL39mPo9EZU/q 9SZLUJBR2xJQwJ15bti3LU1qSKoDdteB8w2X7D+//6YuKqm+WtQJhhcGzzljI+V4NVpPaIsO9EpS Jfz5Y3W4oY8DJyy0NDZogRKzlncHHWTzVEXleHJ59EG05OhgxTClrJ+MxVUlppmIJooTnvyBRprX cJXEgm+VKnYHxr8qP435kSDu8+J2WPXOhiBLbeaH04+np+9hvorcS9gPAMu2He2Hvd/efC/FAuCF krAgnDMDFIFYbZTrnx4PeFIuMMMVsFE/Jt6umGMABXfxtQvCXvSG5ggp4XSlfYtIHgo6+SFk7JBQ 6eDXLKpciJo3tZ7E10a77q0hNWHCKtV2MaPGhexINvUIZRcEgONFvj7GN0/w51Mn+1nueQKGQPYX tVcnZEL3muxln2qJ5mPqUPJUllyDIZaaJJ4vsG7Zwh/Zo2SeB03gX7GYv+TCSZWm9NGqbduyKNRL iYW3VMEKNiDtyZGlUvGbg3Rp4b2oUYJpjWEeZ2mtaqR5f+w8dX4Sq5aHBQABqOLuGfN/4ec/Y5FZ zXxr5ZubDcJbjBorz8lVKYdI6GeVGdrb1Y0tk7E5oPZa5drZTB64jEY2kQcBuyY0eOk/jdWHaRNh 7W7mQIPa6AvJ/NLvDzs0KBlt47CKzwFo3WA1IOub3Ug4o32DA/Gx++GjTK+mZ10m94E1nIjcfLLg m2n21lBnqLDZVuQ8g3XYqS/st4V+mgG1Jq7fkKjFPjnthmptMBeljbC1UCjlwzC1kxM+unumrC7M DoXdSgct6Way12/7LmRCZFOhJopmpeZ2auvvvmWc8bVZSy+JRCNwdcUzBEg+PMauyJV1XfXgTm3X S6M0KCaeYZnDgiaT0cPwKFH4mQ8r6rbPLj6WTsa0l0QR96HcA83FL49bCAet4Pn3N5KVxywJWoLm noEpMQOToxfwWirtKdjuThOmjuE+ScA0l8iiq89YEiMjo3MYDWmzhDLzngWReMF5wKknThNzplV/ xTO/vBpHK2B2NpT5Rm0fg4x3y3I4b7ak5BeY/X/PawjbCqSM4IzQwnJ0fVn/B7qceakS5DhREwh7 a3/VZz4tVOSJbLVW6xGR2lVUADJDw7NaGIDHXMJHLS3OPNBm4s6KKCDrlzmDPYTpYrEr/V+bOcca R+fjc1OItJUBlm9qGn8C8it2EbSBVuqW+AfLEGnTanU+nR2u7D5MkHZbWC4HNTpjmn4pALpxZxVY NrwxadzCeY8o0orTwIeo/rmZSuIi5z1gQyU8LkNRrFLu54hrH3DUthfuI7nWKTi4BnEhAI0zjFch EW6jWZyimGqw5InHLa9/hqVGAJnvCV96ujGkY8HAjvbCrSRL9RKoeUyXm6vbt5Z3Cb/qO1UjvF39 FeJ3AJeSRlpXhGCLLElZtWyEorXhdQclSzzEOMYU3FbpK3Oxs0MLs8wczDjAwkkD7i5ioPsTO/RW gdmjW2E35UbIR4sw246GmtqW28eTX7nPzDb6LyJp7GOFCjXU8aMZ8r88jYY+1y2xDmzv5+RpcxsS SHFYbE0nkCQVkQpEdaImUlZC/l/FRxPHag5UaD8Yf5ZBbzvAesZAFH7FHHOM/uHddKAdm87GOjYm popiwisNsNGKcaP4PJHs+fchoXFEvNwQL+mZMEERkwQpKFvln1QvEWzuxDOFXAIx9UEEg73GTtRi s4DjYwrW0Cua1oii6NJgn4hx3Mf8BkZlewDK7Oq5Rj/Wrp7LmM3GWMPW8iadRH1JtjSAbhUeZMuW GnaeMfXzlw4ga2tzMO9ZhB51PIY8uQ+ztTnkU8rYHCgEm/zQi4Wv2kEV9laHkGnWkJadZWE6okXO zHNu1Lvf3ndOEBnOz5llQN0WCgynIyhXTIurljRjADHBwn6seCsH0uMUP5fB8rDhwgJhHWd8y3G4 WTIpD/CQpf+KP1NPqNKfpgsOZ91qgrNBHSDZzugyOfMCDtsxtc1+RdR30WMrBDGS6bzj5itEiTM6 oUYvlEheMXmvOxBnWcc+jom5cCiDLTm8kI1e4AE73KNaNtLZqlxOdxTQ6vjWj+Zy4QK/vVAYUCFo tVKsIEeh9sQ5T90e3j3AvE1E/yRTMgrmM0VexDzGWp3D3z2iroghsFbdmo5tWRQwXkrvFaPbrxJA gNguNqu4J6wvywI/PKMa91qnEAMrC0bWSm9nTS7QlYjc/0JcWLLFxfBBqigUD4oh5nASymQiw662 znpd3LtnGFBJTvGdkx1Oz/K8VymlywXBBJBX+w34tzbanx5QI+NRQfby+Z1ygfnu9meSVdhCrOBX m2DCjSK4rSsRMUp16w7MSpyAC0WJw6iRALZw4DKC6MDC4ElFVOCagBmU/pTOM1oLBQxguOuc++GB o/ejLtyEyvqaPSYGc5Gj/yBKU1H1jGr03Ga/3Nwx2dUC5oV1fd6h5udyXfG5d3CIPR/pUO7RBKPh c4XVAGtIDbYrAEM6z0sniEevbrqc9elplrPz/V+vDW30dXqdANJ0z27WzC8yHo5eftAmVSwCVs69 hlcn6GHbWBZSjhHmexjEv9VIhalMUZMV9NAbUFXHoB1Nc5hj8YvryDKGc4KZduQXWdIQsaHlMZ1H xwoDlz5nM4affajnd7/EcTTTvaVxKDTzEPzqIqrPoXeA9ZJWLnzcYEAhu7AeZZk2LjyzVusyWMaU mKQrSru8isPUrOQXjJUHslt7ztoMX0ItFJ8INELsvCWTPCVlNTzPNEua0Jlg8yiaYFq8M7GTOZuI sGUrrdGFyz/277geOUW5pRoeYkOxF/tNF7227bEWUV+Ai5z3DKrYMT3n7jomidC37tpPEpyVukiF JWdlfkv94SuTWiwVwC/VHq/J4aNbwmsr6W9hfECrYOMqf0xz/XmGUzpJx/P1s+tRdCCmmwP0JWMy ypOXSrVSKVcNOysWIH+f88DFaM11azN0jJFgQKabPIumDstUoCcWfjMriK7+gptDj2Fx9hF9ibvj 63EHjCZwaSUs5CtW0LKWFUXxMLLUywufc8LfNdguFY03NdhiM1UiDUtzSl7dCfcOPHwFnjGqUCsH K7Q8Fah4aeKOQbhGADWcsrG8GAi/fcqasNzgxkvz9fWbEy1r74N7d+fLQT6TBcXDnqEpgy+3OyfZ 3eSlIriYsYdooV55/aFLkpzr7A4KiPMUPOdKjhY0BCI0ru42l70od2ErCEiJVZTUErAl6ntDIsRR v1A3+AVo3UKZlAqiNETq66dLARaBWRJ275ArTPRLisRAcAhs8sMXG1CyquhoapV4xv7sBiOXwyYC a6ZLi5jx5g07dZiLsuoa+JZaikvE1X63T3dXby5nKoxIUkDJFX70s5E2PKQBmRIFY4ss/oDf2+ZW zw8On1tPDoceuVgHC6ghQKYaKPrjyt12IvXOiRBOH/a2Dj1Nhkj5UO6O/GrlDnXGUhaQ1Vs58qvI 5NibkrfrUvKipOjUCDSOYiKuYw3hYFqTEgaWGKluQZrEvqQr24YVjX3+/Gx6EvPwZ8C9d8BAh6Gd C2ONnfJDwX/h3gIURw3EqIgKteHjYQz2GQl+HM6XdKHC+1r0tobxvuMCX8B9m4eFp/+d7Ju87pwd h3g5juf0cjhvEI/axt3CX9ylEJkVNCjObdeUT8bEsGkU2ukf93PW/5ILN4Il2ROd+qlD0IJxb1Xa Iywc7TzLtFMq6+odBaaCq8CrLCnmbJZ2YKKovujGvR5LAJCTIAXpgeUezw2E/QReCWzlI/8wk2Qj QPPw83SVv/pB8fugLT/mawjNbYQyVBAm3R06+qPwbwCpfG5klgG6CFj/1xiODo3Ha5cywDRC60NV tZasLKpuvH1l6QnvAh4pMYQqYU/xwlSFsfuPtYhGsfhvN+OnXqPTJ+igaYwn96uGKL9fXX4+KhwL izkGtLUNaT6ejGfB+DJucaMVEhYd21A/WfjI5HpziI75pe4F1fBrltel2ya3mX09D3Y3zVznUf2L 0awiUZGIKkMk6/7e671J0q7n+SAxv9bX6jZbKfKYoYZSVzge6DL7vNKC05QwkZtrtYrv1GQvKJ7B +edX6sFPizuwicRZ3owIaChWUkOyedmQh0GlXjJ9qnTIkLotzU65eqCq12Al5DtMulm/XYZEmmXl 5IJW+5C9EzWME0vP4g95l3C8VLXzsNqfbWlU/IIbLDyg4a4JoS2EQbnNhT6OhgdLwCYcav8M7qCD Jht4iYFtNO1eb4OUkFuLNFgATdufV7VEc/QPo6Zb9NegoTdUDXJTpQr8CR0npsFbzHkPvORYYS7Z 1YjcGrjRW1RdtBUDFaYXz4kPLrbBXUxCtfGrQn1sxra+4a8gKcsiGF8OAL98jVv5tIePfNp8RVf0 WZ8gBDRD9rmwcN9qT0y0M03s/ibhNkyB0hiXswdyFQZU3GVYRimuvjKC+3+h1nxEOdoWmFuf/lgg cSgpSAQVQePXwyij723jS4KF0YpVvo2ZjsCd2hEwWY6XpDtopBt1HTja/QKcC3hRx3YxgSnklNdM ufMSRwvCjUTNpnsYSvbq0feHKTt2KayfivMgbcQWK6ONmpDRUyKsXYSXUqELq2x64pyy+7D1zdLc efAk8uStH6pScVdyxS4irOcl05APk7QEbgDdyPHGsNXVIfQ8i4FYV9PWt6C51sS/E9N0E0sWDwbm lmd0V8wzGUqRyFnDc9kQNPrGl6kzNvnxZtZVJ4gPaSQhfKguC0/S/Tj19xJmsAVNet/ULI+x42Je 1doL/8zYDNaWgXR6/jmMx5enPTUjxeDurd9h9DA6mTFWASSIxcg2GT32cdzTyAI5LZ5gyBNb73re k71yVYWWNsfo/whaIsgDFrtWp7puTgS/+Ln8SWGZvb4KFzlaTFiLObqe1BtU1K1HuysQhm5qB5df 0LYqFCfUXbVJyTh60XDpzzjza9am+/buZ5KpBD9NBnGnj9MsI2a7XM0t6ZtTEMK3dH+e8nha/uoh d8VmMUkk8aShcbRpth7j60fTQtibWlsS/rXL5i6sIYiMfdFjamwEfkCx7GZEZWdMn8a1/N+0PFvu L+AgNGb4pRoGhMdwoUNDYjloSCFzRGL52WVbXv7xkFK/NhCI0lFmYb2/0gphdCz0o3KegZd6CICC 5w7D/EuEaBPp8AAIeVsCahUQlrdvILsiqjTTWG4aSmfRoyY+mxMFqbeZKpeMkuzCXUeSFX5GrTnu t4t4kv7ov/tBVKMspijnOa5QcpJESZXFkdKIKswX/wGoC5PVu9yiOJD2X/ffo9Plf/AKoQ1AvzO/ /tuKY2NjfiZyzg+hbDXiTALHzhoGOzZvc6ltkcJJsdf0/SYYPIus1PrtCqjLT9Pp92H9xuqUyaXU 0AMuN1YlE2eWxwWT6Wo+/RZdmBWGvXEci/+omTOVhZ6CxY6jKf0KK7WWuw2PLNh3qzUCPoP3wsx2 iqUBdRRjuHOZ/SNZzg9+aL/v1LZYQvlndQvXJWVHeYbtKn1g2OFlCoFp65DGrFE5vtK1FHf0UNhf KiAz41cSIzk95S2phaWGUVStX2J9+j+Wl8nP09bKwq6QkM2CXp/JylHe/TgRUOFkIx+2HwxTn/Vy 7mttq4/nL7g9I+tpAnZP6XxlPgPe8/ZaqX5G0mTOwvYRMnT+Kz0OvN70wzmePgNP5xd+TQ6le67A Imr521kLYr9M3q/YhO7ksGzLgTe/SfNaoiGqwPooNiu0XqDRNx+ntc2/XMht1dG/7iFrSMVM8LYv /fSyAdBTQoYVyG2icgZXVEqFB18hkJK/RMfxEbr7XK4EWd711MnFwQp76k9TiSCAcz/1TeVdlOAh dzZpooUBhCk+LXLILkPkZHFt0Kwr0pBSWKv9G+/o8jnMhHSWo/FVGYWRkZuhKVyc8vm2iIQAcx9e +1xKiZWRhTp3aWLksCn1X4EyTnoHMQolHO+0hA/or9/efwjgla5zCidbiHKOKoAJ8m3+KnPYFpKC j776+xXg/zgq0qY7nsIjBLEPxC9r6rcPpybPMM9eZv/enia6Nz/cwif6L5xVBcLPnGBb6Xm4fLIw yIiiFk0Z9DvjUWQjgzILrCAZbwNHJAp2YqFs9gnWn9rFL3PUmKs9zHv4XnKbIGt80GjZ6jtFdkET akdgBg4j+OOdlPiSikxW9C4IPk1B/8RjvwbE2Cg4DYih+8D4Z3OR6hrx7IOauw7SJSkSFdM0d9gH YWd33L4Tdkw705/Xva++Ft9XlJfQCrsGx9QB+cw8zDO+Sg7K+zubIIIl7kny+PQ1LPH5l7Y3Vs2t m5NXnJcKhQd9f43JCthnS68TGJLLCF98lBiBvUTqfkz+zx3G860x7H74QkN/qL2ymKTlBeyhA3ap y3cQ9QVzDn/Ih6jgH96vhn7UVaxhl5ToeVmb9oJkILpVMbb5Dmh20q8nNBiMTHqZZDJFCIJr+UsJ jZRZPYPf5obJTpl01mvtMwrhHInKMKqHeR3wK3BJT4SAJWrLKQvp/gymIrdPkymNc1Ydzj/LeLwK T9EecrY6uxrJCNO4nmOIT3Rt6HZQsjvayEJ2UhVrup3dOSnHnftNQy4kjEvR+yl3HMwtAd/wpN+U 24F5Lw68atIf/6m0Lxzu2I6VEbpX+vsDoXSpqB5Z85yfhfSRG62l9ShGbgOIcLD9CyZEahn/nm4N 4JBtDkuSNcXfx5UnWpFSxcQn3/z8yXz4cLHfQmg678OmoRc6yIGNU+rhpgbzbUOOFelOfScjWcwb 3HxeMCW/xo42ni51qc7SX0ZSZbx1mlIobtZoMsniXanb/EmoXNimgpcHU+XB/B3Q38qkR+7vN20b qU7iu38rnTUaw2thWpvlJyzyY/bFQHY9qGrYHM3zUuyV5MSaT72HOra51u8u60do3XtZQs6f+4yF ccAt6h/EMFv2YRB5uPy1w3BmUD5CPx/PINDL4MbZ1HkcsH1I/D5q054zxnJ8cUqhho0joovuxzLy 70jwtIadLfLuIrx5zzzH15Lr7CpiFiP0apljFJ49D84nQ16SCRVnQqaW+w1MpSNkeW0ngGuY1lui 1RtJvBzzj2h9TBTWOLE/YrAgl0D8WO6w1Gx3K96Z8GdZnOd61xibDRHSCWxumupp7+9JIxlcKAxF +A/3BFl4gOt8S8GzoaifbUk5YUvjIR6hnkIRHruIerI/549GbufkqHRiRtmBOdICY6+uJPqGbhdN o5ZEpp91U+/Q5ueJzzQ3EtHTad3SxQ2BYyxk/0gbKNG260qz2j6aqBS0iCmnGlScc7e4a4Vhq/yu 8e9459MzP9oYptxRd2VuJlW0D21FycS7aexXxo75BM/IV9pwc8gWgvjHqXC46meR/TBVpKLGHjzq crsbEisAlw8ZWUoxK6u8Lx+ObGyrstIsCvmbwFfe2g1EaPJ2pC+2vW+/G9ZFlZOuf2E1sF1nxX1Y KgdyvwkTBIvUnTr3hGbSqeg/Lqp4qgvL+iauy8FCcOH31o92C+nj6ryPv23lfet4negjdHMqdst7 FVMP5vh4+w+CEwC6EGGTzA7EdxBC4MMEWKcUzQgqDG7nJWAcjRDnV/qixS8SGxTsbSzfCv8tv6kr prViiYHAMzoz3n+z1INqXES66J+NnhIYdvuW5DeHoVLBl9tUewEyLNVoRu62MQrFw6EumvBot4xC nP+PuExW9V3NTIZtllhthxl9tNT6o/B4/LliciMSI9KQHvruWb6FmweOfwrpRwJRyql3Wq6aZWQe JgeonSXtJNjLKlG5R0uOyYrjAkgcSpZ1O1tk0MgWpb20LE3VyWIC8VP8nf3FuTr0/iBZrdtg6FGs OCkMhHNGOpTPqbnRLiTMQtwND0pEdcOTs6FCGX7ALYqnCetfIuQnDa45TKFCOd02n9N00XH2EDvp A3xgwQyuWIBcofK1mxKfepHHR/3DsAHOuYJx3Ja7kIfhirPjZ4NJasSWtPS0RPkFXXSiLSGVrFIf HjF/Ej/0Mpf723bV80x7F+xeApRvbhyPjdyYuWtcwaE8Z8pX0MQEQOM3oxwI40FPgrg1duOFVCPu My5gGrxFbPKzt+PldJFleoyyeGY6aJ/C1CBWEtf99lAuuBKKOAXOvQj2di/YWvFPodrjfqiIMPHV 2Zd2KBJjkLGYph6hBwKDXvmfgm44Jynx+KFZTaQFu+Qp/SQ8YbMpUFN/JHcP2RsgPFT9ap+mQyou 4RqMmx5iqdESLIXYO2rqHQM87TiuPy+G1mWR/0cyx5pjKXF7CF6hwYoXH4+vgZAIZEKKyoF8xdt4 ScdOhWDQFGnP90gpIdXfGfgA35yvLFmK2PqsBjZn1Fm/8pWSLtPIAeNhLnuu3A5Z7fzZJTnweGVA /cZgxeys6MgWKUh67UJSHhpzZjWkcM/9i/ct4TlDWi8WrHAnWTo0vBilyl+q6kGk2I481GqSxEM8 xY0vx6EHrNP/yF9XhdDdHI8UO1pNYSwJyy5gA3/CUwW2imz1qnKR4xo+iqnMy6E7Eso8TFydpO7T 53LQYTopED6LjCh5iaJCOvy2kmkXU437vMTvMF2y3ilN1xtZEBLyklK6bwqB1ynp9boFE7Upsn3m /CJ6XRfMFK+zFYzOYTIv5y0JX2H3JUveR74N/sh8qSymxZwJ2Rr+EHJnK2B8DNCuN+f/N+CmoaCj Dgh4WIuhgT4ldrt1zZoqXIxDrK7u8t5m6HpDRFL3QUaDXNuBqFh1MuH3n++sYVLbP5t7RZ5sBBH2 tv5/QM7aATcRkFjVQF67P42I6RTzIa85Rohh7rQw0GKYa7FwOQLf2Ej4osEJGsnoqLTNMmK5Wg4q rAhaw0bTdHTmaX+y8jH3pInVNyqud8IDqPT8fZmiz516MTLB5rx5U6gmoOSEik+zRg9gUHZ7RtaW jA/LWQhniG6VnxoaMfzr/AQSeB1uTBCgwybWlmqlGMkyUsui92SKbbN1kG0dzJDoKeMlXrzpkGy0 hmI397viltALYBlWLmaJLTAP6Rm6LJ6d3ZHJXGvN765vaSFrhuGvd5GbMrJ8aQCSPgr15T2PClah Jwjx/MPJtmEyez1kZgB3bWNSvX9fTGYjiMhmSgqclBgTECfIt+ZyZ038k56ZDSKyTgmeHeESiZOT 4co/hqxuYB/HoXcsl6az3pJAuK/NJVhCwxIM/hpe1guWofHfUWZoBN+hUc1q1podb4mW0Wq0YOiu uvnVzMumblnDxl759mh8T2/gF8VVLz6WPKdDoognXL3f9r1V4efX8rTnlD6HnsTpolncsOV0F4b6 7q4JTyq+jhoiReefF+yknpa/QHRP1qUDBcSWRtCWOoHez9dkDEZyafMWy9ygnSEgMFb3mQtjslir Un0Zaawv8CNGsxwrlnLV1PhmXQ0BezJW4cuWcORlgmfbvGECpRoWgD/OPs3Ep742L7VnRFem3qYX d7ktYED91Zh6hqDRVKEEMU1BtSvBtfvirD/1tLhXooGlGxLahILD2NtGmpClEN8Ud6wJtovLoD86 arcvxRvcQWfSAjylp9DgaJ2/Di4HFCP/XmM/9P5jisilOpeVxNDWawGvLZ/Fxpx3s1s5P9phecp7 Ri/iIkeViJOu08aqZTVPZLJON9fzszU9JeeEJ3AiRISEZxNrr4oYn/bT1IwRKavfz5zxnbEAeE94 6dzIjKL72zhXtGmV7m1Pgn2vk4TyxOHdms8gpB2lznR7w1msSEY1IFJvCZ2sGZofCRv0zli0peVY 9B+HKCeeNY7Bb512o+K7Kr+6ZkYQ8sx5+EoZejfDTHyOdUwhaH00b+S8g+EyQ3iXnG+ScttdJpY4 BNJ/HBirzjMK+p7NlAzGxf9FIl+0E+u4ui62pAqtxwUd8uA8F1Coq79L1Vb1HVMT+UeTq40frVV5 jErMBl3LAzPamlNixJHubeNcRYL3Ek4NdTkzyo46Qv2nCF4erMMEA3cwolktOqmw/+FfUoc11T/T 6LMGUqLvxTfy+r4XsPh6NOZJRaKEuwNIL4wsc+x7/MzAYbT4ifqGuGhqCIZZ5QDkGs2kats1tPyc ayavd8UmWblz4hxzZwackYKYUZIhLGgPY9YQx0hLDulm9AusI0VwkJovTEzvz3ut9rqEvRSfWdFu wuL41hWqghoRIAkp6bdEHBHE6KGr2MfG4mORLDSnBGnJ6KqvQWugD4zP0MxsVbJR2EEwW4RWVn6z R0uRWOlMHRjWSEt7T5zwNRx9L5uQHopScIc3LxWlKYZHf/qe1RFTtd4Te1c81wLgKWExC8TALIW7 OnnYydi2saaiTU3PWnzwtCRBWvhochdcDWSqYCnXJ2tPkzVRasl6l4PUS4JPHlBHUPEiguCkICJz tv4Cye0skEcFQ2O10lVWqRyAWzHwCwgN8eSBtvMtTnR3SSwUbA12hg+TnykfUyUwwGAVi9uMjDlH /25zF8P3RsVRDeRO+6yI3igPHyBL4EtPgOElpsKHXcUB8SdouF/g/SqGt6EpqKOO0AcJuWuW3pPw Y+1IjEbteqN6HHetd+eJ6vT5V7wd4yiLHnwWGKctcblWwjczlLt+1AlgSSqeaa5zCxuDBVeyImHi mSyZJG3iaKWzNaNLdux6HhCw86TKO39lV6ye3A/cgZpcSl+auTnK7pEuUt0GXEtx+S9iSCWyq0d9 hHx7tXEg3kSIpcOXElgox4mCnJHa2X+Azhcivxd9jblFv2nR1CAf/cyg8t3akSTWALDNAZc0PUSK W6SYUa5gIBy2iZ4HRhRjS6b+V2thIg0kBnnzBOeO98Rvd3h5DDVf+6+vVbuUKjnEVOFLCyqn+j3U TWTvJQtE5Rqu2INYC/yWWDAutjL+x8KP9VBxAoW4YvvuAQHYm0G0+HCt4M8LU+fDCu3UOSW6asMk 4hQk8Hh8jnWjauowh9zv5ciH3yOVTql17ggj5yIm2e0sNkqp7io0eK7UNjzOAPFFxL2dOjtz2Fg0 tS68hO4MXgvkPDVyH/hiWguS0pCvstMlNv1ZY+ZvxJR/UMIJKFaVQCRgdTs3M2spA1U7dyd3fE2O mqdiDnUO2zSFJ9SW15EAaeomZ9EeX7uJQtK1L9g59ICLxWxitb3hgzRFK0jI8slxWUVX0Xn0BLAd NqbViGxMkT/SeJ/u+T4EPSQJ2dbXdXZ7a5lFGxQQlV1LTOY4YT6jFY9nX1lO5QwyZU4tC+N2+F1D MQAvZzgWsNex9jKDniSlNbuDh5rPmAfGWXeKJ0BzlxT5szRiG8tYNww7f7RQe0O1H8mIE18cA4py EquTtGF2YXDc2HorDE7MIExaczT6f2g//m66Sznmz4aNHwpD4lpGZDnPIprn/Y1V4Dl5FsBitdKZ /MRz0s+iK6tR27+EPNHKsz2gxQygopH6ivlJjk6zO7P/WDnRwI3oY3RjvslQW3vPiN4MAafrOBe6 dbFzb+QDUQZLMN1iqHcRtD3EUkax0ju0LJFkbDVF9Ya6Evnv5tWBT9tlVcGx+ZhKzUnoU31eWwKq sM5c7pLH+WC2VoUwSQDPAOsQ+lFCyBLGNuHWtT96bYhQLqa5pMh758NAFuPeSG5EmNhqWqK6Hek3 DW85m4PInGdOtLYdmvk9hsmxV1D9DPwvu2bVZKogQqrSzei/nXutJesfGaSQmyoho11cXvA+aJaN L2AdDl5aWfHCFBWxk82X9HZMWmJgjUHewMkgyidKnVEf4WrZQYJfW+OAOkoh/HR8Z3lvi8trVGnD +1kLKihU78BYXNXPG3D4nVgtRg/0ex6nEZnf8e2BIHWdeTi+RGmd0PQvep6zDwlaSQxxgFprwWPc BkP+91S5oE6z7hJIx3aEaQZEWQFuMTMzh+pBTKx12p+CnQETFcnFER1EWl5+7sLEhbmNONHyCCTO hSqFaYHCM3d7UGsCSAZZ79oFpIUs47aH6yF6zsz94W/BYrKksQuCl+yIBtzoh8ZfJzXEszBV6k4w ZdRYyWnX3BGgJH/xc/M33KYSmZqlevmVNEuMDwoiicKcBhwFm2PK1PRtDYAHnqjgJ3zEA0Xs0LlR JDqNVTl+tjJnkBQNPOeSCO151kImPXcjXrdoSySIE61BeYmC/L/44VxhRz7kk2Bs5z5hA39EZQjR Yw/JSZzZE79gJuZT/Hz37vgsnJYetG+rUY2erxv08DPmF9AypxniAFqt0V+tRp1aHjuiZM0weVdu E7rHqvx9msCR7DkR3zu0ac3di55++UNH19h4pu4+QO/LT9Q4EA1vkuVSSMF4i3Uuik/AKohHK5LC EO5xz+Afh9jj9CAaNTjIocyxPQ6beu435ZpSBq7u9VHtpmJDw1Q4ffEXWP/d98zn70lKszn4DYmf QGFV53H+JAt9Gr4SjSHsC2x2fc0gW2KE4qCYYdVPTISDL7idWDNBcbNTIzLK6zFSlKb4MXPqJ4Mn fWV/bnMZMJLIIksn2bpzAsZftNOxtPxF5p0xqyF/v0mYkEkVEz/V2aqf6IevNrLBjIepXmVtRFLA piaTygQ9YrAy+FRoAOLR+IoICnQklBARdEvPJRLP4Umulvadlq3BLnkidthJYgK0U55fNjZmwPiJ cdGV27jRIuWguoBF+FfxxdWzAKsw9TkYlUQM6daVSdPgjf8C3dOAOBPQ4q6eGC3FazW3B1R18Qx2 69iBvT0D73DkJnALfQehUNCpS2UWyQrrDB6DChawSiK989ln1pBopRzK28knbBqUthPqMUEP0wJ3 ehTIHMttiYnTQ95bJoa1Gv9GXHR2NNtcK3AoAsbPTkEGcx3XWAqFutmbOBcCsWl2lUroQjPCmCEF w1E4qMlM6e/P1tb57U9zxPjssNagHL1p2uQEM22JffNqgaHcAxm2VZKkY1E+gLOwIAWq/kVAM+fZ 32/azfebZEyFnnSF9lSnF3IL3+WLjKD3IhgiBFvLNdBcdbb7WJ+Tr4rRBcxcdZ1ft6+d0ivfuYFk P8Bk9uQPr15cjLz4WrqUMoojlt00EouyEDfVepikT4V/SEKkO5u35I+uPhnG6dIQl/YSUnykpMYE VccwAIdT5BBv0fDTFRZpRSapan2hFvItpLpAMir22CfKpgtDk3H9erBvk2gfJZzLJxZ9rmqkq19l EENxD8Sceio9yOqOL+n+dTho7NIIlhGX8tViIzF8RMvQtEQcuU+bvl5O6H134yLTmHqIzeTv8CEr PxwHshScrYKoceet2y5F0yLcqT9chLTrVgcFQfouBzw3KpuRwmSFq/7KFkUz3tOCwH7r0J3oSGZu P6J9RGn+XVjzLthGaG0fvrNcejplkq7WeFPgidsk7yr4cz3+SSJUqM1SROqpSd/8Hnm26uAw7M57 atQD4/pMcPNulN29sVIFQFm257YFqVGkvjSrbGo8OFvpVPhlfO49/zK1WRtS9AUZc6AooOcfVDJC e1bwe+ri3pE7A6K9UklS67kkG95OsQFg+Tqs4Wjtk4jBGzmblEQrB3wEEVQmmdY0h5grB/2MFcp/ WZCRIOpn3ctsYkz/yRC2QlmE86y04moe5bR80jNNARCBUIcji7qPEUVKRNS6d04SUTb3mZYszKU7 +fLOZCGDXG7o7vPqArEN5Tj4Gd4T8/3AbKYUZccG0Q33RAUbwCN2X9GMqLm6WtFiEFwsoswjbS5a 0fVOKIA4IlvsbtLqNBUXzI09UG7UQLZlgGZyG4CE+ZARAnnvQvb1dR1Vl91V6UyfWFHmx4FxIltg JUQALnrxa5P/dYk+hISuUu68uixMpoBHwXekggCnvzaT5Z8CZANwNMP0OOF9/B6nHej+8RS9S5BO xzGj3BtJsHyoyto1OEyNvmIm2g8AHBosqS5eGfhrunlbsyaoR3r2T7JnyaVYKxmoKwIobZhx9U35 WcOOOW5hvSeMb8UOifY0UlmQ6LOHHIc6MqD/DkvdtikxcTLP9lwmi8Bv/Db/cuDhuKa/cJ43QTLw qsn6bdF3yx1Xhrb3VXFqKuT5QqDVHWobK966Js9pIAIR7XX/PbEizavCgdSSe/vOBIQM9j2eNIyQ gXMvET0HD4+ydvmFnXgpFNoJ7dG/tkumeBaWzmK+okYSxUzT153s6eHvStnP0GnrcZu5UOPOphtT iE4FUo8/zWAuo2U1kwXvXZ4cHsJ47Rd2vzXdzFLT+ep0/ZR9oRtDu32F29rkjLHYQd0HkLeeHBFp mp2xdQM2w0qey/ytm0NzM+4N9cnTeSuyjA8XgaBJ4y4fLUqHySR2XM4LaeqcE9UcigHEgopSR9nI wi3WVTjf/mbpbNUz2F/WzbxDIAodPTy2AWT8mOBwwnluH2W7TisWLHMIA+en24nU/nSIYFjFfWhd FGISEPGQFfUpctM9mbaDjd6v51Y6SByhCxuMUKuKkf0TMdH0H+xBCl8RJXLj8hoxf1cOAAg/ikEb YBznT+VrgNNEyElbivJGquHWCSrH4SIB829KdfpITy/yDmABmsLx4dTzFu0h+3INdBM3gY8ZAiSG ukK8ondTWsh+j888Ji8GBpIGM28iDHEjDir1jSswXlZy/72VaDs8P6S3yqnAymhyjtiAk1TT+SpD omSTy6dFpKEFkQo/iBEGP6y5pQIe/BufqqxwKgNHoga69jurtq6Hm9tPH67IqDBC/JylCsdD8ITr UoJzyqfXQN3mtROc0giEHsPctiHvfU6IqD6uql7ZrlHlLsRXSu3lsRe/V5z7adaRDYpEMm2LWx3c 4n75zOlDHHtY5RDHXeVwP7Xe0R4/hCeyD03MviLHyMXOzlaXMRd+SaK33We0E2qotZMHkPC//GHp cCNFa75+5VZO7wgVS/e8/h9WVVhV6ISgE8nxcyJ3IzOYFcqbQVlf+y0b6y/ODQizwpnKV32GffvU KEuGMl8inyhh0Aw1dGcM6+iVLNHGS5E8BDFuOpJ8AEYcVa++OTvabyYSiYdGytpzGrhHO5Ov52zN XKL7mwQYd3Kv3uRxAQCuDo14CTGXdKp0n3ajm5IqqdkJbEFUxZXPTSuRsQvqN1rbMtBEM2uRPjbW r9PVqHNXeOHdUZEwLn2Wj0DhQ7uVMrz0xWkkqg4TAMoWx6oKCMqVN0qyhumjhl0+9scDo5dq/KB9 eNKXcaof6szC11tbe5YW4eYhYwMsf2474We46qzswFJomi1Cw9V6nClkCkX1g7Y89BS00beSBiic SQhxNZHHydMr4qGKY4Qgr89kPHJTh6JOHQOKKYPJFPNQCklrR7uigp1SwxiSUvvKs0PlMw8yzUt8 g6Xx4eBW9nlK3Boi2jfBMuClDamm1n9bols+etYQ9smWmFMF96fk2ePfUS9yVwK71qqXG19gDJt7 S6qaVRTpvGyfwWAgr3j7kVw5Dr6rh/oNPijy32nBJGd3TYs5kN+wVWnLNfMoV3cYmAaiePyVeBUE O0oI8aKEINENFESBRI6v17wh8LznN9Ak4mY3jziCIJdvX1dqnXyCO8av+YgrEeFv2VWXtQXaSKYt GwzdMggc6KlibeVstOijTvlBm7H+y/lSLFEh2Qsx+btlCOw1HapSBn7sBzPqAspWYK+HjTzSaTmS EvoPBqBMXwjP4m2tIreAKTEIVlF8b2DebTsr9WYjhL7pE0TEWmFybOZ6ml2HdgrXs3WSfBqRzfJ+ EwrFfLwqG33Pa/f/ft020uLIAftEk9ksfZ+2+QpzwCDnYRGBXmfPwmji5pESPR2VgVYUots8IVHG 7ox8EFtQR/7ofRNldWmLd62j1eLu5JNXJksf5XERmH8vqUrJFOuS8gY8vRpNbTUZJ4E74CsZtrWI ZGh972CrardGm4RD1OPY6TRoeoyFk5YP9hjDYAWDbTaMUSDeErOlyFsr9vMi7AEwZfMQdEor+Sfu q1bq882lU+IJjnIRnOn2+iDSXQnNPItqIu6kypTOSz1pbNWsgEbWB11fosfz92OXZE67iSEtG7y9 BqLAzZc/x1xwoOir/dWCRiuhfp5g+XfNBJN7rSnXKuVSuDSvPvnyVNS5uK1jVum5/qLtor5/lFoC SSLyZsf8xtEfnSzhlJiMwmHgVFNgzgbzcktGiuF5s0wiA9+EPw+o1Tc3DudflACHE3ZT0gR+ESkN JPezc9gt8/6GAdnu6bX3qH+yDn3c8PfXbuSsQ4QrXfLxxlQf5oWA4Vsoup2hEAhJyglYx4abQQS4 bSzRxleA63rmKVEWNVhrcQq7jvY12CeVSljWdLQ5SuMwHzxQL405A7dwOAuFMDkfV4eV8vDkyeP1 AWNNBfEmOoBBEUUAydAXiWiGSRl/sZVdw4f7uYlnJhjHp5YtSi3jkgSmbcJoDBQTKY8T7Cdpm1dZ WxvHNrg2+7XVUcbx1s4ALOQm/BBD/EJOELaqfZ+OLpl+vOuqrcSt+/rLJgqnrj9z8T2YAiJ8yu7T hMEfzpEm6SqAKEbG4xrQihu0m4nIQi6P0P5A3vQ4PP3A+JJ50tMLRZBH2cdj+IRljAMVBTyb/CAD 8geqiMAiVX6+/YA3peaEp5qTKAgoYAoSZ2krztU6Gx/Ty1iVhedrbefUWL0vdjwEAggYLUT8jq1w tAvzkVNPKNIhyIdUhI4DSFYyWmNJ0QuPEARBiyBfr70B9q/6abDbL4mcDaXo8cRvqy5VEyKyp1NK UaziOp/BSU5maAoLVpp1FZECT1U1iQoQ39b4RFkMoGqEBniGbbfauh1BaqM+Kdl0R+ctDPxCl8sN LvPnWpqu7CnYaDpz/KZVhxRuyLn674nCPzWiZcXeXGyR9lQS7dB/nRBAo8ccgouoO6bYwZPoOvtA siR5S/fGfCkEq9FZBVpNDteg9lJktNaTrKh6Iqc8DIB0OFI8XOtFkJ1sbkGqR9Z6lQqljP+Opfzl /zz7zOQx9wbCGMYefxlBckjQDYptICBitxQObP+TnV2QMKqi+FiUYcKbs8bv2ntAqlsHRHVfkOgP V8UYe1ezAc21rYda0KgWEAQ45iB3kpozL8JmrQHqw0WJ8w5hjgO+ZG/GCKRoMj2P46OOaq+NLcd/ J8xCFjnPWzjfuCyR5bWgvFVaOqqAsuf5/aF+YeOEZo+fNY+MZ7VhQ1MxD58NiMQTOVFU5dat8/89 AgsDVAGUjsiIZaDbWbtM+ouQWLYlniVMhxbNzLAxHoHMIN8qJfg/ORX+xSGqNTGc6YYXR4VWeC7T yib0+yA6Oux904BRmaCTFrqdaI9bLjMsJe1sy6+Z4ZyPsidy0yCdaKvH5i6qn/vWV8yS0Fkd7TKi ztZJiRPvF83C9fRHLTARbRJZUnYGEUc0zeglietFSFMd+EwWJ4Yl6dV01H5mXr9wZkJAsUXuwJOZ IDGEYmNuyo413uLoAWBJfkCSd3G+a9qYHCt3paAcRusLSsT9D5W7HRnjsmLFXCACAh80Ive1xV4P b+I6ICyWC2nixOMduneEM7miT1IXDRZaxaEI+aH+bZks6ad0NOM67oYgt7EydFUo7g5AUGcosFWI eFMpp4qjPlvN+aq9jyPv8cVqMmB6lfHPZtDIhLBdBVn7z2rrOW4ekzaQg805rTm1iv/URUZTs4VC CKzT1nGsOpo2wyBVt1q6RALmrgsXOU1XPmh1F/yzRwYAT3ENUGyfq3VRER09DbCz98jb5CvKmZEv hDv0SzbHBvx/ZGjXftggwvVBWjFdrh/heXIMUf5cdmMvsbtMIEpBB6+GtT06HliAU4pZJlGYvi21 /CBfAxRI3AT2cZOodM3GVln2Je6BLnrPCVMa4xXilC/yV4g6f8kvPCLYpyXcXBFNDXLdLHFnP785 C+ijYiKgueH4z9a/igZy8H/wTKI6RwlN9vGdgvGKCwR6vOxGffOciYrRha/8QOPjiG/CDPpl2F9P ROe1rN7oyGNKczfRHWxp/rRLpKacdciEDhtbaVCTrUcTehZQ/px/tm9DH71kxls5Vue3jzwdG1Vp pwxMH6yJKCRa+SmTKfefkcGWxNy0y3q3f6DmyGhT/gbpYBgMnFnWzUrP/oE4l99ncrPxJ3+VKOYC 0cjSxOa+kYBDfanbd6AZqLYSohXFGrlAErBOb9QoHpb+mcqFLfn43QakQgSZqOCaxj6qHmQFqgyh rOExKMfF/gpo87wC1vULck+x6K4+Fzby4EHmUhwmxyQ7FDtKGr9e2VNPPeulzSaeJ3GnNsqICtIG C2LWGNJwiE70NyYF8idPir5CjJpFQBBZyPruVewtOshBtFvWtpVY6rVe2Ro82fjB1sSLa/WKezo8 QmSCTCHtK544VxvutzVXf0RmJZEk6dLSTQ+RNxCDtsDRovJIf8RfnLI/gkIIsQGgCvi4uVCYYJsn WRjTQ3YOFE5FIh7kFq9FAozRjK6WuTd96gg3FWEvH3EQBhrdz4am0jZNKAoHkKOMGf7N4I6WxuLT jjfALRFZvUycyqY0wpg3qX3EQmLJfZmCJna1n1BwcC2D1NdeC3eI8840eBgcmDepuuOXNpz21jQG 4yiX2Ee9j0YGsYRspjuXWLQDV5s44gQ+nbX3DBxCPLHGT6eQVqriuTFyAOxankSAqhWXROsx4HiV XILYquMvN87SAPkanCErdqCjLweqmow9ykmi11fRpOq6mIidRZsdOqguvec1hlT24D7O+bADBXc0 opE/cJd1WYeTGcsvP0zGqsyPABvCvHEb7S9Y/p7Tp6bZa+TI8GH+q+ykYVrI5vUdKlzXUjOg02Sz xfCCFdU6IAYGEdYek6lCM+i9R+pl4ZyDqoIL0iVvIVosxcPjD3xu9zN1LZKHUdlgIv8Y5nLzwS44 iqav4IaQoSTrHfLiXhlKaSRYut4mgYA9fZjUmnLgaxmjQZl9wCuvk7ay8ThHvsOUMCfzm2uuOGe8 M6402MkxU+OP6d0ctGuNTmCkR8plvy1xey8EV9adQckwX8bjxa9Y9hGB9l2u9fvkzs+bKXB6j1ke E40+A7pLCzu0CeRX4AIDWqpXYpq8CA+RwPjTdk4GRe5bA4VPCdwmxmSk4Gl59EUeFqQerzHFVaci ovxXUuEYiSeyvBjKG1S3irp+Jm/6pPEKDT6dtyJMG48a6G/Hhe5neGYVM6hivWi+uZwlaMHMNFqE /9+/+Go/ds1JOdC/UujknXCnMkax31mXXxIgI+Zije+0JrMdrP7q2fojx+nbGw1Vi8WJiNj6vAYm qLyoj9nm+EkJEOHAns9fEAuADMSb5QmNYqqvPUZc0aaDAw+BrSxFJ7rj2ztZpImcqydWk0KEoycc YH7+YufD09ytGhGNNDR7DLfil07hY6G1YCzmCHCCvKeUmlhFnmpaT+p3pMOqH2aLlvUDgTT+VvYO oFMEPjlTOiDNK0r1OLLlrqx+DWZ9h5SLAPgdUXZhQ5SHAbAQ7v5mJLJddVQ6SIEdJYeg/+N3K03s /mlcRYH6wiI5PIt04bGa47q8VCWY0xOXUhjFvfr6dRgpSebYZWudwTmR6swy1q+XM4rNg0XE9JAF iZ1i2TJc3pTR504KvATbXG1YbhgABJf4CNzO6iN8mMSZJi0sM0BDMU8MpB4a61uVBu7hixxYRg2b A7EcYujKiaBya+d9RZCOJqAa/J+LLsZHC9ZvXap2ZLS5HQK83H2BBDpySdxNAUoyKiyE4ru8uS82 mljzRF3sHH0uIY40sRIIm5EBD5dvXckurxodPvmCP4wth7Bjc9pLHez2hy5htNbMIKiZ514QTXit N17HkzrsUxMZS4YE8EsL0w5Apd3rvVaiT2+LEkdh394hkcNQPEKS7blN/DLAalMMsrc/ZkJErTW6 JbKlCHi0fRXnl+102aWc/T1gOVvsrbbqYowxwImAJaZN2XMIWdj22/3IiJ7OR864IyxZVBqzrawa UneEOBB7jtcQuht5xNOugk2R+ddRI+910LFa6lt7pazotsh2mC647KCOKxVUXJpMRvq5hHS5S19r ghKtr0VIRJHUzZJyYN0CQ6eOKLj9T5wCW4slG82hIdTsZ5Nf6X57MrJSaJof `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/fifo_generator_v11_0/ramfifo/fifo_generator_ramfifo.vhd
19
78408
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p7Rd+JJS6BPhm3C8uEMSjtB2IOpOZImN8ABL10O7dB2/wknTrPPVnggIUugEe0Un6rsHScVa0yw8 WbsjeU4skQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bancDuzOXzE/C1Vj5QpW3wyih2C6ymZ1vv70urQ985WeT2kXc7KQyN00fbod+1ycgrcEzdZs+OxF /cQLUqqV1PAWyHyEqXlxABFUHjs/nxBl/f/B9V0jlBhAzKCCHBVtW+DFv8KpHE75Z2lg+r4JTjg7 zQiXYHxUisemJqUJdhA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rZMEEzwWFXOuo5snJgtfZx9Urf7eZRBCxLhuSc3DgaT16zNB/FC6Qo2PLk9pQbhTwkt+6VFrAqaq rIuJ+6NqrQaj6tzRnuILLQxRIcZaZnlaNGPM0QELT1/pgSpbDRVs/w+jfcFf6hDgLWdb7+lF2lZt EzdkUS2z3RzGxMw0dEl0kPzX4BrObwXWpUb1u4DD6JMZb6O50zBS5jLIs04xzSPqxA3PuLRWpuc8 zAMmWK1PCPqsF6JmUA+ToDlUTA4DP+Qb/r/OItKXADHbpGUiJXq85NgUc8TOMYazRmcSDk09joNa rvnt13K7ONnKnXu7DU1cLEZpB6zC/Q33/JmxrA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSUvPGwGSOzh5U1OjbBgxWaXchd+ErSm3+d+gvsNPzEzvrhBDlsbz7cjXesFumQgP32hemPRlsUr lFspe8TkimNAMoMtRIt9Rpr9MJxdvSAJ2AckK92TaQKYGICYWnAAwRZdM4hFhKQynq8onwVPOItS 8G6qhIBnq17qx8rO48o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MVMseSXR8Gidb6hUpBeQo+a3Ho0qfbo2cQ4XmaaPwOf5p+bpngyRNVgFStTGlS9V1Gq9sxZR8m59 KVYbqvyTG1F7VywlVWjcCzm53JiHqc7770pyh1TFlHFmlBkxaKOZI17/BbAJVPtrgC1AFUgqJIKl KWFzGNfBnaqYhwSBpkZVKTp2N/RCKh6/dORV7jPLmH1kXSt5iI647oKA/xzmV2IPvCjRau9wfIMP 3BcMw9SliL4YOeA2gPuyEVJdJ+sinBGqyYpGCshGE4syCgACrJDHcCC8bST8+Ee2RwROkSw85PvD RmNqdRJR8yBkuN8MggDeHwsPe2oFAGN33DaQEA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 56304) `protect data_block Iv82dFjUBOJtp0CC5ikeAzmK1F0OGzQayjkX38cIYatIOxf7Sc+0UDNdH8uM4zjW4KY3N5nnWdoS kL9NC+RMI79KYV+cyoIoh9a4WnqJVaraMiIzFgt2KK+kKDnmrIcgPPjBWCBt8VdwcHRi5Ut9B2Mj LWMF2Egu0qyiebByDT9hU83VKBC8FuCbHS4cMzWBkS1/dX4FKbCwuLwv4rYrRb3RwIu0u/ZGZML3 sfgcdg/t9ARFZ6jjG8LQo56KBOlP3Z6yDZlipM/l8PXrTiLYeS8RkrLXQuXiG58+Yg6tddKx0VDC Wm3GbF+cmnJXuMmZTbFQna4SzA+fl4zGdiyCiB3/spzz864E0//Xa3s+bSPHDUDkABkp34vQYUlI 8zqNJooOtt8RFwbLXWAr+gdzpGfbW+vxUwDzbkRHa1TYBZpc549hy2amKl6D0XyfmVWJi/XUfkDS QnBqOibdObp/1MvseR1F/YI+4zRWfjeB53h3Kmk/92gKe12QwFGV+jniLguICEL+rU9T/V9hosex Nu70r8pJrIdjLoojfvPmE6Qtf8rTGKe05E+AOibSesFEDHcMfoz5cZnhy5nZ1hIYvftB9+idhW1c et4Sg3KZ2Vmkjf2GwJEqu6PUEbq9pGdlOkyqwZjYymXqruLGjZMXnisf0ObB/jWsILVSn9CdOLsT hsDnpoXnxqFj0HE0mNDSfTMSQ4NJbQV0odITaKG1THpbLuObDBTVXgoFtTKMI9+YKA2EqUSt47hv 6bDgs17pJruxUhqABEBA/CAqfL1DFMzRHJuUG/ATdqLAHNrb6jKFxCYWR1HZ69k1jbDIgbviHuoa BUi2/7IzCtmqg5Ftl171TyHb5Fcv6J/GVfy5irGeqrwqXTv73EaepP6ADzSGize0AhlkMkr0itSZ hnoa66202gxrtD/GryFfYM9HnU+4GtCviapT/g/1HcxxsjVoakp6IGspv3iQwXY9Y5JaiEeX+SCE 1b73rpiUX/cDVl9bilWYODGaMonApfTzBubpyEqS8NVofK17+BzAvR50PFsBD0dsip+tuIGXy4Z4 /0DAJJcxTeWQIfWFPvC9MPDPgcUbe3Dp5EJ6WI81XLz4XO52aaducvt4igg157BZqeMVpLXfkCEB zS4NutgAkZjNpQ+ZTbGVVtfLPWr9AM1UDkjEfz3Yvi146TYcV43OqGQy6Te6QyWWlfsq519kal5/ EgEFRa8Z5BOneytGZ8xd3jc+PRpFS5qMvtflKCpq5t4sOBzXZ/bSsYH8LXnth8TIY/YCXN+PLqoh pJWqCMa7JaCwdTyL9XiLHXyBq7HbbS7jr0kwuDt+pdzFYoSI9k4tVxU4ad1yIliONrV3HVCQnXou IdQ+GmhnpVMNUUzrhkN4mbjH+i1IHJN/3AvZUdmHBnXNVQq8bW0RSORvzjapDD0GpcCz2M354iLh A+cpaRTjgyAN4T5x9zE8jVuyW+3YNs1dt1ThGHlbg/aGY1xl4v6w8PMRxdgo6kVMKiMqeXZVQnQn /4GuhAt01ehL2IOuSr2Ndc5wsWCZy7Vv9LS6+UUayywO8Mzwx5HCHTaJ4+hb5EqIxY+yp171eAY8 sLaOMLeCHmHod/hjnkUoKj0cS3s4wkyFihHKUmpWDth4NdMRqlhj58gejNnsE0162AL1fkj1/5ge o+SgN8oS+BoKpAAC8iFyGsYr5RjUKUtI9w65oESNn8apEDxMaV31UIBxQPauUpAQCADTdkiDYHE6 TWj/0DKvdWkvyPodF6Q5KgMmtzDLGPwpLJqpD9PoKjecv+1hS3RhTpgfXUnXTDwXSNKAnB4q9fR2 G6h+k4LfFhDzW+14abIcGFDMiYFT4lc9CPTNj680oHvhBnpc9nbiJcJM68CEmPXij1fHM9FfXKzr M3lyCLuv7PBs9A/QdQxk71NRF0qLm+8y+Ndkj5Ba8KccCp6DYClkjmLgvKCshKOUJqaE82TVOXla 9OO6u5dfnwZ3z83r3rVymOOuxqMOUc7wUIe33sMUyJcThq8+d0rqY2oSPT5hlIY9n64lnL7Lk8lT J+iAICzSQ+rvb1xCr/BN9e2cb3ySOXF77PucO6ncPuEaF6yRU+v0TdrNsNFyEH6ESqiETu7/m95l Mw7MzPP9IRWyWazGgQojcdg5T2ORcBdW4G6BIF5uGgp5ThiH2qlYCgdZurdZD1ZoMA9GKM0o99pq 9zJlMVixQKpvv3o6a6xF/sNCkur1rEr4fAVvB8ec5syIhkwLsGDMLqpNsgp9UliBACzrPp6BcG19 06jH1XF7HO0KMFMzKBvmM3rlO/rWaW03TNGKsdeRCoBRtBeokdirkixwfPBkhv8S0ObaUK4h6XpW LjeOSxHQVaBv9GVQdCE3TPCKInayheBkC9tXiqcGeL/MgAxh0ZJRL9+Bv+BnV6vkajk8VFxsk7If bdAN05kdDLcBjzqfUHC8P0wm/QWS+BiVvgrLgUDSRto6oaZHrY30GtU8MKSglgUrwzREQjXEf4Ce 0bmOYpuLlbtY5kby/GvXAMXrTt2yiHso6yuZU2sRWtXT49AGPUf9A9kUDFJIoy38Yl932cQqLXWT LoKX/3t5RP59o4GURIgjN949c+Muva5gTigk+Pu1Comh9HIvSTIJh1Hn4Fmjl+ZRGhZiQ4H8V4mr H8kodbDBhL2Sx71Zahla1S2w9BNrwcaj6giesQSSkVoVhWv8AdMQYvYsv66E2pc+NrZeHIpuj+fw 1IBqRvte8WuVAMTB9XOErQiL3OWcq/HN7bE0RTLGmDbcJAnivAoSlDJf6QT4bj8N13zHYXysjE+O xm0g4q3B4t/vuTGqIib4EMaxMm7h1t3sTlYUhUL94uObNo2gLeFHmjxFBPULlwJPGtScEPFsskSk 3R4HSDGh7C4JKYdqOqSuGrb7MU9F9SjufTnr+ROSVWqKqfEiPMqC4SMLmCh0T0U0/i66uN04AKeZ Owk2TTJXM4oVZPqdB0yuI91l4HQMb+HORuvHQa8BJ0NqIdtTO37ysbnWRBbpRCrRCoh6OW3bz5Hx VQogeuGzfcVQ7/09VA/eQ9nOiw3EN8DFjdF2kaHBEpx7XFkcNM+b06mhbF+fFsIcCYjyuZXjU2a0 2ktVaZlxjh+W5TWCLcv2X5+oTv30fp7GMhKqokQ1UKSIyb1/bp5ZVejOWyBjqFb0S2dZPJfBZCQq 5u/4WfUDNM3r2Yybb9E7uLY15oHUUMtbT39/c9zIQJVyhkFBR5Ou4LXjHPjsEgrw45FT8iFPSCMP OhM8aQDFHb2hRsj/NXnTdm0aQBms9Im/P4IplXkkYNLJEP13dgwbKACj3ALBWcidpGy0iWCXQohz W023Wcoi3sqPi4IfxH948R//BmtvNNi2/FP11ozNlccdsxVVm3ItKULmNciePX33Ksgcofdr/R03 f4gG4vYWsUOROxpjhbQnO8x+EvVatrDZN6bpVr4E3jpkJbZZIxOSPEg/T5pKZuVUyqB1ZJUXT7kQ AShWhmgLl+00meu9ZFKi9HKCflPTiYw5H6mTah0dONt2ufQjcfM07P8PI19Wibe9ilEs2X9YoRHC N37BjRLUNbL/C2vbSZWpnbJAEaFAtA15etdOirQv2JNN7l0cOtuf+r30IOX+LLuobXdd2Dxhk+9H +H1gwSMJryRoJF/BpCv4cBNOfPruLy1yACjP69ShTHhbp+0e61dujxSumNMqEf3lqHXeOHqVwAO8 4+1bG3GKb3H6kWvcI8RdWYYQz4Ggyoa/AiEgHNYrAbWd4JnGJEoN80rtVWJSHvMhAT3qrrAqay3b ZlLiwTkXc0UWAv99NSub3qafJqKtwF4pNAAZKkq9O98C4+RuDpS0W0EMGkfqUxbre9+BhuQBamgE 3juH0HznDLf0SDjmjTR28Z7VstbcGlMunViwxSWR43Nc1KuvnWkilco5b6DmemlxmxGkHwlfi3pd ykXudE0xoyLcqil7pRcJKQqfCnvsk0YvG3RvsgDAqYe115yPLT8N9YWdEBw9S8zH0s77ai2ii9Oi kK+7MP3/RQAuWw+J9fWCJo46IlpTMF6mPNhCVXVr3DOl9yEhEfxMWguAWG23ZI3hRuoDcrTuAN8M mK/JIoVsoX6cOgW9B7qOGcaZIthBthRJMY56NC3bdWjx84qi5b5QirNB7jDX86XsxTvSblMJ4XR0 EYKo75yg0jBNtYfiJOG7QHe3etREMzbZagAbm77HqJQij70zY8yZT113rK2KOKM8HeYzFOzAq+CU MnTGchlX703QyWGTC1GkjT7Qro74trPI94MZwhUHw+b+kC4o2sJPP26dmZ+DKEIa8pZJiDiAPviM kjkYXzX0B/r4Vxx4s+rxRtwimLp82HXCrfZLKAm22lUAbZ0hQV1WRgAc17K4xuGahOnO+kfZJr2n /WUyZXhYaNgI/TrtGva/herOJjPvfKtRk3ul6SCnTMPk85BL3uR20/QoJa//sGSpSRsgDnYUqsXy 6/+k6RO8lhk1Dq/94S5yjO9u7o+gQvGqQc68PiuS/cPyT7zNC9IXPojl3zl1NA4Inrjc9QlTTqJh dKveVDXkS2uS+53eFDnEWDjAh9dhVMUkcuffB8EqgyI275U3D48iV+fDNok7eLx1scWy58jGY1Kf irGfpnf+ZjwwUIm6Uhe/rXfjSFq+DexvMwmd3JSyVVoIaHnV3HKpTAZBSh3FpQc3335KxkDbyIfd 5KvQWE+XWimyTnLYOMlgv9+V0yE35XBebFUezUPRAKtPvrXQgxrHsbBfo4rs8SAYmfXX/mwsb3y4 Wzvd0AJ4tAoHaDWy79koQGpu42E1aZV2hM8W3v9hcg0N1E63j0Gg3yUhrqAQXMg17XK1n7oMo69N KiLVPhh/yPufFDIxNq37aAA698hU78nMv+w/aYNoXE4FQQ58wPk75fy0kV0BdaWUm8K+GeZ2fH6c Tt91U597C3iaf4NQJvzDnWo+1c08u+AfAxalmCFomRirMzRI/vtgFHBxeIn4e9Dfd+rFro0LYJob EGJxIGk0YFJGlfL+aZirbFtDEj7Sf/EgS/XRcWp4vackoOC3BASqCfEEfLBqYspnMmv0395ibrG9 XCqTuUawE7qq6d9QO4RfJWXO7kbZc2DCZ5odRdgZy9Y676zoucEPdcDP0Ql9Z5fArCxn8IpGbhAC 9SCo4MXHJmKOBW0xz6qiQsJiEKcPfOggDjHWGZPyNQ9zgRGvulbHmdF3JE8cP47UsX0wotWIzkgN 2L1vYZWTqSTEL5s3hWBK9vTHFpwf5I4lEFWZY08fd6jIX/irrX/ayYjhSUWDKTr3PMZwQXBp8lW4 2RIWjJoGTMTP5srj5NfAKiHxpX19ySy/7YbQdSUKYyz89fpUGD18ORtDIYXlDFYx9aa0/feSX7s4 pO+Iz5wowDspHGuoqBK+D7BV6Npfd6mJ1/8RRMWqKS9mUPB4cz7UHB+JHupIqiQsFI1cW2Ajxloi utNQOgsbfSPeo3i9WesYxwxyEQBYKdtN00diHZEhmAwGeSyVCXQ2R/lho7SIz9d40+M9ye2xmTRr E/NEhmnZfIGtu1nJ+yqzxHIgWCTaRWVbf0rcqLPJTbRbYgKm1R4lZhxV7CZsKF29GE1t5szbHT8t JiXbJysLkucFEOAhA8NlGkUQzV+1Ao9njuaTsQ87ftAgtYWiLuM8DzsNMPeoOzyW+/063NWHOPcO BgB2lkQtN8MSlwEx4eO8q9KU5TdK7sLGz/JSuSIEP+yc6Jyf5aXyRuDK3RCfFLBOcw1M7WPWxGBr O0TjqGii9CrEmtfJHPJGBAcJwn2RYmBLY34AkNThr0iQZLYNv7eNaXtoDyB6ncofsels33V29O6G M7XQ5cwDDlAMokVpmlrPSRxRStXfv4Jc4GQm5r/FaYqPGFx1iYBWkFmUKmzp4k9DZgqGq2H/KrVb FSXj5Huk+FGHV/u2Tk4uGHMzbl/xYYiuLhGowpsePBs2GftRoXCRaN434a/HcCe+MFmg/WTcgGej EqZtyRHBgHCok6aetsWNayGJiZJN1dtkvi+rw+RmqvdV+3o2W/D6CMpvnQxNqpTF7MeysawOg/JC WjPVBUtBMh1p2gZgEC4sRDg3/2kFg7on6ZD8ae+HiK5tORpPCFgi/HAtg9SPmu2UNci1+PQ0K7ha x5UAcWGxQutMIGhzHxZ0/KqcLAxSmxVv9ZkLSqJnKSqFMr1Ah+jNkIE8HrW98kwgpCWSPkvsw9oA YRSyVw3dw+j18OpIZZ9S5dht2z4dAKqJ/z3w/P/kCEwNcwfmHar+zD962MHkUTiifzPUJl8ROPCo i0seE1SBxo0IT5wDFY3m5B5+IC272dFrhIZonG7xUMO3IMLljNFP5vFsicy+4BjfBJ4RVbYSfkGQ NajJlkpJ2p/EqjtK8TXmlgaZ+fsilScIza/yxhr8No0LZep/Na5lrNOT4gbIPIlL4NuOm1j9zNoc LruHepY5h+C4p2iXdyo/OTyd2rYuHuoFMmHlhkkpeZ5FLJhpj8xqvSX82Tecl4qSUbx5URxl7wcQ OECKt0wdqbccpse329cuLwEW7i4GWpfUZLFePtCQkOa4AEpaF22XNaN15i/zePmU5riOVVtt4pMv E7aKDrfZ8+oDnyJL19joQyqqzYa8obRPtxqh8TsY7gF1CUqEP4GZCkgrLzfKhtg5EYtzH8CxMdnQ 1kKmurfYbmS7jzALEWyOCWeiXfTtpsquLicRqUpP4tj/0Tozr4qnJ3rbbeaGF54Tvj7sa9Y6lf4W EhZBjqmBrS6tUU1ACpkXaZxEanyHh6obevxEBq7CnzZuFn9EGl76jPfVmCOnMFpMxHN4llJoTo5Y abtj3YeF/E1B0RHkxkLh+GEkQ1FpJPZm7gcI/rH7hWfGDbfv0gn6HVoAffRqyQmLT70Jts/0CDM7 gsn2zZlZJUoT+KtRflJywq2jSudECPREVylMqPHmO8a3+Vy/ie/oJ/yHKmNFB/DqgGyUgpBKK1Om ChJ1XatejUFxlzpmB1/8GIbGPHN2aEKg6gAQJ5hl+LXGN12qc6L7vSoZIBNXYRF2aQGh7elOFU7A bv4RWtg3yW8u45qGWf5lzB5cmHtkzTpLQdwy2QwmUuA1qLqjIyZbthP7Rg9Hhkv44DKI86HhiHa8 gEoX+q9lbdaUGk7DLgRRF/kNN4MfIbJbHV0DVDxYUnRSyrLvCB5WOdzwIYCHmdYfI17Iw8CH401R Y6SHB92qFN0fjyNVEVkeCI2V67LpaB7R2uL7VD4pZf4ciyyX651Xyhh5WPV9Z+hLcLh9QPt15tGm a7GrB6Jj2AXqoByESiu9s8l5Z/SBfiLbJkc9pzJH6L3LvCNx7BLoL4aK8O8dodEXCJSAhfjeSiIj qiWbAR01vsCOgIHnv5Vlmgwe4FmFr6taXvIGMDzyTzyB1ueMJz6loaLwdhPgZ/m6AGx6/rgoUJbz Yhs4hT/LiLGeSg8r+tdyqTbNQNB+p7sbQHMD3DmNVysvrx+PBqk0yn6Xozdk3LWk6240dbnsTfkM v+htPb+TeSltnO68pGfmW0XsjXPx2Rwj6MVV4V9hKiAsvFSyMi2fQyfH5+Wa/jhgmTIX3m/nnfIw OppnOXKG6S1YpkvdwDOSOPQOadsmkG2N+uLatxsi9k5qFMVj8CQcsq/5z8kXHR3LwWazK5KdhUlb 5u2usZVhPwEeBzcG0fBCF8i9VBXLiPiU/V2HXHMd0R0W8AB9UoqsTkqqgZyqLQ8Llt+mP2NtpfsS PfnJvPtjSxa+Xwf+Lz/NMl0yJPRCFMY/1XehqK9iqdhVQrbQF898c7UVZI+x1ZCJFb3c7PlKYoqF awGKc1Lk1XHqGTpPg30eZSHuR71tEKTVCtxufi/KENKqXoONLM9/0av6AAsD6E4gzslwYsIPJ2mq V5Ht4FX9eB423c436rMlB3L1X/YOlNVYr2jbFJl6GcCYO5YXqX4Ds6xD91jfCVDDR7Nonvc0Mmuo VDtGYpBe8pqAdEyHTNjhhZ9VgJp7aEiYYZaFlYTeakCvtZ8lIkPC8mPNZ70QaJhYXKXQ2DXoSmVt Oojdykx5PyrohuHSdS1+3qXxMUWJrVVWlrUe7uU8Ykm8SngsPS8fEvUBsPCDxWTkWjtgCvMRX7WA NjHOPJUra7WJjhY7ClAJxKf/yJ2F89u50coCG4efr1ajnuvC2JKomJ979/DrnU806Bbyon7I20Pi +ZrSyDzMKQ3IM4hVSUV1bgXE6nxT43NdyYsy5D5VaPC/+jbV6XRuBWMtkBBm8PT5cwIUDSqHlDRP 4lRukwra4pbdNfbLPE0FB2IcXX7YE1XB/juIxBgA41rPvk0iyjDD9PQkNLR+MZRO+UdRTzf7ovYc kA+O16FMRnvQE2Qj1IAwuevUBIzgm7wCLQYY6hvQ8oLk/G8B1yuqK/CG7aKchQP9FASKmTvVd8QI 2IhBGzFRK/+kuXMHueDgTGjalfqTuY9QuTkDGHqwL+1thiFN37BLZ88MVc+xjCcGxXF4jFY4Hmkc 7ISBK19wnth43RbNKu4MIwJhHAPcFwchumXMlMa4w+UUiQX09B1u04J9e2J2GTgSizU4ASSc4uuf P2jIieXChYzPWEDJ3+OPOqxCQrul1g4QXhEydHaxcBw4hAgd9WQ1M/yrNbPnYyqNAahl0pebFF58 skjQjxr7UDlgq/tpY4KSq0h5BLqBo+El2iZvA7s9XDm4CR9C/KyS8WdvWynStPai08912vREc9IN b7/opW9EOeycpz9kado2gj+MwPuSN3jpcNOm0MhhIvn2qEE6Ewye1PuleJ0dDiEyTckYLoptnPvT VGUDdN71CbtTjHOyxwTtscpubI0RepIdjC4c0zWTta9AHpHbdvvaBOe0DVmZLmsW50LIpiJX8yh4 r83c38zf5khQH7AkChIr/z632K9tHdmJuBH9swai6/DOf7bXv9R/6PZV2FzqwsUxXyneIHZi2mng p/pTRjMT9RrCKzUn+4doJutx+S7V8HHjyoJ+IoHC3OFW1h1jSSg2fNou++8sitO3qPiqWedl+pmL sTapSD3GE7oRoiQibkUArFhgR9ZM8OlG5Mp8YxXLJRuLT60wASizR9xJ7OaQlDzc/zsYg/Apmn7m MU66ttbYHW6Bly9TFunkONmQRpdNDEtVC5UX/+XiOvdjq2ZGNRFMKIvtkIaJnXwrarkTENhYzGQV rH7PnKtaBEJxgkuAgTYGQ+T6TAEB2SUxKiDnXUmZSUrUTFaKbz1zZHDIpdcdz2Z0Gf5oziITKHGn jkWA3Y+J1kt2VA/cw19m0E9VXlSKnUuKUrcu7bjq0mmrJLW8VyTYfndeG8phVtiSCaA0HpNaXdPv e5AbbK5LxVJusRTTEbPJ7a3/5q2jExg3FHLwZkLg0coW4dm9SvUBT1t4E4cpxXCStf5ZLrmjkzov y5T5bXCD4ejY5jqpFfQIOTqDlTlqungSu/JPq+nDEGLQ+FENA/qWvPLGFA/GgeLgeZBAXXReYvAm 2fqhhBish/M86qQKaVzQcmpW+EkKrpbICXTrAQA9m8Lg+PvZr98E5CsUJ16iTRHyijwwtzoLcbkt FrH0v5t9btcOj9QRxXmCKo6w8IOA/awgO/zwwPrENZpnKAqhfy4k43V+4v1kh9U4Xl8Bl7wOOJNT s6Kzu9ESh5TTeW237yMH6l+gvBZorkvUZDH93x364t5bYWw+CgR1Q2hrcMWgj5POpHs6qkGi63oV 255lNeEEh7ZZacXLhGOIygLbe2DLOZZRyNb0oVcP8bBUhvgHS0NIz3nxNn/vxIdk0CQionTDMjcN V9Hq1uajfDc1PRe6QWnh+EJ4H5kH/DIdqcqfQq7bxd44lCYbNMsae10tBvZFJ7xBnvnALp7HN6kE 1THyckX7a76gmdDhZ57BZzEAGoAEzs6wdUn0lLsSFSpLuDr29l7tzc0jVSjVJmH5oX8LgTVKUBDG adAC97pHqCSGVaMTe1xsfJ4/vhijrsNUoSQZ8aYKZKRDfqtJA7BQMe87ka87T+bY3BDYPVt6GJ3M yEprWhNs/RfpghDP4KcmQ/FLuSk+G/CRFgOJ581me9UJ4u1oRBlqYamExmtnelcw01YhRh8IW283 FOB/00XDOGtekae4LCop6gQKYMyi1D7y8OJiDFh/5sJa57e/D6eSqkURmfcoIPuPBtpuGxdNTQVn X4cfVHyn84r1HkIPn8ItmgyOe1Rxzv6/ZlEwDS8goPyEPpRdxbltJVJ30D+yWR2T2bEcs7kx4+tY QHTCYCX2hdwj8BL3Bi5/5mL0xABlB4s0NKQ6wE6iJpmscUtVYHLDSwOL/O+dyoNiYP+3be8P5EW8 RGaVd4LXTZs1I2MyHyXhL8kxwZPIA3uM1XiOZ31QeUjJkVRvJViMJbBYlEjyK/VPO7aMzKt/8FTr IYOJFAekEGbtJm5yo4qEyVqXJDQ8NHjWbyXBBlbEDQT3rij5mkqmfEoDz0Ef/ZHEMhWTRO25Yc9P xk3qLWHMuZjRcI/pwXz87d6WqXDwedlpkD9E8nu2KjkRQz/MNdCN+R3zvz4XYoN3vTXWUSbvZ8RI QItZYComwHzHLeN3bW7EB2me2TkrKaQF9Ap3n0OR83ks/LcbV6IQfQNsgYnWRul1Iyz5pXOK4kUO /S4axaP+iho4Lx4mYRQOTJRi+74EeNeAls2z3CAH5HpmNJKUySQzNlcO6nh316rAMX5JF2spmTSX XEo3Vflj3uTme0ZaJ1YExy2UQUh2hInnQbFZpGiruXUmr09ZWv7qwbp2FSJ2aYPdMLztOHu8df4y Rd/Oazuh71QRjRiCuW0vhfb//zod5jNy0+aeuLAogpSCKfn0dC6xtXhyNClYpEzZhsPscGll1jGo M80qkWEiEX8mqWPswxy4GuWuzseB67mNRpDVemfsmoOOVD8inNc+uZCD/eKz8H3kSAJcTpCNgQ0v 7xD8X8OCwmYQ0M0xQtUVAydqIte50W47rdQtzwnMJh9OkTH7qgphWFwLPsmJTJLwuJfLJBaTLkWx MydbeKDpbeWaNIomqHwneGL/++9hc1505AfyaXrQhtXFVJh2IB0+RN/ph7HKatcOJ+MsFMAku2xV h3NMgyHg4dOg+Srjbc4yT3dUiJ9o91PSsoGf4h9QxalnrAex14RA5ZQ75auBbv70J8uA84XE4Jee nsH/5ZqPGXYy0I56MxaplNLlBcPypQHaYUQPSszZNITYN8SV6GrEq0sFmeFZirEMD2Z3ikUHlVIA 2SD3ogFIz+zhCvUcJqnLjgS6blDf0G5VsoJ0RC3j27CjqIgRM8yaPIdtRt2sbfYlzldIQklppzEr /YeKRSQOtpSMmX3K2dcB+4oOGpvhJjHGCM09eD1gwAisREOMolCsHXPeUE/yO6NKbfZoLyle1sq2 pUaCXRLHF3wUik4/f7plTVvYzmGPwFOP4zyWYAHA+mFSyc4o6pbsqu1RN2hfVUy1ClETHMqOa/ts ibjIM5ABzZKDF32l0OBaV9sll+Nj+NaS1dgBnpQbf3mYTHvrJKhQD+M0K0My+T3bTEOHx/i5t7D1 yE3+xR8xy2OmaJcPbLMGsI1oQEHbE5fcYtvM5WVXm0+tfJALs1NhoI4G0gg4xV8QuA7Ugf3eL608 loW24dKBLWTPtk0so+Cc25G1+7orzplMlIc17wmUzIm0HlDbHSkvQWqewsiF90MdR+BYcf+IhrX8 YVNer7oYbaAi+Xy4q/1SctzDMkKuBdVFmOtgjEUWR8B/k40IY/zfMEemvWVr44ua8TqGo5EvlDMZ CrggUPsfbgsMd+vbMjGYUnY7qiZ33s57EgbJeZ3kfml5/0gq5bR3EnBWyV2ytfy2I4GS47F8OdrN UeqnygcNisGFIhtWGUAL/6CRhr7yHC/+xpI56GbXgUuWKiWiPILlgH+Fksl5RMb5HFhwKx2/nWpe A6GgzXa2Wxw2kNDZt7VRnzb7vh+HmXas2KpQcwBWTyUUyYjL3LDWfn6AN0/932xnvEnbECLNpIFK yKEwKPcTWwEy16sRBtyDvZ5yLdInfaZSfn4jAuBgYBlPhqPkZb//iJjuyq1lLpfJ2gmpOsTRIRmC xPWXUjLI0+2tPJhIfx8eCv8B5C2CpZhLtTOVKIfQMyza5TZqdifrFnf2Mqk1rRJclhHKZVF0CxIQ cUWOjNKObazGS/6/Ncs7T5K9DT3IYeaNxilW8UnllJlyo1T1I7oYlz7WbxqvZktSrzBZzpMu9sU7 O6Jh58MWTP5DXj6hhpX3cMNkmRaTZcnJZ2ZNu0MXDulqg/lMba6ydDMI6QetHdDlUhK7qdmCnxP0 SiZ1RQx04kpuf44aYkrs3BTgkt1njfBPwWyDS5ns7fTlOqgAjiBC5VCSbyljd5N0dugl+wLlPdPi LI31UfjJCfinDwFGpo+p2lVT/Fd5jbwmbaobmvuQ0cBTGP0B2Gst3ykXD9OODasYafsgWYlsQ2mh ZgijQbb7FDDWw7KSMzq0ba8pcL8i+3d4jZnvZOsmqhMmpluo4lVwC4rIf34GYhsssDqwvrsLa+Ux IKssVVIBvNs68n09xUDH52q1k6BDuK3940YidPKElFOLEKCGLVCdIzJhMd++bwDsySoMFSi2Z8m9 wlI/6D5s6WHp5kkm68j2Q3Oeev9cV43GTVRWTEphmJJcokYeZ+R92Z5Zcg1JqUavk4EhakTEcmz3 1TS/33Rch0++fxuU7ZxCe6xcVB0r1x1MataNO0E5exxGiq+KXQh/QrEDXUwDzJ5YdHuKtbi2sCED niaLxeYOQkpYneBrD7mchCFMydD92a5HzBvxTro3zD3Er8tw8XqMYLO2F1K9oX+mxYGqLjJGT0+h uKBhXMs27YzXury9s+hBHDKpIhWS50ZAx2eMpYMkiEsl62lLNryzl6uMBAJ/lC+hBBeR9vkE7M5b Z91Qmmm35dnv3q41VPodJ8W+1sZEny1cOhPMdmDWTYhPYKWSIdRRT5WqinRUtp0qL8QwhV5zz01r N1UgRchDcJbsMOfWfa+rZhl1weIrXwMpuAxP/Vp4Hee+afmkNFYO0vBouAyUQxI1/7xkkTuvwc6J 3a4dgi66j226fVP3aRiB2EmpMKf6M9GsiDhWrxp5WR1luVA4Em/hb9tngAgkTP4hwzyJdVIepHoN HLcJXB1e13iQl/oNm8NmW8lF9EB3H31OQkqKpMBaIpVFHmIcBeOdARocpCgzbCOg18HXWrBgj52W AxK4IvhrCd6Hw4031QAsu2dOG11L94soruhXN4PSmXiVRhsZAoCwlUPGYVQmsVy5Qom3eUBZbOnP PaZDwlzZnCGOmzpdrz6S5vGM7ugulwPu1Ggf4LVrN9mEPaJPRLexRskcHZQRnf3uK4l7fp/JmIiF z5hyWH/t5F9RAoIJs4QiWG/oVNo2vD/4S8tHIGePkV3V74j/ZR3f2eFwmPqYo+RG7FPs3oeva/LU POeJbQMkYCcDwQ3wAAEQbIQUGVBQ0cJjr8Jwdgz9vfFdBUWMYB+myBqWp5fsnMDslnkUUFNDEWDT YtaRLlPyj6HTldJR8ToOpwPeNkWjOkUwlNOCTO0E+L0rASTOwnFUNekQFVJAFt8tUzIEAmckyfoI W75d/SzzvT5a+tHfye1mxJAimof25G6/Go2ZCHcX4vvE8cZDi8XX3f42jg/iyHeWbXXhIApyoGPo S9oObuu0HrTypgy1xZ+iQtZKkOWoKvSEnkfYwO+BY8Xc9XHkbD2ebDDNHLlvikVTMs2hy4DZ/gH4 NqwsMEuOCkgJq0B/6N0bkH3APO6yjGxEeAkMq22A8cTEJJvkg8Y+h2PvXa82K18gxlMhgR9TXl11 u0w6I/Zqf7LeL4PX1oajjHbxEEot2O/V9+BxMmCUKCM7NgEWsMoex/OUjN+bnEC7qzsRb8UVNPwZ ZZzay+8eqqNXGZDJ9euZTeazyKac0Om0AXiHZcwINHGTfnQWYLTBGbzj4+FEEc6HY6e+vb8vxV2J Tqio+KibSS18baPj3qfRcKxJp1ahrRkMd7BTCcYQaPKW9qY3hI3VtXWsrpVb2m9ZbFYCqZQl+0VP N/q3GqO/70aZPGvh0WmgMWcWumccfAQgGOtZ/V6GShH4/Qp0EqHnNfPqfsQlbVPfMdeXsFRyJqGZ bps/93/mAFX+j12lbYY7mdXqX3zZFkCwouGzV5XgrqKAHuI2Jl9N/0xd7rqScHIIlN41amf85e5C /HCGQb9+a2pexOGLyx7qtwxbIF/l6uFaiBJXPspD89d/6kSbMZ0S55rmC8SL4aGzGOzwxeIW1xNk XrFf1zWP2nvoYiePkao2frXLyUdxBbG1qhjZi1qoAwOX5upcr65eutg7b4gsJHv3OrUQblTivvRi GxbsO3WbJL4/iRSNEHFGeFv1SmFAPcizcp+x886mqAoSUcsnmM6nd2Aa74X/nKt6rw91dlAAxfpQ 3JOhjko1NP2EwX75nk9Qhzxk1R55pTxVn5/i2hRO9qhFisBpHUplZTzrz1ZkgcYn7fv5nTuA8tbw oMyoxcmur1ozs3EtGcBRN/q7moEtu/brzScR4r1kZlpXdFbCS+Ikyau6GOCf1dKQktT1zcmmxfUN 9E4XhHUVTM8uahUZoNCDz0ERMC4SG8D9Vl1R6l5Davh2ur6XZor8mVHcGzyXDaHXZjpa1mvHtSSR yifPNc4ad1sDsnL7N31A/3r2T+PWeR+fdqbyhhyK8sIOPB3N7pUnQKGbDQyyBDrEmDjSRuh9RENh yntYLWqrs0ZqrYh80w/J7g/Z1TpJ1BBqUO73t+RV4jFs1UgQ51rQey24slJiO5UwSA13n05wFpjZ 99UTxqzMJbwrnL+pYZSAMol/aDOsNYQl/mCVzvV8bUYynw0TSD7WhQ1QhAl0tRp8DnPDoG83Cwek Ckk8dTQrQk4wmKEZfVlqRT6hAoAtfAkWvnfQ2ZDXsMEG/fkOen9vXr3Gqj3xefv0P7FGZsfIfnny vF/t9HzuGKrhbaRJjWfmu3Bhh85rEfig+Eo37eDE2szz2bdtGznpXMQ9hckufbmjhcaZPBCvikOi FenCSJmwzNwG56diSfjGIoBWNv/sC+8lKRgbo+6Jt/UzVRuPOhBvlzo2iVsRfGK7XYGcF+UApOyw sOgxmd1aPMCT4q7jClW1mpXhhVIAYoNsIJUSefSgdhzD6EZwoX3Fiib6RPv3px5tiA+BLgvyvu22 5RMVphi1PR2QR1OOjbLe8rYbGmTlekDBiyCa5+8iTOZFnbH6vopssnGJlARkamPnjQuzWs3LMAj5 fKl9B9Fa+NKhNS+4BTY3XcWecZwgnUSUJQlMsH4L/YRCCpiPZvoAd08naR056EhZyHhaw2pqtk/F Yd5A+C+HgHxaTp/BiVImgc6PvpVevIhWI+aUxM3vS34hOU3PsIqayWgeVVM1262SfFRAwQ1TjgUT EMRb8Tkbbf3PIYrmm6kXqlJ9Fm1cFmkk8sTH7HxVp8nW0aja5OhZ3f9eSBGOSjwvXH5b8Zfi0e9U 4qsudpJjAru2AeNC+4oBTDXg7fmZzbAnmP3VmPTTkyQ3TdAwKmT+9ZDhq9TBp+CdRwNvkaHRoR+H ni6A0ntvG+0dVG3E/xbR8b5gayZ3FJ76ZsUTdDgbwYUY906beZLgXkKONi6ZmuonsSIdiPEQSb5/ D72ZgLU/tnscHtzNcN3+aS7GCdcnOB7oloL2tAbcmZsV0uKcOENL+Dxql0bRDzBOC2WB8HqHhjcd 8qCCR/aeg84AgD++LVgBHKmTpCmHUdP9/0A8ldu8n1gx3pUdkSl71N+DwfQiEGb+k+/q3D6F9dh/ 0GSQrGywWPPBgrH7ar4qRR2go3+RRgJZ3ceJRX6Dh2/I4KPs8YzUhVlRzDJ3bEpO2aIbJeFUWXko Nt9KJBpx+ok+2NIZP8j9TB+DOdGs1h9aDP71V+JfewWQ60Dc/lbOaF3B7n+cppjmHOFx3268aT7E +NxjwMHMN62eArTo3LLYe/pwhimA2kziw41HJMxTg2TfIIHh0DvJoBN0ZuQniIgQdPWr24uh69dF YJV9AKv+j5ihUEBXJMpdmul+EwenTcZDfTbJwL+yuibYbIqGoO2zw/gt+kbW6pubGO2nmYtICOLp DtDOHA2aBPvMDMZB4/cxImqyhxIQfZdPrQHVrzzIftW4UybFfyLSjxB3ckJCa+yy91lX2KgM4HmT 5Hb3Oq6WpbZW2UviwkzWgFwvSCpuEsiq9J7gAKCg6dm6LwzORC3sGXu9D8M8thBwPlnks8JzJk8P gpPVXNB8ICK+A48RgU2qTlYUwuKH/CgekRLTZknTxRM9Wo8Z2FiuI6UgfW4twPto/lAuxNgFfsjk Uh8sXH6Od+jlDsZNlCxSG11DqfYM6O9P4q9xBBJv0C8cGYk/sKKe0k5Fyf7KhkYUbFt6OiFd+SNa tKQKUcqDIiXTl8TD9AtZtk6VqhN4ip+pR0z4xOCoi7+K3nRhkp0GQjIH8InBEwM+BOqZlkqVZb5/ SQQdOScTC4+S2PDODmC4Bf5KlXT5Cdvqr7P86C+799GoIBi4oRN1us7tHjF3VKtltMrjya5c0KG8 1UnL2mJKxzMQoQahcuVMi4gwrg7hyknqjKNgVRbp2DQ4W40cFcxHiyhnOM12SAJf2qtidKqOATxc uMgCPiWWClHkck+GkeZbSt26znHtYIj+H4y9SiNvNBIDHrEXXi/afNwUQ6E+dRqRVa7TgqfOCCYG Nk+S94ZTyoBOT/SECDOSnP25Boset51LN54jgk/maYfVZIlDaEx+nPB9oZ4vjYkmXPg4NmI24o62 V0jHAEFJ4Z+hPbaTnvUbSF/2zg40r0Dkg9fmc4vkSOx/+tWf+hQBsyaVYJsyh5EGMR3w3zdy+ROV n4YiXqCuPXij2FUmTuO5SHdZddKYZ2AbNOGRnwI5znja3Dpaddxp+isT/y+ummb8oNVnW1fpG2AT 0Dr0Bpn8EZ1BdoROabtIoqin2r1o+3vEb1voiy21szL4yFX3EDJNE5unydCLPPfUIZ9GeC6pcHZF /pweaUk99g2qm9QYUfef9TquQuH4BnldULhAZu+8BRTwfOCJz3zwfEcy6QWgnRy2nT0ReyUsEbI6 EnBzPuvUfLD/+NyPoYQf89JY7iK3XNe4fZUUJ1K93Dn80iUIELzApviwRlzK7rXR32Le1RM4h8n9 zKK6es3KTyJSn3gsPdXwWJqkQyDdgGFXlYpUlhSjiA+UEI/XIzcNuJvKFXZAyOcwx54jsB3z+FDE TgO8W19LgoBTyNTYGFei5tnJMRj6mYXMv+g2qYUCB9ASQbCJXujyvsfryjkvp6p1QcYl3ycDhcmM dpJHuTJEaUimP1H4X8InqmuVKRw09DZEGK0jk4EaWd1LCVN0K4MsaUczEZPOcCrBLrr6Bonq8HIW bXwPGEvKCLmiE4qEyV//rhFmHW+wA/I8Iy0ICkYMlnO9GRPZngeAIqMkbWTlgUZEWyE9KBrpPapB dGYWQ+2/p3nXW9ML6ZGwG1GCPsblVPXPCa8QtktlvlqXkCL/9cF0oXUHJI2NKRC8QCTtf44gNDDM yvB2zrMpJgN1ZdG4G74kPsE//v5BlMS8JOB0PXnbek2cnrKeOkeOuDCvIvQE0W2qVUTGBEUrGWok zVBAG75oDi46INSibyYsuSDx6b2A9+iHpAF28A2cO9kFnq6hIlKi4rYNJGbHerl+iMRhl4ZJoZyS BtVnkqvagFZSn7SMOgE8K7bIwobs1JHonCgROG3cQ93DXOtSRdP4kbDwd7EBptvUK+A/Qc7vMYC4 d7f/rnWJDW1l4mOHO1tEF0fQIQU3ppzVobIyF+Xev/75PdZXFZEBBEplkZGo+/B3BNQaDx6+BYTQ LJresxOZiTvD1YZHT4yuGxkX8q8kopPBl8CSmpOsCZh7KmX7oTzkJSWLlNJDeLyM63jp91jP6HpC fRek2X7lZI53tl7bRtwLZwOydBX4/D4YesLXiFDib+PORxMh9UUDCHrvzToxOPyS4XD9n0lXsyvh RCEBazWE/fxGSAsqmbq7pOKqqwPP7PjgCt8Rc1JA9sIMKjg16ZjIwPkFsD3t/veeyCWqxHHXefuM HwejJBQffUOKFaPiI4VKHEH2mjpULNM/zW22HRor4FcTMHXtXSLrJbChywDKqhNoN+5nvYzni32E 1bnTPSYuiIN2ZnSYre085ooHB5fFmt6ErfonVaSbeAxp3OUOKeNSjsUU5d5RYzfYFIkDBWKjB6Nl W2dHXrIlvQBAcndPg+U74J2+E3umQWiPpO9eskF/uWf3JqEn0Gc8w5trCbdzyDUW27kL7EDq1CV/ PDuEgEHEv8PXsrT+B16DfbnciieBnrcSpGqkBQMea4+gb7iCjdPv90l+vnPfu8WSavYi3VqEbC0t pvoOcFjd29JE1HxnBiLFKgHMnOVvJPvBmvr+jJbVtqDMuQHukFaeESh49kdgItX1ddcFroQ10e7v AtAcmWuzvVbgh5l5+J1enHlSMpbp3zLNHshFT1GpBY8khOXP8vYzs3OsMQ27ZkxDFwRqzy30PfCZ lA0ll1KoZxjuRI1zATYTqfYCOuI84s14OlIZLffwW91hHR4hp9Lm91H7/UijvwYj091KLY7JWw5R hxAG6YbUafLVG0ap43mdBXTwYPMAkyOJ3lHmpd5gOsmcGI6wHSScNgSaKKTHoefuhPXEm4AcQox8 F31VrK6WXCsi+LnOAtNodc+9GjWI0/k3LYazBBPfDSvhRIWsheprkvO7r/kRYVi4EL0LFNIVC+d7 7EwyIG1P/dV7K19jLeM4kAU47QAZuNzrsvBQvAyZ1ex89y3oufJO4geETch8bqh2s2cu0FL+gTu+ AoSylNO6apLbqU24MDtS27KGAAZPhbGwqfE8H/fPCMHk/f5/KsEilYAhro8xVB7mc68PJAzkq2p+ 0mfg5g0OPGzSOkmL+aX8eT238v0DRoHaPYWhBAuaOewnnlKOUjs0WFV7Rk9YzWRJ4hExnChBZ69O 1GW4ZV82NLWj9IKt5Pwn6lRLbSAH8GZ1wQaQRRC32998cweGJZBqheYmuruyB8x93aWbPaSG84IU 3ejtQKXzcC+7tAc5+/j0sHh3IhbqHrS8DGHG5lg4o7WDK4MYAig8m2bY8qFAJjwFRONVzl+gyB7N +E7y2+kGdFEBmtzOz5VRoUd/YPh6L57g5fSAdd9YOfthX7dwfVXi7kb9WzK5kveAvbegPOKctF2M IG9BY0EK5LKaH5yvn/bsyUR6Ded70uQ6ENs8pRx9GfN53EAorJsAfRMjoHMFr99QgXLanWza57ei 3iJY5lZsdf7Q53JHVbIQzwQf2HSwJABSHZdnXY4brK6aXEg3chZGqeMrFaK5zeEOKHZbb4apxH2X 0eT2Khx/SQ3iX0XoTYBEa4+xhFiRs9qmd7IBTecyW4Gjv/uTog7sPEhM+2idAd8OXfIbI7o9004P Jb6L4BTdyqGd2DP9I91TLkMXCTgIixNILJrLAUYMz6OAixSngksa9IM+pZUCfn+YhGiuHF4pIX+i ikVmwPe+uOvCNc4Kdfb5QoSoxbxQs9janOdhDCmu+GCucVi09O0mS2qk6fS8Yc6ZEYE5soTv5crY Izy+c30dWuFvdIEnJqGhp6af90X+JujcH9wn7FWznIzrm6WMvDiuSBIxhg8PPMhalE2hkb/VL9tk POV9yGONelAoBaCbdx4LPZYTeToGZ6hwEvVg55nSFoMmFzSajDBJPWW29C2V7N6ou9mnno++abmu IqaIGQZgNZyGqZju0PpLOxa0WGNbN65MUQGq8BX4tXJVo5b2kgkiZ2sQrW2BegsWzRvOe7EGDnfa 1gN89l5D2vUpEzSUlx+TRSRqmfjPT34gmxHJ/sa1uGNEHusnW+paVQ9RGfzbhnGkRu3DS3ba+qu3 rchIIMuKKXn5nME5tJJSFgmX1OZNpfENxcRImvKDC0Z7cL6tVJhnyFf3J6Z/qj441HvtKPtX8id9 ev48BhPxDVRcCbQI+74AddJxurkXXpO3kYZHO+XE0Wpmggdghx1rVAly2+AZFOAXX6PDAn/mpbbT zYlFyJAQ6LAUWH1pI9pVdBOzVglqdVYrUa9ZAOjdKn0vvbPlPPSpydmDNPU4hSgO8/UxsMybNp4a hnQR3WgYrv34Ycn1ZbSH+18vUw5R8sSWeTiwf6Egx61v06KpFq957F7eBCSWqUynOdUoBzGKzHtV v2Bm0/6vM8esSRvlY9AVgHwJvyt56LLPZaNH/EJ9jOaMKuRYjmlnN6SjuCPGB5QTMHXPrp82HR3P zo/9F6lDEIuYgJLsC740h1XarHrtJ/NLumtDHW/YszvOs9xsZqpjNaqLc71yxz7TgnPHE4AsxzXf OZQXlRgFi5K1sBRAqN6uN053jYurvA2aoUBCUONAiCtFcO7umeh//Qjx4zbquu1Yc0y/1lboybzT aGv6PyJZCFxmZuKFLcH2HO+fubH1DADAJ1zNQfXT4QiLH4L5YiEzRQYIYBteCT36sbb30YBJMI24 NgVJH/1WL9A9zRj8hKgD/pgZUxfTc4DYLYYbjOZI1LFrVEsa7KRm/2bGiD6vKLn1fsTAumUu4X/2 VPATiC/TBDdb8oAAWkjE5jbk7NV1w+YRuZKW8rYx2KPgu+V+wi8oC18b2PBWLpUtC5c5wlK0ALL5 b336/STBnYLsiR7pRFBd9tJsyJ/hvjpFXOkqS8qlphIXVaRV5itvJG+D+VtB7f2VzPn75B+e8Pi2 5bTxkNWttTdUSV/qSjGHUh+j68HtMlG75BS9t5jwRpbQTuE+/ymTOSnXdRzz1IKG78NsX7x5EL6m I6YCYpjQdlpH7yFNDYuqTGs0uhn/vJlig4v2o7oRHqSLaP8+mc32AoqFPb/u2c1TOsSk8yjhxCq3 f4Q3UaBAGSyss7Lw+JZ7PYi99u0zbtoeQFaoW3BY3Kr++W4gMimhGVkXyOnm2bPPGYqo6ciz3TjD fFQvYS5J8kkOaSlLEsIa68AIZ3nEoLAG41o2MNhvGbM8x96vaBVQ9wt9EOtk/2vZD2XzgAgbM0dx Y+tjGELszYQX1wCgKzIfBnrE1CGAG6YxrpGNcW3/AYozCj+hfu8Q9AlWaCLIPWveXZinlmk4d3Yg UtJ2PJe4+1g8/arYZBlTZOaZn44j1rQDRK8NZXohIXlEfPBHYoc/lDnf/hqDbVMAw702aoAJ02ds aKLcI2NnEf19Ea9N0qgcj0zx+ID7auMUOP8pzsl7gtOx+kj47rCYQymqBTxtmlH5WSe5WitwgzSU 7iim1U0Fy/mFisnWx7UISGRgTiNJDnDOHZQhN5NDb9yFY54EgkivUhWCb8npZMXqECkgJtxPREsZ 3KIBKFSXo63m+MKQ9Ldp363mJznZ2FfljU0GeHJL6gbWz5FrAjXjQL3kwIE/qhWLoY4a337DX3/5 LilimR9ERC0TI33+9tCl25/ZhaVxzZ7RT66aqYJoPqKPfAHunDq8PpW904TnNIIFNQhGUlFofTNU Ue7tuPTeQXwwJ6o4aeRxkcqZbFQePfMM9JZ/aDNm0HRWLzOVluY6OgN4IIyyw73ZnGgpTr38fRmi 9B8QDlnfsRJ0SNraEVBU2OgwOtsumHE2ifdLbwmmtZr85pP9rc6nEqGU2nU6X1OIa/o/IebNEM3V vzC1gXUSN4vnUc2+VrI+uPjP+3L2Hye9sp04NPTdcULrGAPt6ZZbc21GpNETlb/m7Lasmz7x7hFV DE8MD738V8NVCU1HPytytVSPvvTmI7jR4gxe+ID1x9d56NMmhx+4pfy1U4hV1lzVbd0K4AqfsQxP mDzQ6WlJkIUXsU2TGHEZBsp8Ct5q6X1kqC0soZkflgpaBS3x4v4woIqlOF+74U4UO+PfOBf8WLw2 da3dTYidP1K9iRrmzrG7mHLrrkqUvPcW0aXLuc3dJiHnTJEOtpp//P5q+oeDqiqBU6caHXw7BJpu SBY6PH7jMZOGue6KR4A6XoXoC4M0oVOYF3Y9cY2AWJt+SFiuYGysTebdSWk1kzAG59e2BENTY/wl 8lclLa7BMhTsR0d5M7jYz/DdkX0bKN627oRPI7LL5RiXzYBS6WUKVt4eOfSpH/fAThAaeYCHdJDL 4iRHUqmcdQcxVSPoDTQp3HTVsDjEf0Gw4o/vSjWcvMlg32sGXue2D+VH1sZtT6bY9xncrhpEjyXt zLk25Jti5i7x4a56iCIZWMWTG5s3DMjmskNfEOlMRSmyjFWOQ+JRBwaAGqZEkrvJp4qk4QcUPNKB xRMD0NndFbl1xQsugiJrBkMZ8dqOcKDUgH6YuaU40yP/CtXP69IP+12yeYZYdClmeH8xM51aEUyj sYgEaDmBC7IZeN1lDmD2C0jck9oMERYClbu3ZbPsJt9WlsWrawvwHWX8uMhK4YaER/u1SrOKzjZe pevJ7UXzpQONATx0bZNp9+qe7rTdKx4zEF1NsF6oK9gCeCHZSTz9ogOTJR+LdehnJblOxL+4GTB4 ylsXIiCj+8C2RTXB3Nh5t54763gyfMeHYeYUU2ESN7C/Nt8TyYthbnSqIFnaT9IJVuVPciWApH+l ly+ycP0EUx2jsIjfO39RogAZciEuKEDGHnJtuX/DF7bieDw6KP5GX9bPFfPbC9Y1L733xP0PoAK0 qCn5+mRRsiV/B1b3VGorfvTRc/xxuSd1jPQfvajTewZNPt52s1A1IOUDgWijxzjBdh47Yjoq4slZ B4NvXITWOB5i9AUsDd+IS8tw6+tZq17esUGHeIqm978Tc/pvYIhpb5MhJqrv4Lvu3+kKEarEiXL7 0zh/Qn5E2xFeRrCr6/3leLsJgtwGEwDzhtM4cDuSJSpdL3v2FK9pyQBhnmXj4wxCwXb4v92Jd246 wmVfkBinBOGGjgG2i6u6FLTrivqW92hFlZsKFtEMoR9+YGYoHrUlCYfCsghZ5EUuj/KPXv9Wg5Mi jD6YdPvmzJahmUSTJxsBZn5DpDTdH+/Us3QqihlyM/hmPTh2WGRdCA02WUCkZtS+7HrmSZKiQEOC fB2CNqJy/2kJwLLT+tT70odAoxAeYLe+NhsdG44M2Wo8zXbOhrLsTchbQOc9Anc5evxLJUcvK9ej /olA7KG1ZRR76zYv8kfcEZVCCOK3aJvpSv/j9iu4IesUNQ3IVi7ffGtACwpAM3TBVQsltAbyrz42 1s9+atWuQqwqWqYcaKoVjNl7eCSumBr8MAiuqN1SyDivvj2ulW1GmX8NO8NJifpo3W4/2jIC5dKM lPm2PNAkIngJbrxpRDRAPO7+oS0h8IKgF4lb6kSe4+SOJYCBTWuyTP2HIWGDr9LRP65dL8w6MKFf Jw1BwQ0cbU5szf+B5VyL27CxXq9wFtvUGQ/JRAGcTvN65NPPJ656GOgHUwfFQsJnL/vQi4LXrma4 qKwO5QY83g+vHf0XTDBbLtmBuzcORDibF3gxGTMdTePey6+zZXycrIUsCUvHsiSzJFx+yPCy8laS lM0vXOvyf5VNl0pVgxl0Ni2NSgP0o9vKt268HHoUDWn6gQIwZJN8V1MbGkkjyqLm5HuxFjALBod8 akAr4ycVWsX7Y0BA8Y9b7F2wdxkcqsPm6FvPyhl17+gPCq/RsTLHB9XZjq7bd202nkzYxOTeL8FN zYKXPFM9q4PeG4t7qm3UWua8TQc0hVNiJ6ryJ8Rwh/ASVzAuxM//Qvl/rTc0+ueDUqf/VqN+NqPL bT4LTdtah7A2AMc81z2dVC8HHdvjtMn6ztrOuXDdOcXRvDoNoDoFKMh+TyYbNqJmt9lVkuiiy7C7 0Fw2kXoklWpw9vGuM+di9nviJb3hvXxzL3AJUJGTeyJ73t7YB9LFnngbJnZ38ABRfGti98K6t/oB yA3/2mXxRdLhMigW+1BSrYeQIw4grgYefY1zfdCcdJKIc2CjoxFTA0/2HgmCFD6tJCR+pCO+uHif oO7t0mhKcAwTO64hvCPVDYD0E+B88aLj+/oNBAwVguhpxEbJhclPqVbgkvXK7MV/8I2/wnUsvkxY bflsIwWHVwL8Hxj2RHx2Oj2Nj7Y5XH3qQDVklM49Tub+iUBvhYQt8iejHsO7rY9p1KXN/9NZLZbD /j6fhc/GZSMK4Nw+bdFUG4UVGIoF+plo/KqmQSYGDHbDHI+mxoR1BhffGEoZvALnu2ENmAfT922x wu4uvOHinpxiEQ3XHMAYoJCAGZShW3FN+nWkTd1HZ4HmhqiqqIkstRDNAH0ls9QoXPS1q1GDa0Qj piAGQsQtSC1QI17epTFLxC4emzk5toRknSCjAGkMmKQCZ0UeR5OLA6QkmoikaPi528cw2z1AweOI t1O3yBcKP+tgipVGnOAuRcfaQK7h+yKpG7bYehiFBbANUqS3q9ILltMKEtLyggZd9d/jYpWHP5uQ xegerE9Sffax8in+mtQ1OiwVXCizhr6UVjsitT+TscStP5nNHph/esbRDsYzE+bpFI6T4JYCLJon UAzn/vvG9iqMbRZfh8kNqak2T1lVCdSx1dJPwfJL/ZsQJcc5YbkDz2x0DqDDkhdreVn2j4UqtLCq i5u0mXQTkHBSDON0+mZAXDMdIWv/liqfd3xWl65c/ig0S3MX18jAl5kTPupa6IppC9WrJac8f5my Hhse54uMm7KR8WXv2xjuqxwk55/mNnsCHHqbnyM6dY2lKNYZ38kzMMbjm2v024IVpQ3tkm/mwDNq tUSBnARq1Y9oHc7LQO3R7XBMm3Wxa2ucW6lw75bO4s/g+cDz6StK8tzWPEVewjt6PuVF6O9kzJ/i 2ZRMN6RELgDikcOwnLxueqtZknrK5h0ZYhLsIDAipsYABa4zws+XXk03/ITrH4EpmUHz6eqHZul4 ayNncimIbqbPNKHBg5zU3m0mxTqZyS2fD6wGsz/UUDGxxNEa+03YNPYH7iWMaAT2eD7JEO04+0cF Rpo36thrMjy6CJce1+D1gZ/dBOPYOlKiABvvzvLYAPXA8CXncQtbs7d/tm4z6QPYW/h4R9dluDrr o0dVFpvPuEUVMDe+RF9d86rTqKgwE4j58+x0v7NWNK6Ck0NeAsvMEr7YZbIzl25Hg/Db5bpFlYGs V4JetBZiNonYHuNmI9fVMcdMHHLSLugalLtjn1TGNuEBa4M3Vd4k/IQf7O32pSz2y+EijVxMJvIJ yr1JpCGqHP+8ZP4xAiomfaCVw+3bmzc8rW4GCRzsdZhT4Fp9aPSVdqezRzGSWYtfK1200Ktcvdil BpdiC33GGTW0Xt9CrlC5DitD8zBgWxRwG6LVSmugTdl08iPDQZxUwZjsBCI92I7t7NjDzb0UJDb4 S4a0j3Z8mTuF/9xYJspzRB5yvvCDpsxfXt88+n0HL0RCj9x/o2xGfzeUOS9VaOlAGoP/c+N6fdGA +Xf0jgUnEEGQsIZ/wb2FPrsSDjM53NKxBo9NhW6ECUi/tKNCR9i3FJbbL7rWysrOdT6ZY8Jkj3Dg bGDSk0sXPUnwqcEs0vcaOxTH4BxbcsevXTQt48XqZGWB039UrT+0oBB6/FNGn+lp7JhUg5/EPT5o SCuVnXLLh5NTRMZOIY/Jun6tRztEp1D8/7HmPxct37i6QLMaNgYLO1sMpehFnlf8i4ISXJTejnmg 8KVeB/bb2K2ym94oNb92z7fcyoRwZMldC7oTNcy4e4eBhtayntgJUOPeLUAfkEGVRTIutyd+fyBb FX1QFANdt+6wmY/UZq6AW2UuxSvW7zBLS/5kGX/uMiJnDS0J5kU1Qr0EH7TAipoLrD/qyUf3ZzYA 4mtuJj0IkS4fKtyCPKP4LSB/88S216JvpgtkoGycopEaVd0HeRD7IAm84PodOBkPpHLnJuUhaCdz iB0+GRMdAFBQg4OaTAYC/Z6Nl9ul9wJVqq+cpmRe3fS6excURLYHLvoe9ucosU+tPTnca3jD+S9q n/4AX1Bxj37A4KV92MW55+754prfpIr9pFB3NxqKxE1doRDXZh/hf9hpsQrYo6zJfPAu/VYJoz8K rKUWRoFg3laylitK0CelOq+6ET8Cia/zQnZlVSDq/wh+gl+MDjSnV/7GTrF8fLZNCDWLWwEPwRR7 3tLOXUal1AAW75aYKfp5x4/jTrBvpDrJs4udLnhx3vGNz3uNzPijBNhEznkXi/KvPGgQRW/c+9kP VRdgq3voAQvOpwtuSA/4yWfP9NXB4RMhcQNxtpDVgDrBA5AYEQmG4r47V1snHnzCjSUPvoYxoaTE QZnlYHt2ySegECut/ZBdmpar4osYnyeISH/a1UMOSOlih1g2MS+Y2/qmiSQ6DOfkH6HhJalySWeJ Theh6RshORlbMtXDGBItnC3oahU9+MaVGTHsKBEoEOCHo3HOZEb8N9yon1ft7wUPrbX8g0q7wcUq E1kbOqZSrdnFfhTeDyg/GdD3SG2jC0/yxzdIteWGG36XhcxZrVW0zXtuGT0zRhDAjFO91gY4is/W VxdtIiBjDJ+822KeQJQeNa5/krEIC5oY4zhGyQLA7fcyqW9pp5TMQ28P8bd+pIHHSTGV75cqsv28 hCejWNtLDZC0MFHQ8rA+yQo6cJm8X+spTWCfQwM27fx/Slz76dls/PAQxUw2pO00OJdWy4vlaAwD e5ow4ZHGqYne/pUB4c+D/jFPUytx6aVK+rqyMaAmc09PFWOc7NtUYxp/4Gbq0V/PUN93i0Fr/bM5 PtG3LCuMUKl7uT8I91joPFRdVWQsFnnvWnyEQO78zzO/HWBM9GyerGWSjz7fZoDtqSLgmO/lhuDQ V9nwBIuN4VgHrXX1d/Q4zVS66hl8PnQulTxaRkJSU3njSl4eIUwUZPpT7D9exSJ19QFhwpNIj4Rc eioqoNx4BGt/iVYOW8zJvwBn35ppeCsl3XG1YFizECxdNSCefnyg8TM5g8olJlCKmCxoPfF/EsBK VVWSwWqcZhVMu60NEYo3weUsCZ59DRdMJ+aErOf3Otz7fsSdNRif+UZnEtlRFnVi8W3gYuXat435 lMnjwe5AgpfCW4RYmetUBayBlNP0hFTSCEMWKNsw7fplFUFlPY/BghC/IyaGqGwEdy7wM/QYNmNp oYNbsmxr5c0TYu32wZFd3p0Ko82OLwMSYzaYvZUoQR/0QzGAziiJs8h0H3ffMJZybczKqkOHdYuf VUv29urfzSIklHKWFLMYmC5FIE9OEAUEBjRB/ktPgciJ/6/qIsE1nBozDUFMW17Tu26B733cBcGP ZLLhDkdxUYT5+86zohWcCwDaLaj54mObAoYdw3TOgGYd85c3WR7L6dicRLbcBJ/CvoQWqs938XfA HjuzzTG+Lqd8MF2jtdyLrXCwWlYIcio5v7hLIQ/TAYfVl9aHKuKUZcZro9rn8BhBfa+xTzzEk+GG UPqc4e49ALIU2m1/JpA+2X8oL6ZsCyrVJbAbYPBiG5zq6qQ9RTfKPR4oTWSLO5CUfskJ20Ema4rM E8ex7pvqJqt0vvuVoBAKKAJcGErvXaYUS8+a4k9iqqB4l0+IiktvC30uOk2WtEa1XXy71ftr/bMG vHkQ7G2INiMQfr7VZTg/RIUomssMgH9/E+VIXTJA0nLA4jbs88Hd7RjW8a+oEjk3/M2s0GpQx6dD 4e5TEEUmEtoB4RxBAW8PHnsD3qcT5BtqUBrng8pg3CVYdKh9KHATH3ljqIAyUzWMUbkygpqIDMZX WEyOts8ksNjrziDPTiApA7RXP2W5lwACBr/hc2gsC2Ope3FG0LsmOZeWz6fvAKXvI3AUZE3QkBjX ErUoX/fNUOHn38vPU/eO7FpxCIw//ZUeyh8dnE7XCV+j4ul/OdZl35XzsVNRBVI+TSdO9Yt2sMQA 9gk+sesZTi9+zXOig/73oZO4mt5uymlBoOiqdc94LR/sKXXlD7IF0xN4K0OPpQMaJ8AERdAMcTSr 8XiiPwgT2kIwTi7XcmHqbpIP+Q34DrdU1L0k124uJ1/6/rqb15kTnHkuXhJVnDpkCdougw7hOowI O8fHNcvjXYivlxyy0fACBQ25ZWH4/FkGFZ35Sw9hzknYQpTvFFTg8t05rM947vOuoU/OdnWatJjW QCI03GPvCYl/5eJXDU5vcXJKcGCxoLNH4YlgR7lLnTL7Q0sISxGf4Tn2x3qOpbKI4j7W/cuDnURL o4OnwlGI1gQnUWSVoek8gQoQUG4GUDWYEc2/Mmjd3gGKxr7+R2g9atmXCEc793tFIg3gqWjGXFUZ a7uoYs7sh+EQg4tXJ/AyTdv+KE2KRMzPt8aBr9WK92hVq7RR/DY5nro4iiCucRxam+kDF0q2RE7f 57Yf18qnC7AiajbbHS0Guyjl+tUWBM2w1YS5i961OUN5qqlCQxuLCXo5PR0CYpg5jKg807/C9gPr hRBGmfb6261ZBW4I6Rsiiem+U+QnderWSSuNps0PSD9Re5kiZz0RDnqnNxbaFkiTMDKMVxAmjvau 6oKAe+GBaZVQvwC4QFm2o+7Uk+1pRVIhbc7Nhpp/MMTmH7oJH5jwKeoOr3p0H30nmsxMOLZXrcxY KEFKqcmNiTg3V8NsmxOW+cTOLRspTZbP0C4BDbvG/aOIUOA+yRdtNnuI0aRFu/xUeWaOSbs3a1DE tvor8K/jKohYJpyBKdsP1Xucfjdh21xo7PZ8IBa4D9/0bt+xG6rHaALDKnGNA2Ky/myuMpYtjSF5 +pAOkSK9YctKqEZxTkAXWKP7pd5BkqBDTHVU/DdOH3V1/X/HLH8PpyU151YP8wnf6qJPCL4WqgBH hXDaggrb3mZRhI+wtHQ66RYOZhqtywgSeo3eV0HjlQTdW0fCBv7pDLpMDfuFOQoNT7fRuYAsBUOI GL0cZaVVoEhwcI9G6aScxPBPpq4DTYV++/jGGDcczkh/HoJpvZGovXXdjAfkr1IAJMu4/NNo7IWc /PtZnUTAqRRCX8KdPg4RhBm5ezpqhCRijiYNDm4jcpXvjRXO9zo5VDVMQjJ20kDBz1REIsms6X+V GZqBTEZHfkZojNB6AFFMXjoukIcVcpM8SVpE655sIZrdlPMxq1uyzFFnL0Z75jJDkTj48NLgmJKr CzrLSmTc8fWvxUYR/OYZGeFLQSTHcitJPUfDncU9swPMXdD+fe+UD9r9nNjlnY6xDBiHpxA2y4ds E1U8vtaDyg/eVKdpDBU0RTVrIBj7Vwaak9SdO+6R3wG2/B+F5Q6IdE4J6b/bnafJdSrn5xhCwxAt 2NGWnIg55pW6EabrMypHgo/x6Jk3x5YWp5mHZe6utURYHsP4ZMuXgC3m+B7FekwWdB3LrqRO+5bT W0iPStCNQBYPoY/WRQUHiclIqDbKgDYgyLBfK9uMVpgwBtr2U9YtfumweJdeLpEwo6GhC8qYCxlO 8eiC3AZGsVDKhb20XVk8qf0HkduOxhyf8p2UkcTo3ywIM19V4FYe+VJwLOVzWVWEduH/2QUU7qq8 c3MrCitzhTVL6uD8kV3i+vGq13F04Dl/65aRKnVRbvR1+lRAJrxjrj62LA6zvrF/xfRRUDfugWtT I/XfBtWC1I/4QPseBLg2oRPnvR9I8eed+i6dst/piQjm4JsMCHMJIjn3AiGEpzdtsWYcb6dasFhB /ZBnqnwM+PI8hgNXYah6L8iUHpwj2pKYNdcUBEEg3KUs3dp8S9Mwxp/Myi7gaMx++PopuiSQuZix g3JwUzblrmwv5w2BRPSCbHc+iF7vxYL14l1Uq9dR0YU8f2pxgFf/tbdPN10tzWelGZdQHZlMN830 Ezgb6vpkmfoB4JlVwchQDSRZpTmby5/V3BnXjIHp6Kb956OK7BWL60KgHmhkRHPtUpGpIwHadv7a Xa6di4t7KpnL87AzkVQRl5zvy5ExJwUVJ/aw5JxKQvysdccx/1Q5VPvyVLwKJUVBaXyrnwn8pcdg oXHOeUOp0VGh6kpITq0T2P3f3HeJ2B5W6HqWPG+MZeq4NW8zd9/tujipCnJ7FLH0300PP8am2YA4 /55tDFzY1Jq/kyW47MyT4elKnK1B0OxgSkrW4wVFbXxpsIxbgsmA5JrxLnY7l8BNO4yK+y9WfEEK MbAD5x8tC+0UkowdRPqwkYlr+OK11MFPhNj56n3PQhbJr8td8ZVc08bofcZcXte5D60e6qQOmXT9 DlOq1Y4zS3tnhWB0pwUqeoBkKbieLn64h0eO4e6Ct04gFy2Cg9Ql5QyOMTZG3iuQVN1YOcMLiFQS o4qzq+VfpcgvZPlbsVyre+/K4zbtFubNtIexBF1ZC+EdSLanTYjEmwyYWjAnp2FJ5tmbwdBa4HFh ImEZpEs2wjJS9d49PubFhtcF66zxrZoDTv1XmuM+sp1jvBRMGZERnzH5UlzqxlqrIr95sNIJBqX2 Lw7qYUZtQmh8QdXN0Py6Ealt9tjpxxvcsFyRbhUMYAXWPMCmHvv76OVO/+Y5Dfuoxd4lbPnOhnkl DYY/KBHoDJ0HPYcQWDhX+kVMlv9luTh55x/bSCXQmc7ZQ7RKHfTzE2CGv9/jtVd4lUH4d6fFH+L8 I2I/kBjJRo1PESQhtcnEN0SHRP8pYHy+fKeMpusTPIgZpguH3hjDHOzZilvnFD3EVta0f5jGGXgM bbO5Woqz4vnI76HrWRT4m+Qp8u8tbzKFFgFkn7JQIsopAmCd2UQLa6h5p+1RDYNLA06LYvbv5iMe nfPJrR6h9jLZ8U/FcyYsgBrO7oGJBc1/OYtrheWc1Me881dEHQCRcicZRVsXASOom2XJzJnoHYVc TFgDwHOOd02907M6jjjIE3L53kwLW+z0x63zrSdHeF6fNyLiisSU+zqDF7Bq+1gy7XP3N2D/TwjR RaipVUtrzfwKQAzmCP7f3aYn9hEICKYy99TySEQPq7SKQwpT5WUAe3zOd/mPGz28RklDvj6ieb3D vuN9/0GCQ+bbFaAEMsPiLcz/bITbbc+FhVcDOQjxb8vRzigA/dC0rj1nE4qkEAsnsiiWmR261Q9t Diwpn5x2Fn06c95gnRF0uDAvCUJqX8g5fbxY+YHTH3410sL8lLlFVj6N8yD669L/BPSMEaGkS+iL D2cZF14my6zmXxKzqbyJnZLdaMurbro7wmmnhgaxBwgA7Thhydw/bg2tSbrthXt+On7oo2N04xCe CjIdAs3Je3J3xC03HKo/K0KyiR1iNUf3auULgKYjODzde5JiiN19I9gZMEEKU1vzvR/BxS7BoPGU b94c7SZPe/I3MHcaRrB3mP5a0OXl51z3aEb/FZM4ZgISBuTRFlOBJsFaKpZG2umINHhKpWq9teiU gElQLi1OV0dnW7YvO1ztBrEGlTuSipZNXf3MSkOSmMR/XLCMkxAq1+G7PZvn9L5vfIj1ZyY+Xbq1 fo9ADfs8erVe+rPoC4DwOEUgowLTfaAcjLxGafrQnC3UD29IZV0S7iWg68AGrAvWdkosrh0qf4zV Y2Lg9fT0JEP8qHzqIuAckytWTXgyJN6bDDUniDQPkAvJhdNWyAEd9UIuYkLk1vibgBC3HLQjTRgl I+YH9Qc827qnuBf1rZth/i3yKV4jGhI5bJUoMLXV25yOqC0t59jm+rw4aFidiBcR/68/Wqo1D5CH pV8OxEIs0YPNKsJrFV0fLJc4vR3JfCvgE+LfRusiZYoOneFe7qNdYRxuBxzzF4D87Q/a7obY5eG+ jkcPGhHCBYJENcK4k0awGuoOlVo61GxD7zxT1N+rYewCxqHvHgZV3Pok/bCYcV/qmsNqK61aHLYy bZqtSW2PEodjj3jnbw71kARCDnzFuKGfBjtj8j/e7/gqxlveW1E14/7N1Lq1hH6N15+CQ+rdFtui NiePysyraZAwtXtnV5KwQb7mZdy40ofsqDemKz4iYUMCsKA/RiwztUdBtEsPpW1OxiehpK+FEJyp J/T923TCxhRv9z1v51RiL8hvI6X41nhB+FSznI6sxBq1pfe+r8Kg2tSX83llH7T+KcPm+mJl6qw4 U8C6rifzFbEQMUJW1SNfZSn1s7m8KYyf4HCquVOEDaIS/yxuklfAEwgW7Yo1Y9gDnCXseBtzvHhL FmmbutfHMYYYEhG+bzNgXcjgzxNABbszRuS3gf2TuPBq419bvAeV4IupBJ7lj94jWnblNJLvVPm7 Q29x0KYKu/YN2MG0W2BJFRueaCFCKhzhS7XUVVQNfh5U9S5c2ZPXRc0LHBhmqhhOW/bjknFbo6tT KMLMhA3cg0XKVsAAt4LHflFZyccthUFqnfrFgIvoi/s/MjJnS15cJ8Vos7I+Wii/jUvTTaxbtZZy LZeKWI3ZBZCieTIK5NoXbYdra/LBnK0OJNHJx7aR947y6IVQJM9W455+4v8K4gmE1Theze9J8Fa3 zKCW1ciQlYyjctvBOLp+D9t4JF0aRVlLnf4X+RL2fDuhTvuKIpMjN72DcarHQGd66SHY10jUqr0N ypdmXEYId4wxBTucxFLf8Fp6+4fuRbsnVMJBPgumA9bBJOESQPBkZPVQnl/NwVlzS6u59axWUJME SUVxXSl7TxQHYBNohBSBbZZFtJrTm6OIPtvxqF0lZ8bRSMmTi0QnCN8GhGTBFcSxz7eGu8/oCXtE hOCCjRhTkUU+fd77Kc+9QVcilf34JB9Tj5LYLRg6KtSVT71zfHzsozIut46LdkzyIBDsfcxaH3ug 5jpzWIq86WtpvgA/JfOUFosuWwNB1YxfycMTGxkRWRf0uOZO0nlhTtHVNxxfKj5+yLlXsLytj43n TkiVRTkm525ldIMoDW4AwMYViDZ879OM/wDldgpZiECui5cf9ly8VEEGJvlRiPqEZo3dLS5nDA8b T21xixz72yqUpPgASoXYnT95eg8q7N4aZN6g9SqgVBPxqI/RuWlbeWc5olccNyb2ARA/JMMCJzfW hbB167cjK6r10UAgQi8lrpRFHgK3GhORBxNPkc3fDnJG+3TSgCFhD98CS1QxL6dgFCLAlycKK/5E zOrLZo7If+XF1NZq/IApWG8Kwk6DpjznuPEQ3Wiax8aXrEhnr4FgBZqdAIlpGhW3O2jOQRcBiadP tfZaAcfDlNeWgzK0oy3+cDTtfpDZaUOVhgqQAbCUPQQZhWz89DQfo8h+BwS5Ji/6edSarftRPXoG Sin2oB7ORp0/M08mIK2uvOt+rF90t47lHtrswN859Be+slzKID9ye0nVYoljedJ/bOYbBtnVraW3 t9+EHO+t+agGu646ql3QhmzOrGcmrpqFh1viDBRBpxEDJnwjKc34uzFWQ+jqJO7deZnL3Yo1doDC rrLrGa1Rk8/HLYPmAEKW5RKs+2gFnR9L9RygJAV7sS92T8Nt5mrZjHX4qsK0VVtSiiey4cbKjEwR KC9qui+kEqZrMGNj+svA9o0O+CfPUutZvvOdohscmy7xdMMLB+aPAU89NMEdnrov8LLeWiPUiwHD 87DEOcVvOl92kihiQRnTWW2qbVs7ryTbY9/3fcbm92TAfLv82Do2JJawtxDBSWor2AHWxY5Bkxj1 hOeUVLf3xD7glqNQ6KUTMPTe5FsJdEozBtMnQ3u4lbXYQqbLkInZPwB1abj0qJFkyQJ+q+dfxiRM LUuvL7UMSpiIjKQ4HDV+U95dpllR2l+O72fUoDc4wRJTaS6VJj0ArcUXDDYv0IE77ac9Dj7LhpZN 1+ztJhwbOpAt9zPlxb8kl7C5N0mAdkIssz6xDRMbPM5IxO+99DM+nPKjcU2t+5nS26IligWAdLj+ UoKREghQS/uN2Ms0LL5ysY2mzVZndKSQU+FMuYXZ4qlUiAJRTTsAQDajgTTw9emaIQc1yxJJ/iPH /O0YbeUEjqNifkqb9Nb8jRC2lmn5jushrpV9JwYxViBZ5m6THC8bsTxExIAN0QpFCWzUnC5oZozk g1hwbbjgY8GzpqiNgsTEELt/xHCbk/EpK65L5+/LJTH5CZmLw9dPc3ct7ozTaHFd4BRXh6fJ1ud2 DoFy2PVtfxWUs8o7VVsznhLuZjGCNWUdiJrq5eZuttar31os0fqa6cIp1jjJa7aCAb4CvRygVH3Y 3WcY4N3nyMVmfLGVt0KEZaayPEWXTHzZ8dr5edmVbe97I6ToNkZMvjJlbnMWofjBPHoplJfqT5FB 3lKXXJgpLcD3WobCqeR4UsYGGxafGIZ8Eln4n64KkjDwaxeiM3cd3Qjd0js/k8e92gGFx+wBA41x 36GRcB8frcxwnGPEBKBGg2ZSl1PyC27x322zv86ZZGW4ygN2Ywq3lf9vELxuzjyGBAM0bOy9/v0f GTox89Wr/td42lOM3Y+ewueYb2IZQ4AwAb8VOi6nLFZwqPnJMRGrP3hOmf1BeYsl6PjnBoT4uQ8T 8yikcWLAjoetLhFe1thTzH+ZEMDm5X1s/zAJunWQvrLFXA4Ua7Sg0I0SKPf8Y1GiCb8k84ABwI1d PebwumGvb55NZVmiHOTj/uTnBc64bEtYdiGBL/ZDf7tkw9PD/kc5+xHUtfxUckAIRE9YG906xwHI Ub7I6pAzqiwMze48e5yePzlPzwh4qX1RZkG9nIoqlqRFZgXAPfjwGjM1Ag4XkT+QVWfjn1ofVNEf EY5I3hFjaI/VdbM4vwL0Qvd2vcou+Bd0SPTKVii2K5HdtqbF4Yd6QE3LxTJU4QqSArIEEiuEt8ms 29ie6RoCXweqVBNYScEvgHtr7iD7+v+dY6JdZX/y2t4pwstpt4g0RjMTZfjq01jMLA8g7vcDloZi GStj3c11X/JKOOT3dPHvuK/m7mH0imRnPJUjsttXbXkNyqWAN2/7tqqujnj0qbfItLun3IviMb8g GDFIaB4ezR/efonJCVej0tML/ffC+6UfLcB8QoFoACtLh8/xmnE3g7H/3Z7CDF8gScpdCr8hCA9I Wzj47eWgNrFu/43Rk7tssPkMspYjLv7b1PEXeYHFzDwPFLTKtN8LoxGIR+n8P+CyRk9w8V2U1i5k imPr4WbAMvKzJU4AoDPhn1m5CKdhDNMO7ZFw4HE2tBnF27GzdRVsF1wwO46n5zX7gfH/9dWk1dZ2 hQBzg2dxNAwJ3TiFJxRSPp74TooK75mzU0zwT66+rYVcYnU/lBHzLdtPYAPwk4bWf8YsKplEHvvD WwNVRO8d/+d5h90A3+3ItXxSpOgfaE0+i/g1lZTxd56Zw5HGA3YGX4JlG+S+pjmVznr912asqDwZ x7QaJrdsa9Pin0kwupakXQkAEm2OPcP4TKbjWX9rTmvcgNv9RWcX0B4/koLAYyS56oxHo77EDpFc IsrL7FHH1r/i0R+Q6Iwo0TexL4EEMqoMhtWQLSjK9aorSUvf+Xx8eZDu1APOiEg/bedr1eFFluOW RFa+iw8sxPOtl2Ajnc2S3HwhGFrOKiVBAqKn3H3zNfC3UlV0e5SwXTA5RPu9cEu01poJw1WFFCbR +mCKseNr8OyR8LkgbnAVLHXQ087l4ekKF5qL2CEeIh+cN/7BOJknW3DjS2vYUDrMONynmSi4N6d1 6f0dNHdVjTOxbponJFE+YbHkv9Uh8gO7SsfC6ZhUF1tITYmM+kTco+lsXKL5Uv66K9cIrYMg+XKM ZmKWzH5gRsd/zAQzQ1+F89/xrA/BfHHpbkYNsxDRWzc9xzUjJeHkBJZRTCVQAMz+Uz5dOa9xUMpm bzXvYmWOVsdeRPG9tFPZyq6O5amCNw4Rw3aEtbUHBLHRTuYwTyD5GmwYd6WATJQ2vRrk/r0P1zT8 dLu02k/u8ik74Zw1CWIbJ3ux1OHlfbHN4iQ7Gn4TAy5MtszZdHnELVTOF9xaoo2rRCb5KVYoKreV pASsQN1Tfme69loOkx53jEbS/r4Tdah7mw4+jU3E9oLiJ2y9rwZLqbhg/6t9yUN5EYoRlfRkL+5Z NHUmXvFHAEED0HeA7D3sBpO2ikIwZRiI7aEyjrxSPW91j8fhD7Ovd52wOl7+YrWI4gK1UeebEZEp Z+KqV5s2DdJdL9W3lYCIdYWH/LlEHaBPAk46cnA9wtAf6imHzL7PLISWfI9Vatnx3xFfYdnWxbw0 tHtKvWRf8mSlVA4oKCzDd0YDWhft9WXllpb0LV/rQIKHH5ZfViSQ/62fUY0joj2DFtamwRT2PMQz m+0fAr6TowRYWIshXaMnb86uNtOO0LZJBmgSc4vmmHp5ydSl7RHEuar29bijEu3d/BcxReS4MCFB +B7Kb/i0PKv4Pc7CNOLHVErVpxEQtXqsM480LDOdsdpq2l0CU5R5r5n9YxWJU99to77BRMVKwf4T DnP4IFl3Go/Dj8qJ8l/m46D5tMx2NPsuIOFx4fxFtllNgX8+58zGu+glbXEzpcBZm6HYLVh5MX7F 2TXixH2b7BDbBxKaP40XFyLRh1UdgqwRmYpSudeog/nqp8wh+JAF2oZVK2tLv+GQsrBSMKpsH3ts P/2Y1on+zqdb3dk+GiGnJr5vfhsdma7AzOMDxkyQiKeMpEz+S7uKsRA0Yuf2H3lqalDyoJ7lgW7j ssW/4UgIYqgJLv9nVSTrZgabKR6A5jmMtXERr1nQKD3rcHRNOymqfiFHj8dUQFmvVOCgWy2OgNsh g/H/XRp6ChX+m4voWySbJCp8K5uye0MdiXyKk/KVZQohEhtLI4gWTmnSZTUE6zlMyFbJ4V2Loy9u 8/dDenXJi1LVWMnmG0+d1NPEr5pQ8+SMu7sH3zhLWoCXyXpzNCRzQdw+y6pVdKetPIIPyuq+RBsL A8ulOZ6Uz14fJP01iZKg88ZdyJy8Yo/oKQoYG2fbpiyKZBWOAMRK7xDyekpESvlJLBhCxcNu2yLW c/jaoCCHW5JHImZ757zTneRmsMcWxyiD7ejdUzBotguh/KquXBrFJhyXmi70NJefECQ0aXbT0Hia JMc3IBdV+f4B8avHdAiXUpeOLUMe+YGQbsEAScQO/wAxB97W6CJIdFH5+FM9KYqJQJDOV7NnmvDH XZTbQZH155S8ECLVpREwSF3NDnVf6x8/hLYfxugrQ7o7jhl2YK9ONqIldaI/v1fOM9GCBejG5rI7 8SQ4NsRBj/3YRzcy/rIamUXwfSROyY31KYlLNBROJbZnEGqCX2VuFsrOsCXrUaOy4+fNI3W2Cswa 0X8fXK6CgH1PAseyGkqg4v7EYv/X80hhRfFX1qRKC/mHUYBE53B56MUES8xdVsFHg7mFN3dWKudC 1XwEh172L5hBkhXGrGLBuNkpBJMZz3O2JLLZ9q/tLjvrGypE1yaHJL9IlKHnuC2GA+0muDgfLt3U xXHaGZUOcQSGXovltbjWKQDRuAE9LbkX6Rufit0npJSS5Lu1XzZ0m6FweiwKwm/DLPQ5YI2LmjmM PCm2Cm8mb4MGAqdH5kx8DwuP2SZcKX9CeCYQsKjVV3j/a7/6GaOy66ravmU3U9nLkuKLRaT38iPZ PBYTFO6iaaD5QUQYgC3bJBa3EB5GLQY6sk4M2UieEDAQJJbtUw+CPwJTMM6GF7GFxJKNX/B2xKkW rbjqE5j/46ItGzhfOQVyLawoSdiDXcOZEm7A4udgqs4i+pyJPJmKrDiO2r6QpwhvZ2tq3ZNtyZcP pM3ma2Cl97V5lkUQMhVU6aEKGBfqz3sUKokm16YiHVREbDokwVscHH6lnwkR+H4E81ycsAq0NkwM OOcwRJGAniVLG0hiqLeWVaAjzhwz+DhLX4hANcdXduFRwVt5nQuaSRMGplqzb52EW2H3Bm4YWI2C Fn+W1RvUeGDCFOcZLcQaBPnO3pC2mW1Ra7Mo4uQJuA897Bvnl1vR6ROqC3wl3OEKYJyCgJExZ5K+ YTW4M88wskaou6ZK29yQST97Zb4LYqeNtbak47xDl19rxwBHdrDs4R7THEqP8KMpUZE5nJhku7oW dSagJ85TR6bzUH6Wrrrbpt69l1bb6mIymrJnR7Lhgs87CIjm5c9DZGpGN9SDbL/SMyUT+zg9tlBJ CpthVCZs6RtWhDw4Mc0/B/X7NpaRWUtR10PCSryrb514DDh2lsRbntKQ1pLN0TX3DuzKmWzrisqz cghLoon/FOOV043Ns7Y3v3Gz2Wxx8T07A65LYkc0dCf57jlSGj57XztgAzcRygplyC50B4cydWyH M3MzrrXFKfLJC3kt2d5DLbQ56SwFlOw8+FmNNfW8sBMRe5Xin6IbmzD2PyyvCww0/ZEQEiq/G5gm oMUa3mDO2gHYsaInFe6dWcYCM2ahQSJwZWHI9E1WP/db68ZqY1QkHbrGvgzdYF3rBizQ8kKO4g5n 8gLKvtiB0WlDNvEIInNIzLi8zil0uSzTFlxAUWYqr4NtiNyIhdrkJoTH+sDz1mg1LjG2qpPeeTMu r3h3Cp5T30iwLzvkhXBcGQ+CcZyXjiqtYtdTXTPe6WXREK3ZFis7Hwt1+7sRgP/GocFSys6an/FK SxDmlxBJaXSuiM9ZkzdO2JdccISx+4ZqAC31eluStc/EGgIFSPdOEG5RtAgWFQjtgChuV8zDbL6K exYCuCNHCBg1NkokDdg62rmlxTlD4n8o64J3F9Ik4xFxgk5h7JKMh/sICEmDQoeE2YP25Oc2HfRW XvnqPqeAzUpZUSQGlYCc89kPfIX+P7BOuy41j3uPj67F352gkU1nk7Wy97saHlUM46tBgGg59pN+ o4vJzSPfzwzoU7PgUtdxik9T2JRgJy0OgPnkQZNM2sx0jTQkPpzE3rxu1CW3qN7/vxgFIfMApKj6 sxc7ZZmD3OF+FX4Iz3XiFh+X9aOY9muAi6Cg2uX1uFjzgjSgKHu1KJxUdu+5p8iZU02EibqOaWcF IGB7qDU3wIwEBiJasGLD+aA34LEHn/5LbBf9rOIOdG/Bu1HlvGc6SyEs2FVbwsH7oH+4KXbe6PAy A6BVQpqivrb259Mxe/ev3rXlv9CzU/odZBCQar4LTLctwJz9NwxrtoYhugJ8XXS9mnoiAfY38ukH VC6OBYzEzJNHuG024d1V8u5rVUKxkwRTT6qsZXF6VFdmMGRpeeEGlVHyTv86dPaRoku9sPWJj929 ZpPqRAQh+Vms4vVKykbCnWS60RO0PPw6ftZIIqKu21y8oz+CjaevJdTwTYLJNp8bEVt+LOqm2rMa OuuneOFu6wJstLlweSKr9F2fe60QZx5jMowPizH3l4ho8vSgpiRr1fuUdzWNWdOXh++937IOoexG UmalDisfm2/CwKPG2wdRhxp+HotcP7NeT57/pAlT9Zfm3h4OgvC1Dsn5ibb68zfO95qyFk8bum05 zT5p9Gx7DW3u+0UGr65kyNjEQJG9egjGUlu/k9+pwNdtDcpQvUr5R9NOipC1rvShgRIKcGzZQl9I NAhejfTNScQrIMcRjBRedx4dvHTvZFnWeNMd1rRBx3iv5VhtOd8S1PhSNzgeMlUM7I9urdQn6tYE YmFwwdjXEvlkI0s1v+TyiWfOtMLBGdqUSDTVxFgNL0X1nZRYbH+n/Us34axb2VpJrn5IA7iVosIR f20Vy4baItA2DyW/tF6RpK0arMx9YKz1rZa9vnd8iwFATG1OOUKWO0m6kheIflNjqdc/hzsoNxlU ycwjiY7k8c0jB20eI/ekSwN1a94q58s0XzJ9QhK5HkbCOLO94TxDjlN+HGdfVpDlxR1PRzmvW/q3 7yPJbcKR/3z1DnIJcC9KLVL0E2HsN0aZ1sE2o/aOqebU3ydlW32rSiqYNwgt4J4U7T/HfU8XnWUa 99lnsJyoN7JVD1TZkXoauOoEAsRoJUxhimDhmjtw5TdRE59EzkXE+dZ/h72/lj4gQi7SXo7bMgwG XGlV4++vFcYzUvorJfqvK+AimCjo+y+fzny48Ix0WJUpjgIUt7KVAiLZilGksg9aHIKU4k5D4LzC O5JBp1WZ+SneOpPyxS/89PIu8cqLWkUWE1jZdX9c8Vd9pmixE/vBQ3IfKVGKRSbANpk5r26JplA0 otCsEMuVP5paxz5lPFbch01C35M0KO3FZXi7kX0fLlI6D2iDhE6akZkTbNF9sAahsomqdJAI5KZR S7+XIEHWFnhzIs8r1tFglFjAPu924Vv02Nd0mNFfa9McSnO443pumJ4zV+VcKOKF2A54nlp6ZDIw Y8z7ee5tQ4b4l/OotJfbAhhVIEjFX7t/i+CSlR3XTTaAB1BIwq3PMn5e+hdGDTDX7z60/XTtys2r 8ImMVigqbXxs/ZwCmxpseZRYvyD/WdWQFy6XUM3ox1KqS8u1IgOn6fPUMPBlWAGaAF5vmQgdvEMj /rAZ5xEgsKJo/WsFnt68yYf2unzGmVuZ/7JGFhRbJ3ZlhJg49G9Fpo4k9v/vUE2c49MHuuiLanTk yF6WJMsl7rvxWPocFm8c5B5gFiWmjUX7mYf81ohboHlbbFFO/GkpEI1YJoUzQgpQdn1iKGRXtfei oGazO7q6/uCpyqH5C1EaXAXwNyWF1ILT4nLWSDLd+jG5lr1NUzqp67bwdp74Z9nkQJK2qgPKMTTQ HYVKPqRWL0LgthaBYYSzVHecxIQ5VlsKITWEauPnnp8Xu2DAHSIqDEH2Q9cGQNfKnpYL8EsqfInH yCbZJQg5n871dUDBz1ONJqdyEP2WVFDQ8/n3kEnbba++EmROFiIitxksz9ktvv35mcmSPPTNzCHF zHZ/NtjCndB+x740AfZVaK6ukh2UQMvN66Js4Ji5aHL6hpRtZok3jKI5c/++wu4hqHHQE46dZLKl cbk+dVmmBlFbY5O0YpNw3dBcHpn0OtCxlPdyQrfqGXZyzW1iVjHX52RSzBJ815JTK/KCKFD1iilC SDYvfQv3BOkXYg0shGRiouESc0MZ+l+PvSD69QflP6f4wIXhztgomr6ui63eu4nOrTArRK/U23p1 vPBEn0W8aNOS1um8TZmWaLWYLR3B4NwfvCkZtqXPITkMm3PQ8R8oWe/As9IsZtcAlf+VqtluAeXq d8EpQSMcyvoFYNbCRxNbUwngY9FXzYHW/bVbM7OGLF2hygxXcl4IhQgC2s9wFjg+EizuUTSrdbuM vQbkyjSXvU4A7ACBljq4xren3ywmS6c+iH9dSkGh6Bn2iaDsq0TQsDeoTAh47tQqFxLkLDL9Jk94 zlkLwajoGVyNotnSv5uOaUIRt3c4m8j4mMLSTa+axUV2wZB49ZDaatVbX1drQD6mm+TH7lnkY4m5 PwrrvxusBlXc7qs/u0oU4PlTesG2E+4QH6V3tnf3S1A47QyXkMFUK9lr0WuJPBJkJgfQIsv+GUQA WN3aA6Egbhqnb8lti+V1aTUE27jQS8/WoKjPlhIFJ0G6I53YAjRzOi+7D8yll1GauThzpTh0CyKP E6d+vtkP3pyEy7LBJmIh2aSjg3zHcywSTGUxzdHrUiD6V6QOizAmLkdv8tZaGZbAFps127tGJdVX bPuFpMZhujtS4hnIVbQLLjPvn6hqQqMEJU8AHEJ3PuCK9ylQZ6z/YESbzRDIhyAfU9EgMZVLp9QG goJ9zOm5EuRjy+D69CToMMm7xTIdvN0ocLmaFBglb7IPHqJ8x8bhjidighwk6KRU6sK2f4zAtuNa DLfXMW53eE/8W0F1qR2f72pS6Tv86zTQLjn0RT3eKKCXtYzEC4sUKTIHmUzJb5BCt2wT22f7OS1f FNfuPEQSg4AAfrzDRBjDYt6Oi9H3SV2Yx+spFCWGbhehItfWrqYwDC2MqQ2g+yK3UZ1bJLnObQ6o ZetqvgW1xc38F359Ewtruqp0L9WpM4vcDk9KumJ3DYWcqkm5xOoXa+eOSuApFQq4Jx4wYodQOsWQ Mpq0r9i3tgsHZ3uKcG6VZg37rUGAQhNWL7vC7tsKo+cClPPCuvCAzHEgxLzRtr2Og89HAlj1VMxC oJGt2/Xp/HFvYJr2i8DpwOsaOVElnqY5z9yrmfVuvOr/JHArSMYWuVyNlCM+HLy5t8+zd17sIcpz H70LKIp0Q4eKE1Ubc72TDk3+tFCzWfPqctKoDrKXXcMV6eLCMRpSkSMgpVZhyiWMFRw7Wmj/JWGz gPSD9BXuGVoBivaOamYhBwGjzMkKAwohv1buQHIe1ZUwcM8usJ8kdqIIqg8ghxBPYITZVL1PxiPw NTNlLYVoukklfKRcLC0pogHNrIS8TVH7okzt87XflQ548st1oPpG/W5FpxMTOkfofIRUDPGU9c2N ZouAJuxgKTnLEHZ0uU/Ctd3x6+EUZ3jf55w0tjv4Tw2S08fbKvwJ0clMX+XIhV6jjO2LG4tO7Ww1 CguZlgPiVtw9S26k2T5R1Ixxpc9e55jKUVU/0xGkhoqOw5CIgAI2V09f2R7xX7Ceud4bBF60CKi/ rBMbKRBwz786wdnD1iUIPRrOo7UiAhK050azsVmE2l/i6NiWx0s3+S19EUF9S5jCsQFa1Ufiguqj eD+KydRf3nuqX+eqDB1Yzy7/jhFkFgmc/Tpxelf2QzKPtHctJ43KlvnQeTqBkl1UrRSfb0t1Hh7i tWb1ZNSV4GP9PlWycOg/FLcbSYbXQswvwyZhI4800IEzF+q6SEGKr92HGfc35CskJq6C4PljAxxq k7sGk65gSFtL2OLQE8utsH6SHpK4GKg1/8PkttWVlNE4ltB06bzVB5pw3egHtnwjCok2CwOEB9VJ DerGjwsuXxB41/V0+VApdZytVPb6FAiur87ATwg8U/e4hiNuKQjWVkGZ/bV5XoLxX/tjPCiPWxtR 49t2bLrMWVAfEx7roq9+4tUHjTy4lAl4EFRflTY7nhZZzd1ZeF7OHI9j6DgD5RSIKbgm/a0td6VV +jrCb0kAsfIEz+iyqWBtnyQBgsoO5KewUhoVqlNNvghXC+E6x3pfNmfKpSd3PtxAmRNs11SbUhUY iRZVoOnI885j2DrjE0DbQPGOC1RvPGaqh31H0Luu6/eLPOSW7cbSmxuZD9Icb6Lsy42wCFB4CvjN O7xtnY+zeI2SA1bH3S7s8XNDvmI4BsXNB+ybWsaKQgn4dTRvwjY69UAYIJoOrPyvN8oP3Iu1OlNW ecvSCGEp9U5Y1iZM/ktIHuFY1np5EflXqmjXuZeBPDSHWG/RFIcczFfItGlDJNBdNpVcEF4+rY5H 6hNcgvovw6zF8k/L+Ha9++zT41ODJaRCqwTmpYk9eSoh7AGTPj0pbh2wkq8fS+WaJzSda3SclufY mmdMeu62Xb8HUEpBZ/JHPcZo+CJYPXKF8YorB1jDGU33S92GnEb7FDixvWUYY7knMy+Y+KWXZ1xF 36iZVsXhnY4ctgj5ePvu371/zrFtwv2aslbQcBIty6yiqlQAWN63VC1E+Wl06RcluE5yqmA0N15F L376xjDViV+sHinuW1KP0FtnY0DrBbqGcBTMTOIkNhLNeT3UfGWlV+Gem+BN2j4b22FTDGioccuN 3mRQX56oMP0izR9I2GCL98C7WPkOXOvDb2BMb14LxD4u1znvxRFFd1mvadDBWfruHNQN+euq4WFv B+s1Z5i22wrE9QJ2+iqw+yfUwU3D/SJpjW28w1RaIZI78+yPhcZa8St5fAYuby7+xcUnnvLxo2pD ZJe+wceXKUa1bBmA0W0ZtYmxWbZcc//Bo0vWPakcZNI5xCD2GdJjlbp+3aerCsgqI0pA4J0B125i 5CEli5dBY6ZMSyaZf3k0I7gllBQ/6CqXII4BPnJXkNNNMFFmvqoJ1biUSOsHZKC5OMLby4wAwlU6 J5L19NGULPKk6UeNemovD8tZvnX2nbDBUXIh7kiQryolF6E5czYkrA9qhyix/ylKjnvy3ghrnAP1 k74vxDbylHRmnCCZ9hmbKWUNSX5W4cdsvmr4MNJmMKjS870v1Om10Y3YlETK9eD4z+EQMrhTStfp IDtwpuYD62UNLPtuYkjwEMv7FlOweLrbcmHsCjQFYsW538+UTy+fvTwcxZvYvN3m26SKdVgI4XLW y/29NeF2ztu8hkEAnl4VGANWT+41RD/i7azV5MeM9ZgAhKpmInsMWmL/Rb0o0XOjCV3I9tkqQLnk 8dueo4L76TLY3PfOegpltDIR8PxzrqtH1BsVHtOBTf9DjUslroNPzHWLjsl2X/saIEz6lACKCclb VZAi2QqL5Qm7rSxxCUxsH90DPZfTk+XR8iZLaTgfCVt1njz6B9ce0CaZ6zZQIn0qzmco1lxAd9o3 1XzCrx3SQeGLFjcCmQx1sTE5zVJErORjWCM7BMSZJ8pTNQvlqQ01YOQvzFJ4kLgsrr0MT/BbEWbW wLEXtwc/JBP0l6ep9+A2YAzZM98J3WaIAKOVR7qH7qZcKaEk2om8fg53E80XkbpFiRomC+dC7IB/ hF+Z3WTY8Qm1t07e70WAjf5r+x6e+WAomp1H824seeW8UHv3iEbjsj37ogrbqMvDWVnnvOtwlgL3 ONyEKGeE3Z+ELTh5nQVjlEy48eJUOzPNzgs3yqvpxb1RqucteGm8G7Os1o1hHJLnNijgvJJxFT1y 2FKqLT+Lf9uuqqodMalbu2b9OMLh7vQBsbzKY7JHZBX3WI/T2GkCoOpYkFnDrFNRTejeeVal/M6Q OzTioviVXZ3lIxHBactsQOS0mbiAuY9QyLsv3CVDLpGX9PjBmMok0WDn5GHUXMw0U047V+roqYrr YvtIwm6gA/ffEWGdQ3v7qgB7rR0ph8IUBpMCOTrWV8Ljz4f3iIsxpVJDN9kEhZe3M2vm0bapGNfT GARlpjo7szx7x+ZNGZOReSxe4XRVyuv8SAPWGP3/jokRnWAi5zzZt2KyWcgMpIWl9WKSQwXXv9Zy p8nHHwW77sua79gG9EmVZN7aLusmByaQ51hGsaMz4E2g/YP4hIhCLIHbRSOgFRljPv/Vs+cFjm49 o5NId7RyqJgsxL2jI3y+VFLwx2AuQlU91LkV3tR2Xkw732sW02kriIgZ4yYI1BFeqynbcSEjQFZc jF+Y/DmkoEAI0ym19VZ3SW1c+W9ZjqQtDogM9wWczPH1e/t0EZ/LZgFLuB4z4qE+otPBb80OWmRa /AB0pk9zZYc9hHMdRnQvy3BiOMLDFQ1yO+MUZFlwoMJMP/nCQj9gB5/LdCpm2OORXy92fVTrqFO8 IRHSTjgByNYIjbKPRqgaW2o5fz/ycsi0nfMRtyiI5N70Q1+sibD3nTrrpz3SRVpV/wZm8qk+MHfK /rhUYz6spShjIXO1HyUVWoT4wLXckUur+VD9PQrXUUqubEX3iUdMypSJj726Qij87FVHdzxUWfRy JhWHC8PVy4cUjhLOcg/GMi9E32Qirl+50luSRUgdDGXMDvs1OpOrNJt3rEprTvF/DY45MFYvP+xz YJQyCWgLrtsyreCdqMh5C97jixLuK3rhHkgoqMTWVgoF5j7+V36ObAPOdujfhIeSKZeTyGnegRNN zA4V6/5VgJbo6pY/DxP/Iyu5L3EI2Z+RBv8y+/qxMop9dSeYBjkT5ITopCqsa77ujpOd+0Qjimhu g7h/4Yeej5QQwvZ7yTy8phy93380bhiRMq8aLUjoau0Ex0BBIKDZkMVlGjnFQ776JnfMVl5jueUA 90T9N5x0gtI9T53E5YB1NwRN9MdFICKiVraq1rc1j7GhYPny7vYZC6eSZdseJvA4gj3PHPWZ0u2P tq2d30p9csTyzzb5Bjbs0lHkn5/m7rE6jvjeod+yGTZty3VyYAxXMt5NAdL8MlUq3LiPuiuUzjDf o0cIb5JvpYxjYwrA+sdMZUVtFdJr2knZ7cv/CpppybkQsvxfG003dkzuQjM1k/zM9/d3DiVIRfMv +PNXM+tpN8zXzHSoGY3cGfBLmTCV9fuhX9lX30vu021DPDctqTWLD0Incs47DCe1OOX3ksXMSj89 sn9YcZiF4Lgda7JbH1qCeu+QG279vT+TsxyD3S3JlukBP84BFAdGg6IaKuJ4gID5QhuH7GJsU4n5 IPsJCbh4Jgbo6EBU/d8b7i5Gz1S4E8kaqHGLzBwlvghq21BaPwKBH9b3IvJHanFL0MPtxDNGBgIB qIxcHnT6EH6/A9++RGAkC28W86JSXG3Gyero1xSsItL54EdQV8mrd2dYvCKJVfq+VLjBOF+CK0jG Qwty5yNgiJWQPUSHUhL//GwJ4dmTay8Fy8iYyJsm9nKVH3wLSkCIN57nWZ/AgvYccRIntnMHCtSJ ttHwL5IMDg5QtVh6FvGzjyZNBQbWc4RbjLpTYm8tmnFl5o06Q8doV6XoS0u9/beDac8KwcatPkTo rL/bQgrpY/zeObEnf0aLdxNuFsvmOfCKbrBehBO3aHzH8o4lxCLZGBUcFzJ2+LPWbpbQtKb/WkgZ GAh2sOq+jwWKNhz1eCpVIWK2YRX03EcOHRg+EpIueSSCFgtO2cWxDK1ASSY4/WNHMAJ3rg7iMN2X BGzyd9/ZMYPrJvSQAEjzi1iZ+85qqp9zXGJbvU28usqqY6AaN7DJHR4NKx4c+ECUtM4BUAAjq9jp 1IZvi/w5gI5ehET1dxmTweBT//MUJyVnA64BOmzWakcFq6PhP/GzM3mPMPfe0ySIgGNZJDfRdPYo lmdZUKY5sjsNuQlt40P5gIfQ3imgVBZ2XQwnMQyMDs7o84EXvduCnN2QpMBBMRj5kCBf1upmb/t4 pcfdue7gK+Dbh4FmwkfUWOtt9ih3MLltFBYbEVxWohicfEC8Chpv7fmqu/38hVN8e5eheghWZnCl ovsD2oondf2YiyM5wtmQ5AGEBpg06LHgDL3RRRO449dzutFKb9Otd2ky0TGDiSHedM+yuuAyVfPp vYFyWHWqICsXteV3ucBqRHIS1eNovstrZErVA5ydw9k1zdP3QIcvEkzVJLMLO6plCHd9gox0t4sH SgKyVls0A3nLlskS5rHWb64KE/WV2B81w1cm5w+HF2SV3l4ECV9tHALNo0n1zfpQHJk6AMSj0tKS FDC+w3yFQ9tlgbZrZjXDLUw6RK1MR4omeL5f95TQ7WxIOMBKSTqrYiBPkyjEVzfCCoAX58lPM14h WqSXye2lHannS4fzMUz9TS346s+J4Hryg7MG5j+WdS4LEDzOMNz+oeDuQ/ii5DV6HpNaroQpvgsa MNjtvOZgWRDJUnSMIcxt2tIih2M69geXFb+I9hHqq/aOrxEWIDUPt592P4KbpR+RxQaVWmmnlpgs /qbCZQJgSeFmVbajSdFRv6Fuxg9JIFiCG4Md9cKv+Ga3ImsGCOVORUbSb7gZQJ6f1CXYP6jLQ33/ TXTnWscyW2tE1POh7USVoDYU5orerBfuTHyLiYAOR0KUZeByj1BdnTQG9lKT9Rr5teIPvuG2kiFi U6lrXZTCpwSphbjsl/7nzBZ+WGIapbbw+y7Q+EtpGnfY022lHNH/ClIE+PSVVtuIeiwYfE7uNt44 bcLmFbY+MedqzG1NwPyjcYat0AzWq5vtbCT4p7qS29zLtMOBPc05r1E/9DyLfk1F7FKPuksy8JMx 34oC7HtiYyWaiWSdJljUrxZZu8eUtK1ahTWaAOfOZbTFq8vUVwwP3iS++sOkXShT9GLh4Jh2Mnxr ubFwPNSjTw5Z4lVmWJfIxdmYiH0lnBhyGZiHX+Ep3u7JVcHYwBJKRT26AgzzjqoigIpyiZfgHhQx brWA+veb+ubXRwf0rpdlipJy0TFVOSUeu92mBwaw9Ep0E0TO1JxcMs+w4wF2pmr+mEIKhMWZ1Kh4 vAVjM0NyzHvU+I0+L5a07DwdS8fxHmm7OX2PhM2FZfK1fjK6yfDxEmn7R2Rm3eWDIyqpJlBTnaUG tqH1hmB25sjmRy5r+KvDHARv1olA0JudqpRdz1NpKWek1b//2FquhH2rWoU/0T+/o8kyq4/euPZ/ 4vJhHPIAOMdegl7JRAqXyayqDmcejuGiyJS0aPOtsfs6Ia8WQjq8quCz7t18x/2kKCfZghRRakqC 2KPrcQBzQNoQVBCgcFu/1V75+HASF7ZC4B6qnYsjPSIjDMeE+ql17V8fFkPF9rvM0yKiOQiTfrc7 HytTDfor2NrTeocA08XxDkWGxWK3u9Qk3pjlN44a3lBaghhznvMVfcAYrZXIoy7GUPdITdDQKLfb 3uS45Q8b+n4ueQUjMV3yIPhbalUyRuV+sSvi2NzToR7a/QeX0Ix4a3Bh6KJTdvJLGxvHA9zTyO4Y s+Me0NOwSqUM0M/OiVCVLpZv+IpIXE2SiMc7jZ7MePhoiRvGTuzcPE39Zz58beQMENWELezpMwUp jOhqJ+aDAWDWaiyQqiKAHtKKNfNAa0oz39SfPoMK4J6FCDP36TcX5BXsiX7nTHZjmE0FwZ/g9xBY k4MQFD9Ks7SYfEeWP1Z4kyu9FiElLi5kpi5na6iQJwOV2sQg6D9SwRFftsWgtaF0x60slODO/fAn u9qEAlD+TdzEWBoRrTnb7u82eBim/n0bJgTwa+jLBH/xpwS19CHIYWWha/WmRZ2/YdJOO3Im+XqU 5bxrT/AMBiRm9Azt69aDSxIlqaahUmTeWa9KPSJr+0ytWiRTV5rQaek15EJ/MqBY7t6oIZ/0f6fZ fXlghYL0VZ23Q0uPviYSdHHwZa/MoVUVdTh2+I94tkhC7z42c9gv0PGjb6A5W7JEAO9pXqzG5Zh8 NgiPLWJ6Gw14mnQQ2sR8kRYGyFMbqAhVJ+m2MFnkwVWxMlmUl7wBYcyPqEQbRzk6okUJQLgotaR9 6G7AJLf3bMtpCsvonSTMSp/7wITPTD5FTyNKb3bry6J5YRZfLytCb/n0K1+LTq3edRRR0EoIUd9h N3iw6zEVD8F7rkUfXWlUdCSoOg5Q3FKRKI+UT08wqmhXbex2Rd7del28ExRVmDBCwGYPd1ELnWrD Q3iPxN0T2S3l1HBdHOiu/qgXOUjJjPvMpfLbemTDNNZsC6cHS17x8G0kCBu/qS0AsbSbQe/9F1SD iMyTI07cV7wKJ6j+wLwX6h1gqPp0so2ZSANZ15LSZnfrdEl7CcM05NKOK3zFimAT6NjJ/2bff/AU YoyOy3qPZQ+y38MEWx7IVdY3aaKEnAV/FREqaiSUtP/fpvh4uVeZLz/muxuKvx8FWZaJO7hdr2Jg GR4mBiuX3JW/dq7Xewtw+6r/yG1YmK4G17BzaEWSk/AmIcjkNWLtxFfyz+kfW5BzF8/wQMlSskZ8 lcyZosWjy7qhtKo/M1VS2rG0JH2MhF04tRIJ0Wpq5dzJWGa4bAie0PP2YfdIuhmHFKUYoJgbjF9p iBFWk3teLeCoZppYYDuol3A69qPoADESqgVLIKij7+9Z4/9jbjhQ4mcqHkw3KT7eKG99OVxI8HSj jXK4PimH8QOEK0HE0x+kdOECiffb8f7MqUN+WFJgjio1b+f74SO7iIJrrWJJ3iR5q0gRn2wD9wfW 5nNGWpCLe8Qo7q9ulw3rMRiNhic3j9bsBy4cCbHOkPlFg2yOysX7j/HGs+XOI5YKSAZI3dEzZpqL UCsdCJFrR/MiykVJ1gSJVmv/JrLnoHcVHQBllLE3u9AvMcezlXQ6c5k6sYn9YnggH5UX2Op9tnDA 6CtMAypG8hRNycXdkFss9cE9858pd4M/k2zagYHZPbFblmhpJdkZr/bfMg3aQqQ8FG2AhnxOYvEM bLNtAo0TG29P9z1wV7OdHaMxJqyB4BMfQraiZ6hg+Z6jg4CNyp/TMeH7PzbnAG4DrRV4NVANrpjY RjkTm2gy17C4TVh6ABywYBTFZPokzKoud3an6f2yf1+zzr/IBMq5MVQesYCV7Gl73AHPcgp3hnV5 lLwi+g4x6adI9u/h7nBSXWQ+zv3YrfGBBly8RoFwkOB2HqeHmjOuI8x7YKqKiPHMoIMZXNfn/yTK 3EVZFWabu1pXa9tw+SvMtHYLDJxby4U+CLTH3dUYaU4mVK1hPIsKj7s1nWQ2XMj2s231UVw/Qwf1 rpN/lS65FR/mLqAAbRIOvo/jqNWJYb8zMradaHM7FRKFE03XfIt2ycAinrr41wvr5W6JJz69whav +/kRWuXGocnFbEXXrsOXN+WuJUC6i0FQNYmYpGgFtjucZyG1ET4pYI3+eMHUIuQGAv+i7m/FE6zA AzfA+UoPJjAnQEI/Bbxw9jzC9XofTPjMgJ8AgtqDWs+t9PaUMae9MDoww4WqG4Hl9A0Oi7VWfr05 fVvP/sk/J1zK3xCAQXCKdOFTfEade3BkJY2gYsOUE/r+CRuwZX765A6jnAKsOmUiWBpzIYZ++KKt BPRNeeDR400WDwAijigeT3Vqt56N002/K/Xh8PJXPrup/bUFU595HyIzb/APq06ykJYQhf+iJa5D 7Z/r1kxjO5uNrrhrUPegSw0aLQE7aYu1hH6reqjevztA8EtELXlr6lDBGPryHItSvhwnvnWpBe4f /ZpzjoSH9Ls3+zgNIqqV4gaLHBERpPM4H39oRTeYPIDaVT03GgqZgZ5e3HAtidTKj3+m7vghaU3P Gy+WYzxL5wAyp5OGfKUk1TTXgyMN7wnEbSq9doZkygKpFkWz2cAyZcA2kYuswPdrV1jVcqfAosff 25erUDeoXiq0kDmOJ1JjZgTDmRWQxFSRb2M8Enspft+a1eqeqK+Tyzt5NRgaRvGgUf/sDEOY98O/ yHAzmtKLbCZIczfBbsnaxIhtv/bDmXEVcWw5ifv+hj/VFRuch6rPUdWkn+/iXeHOM+k7C+ZWqKzS r11ArWOFmwUfy6i8NsWcDLI8dwbZb3DPDI3iAlVxs7TiVzz3iBULvqPzRZG5JwQJpPefLjcCxhln A7R3CSWn+nMHW4xhA6/Tjfo+E8R4O+sKDy2JbS8/iXZUS2ObU45hZMto7s5G0+Msbz2rvK3k+Hls oUadWU98xo6yWDjiPatHx3np7QX6LKZMU5ztzNNHVsJyQbp7nbIdZTSXSpFPnx4CBYY+pUbkpY7g LU/PNpPHxI3imgDbLit1NBkVY5uRQF8dULvTYT7DbNHdvZZw0Bw5b1CsVllaS9zxOpU550xC18n9 7ib1WYfdiFceZmtDBsKVIqmIlA7840cnaJxRhgw+ypKHSNkfOkoVpU/vqbwcUVddFHVmW0FU3OsU 5Y54Weg+Ysf+J4Fb+uQC3SUrLuogrXCXcantpcICoCZHBTKARBiQKUkv97zHt4qSiXG8Qxs+C6jm tIeIvpzrEHe9pA8KZjuvy8ifDBMyRkSHAHeYOVFZ94LLnQWdQjBLtP1OWJVklvFI78DC1NVaXUzi gjwwcRjElGhHjNAVu8PwQQJGXKI0cb/NeAo3H57jb35kY1rpaDC9k10k3bOrIbbxxB+oXeqxKvd3 7aEgp/Hvv1aAZ7DwXJIiF/uUflJ7alg5OfyiiNooxpw5D1ccBjAUwqvvtDv3zDayriou9IqW4fF/ T32FkkRMrh0Rw0jyDwYH7NLyiR5KwEmwzTY8FuFF/dIp/aWVJ7MQbT/8RpfP2nBIM7PpdRtVFnMq q+pSVPEMeommLfn1TKHsY2YyUctwOWqsyFm3yOKJI0ChJpt4MRjLSPgez07XrxLN1n4XihEfFbUr Rp24L1zHMkCQqisYPntSkMmpFRECIE5uwIyWn6ZrrAvGEXPo9iExy0jBZ9bccyK54lBs9K4NLZ1i WRpDIG00/gt2T91Ji86fbzYuuxxPVywEWDgWJjN91EOswYpUxxw8WvXgDykXbOaQDdIPYBr1VQ0R r4L3C+F4kMEkq90Nstzyp3bUzdNbpysrCYZlRbmuPy8onmI9soYV/3x8uY8JxcuNIRgPNWbxbmOs 6HYhs3e+XhSam25fqH9NoojYw05GuxRdANZzGzaxCjoi1Qf3qJtO8v0l3Ex4MkKu2XlmblDfNDMB p9NEFh+OhAl21PMvTjJCMGaxcmzxhTxBXXsy6lrSQn3v8UJrlFkmYDAay2YQqNa517tyk0kowOeJ m1Ma+3YYMDfmkQhnaQcXsE6gBf/rNvZ5w7HZgJkdl+pOosJBnwgQbJNbp2IFqRaLW6FLd2fWwp3G /DF9AiNfKDYzHWirUwGpx97RkXga6Oe9N6NnhQXKNQA7O0lVbtzgpiDfBrrQum+7lPeqfs68MzmS 2bfdycFcynVHgYEj57dtvCRHHUNZV+t6l8VgQk4Fj47TaAsNa3LBCfj/hAWvzSCzxn71zfP0B9DI 3VwiKD0F9W/4yGyfb7n/5iuKaC2OxvfQI9TGCf+/HdqZ827aRBVXi0Oswppuo5wYY712Oe963JcT z/tSXGO9a0L2XVFepSWVfK+3h8VfOwLf0zer1IC1TRzx43w9A4CIIxtAQPEkyqu47B4rFbJyiyPp SArG4Uf2c2pSEkMTMakM73OozIGJCAmNvpV54wahL8jNlYkc08M0O+mLAQeHs2JgpOovcn2R/ujL TmqKYZz97fSXuEf9P9npeud+MB04gmkRV2JO8sXayOOqaVNJfCy09cQmPOE1PWXi4DnKHzj31RYc 3ghFpoRBHQujePT4NtMGqxznjoKkm/xcMWx/KxeQHugHLbX6aItgH6ctiJfAajkW9hsBbwS558GH uQ2ZjI3Sb8+0E6rVYbD5sQ0gUZtIXObgZSzcq1gYMCO0cg2aWH6kSgC7zDIPBrWS6QNFHcm5kACI 7iuZdVzCE7Ri4zJNdSuoioc/aJjog4deObFNJMshQ47nm4g6ZmRvgby6K9689iJ1SxGXYyiCNDk+ AWQzbWHc5u2EvSuaiq5gc4N03jjPqttUOYNLpfnfL4oZPCCllqn6j003Ofy8uXKpXgeZBP4Kcqzb eUPXzxgYerw/9+2TqSylW0wV39m8TQ//jZ3LoXt13TEPWJr3p+O9tnaxw2AZ3e5PbMzsP5OEfYLm X1TpQ/TtSa08YjZX3FFf4ff/edG20v/TchsDAO+tdGpcizAQk/Q3jo7b15S5wiMpNnv2Zd6RWSj2 2pzfRGXy7ZgAaoS1J66ZofAPihXdMZdihOqDCdhb/ORh2uunVu4Nl+T2S6GBJtiKYnOIib6YUuiL Sx79p7SoW63KbeDLQdxOm7f4n8Z8WTs1t8TfNNVE5TnhTCgQfy/whgto7Z3NwoJMEiGNWbatpaRs /p1yY+t/MaaiRFM+b6k+EipdrCL/4Bzlv8oGrnmfUi6LYcLJYvB5A7pn9fYU/N0PaWOocVe0Ub6/ b/97gqyoll6ZD35ha7riNIdSTlbFfZmviQSft98RsuRWmCp4gqKQrMT3Ie9fhIm2yJFGYBzBOR+j +Ff24arpS7/sPdwV+b5Ioi1Kd2pUShsgDs9mDgunvzAHHSsqw+MTSvNKtTKLVTe5ZEwTl4co8XBZ xFtdgi6YQI6i333rQeYitJ9glHS7Uzayhjmm7pjEXoJ48mudl6vOdv70FVauo7yA9C7VlquOJ9f8 7yGdkU+1JKu0EqtQIj73XGea+vH+79LQi5Wd/fVCpv/bUNDHb/ctKW2Ozjdv7nfDTokdkYd2rBDK u3AYwAHYzHsDgficPQmSsau+3Uce2a84pD52Xk9wHD/kaIbm70mTpIYcrmPJ1WbcljhbPKZl9Vu7 a1///Buc7p6cFEgyLml6EBCjg2F3yni/Mc0E7ryt8v04Wd7oN+YDwBNCRMCgrrTK5DBDDptsbJtX tynNCj443LArEelA0jfK3aMlJvpvjoDmW02QuPmbXHdlDghAJI7VxLFMIhHgSziwk+dYRt91ylHU ITcztwSEcVRGcuLrDBCErVBfTM/f+b4NrRUsCHgzJERnFkF+DF/DoFmHNmINn1h7hMMmO0jvwSU/ Q5v7NPmo06pcV8/W26mDSRsYBpmFG4ybaNRJcoAYi8tZKonCWao6vPP4N4DuEKq0+KO+iD08vYjH Hci02eF/S7cp18WvSYkyVnO9lsiVpiiicpdTdEO+g5efuUOij/421tovE04bBRniIKWjH2jfXlEW PEIy282QOrA2YRrl1RGHh8ysT/QDSujM0D/DfEu+I2J8kEUfLWYSNthhu57VbFy4Zg50b+RT8hpi f7xNbx/+CXaIyCf3DEzTiY0uN7i8aICl7njxCXaX6hKoEl2OxJIX66xf9ZUmWrvQjnb2j2cVwbe5 5xNbWhDL/4OTW+QSKvKS5O92/tNTZXQKGq+siUePq6VwiHiOUyd4h3ZmJD+e5umyGNgFT9Xo5a3H 1ggxRlh7Eaqjol6ux2NFfAWD5Eh3RSNpT5XmbrhPyAWItPhNTlTZGBVrCbxP8oufITqRLaDaUKqn FGNFp/pqaqf2gOVN72KSpaErrusPWQeHC364Ip3mtn/1mexXjmkJFKhpy7YfkRlCl4lRkcMjw9fv DLlSRehKcJ4zLmf2ObeMnZoSxRtUJa+len/SRx8Dj8U4pqKc5p0lyCpDmayr+fNzseBF/tq0FRCo Im3modzJocrepvwwmLNp4rT/BouR17Ipwg3lpsfLDK+WIu50SVPKYS1Dqc5Yq74uCCS+nntYesOj S++SSn/vkaMmWimy06XL4fcM6jG6kFEN9eF4E+zrPBia7INK8Dz1jEhchXANrlcBYz1EUn01+vE2 TYTBaXnWJUCwFD6jIpfA9l5iKs9Kc7TeLKxvnqFZORVlhHwLey1mo+K/ON3Xgz3YwhaLanpZq2f8 vRbcvEzVsNQk0psbst0XiqkdEJIKo7QZK1Ujx40OKsnyxj0H71eWnYMLmW7sjppY7ZYBSowvzr19 /iGs6zXGicpEex/a4wd7ZYyvUg7h1zeqI5GO3zfK++Ktnkm+6yO+xZTYPmqdjZGkw+4WOCQORmHw t91CQYLMTBWlSjuQ22tkb12J+25/yY649E10VlnV1ApFDYdeKwlAkwBJEfgDnn1V9Uh/F2gp1w4Q kQ05WAasaa6Tdq6O1wQcHocJzfDZxK/cp2qkIs5tKouUuoNZxOS71Y/RNTZRiR8Xidv95gkzzRHx BYLN6UoJXavGriHzfA7hLsZZK8Bu12of5fNMHcpAuSj8fTFlWDvIi/Sd5K8lTm9xGfW64O3S1mKR c9pAZCDwWQWzOKr0fYm0DCNbcmuH2ltVib4J/WhA9q/+fwzZLtyJq3GZKJcnnPXypZ411CXpL50r a0jmLZgDmmwhc51aazPaHyt/fKb+c7oZipGIlzsT6RjhVLeG2uZqr4x/QffFdk80IgA5E0K5mu96 dRgAq+goxDfSFIF8qjJ/G/Yt0KXpe6gGUwXwMGpM4RG+eXXQSdcMjs+UyEOPPlmFEitL7/aXd8cr AeqKN3jf9ySYWpqlA0K/7nqJmgigU/3Bj21k1AyYynt8WiAhdpPl6wp8nJguB/tlP4HU7E2mWGhx P1wTwmwkpYgDTFc8ognJmCnw+w1HVOVBQdJJIZhfTbJJJFp+1LVJariCulRGNEmgHPZROxCnME5c BqtTf2vYujbTB/VMnBrl4kE/PWHSlBWij3kPM4BOl50kU1TPMXNsj/Pfpa74QKkP7MenwEH9Xt+w wwaf9PArVD0ixfe2+6h/Qc9/WHhIHlLLEMaiWtyj1uaCP1lRc51kPvGh0ow9WYXFL39mPo9EZU/q 9SZLUJBR2xJQwJ15bti3LU1qSKoDdteB8w2X7D+//6YuKqm+WtQJhhcGzzljI+V4NVpPaIsO9EpS Jfz5Y3W4oY8DJyy0NDZogRKzlncHHWTzVEXleHJ59EG05OhgxTClrJ+MxVUlppmIJooTnvyBRprX cJXEgm+VKnYHxr8qP435kSDu8+J2WPXOhiBLbeaH04+np+9hvorcS9gPAMu2He2Hvd/efC/FAuCF krAgnDMDFIFYbZTrnx4PeFIuMMMVsFE/Jt6umGMABXfxtQvCXvSG5ggp4XSlfYtIHgo6+SFk7JBQ 6eDXLKpciJo3tZ7E10a77q0hNWHCKtV2MaPGhexINvUIZRcEgONFvj7GN0/w51Mn+1nueQKGQPYX tVcnZEL3muxln2qJ5mPqUPJUllyDIZaaJJ4vsG7Zwh/Zo2SeB03gX7GYv+TCSZWm9NGqbduyKNRL iYW3VMEKNiDtyZGlUvGbg3Rp4b2oUYJpjWEeZ2mtaqR5f+w8dX4Sq5aHBQABqOLuGfN/4ec/Y5FZ zXxr5ZubDcJbjBorz8lVKYdI6GeVGdrb1Y0tk7E5oPZa5drZTB64jEY2kQcBuyY0eOk/jdWHaRNh 7W7mQIPa6AvJ/NLvDzs0KBlt47CKzwFo3WA1IOub3Ug4o32DA/Gx++GjTK+mZ10m94E1nIjcfLLg m2n21lBnqLDZVuQ8g3XYqS/st4V+mgG1Jq7fkKjFPjnthmptMBeljbC1UCjlwzC1kxM+unumrC7M DoXdSgct6Way12/7LmRCZFOhJopmpeZ2auvvvmWc8bVZSy+JRCNwdcUzBEg+PMauyJV1XfXgTm3X S6M0KCaeYZnDgiaT0cPwKFH4mQ8r6rbPLj6WTsa0l0QR96HcA83FL49bCAet4Pn3N5KVxywJWoLm noEpMQOToxfwWirtKdjuThOmjuE+ScA0l8iiq89YEiMjo3MYDWmzhDLzngWReMF5wKknThNzplV/ xTO/vBpHK2B2NpT5Rm0fg4x3y3I4b7ak5BeY/X/PawjbCqSM4IzQwnJ0fVn/B7qceakS5DhREwh7 a3/VZz4tVOSJbLVW6xGR2lVUADJDw7NaGIDHXMJHLS3OPNBm4s6KKCDrlzmDPYTpYrEr/V+bOcca R+fjc1OItJUBlm9qGn8C8it2EbSBVuqW+AfLEGnTanU+nR2u7D5MkHZbWC4HNTpjmn4pALpxZxVY NrwxadzCeY8o0orTwIeo/rmZSuIi5z1gQyU8LkNRrFLu54hrH3DUthfuI7nWKTi4BnEhAI0zjFch EW6jWZyimGqw5InHLa9/hqVGAJnvCV96ujGkY8HAjvbCrSRL9RKoeUyXm6vbt5Z3Cb/qO1UjvF39 FeJ3AJeSRlpXhGCLLElZtWyEorXhdQclSzzEOMYU3FbpK3Oxs0MLs8wczDjAwkkD7i5ioPsTO/RW gdmjW2E35UbIR4sw246GmtqW28eTX7nPzDb6LyJp7GOFCjXU8aMZ8r88jYY+1y2xDmzv5+RpcxsS SHFYbE0nkCQVkQpEdaImUlZC/l/FRxPHag5UaD8Yf5ZBbzvAesZAFH7FHHOM/uHddKAdm87GOjYm popiwisNsNGKcaP4PJHs+fchoXFEvNwQL+mZMEERkwQpKFvln1QvEWzuxDOFXAIx9UEEg73GTtRi s4DjYwrW0Cua1oii6NJgn4hx3Mf8BkZlewDK7Oq5Rj/Wrp7LmM3GWMPW8iadRH1JtjSAbhUeZMuW GnaeMfXzlw4ga2tzMO9ZhB51PIY8uQ+ztTnkU8rYHCgEm/zQi4Wv2kEV9laHkGnWkJadZWE6okXO zHNu1Lvf3ndOEBnOz5llQN0WCgynIyhXTIurljRjADHBwn6seCsH0uMUP5fB8rDhwgJhHWd8y3G4 WTIpD/CQpf+KP1NPqNKfpgsOZ91qgrNBHSDZzugyOfMCDtsxtc1+RdR30WMrBDGS6bzj5itEiTM6 oUYvlEheMXmvOxBnWcc+jom5cCiDLTm8kI1e4AE73KNaNtLZqlxOdxTQ6vjWj+Zy4QK/vVAYUCFo tVKsIEeh9sQ5T90e3j3AvE1E/yRTMgrmM0VexDzGWp3D3z2iroghsFbdmo5tWRQwXkrvFaPbrxJA gNguNqu4J6wvywI/PKMa91qnEAMrC0bWSm9nTS7QlYjc/0JcWLLFxfBBqigUD4oh5nASymQiw662 znpd3LtnGFBJTvGdkx1Oz/K8VymlywXBBJBX+w34tzbanx5QI+NRQfby+Z1ygfnu9meSVdhCrOBX m2DCjSK4rSsRMUp16w7MSpyAC0WJw6iRALZw4DKC6MDC4ElFVOCagBmU/pTOM1oLBQxguOuc++GB o/ejLtyEyvqaPSYGc5Gj/yBKU1H1jGr03Ga/3Nwx2dUC5oV1fd6h5udyXfG5d3CIPR/pUO7RBKPh c4XVAGtIDbYrAEM6z0sniEevbrqc9elplrPz/V+vDW30dXqdANJ0z27WzC8yHo5eftAmVSwCVs69 hlcn6GHbWBZSjhHmexjEv9VIhalMUZMV9NAbUFXHoB1Nc5hj8YvryDKGc4KZduQXWdIQsaHlMZ1H xwoDlz5nM4affajnd7/EcTTTvaVxKDTzEPzqIqrPoXeA9ZJWLnzcYEAhu7AeZZk2LjyzVusyWMaU mKQrSru8isPUrOQXjJUHslt7ztoMX0ItFJ8INELsvCWTPCVlNTzPNEua0Jlg8yiaYFq8M7GTOZuI sGUrrdGFyz/277geOUW5pRoeYkOxF/tNF7227bEWUV+Ai5z3DKrYMT3n7jomidC37tpPEpyVukiF JWdlfkv94SuTWiwVwC/VHq/J4aNbwmsr6W9hfECrYOMqf0xz/XmGUzpJx/P1s+tRdCCmmwP0JWMy ypOXSrVSKVcNOysWIH+f88DFaM11azN0jJFgQKabPIumDstUoCcWfjMriK7+gptDj2Fx9hF9ibvj 63EHjCZwaSUs5CtW0LKWFUXxMLLUywufc8LfNdguFY03NdhiM1UiDUtzSl7dCfcOPHwFnjGqUCsH K7Q8Fah4aeKOQbhGADWcsrG8GAi/fcqasNzgxkvz9fWbEy1r74N7d+fLQT6TBcXDnqEpgy+3OyfZ 3eSlIriYsYdooV55/aFLkpzr7A4KiPMUPOdKjhY0BCI0ru42l70od2ErCEiJVZTUErAl6ntDIsRR v1A3+AVo3UKZlAqiNETq66dLARaBWRJ275ArTPRLisRAcAhs8sMXG1CyquhoapV4xv7sBiOXwyYC a6ZLi5jx5g07dZiLsuoa+JZaikvE1X63T3dXby5nKoxIUkDJFX70s5E2PKQBmRIFY4ss/oDf2+ZW zw8On1tPDoceuVgHC6ghQKYaKPrjyt12IvXOiRBOH/a2Dj1Nhkj5UO6O/GrlDnXGUhaQ1Vs58qvI 5NibkrfrUvKipOjUCDSOYiKuYw3hYFqTEgaWGKluQZrEvqQr24YVjX3+/Gx6EvPwZ8C9d8BAh6Gd C2ONnfJDwX/h3gIURw3EqIgKteHjYQz2GQl+HM6XdKHC+1r0tobxvuMCX8B9m4eFp/+d7Ju87pwd h3g5juf0cjhvEI/axt3CX9ylEJkVNCjObdeUT8bEsGkU2ukf93PW/5ILN4Il2ROd+qlD0IJxb1Xa Iywc7TzLtFMq6+odBaaCq8CrLCnmbJZ2YKKovujGvR5LAJCTIAXpgeUezw2E/QReCWzlI/8wk2Qj QPPw83SVv/pB8fugLT/mawjNbYQyVBAm3R06+qPwbwCpfG5klgG6CFj/1xiODo3Ha5cywDRC60NV tZasLKpuvH1l6QnvAh4pMYQqYU/xwlSFsfuPtYhGsfhvN+OnXqPTJ+igaYwn96uGKL9fXX4+KhwL izkGtLUNaT6ejGfB+DJucaMVEhYd21A/WfjI5HpziI75pe4F1fBrltel2ya3mX09D3Y3zVznUf2L 0awiUZGIKkMk6/7e671J0q7n+SAxv9bX6jZbKfKYoYZSVzge6DL7vNKC05QwkZtrtYrv1GQvKJ7B +edX6sFPizuwicRZ3owIaChWUkOyedmQh0GlXjJ9qnTIkLotzU65eqCq12Al5DtMulm/XYZEmmXl 5IJW+5C9EzWME0vP4g95l3C8VLXzsNqfbWlU/IIbLDyg4a4JoS2EQbnNhT6OhgdLwCYcav8M7qCD Jht4iYFtNO1eb4OUkFuLNFgATdufV7VEc/QPo6Zb9NegoTdUDXJTpQr8CR0npsFbzHkPvORYYS7Z 1YjcGrjRW1RdtBUDFaYXz4kPLrbBXUxCtfGrQn1sxra+4a8gKcsiGF8OAL98jVv5tIePfNp8RVf0 WZ8gBDRD9rmwcN9qT0y0M03s/ibhNkyB0hiXswdyFQZU3GVYRimuvjKC+3+h1nxEOdoWmFuf/lgg cSgpSAQVQePXwyij723jS4KF0YpVvo2ZjsCd2hEwWY6XpDtopBt1HTja/QKcC3hRx3YxgSnklNdM ufMSRwvCjUTNpnsYSvbq0feHKTt2KayfivMgbcQWK6ONmpDRUyKsXYSXUqELq2x64pyy+7D1zdLc efAk8uStH6pScVdyxS4irOcl05APk7QEbgDdyPHGsNXVIfQ8i4FYV9PWt6C51sS/E9N0E0sWDwbm lmd0V8wzGUqRyFnDc9kQNPrGl6kzNvnxZtZVJ4gPaSQhfKguC0/S/Tj19xJmsAVNet/ULI+x42Je 1doL/8zYDNaWgXR6/jmMx5enPTUjxeDurd9h9DA6mTFWASSIxcg2GT32cdzTyAI5LZ5gyBNb73re k71yVYWWNsfo/whaIsgDFrtWp7puTgS/+Ln8SWGZvb4KFzlaTFiLObqe1BtU1K1HuysQhm5qB5df 0LYqFCfUXbVJyTh60XDpzzjza9am+/buZ5KpBD9NBnGnj9MsI2a7XM0t6ZtTEMK3dH+e8nha/uoh d8VmMUkk8aShcbRpth7j60fTQtibWlsS/rXL5i6sIYiMfdFjamwEfkCx7GZEZWdMn8a1/N+0PFvu L+AgNGb4pRoGhMdwoUNDYjloSCFzRGL52WVbXv7xkFK/NhCI0lFmYb2/0gphdCz0o3KegZd6CICC 5w7D/EuEaBPp8AAIeVsCahUQlrdvILsiqjTTWG4aSmfRoyY+mxMFqbeZKpeMkuzCXUeSFX5GrTnu t4t4kv7ov/tBVKMspijnOa5QcpJESZXFkdKIKswX/wGoC5PVu9yiOJD2X/ffo9Plf/AKoQ1AvzO/ /tuKY2NjfiZyzg+hbDXiTALHzhoGOzZvc6ltkcJJsdf0/SYYPIus1PrtCqjLT9Pp92H9xuqUyaXU 0AMuN1YlE2eWxwWT6Wo+/RZdmBWGvXEci/+omTOVhZ6CxY6jKf0KK7WWuw2PLNh3qzUCPoP3wsx2 iqUBdRRjuHOZ/SNZzg9+aL/v1LZYQvlndQvXJWVHeYbtKn1g2OFlCoFp65DGrFE5vtK1FHf0UNhf KiAz41cSIzk95S2phaWGUVStX2J9+j+Wl8nP09bKwq6QkM2CXp/JylHe/TgRUOFkIx+2HwxTn/Vy 7mttq4/nL7g9I+tpAnZP6XxlPgPe8/ZaqX5G0mTOwvYRMnT+Kz0OvN70wzmePgNP5xd+TQ6le67A Imr521kLYr9M3q/YhO7ksGzLgTe/SfNaoiGqwPooNiu0XqDRNx+ntc2/XMht1dG/7iFrSMVM8LYv /fSyAdBTQoYVyG2icgZXVEqFB18hkJK/RMfxEbr7XK4EWd711MnFwQp76k9TiSCAcz/1TeVdlOAh dzZpooUBhCk+LXLILkPkZHFt0Kwr0pBSWKv9G+/o8jnMhHSWo/FVGYWRkZuhKVyc8vm2iIQAcx9e +1xKiZWRhTp3aWLksCn1X4EyTnoHMQolHO+0hA/or9/efwjgla5zCidbiHKOKoAJ8m3+KnPYFpKC j776+xXg/zgq0qY7nsIjBLEPxC9r6rcPpybPMM9eZv/enia6Nz/cwif6L5xVBcLPnGBb6Xm4fLIw yIiiFk0Z9DvjUWQjgzILrCAZbwNHJAp2YqFs9gnWn9rFL3PUmKs9zHv4XnKbIGt80GjZ6jtFdkET akdgBg4j+OOdlPiSikxW9C4IPk1B/8RjvwbE2Cg4DYih+8D4Z3OR6hrx7IOauw7SJSkSFdM0d9gH YWd33L4Tdkw705/Xva++Ft9XlJfQCrsGx9QB+cw8zDO+Sg7K+zubIIIl7kny+PQ1LPH5l7Y3Vs2t m5NXnJcKhQd9f43JCthnS68TGJLLCF98lBiBvUTqfkz+zx3G860x7H74QkN/qL2ymKTlBeyhA3ap y3cQ9QVzDn/Ih6jgH96vhn7UVaxhl5ToeVmb9oJkILpVMbb5Dmh20q8nNBiMTHqZZDJFCIJr+UsJ jZRZPYPf5obJTpl01mvtMwrhHInKMKqHeR3wK3BJT4SAJWrLKQvp/gymIrdPkymNc1Ydzj/LeLwK T9EecrY6uxrJCNO4nmOIT3Rt6HZQsjvayEJ2UhVrup3dOSnHnftNQy4kjEvR+yl3HMwtAd/wpN+U 24F5Lw68atIf/6m0Lxzu2I6VEbpX+vsDoXSpqB5Z85yfhfSRG62l9ShGbgOIcLD9CyZEahn/nm4N 4JBtDkuSNcXfx5UnWpFSxcQn3/z8yXz4cLHfQmg678OmoRc6yIGNU+rhpgbzbUOOFelOfScjWcwb 3HxeMCW/xo42ni51qc7SX0ZSZbx1mlIobtZoMsniXanb/EmoXNimgpcHU+XB/B3Q38qkR+7vN20b qU7iu38rnTUaw2thWpvlJyzyY/bFQHY9qGrYHM3zUuyV5MSaT72HOra51u8u60do3XtZQs6f+4yF ccAt6h/EMFv2YRB5uPy1w3BmUD5CPx/PINDL4MbZ1HkcsH1I/D5q054zxnJ8cUqhho0joovuxzLy 70jwtIadLfLuIrx5zzzH15Lr7CpiFiP0apljFJ49D84nQ16SCRVnQqaW+w1MpSNkeW0ngGuY1lui 1RtJvBzzj2h9TBTWOLE/YrAgl0D8WO6w1Gx3K96Z8GdZnOd61xibDRHSCWxumupp7+9JIxlcKAxF +A/3BFl4gOt8S8GzoaifbUk5YUvjIR6hnkIRHruIerI/549GbufkqHRiRtmBOdICY6+uJPqGbhdN o5ZEpp91U+/Q5ueJzzQ3EtHTad3SxQ2BYyxk/0gbKNG260qz2j6aqBS0iCmnGlScc7e4a4Vhq/yu 8e9459MzP9oYptxRd2VuJlW0D21FycS7aexXxo75BM/IV9pwc8gWgvjHqXC46meR/TBVpKLGHjzq crsbEisAlw8ZWUoxK6u8Lx+ObGyrstIsCvmbwFfe2g1EaPJ2pC+2vW+/G9ZFlZOuf2E1sF1nxX1Y KgdyvwkTBIvUnTr3hGbSqeg/Lqp4qgvL+iauy8FCcOH31o92C+nj6ryPv23lfet4negjdHMqdst7 FVMP5vh4+w+CEwC6EGGTzA7EdxBC4MMEWKcUzQgqDG7nJWAcjRDnV/qixS8SGxTsbSzfCv8tv6kr prViiYHAMzoz3n+z1INqXES66J+NnhIYdvuW5DeHoVLBl9tUewEyLNVoRu62MQrFw6EumvBot4xC nP+PuExW9V3NTIZtllhthxl9tNT6o/B4/LliciMSI9KQHvruWb6FmweOfwrpRwJRyql3Wq6aZWQe JgeonSXtJNjLKlG5R0uOyYrjAkgcSpZ1O1tk0MgWpb20LE3VyWIC8VP8nf3FuTr0/iBZrdtg6FGs OCkMhHNGOpTPqbnRLiTMQtwND0pEdcOTs6FCGX7ALYqnCetfIuQnDa45TKFCOd02n9N00XH2EDvp A3xgwQyuWIBcofK1mxKfepHHR/3DsAHOuYJx3Ja7kIfhirPjZ4NJasSWtPS0RPkFXXSiLSGVrFIf HjF/Ej/0Mpf723bV80x7F+xeApRvbhyPjdyYuWtcwaE8Z8pX0MQEQOM3oxwI40FPgrg1duOFVCPu My5gGrxFbPKzt+PldJFleoyyeGY6aJ/C1CBWEtf99lAuuBKKOAXOvQj2di/YWvFPodrjfqiIMPHV 2Zd2KBJjkLGYph6hBwKDXvmfgm44Jynx+KFZTaQFu+Qp/SQ8YbMpUFN/JHcP2RsgPFT9ap+mQyou 4RqMmx5iqdESLIXYO2rqHQM87TiuPy+G1mWR/0cyx5pjKXF7CF6hwYoXH4+vgZAIZEKKyoF8xdt4 ScdOhWDQFGnP90gpIdXfGfgA35yvLFmK2PqsBjZn1Fm/8pWSLtPIAeNhLnuu3A5Z7fzZJTnweGVA /cZgxeys6MgWKUh67UJSHhpzZjWkcM/9i/ct4TlDWi8WrHAnWTo0vBilyl+q6kGk2I481GqSxEM8 xY0vx6EHrNP/yF9XhdDdHI8UO1pNYSwJyy5gA3/CUwW2imz1qnKR4xo+iqnMy6E7Eso8TFydpO7T 53LQYTopED6LjCh5iaJCOvy2kmkXU437vMTvMF2y3ilN1xtZEBLyklK6bwqB1ynp9boFE7Upsn3m /CJ6XRfMFK+zFYzOYTIv5y0JX2H3JUveR74N/sh8qSymxZwJ2Rr+EHJnK2B8DNCuN+f/N+CmoaCj Dgh4WIuhgT4ldrt1zZoqXIxDrK7u8t5m6HpDRFL3QUaDXNuBqFh1MuH3n++sYVLbP5t7RZ5sBBH2 tv5/QM7aATcRkFjVQF67P42I6RTzIa85Rohh7rQw0GKYa7FwOQLf2Ej4osEJGsnoqLTNMmK5Wg4q rAhaw0bTdHTmaX+y8jH3pInVNyqud8IDqPT8fZmiz516MTLB5rx5U6gmoOSEik+zRg9gUHZ7RtaW jA/LWQhniG6VnxoaMfzr/AQSeB1uTBCgwybWlmqlGMkyUsui92SKbbN1kG0dzJDoKeMlXrzpkGy0 hmI397viltALYBlWLmaJLTAP6Rm6LJ6d3ZHJXGvN765vaSFrhuGvd5GbMrJ8aQCSPgr15T2PClah Jwjx/MPJtmEyez1kZgB3bWNSvX9fTGYjiMhmSgqclBgTECfIt+ZyZ038k56ZDSKyTgmeHeESiZOT 4co/hqxuYB/HoXcsl6az3pJAuK/NJVhCwxIM/hpe1guWofHfUWZoBN+hUc1q1podb4mW0Wq0YOiu uvnVzMumblnDxl759mh8T2/gF8VVLz6WPKdDoognXL3f9r1V4efX8rTnlD6HnsTpolncsOV0F4b6 7q4JTyq+jhoiReefF+yknpa/QHRP1qUDBcSWRtCWOoHez9dkDEZyafMWy9ygnSEgMFb3mQtjslir Un0Zaawv8CNGsxwrlnLV1PhmXQ0BezJW4cuWcORlgmfbvGECpRoWgD/OPs3Ep742L7VnRFem3qYX d7ktYED91Zh6hqDRVKEEMU1BtSvBtfvirD/1tLhXooGlGxLahILD2NtGmpClEN8Ud6wJtovLoD86 arcvxRvcQWfSAjylp9DgaJ2/Di4HFCP/XmM/9P5jisilOpeVxNDWawGvLZ/Fxpx3s1s5P9phecp7 Ri/iIkeViJOu08aqZTVPZLJON9fzszU9JeeEJ3AiRISEZxNrr4oYn/bT1IwRKavfz5zxnbEAeE94 6dzIjKL72zhXtGmV7m1Pgn2vk4TyxOHdms8gpB2lznR7w1msSEY1IFJvCZ2sGZofCRv0zli0peVY 9B+HKCeeNY7Bb512o+K7Kr+6ZkYQ8sx5+EoZejfDTHyOdUwhaH00b+S8g+EyQ3iXnG+ScttdJpY4 BNJ/HBirzjMK+p7NlAzGxf9FIl+0E+u4ui62pAqtxwUd8uA8F1Coq79L1Vb1HVMT+UeTq40frVV5 jErMBl3LAzPamlNixJHubeNcRYL3Ek4NdTkzyo46Qv2nCF4erMMEA3cwolktOqmw/+FfUoc11T/T 6LMGUqLvxTfy+r4XsPh6NOZJRaKEuwNIL4wsc+x7/MzAYbT4ifqGuGhqCIZZ5QDkGs2kats1tPyc ayavd8UmWblz4hxzZwackYKYUZIhLGgPY9YQx0hLDulm9AusI0VwkJovTEzvz3ut9rqEvRSfWdFu wuL41hWqghoRIAkp6bdEHBHE6KGr2MfG4mORLDSnBGnJ6KqvQWugD4zP0MxsVbJR2EEwW4RWVn6z R0uRWOlMHRjWSEt7T5zwNRx9L5uQHopScIc3LxWlKYZHf/qe1RFTtd4Te1c81wLgKWExC8TALIW7 OnnYydi2saaiTU3PWnzwtCRBWvhochdcDWSqYCnXJ2tPkzVRasl6l4PUS4JPHlBHUPEiguCkICJz tv4Cye0skEcFQ2O10lVWqRyAWzHwCwgN8eSBtvMtTnR3SSwUbA12hg+TnykfUyUwwGAVi9uMjDlH /25zF8P3RsVRDeRO+6yI3igPHyBL4EtPgOElpsKHXcUB8SdouF/g/SqGt6EpqKOO0AcJuWuW3pPw Y+1IjEbteqN6HHetd+eJ6vT5V7wd4yiLHnwWGKctcblWwjczlLt+1AlgSSqeaa5zCxuDBVeyImHi mSyZJG3iaKWzNaNLdux6HhCw86TKO39lV6ye3A/cgZpcSl+auTnK7pEuUt0GXEtx+S9iSCWyq0d9 hHx7tXEg3kSIpcOXElgox4mCnJHa2X+Azhcivxd9jblFv2nR1CAf/cyg8t3akSTWALDNAZc0PUSK W6SYUa5gIBy2iZ4HRhRjS6b+V2thIg0kBnnzBOeO98Rvd3h5DDVf+6+vVbuUKjnEVOFLCyqn+j3U TWTvJQtE5Rqu2INYC/yWWDAutjL+x8KP9VBxAoW4YvvuAQHYm0G0+HCt4M8LU+fDCu3UOSW6asMk 4hQk8Hh8jnWjauowh9zv5ciH3yOVTql17ggj5yIm2e0sNkqp7io0eK7UNjzOAPFFxL2dOjtz2Fg0 tS68hO4MXgvkPDVyH/hiWguS0pCvstMlNv1ZY+ZvxJR/UMIJKFaVQCRgdTs3M2spA1U7dyd3fE2O mqdiDnUO2zSFJ9SW15EAaeomZ9EeX7uJQtK1L9g59ICLxWxitb3hgzRFK0jI8slxWUVX0Xn0BLAd NqbViGxMkT/SeJ/u+T4EPSQJ2dbXdXZ7a5lFGxQQlV1LTOY4YT6jFY9nX1lO5QwyZU4tC+N2+F1D MQAvZzgWsNex9jKDniSlNbuDh5rPmAfGWXeKJ0BzlxT5szRiG8tYNww7f7RQe0O1H8mIE18cA4py EquTtGF2YXDc2HorDE7MIExaczT6f2g//m66Sznmz4aNHwpD4lpGZDnPIprn/Y1V4Dl5FsBitdKZ /MRz0s+iK6tR27+EPNHKsz2gxQygopH6ivlJjk6zO7P/WDnRwI3oY3RjvslQW3vPiN4MAafrOBe6 dbFzb+QDUQZLMN1iqHcRtD3EUkax0ju0LJFkbDVF9Ya6Evnv5tWBT9tlVcGx+ZhKzUnoU31eWwKq sM5c7pLH+WC2VoUwSQDPAOsQ+lFCyBLGNuHWtT96bYhQLqa5pMh758NAFuPeSG5EmNhqWqK6Hek3 DW85m4PInGdOtLYdmvk9hsmxV1D9DPwvu2bVZKogQqrSzei/nXutJesfGaSQmyoho11cXvA+aJaN L2AdDl5aWfHCFBWxk82X9HZMWmJgjUHewMkgyidKnVEf4WrZQYJfW+OAOkoh/HR8Z3lvi8trVGnD +1kLKihU78BYXNXPG3D4nVgtRg/0ex6nEZnf8e2BIHWdeTi+RGmd0PQvep6zDwlaSQxxgFprwWPc BkP+91S5oE6z7hJIx3aEaQZEWQFuMTMzh+pBTKx12p+CnQETFcnFER1EWl5+7sLEhbmNONHyCCTO hSqFaYHCM3d7UGsCSAZZ79oFpIUs47aH6yF6zsz94W/BYrKksQuCl+yIBtzoh8ZfJzXEszBV6k4w ZdRYyWnX3BGgJH/xc/M33KYSmZqlevmVNEuMDwoiicKcBhwFm2PK1PRtDYAHnqjgJ3zEA0Xs0LlR JDqNVTl+tjJnkBQNPOeSCO151kImPXcjXrdoSySIE61BeYmC/L/44VxhRz7kk2Bs5z5hA39EZQjR Yw/JSZzZE79gJuZT/Hz37vgsnJYetG+rUY2erxv08DPmF9AypxniAFqt0V+tRp1aHjuiZM0weVdu E7rHqvx9msCR7DkR3zu0ac3di55++UNH19h4pu4+QO/LT9Q4EA1vkuVSSMF4i3Uuik/AKohHK5LC EO5xz+Afh9jj9CAaNTjIocyxPQ6beu435ZpSBq7u9VHtpmJDw1Q4ffEXWP/d98zn70lKszn4DYmf QGFV53H+JAt9Gr4SjSHsC2x2fc0gW2KE4qCYYdVPTISDL7idWDNBcbNTIzLK6zFSlKb4MXPqJ4Mn fWV/bnMZMJLIIksn2bpzAsZftNOxtPxF5p0xqyF/v0mYkEkVEz/V2aqf6IevNrLBjIepXmVtRFLA piaTygQ9YrAy+FRoAOLR+IoICnQklBARdEvPJRLP4Umulvadlq3BLnkidthJYgK0U55fNjZmwPiJ cdGV27jRIuWguoBF+FfxxdWzAKsw9TkYlUQM6daVSdPgjf8C3dOAOBPQ4q6eGC3FazW3B1R18Qx2 69iBvT0D73DkJnALfQehUNCpS2UWyQrrDB6DChawSiK989ln1pBopRzK28knbBqUthPqMUEP0wJ3 ehTIHMttiYnTQ95bJoa1Gv9GXHR2NNtcK3AoAsbPTkEGcx3XWAqFutmbOBcCsWl2lUroQjPCmCEF w1E4qMlM6e/P1tb57U9zxPjssNagHL1p2uQEM22JffNqgaHcAxm2VZKkY1E+gLOwIAWq/kVAM+fZ 32/azfebZEyFnnSF9lSnF3IL3+WLjKD3IhgiBFvLNdBcdbb7WJ+Tr4rRBcxcdZ1ft6+d0ivfuYFk P8Bk9uQPr15cjLz4WrqUMoojlt00EouyEDfVepikT4V/SEKkO5u35I+uPhnG6dIQl/YSUnykpMYE VccwAIdT5BBv0fDTFRZpRSapan2hFvItpLpAMir22CfKpgtDk3H9erBvk2gfJZzLJxZ9rmqkq19l EENxD8Sceio9yOqOL+n+dTho7NIIlhGX8tViIzF8RMvQtEQcuU+bvl5O6H134yLTmHqIzeTv8CEr PxwHshScrYKoceet2y5F0yLcqT9chLTrVgcFQfouBzw3KpuRwmSFq/7KFkUz3tOCwH7r0J3oSGZu P6J9RGn+XVjzLthGaG0fvrNcejplkq7WeFPgidsk7yr4cz3+SSJUqM1SROqpSd/8Hnm26uAw7M57 atQD4/pMcPNulN29sVIFQFm257YFqVGkvjSrbGo8OFvpVPhlfO49/zK1WRtS9AUZc6AooOcfVDJC e1bwe+ri3pE7A6K9UklS67kkG95OsQFg+Tqs4Wjtk4jBGzmblEQrB3wEEVQmmdY0h5grB/2MFcp/ WZCRIOpn3ctsYkz/yRC2QlmE86y04moe5bR80jNNARCBUIcji7qPEUVKRNS6d04SUTb3mZYszKU7 +fLOZCGDXG7o7vPqArEN5Tj4Gd4T8/3AbKYUZccG0Q33RAUbwCN2X9GMqLm6WtFiEFwsoswjbS5a 0fVOKIA4IlvsbtLqNBUXzI09UG7UQLZlgGZyG4CE+ZARAnnvQvb1dR1Vl91V6UyfWFHmx4FxIltg JUQALnrxa5P/dYk+hISuUu68uixMpoBHwXekggCnvzaT5Z8CZANwNMP0OOF9/B6nHej+8RS9S5BO xzGj3BtJsHyoyto1OEyNvmIm2g8AHBosqS5eGfhrunlbsyaoR3r2T7JnyaVYKxmoKwIobZhx9U35 WcOOOW5hvSeMb8UOifY0UlmQ6LOHHIc6MqD/DkvdtikxcTLP9lwmi8Bv/Db/cuDhuKa/cJ43QTLw qsn6bdF3yx1Xhrb3VXFqKuT5QqDVHWobK966Js9pIAIR7XX/PbEizavCgdSSe/vOBIQM9j2eNIyQ gXMvET0HD4+ydvmFnXgpFNoJ7dG/tkumeBaWzmK+okYSxUzT153s6eHvStnP0GnrcZu5UOPOphtT iE4FUo8/zWAuo2U1kwXvXZ4cHsJ47Rd2vzXdzFLT+ep0/ZR9oRtDu32F29rkjLHYQd0HkLeeHBFp mp2xdQM2w0qey/ytm0NzM+4N9cnTeSuyjA8XgaBJ4y4fLUqHySR2XM4LaeqcE9UcigHEgopSR9nI wi3WVTjf/mbpbNUz2F/WzbxDIAodPTy2AWT8mOBwwnluH2W7TisWLHMIA+en24nU/nSIYFjFfWhd FGISEPGQFfUpctM9mbaDjd6v51Y6SByhCxuMUKuKkf0TMdH0H+xBCl8RJXLj8hoxf1cOAAg/ikEb YBznT+VrgNNEyElbivJGquHWCSrH4SIB829KdfpITy/yDmABmsLx4dTzFu0h+3INdBM3gY8ZAiSG ukK8ondTWsh+j888Ji8GBpIGM28iDHEjDir1jSswXlZy/72VaDs8P6S3yqnAymhyjtiAk1TT+SpD omSTy6dFpKEFkQo/iBEGP6y5pQIe/BufqqxwKgNHoga69jurtq6Hm9tPH67IqDBC/JylCsdD8ITr UoJzyqfXQN3mtROc0giEHsPctiHvfU6IqD6uql7ZrlHlLsRXSu3lsRe/V5z7adaRDYpEMm2LWx3c 4n75zOlDHHtY5RDHXeVwP7Xe0R4/hCeyD03MviLHyMXOzlaXMRd+SaK33We0E2qotZMHkPC//GHp cCNFa75+5VZO7wgVS/e8/h9WVVhV6ISgE8nxcyJ3IzOYFcqbQVlf+y0b6y/ODQizwpnKV32GffvU KEuGMl8inyhh0Aw1dGcM6+iVLNHGS5E8BDFuOpJ8AEYcVa++OTvabyYSiYdGytpzGrhHO5Ov52zN XKL7mwQYd3Kv3uRxAQCuDo14CTGXdKp0n3ajm5IqqdkJbEFUxZXPTSuRsQvqN1rbMtBEM2uRPjbW r9PVqHNXeOHdUZEwLn2Wj0DhQ7uVMrz0xWkkqg4TAMoWx6oKCMqVN0qyhumjhl0+9scDo5dq/KB9 eNKXcaof6szC11tbe5YW4eYhYwMsf2474We46qzswFJomi1Cw9V6nClkCkX1g7Y89BS00beSBiic SQhxNZHHydMr4qGKY4Qgr89kPHJTh6JOHQOKKYPJFPNQCklrR7uigp1SwxiSUvvKs0PlMw8yzUt8 g6Xx4eBW9nlK3Boi2jfBMuClDamm1n9bols+etYQ9smWmFMF96fk2ePfUS9yVwK71qqXG19gDJt7 S6qaVRTpvGyfwWAgr3j7kVw5Dr6rh/oNPijy32nBJGd3TYs5kN+wVWnLNfMoV3cYmAaiePyVeBUE O0oI8aKEINENFESBRI6v17wh8LznN9Ak4mY3jziCIJdvX1dqnXyCO8av+YgrEeFv2VWXtQXaSKYt GwzdMggc6KlibeVstOijTvlBm7H+y/lSLFEh2Qsx+btlCOw1HapSBn7sBzPqAspWYK+HjTzSaTmS EvoPBqBMXwjP4m2tIreAKTEIVlF8b2DebTsr9WYjhL7pE0TEWmFybOZ6ml2HdgrXs3WSfBqRzfJ+ EwrFfLwqG33Pa/f/ft020uLIAftEk9ksfZ+2+QpzwCDnYRGBXmfPwmji5pESPR2VgVYUots8IVHG 7ox8EFtQR/7ofRNldWmLd62j1eLu5JNXJksf5XERmH8vqUrJFOuS8gY8vRpNbTUZJ4E74CsZtrWI ZGh972CrardGm4RD1OPY6TRoeoyFk5YP9hjDYAWDbTaMUSDeErOlyFsr9vMi7AEwZfMQdEor+Sfu q1bq882lU+IJjnIRnOn2+iDSXQnNPItqIu6kypTOSz1pbNWsgEbWB11fosfz92OXZE67iSEtG7y9 BqLAzZc/x1xwoOir/dWCRiuhfp5g+XfNBJN7rSnXKuVSuDSvPvnyVNS5uK1jVum5/qLtor5/lFoC SSLyZsf8xtEfnSzhlJiMwmHgVFNgzgbzcktGiuF5s0wiA9+EPw+o1Tc3DudflACHE3ZT0gR+ESkN JPezc9gt8/6GAdnu6bX3qH+yDn3c8PfXbuSsQ4QrXfLxxlQf5oWA4Vsoup2hEAhJyglYx4abQQS4 bSzRxleA63rmKVEWNVhrcQq7jvY12CeVSljWdLQ5SuMwHzxQL405A7dwOAuFMDkfV4eV8vDkyeP1 AWNNBfEmOoBBEUUAydAXiWiGSRl/sZVdw4f7uYlnJhjHp5YtSi3jkgSmbcJoDBQTKY8T7Cdpm1dZ WxvHNrg2+7XVUcbx1s4ALOQm/BBD/EJOELaqfZ+OLpl+vOuqrcSt+/rLJgqnrj9z8T2YAiJ8yu7T hMEfzpEm6SqAKEbG4xrQihu0m4nIQi6P0P5A3vQ4PP3A+JJ50tMLRZBH2cdj+IRljAMVBTyb/CAD 8geqiMAiVX6+/YA3peaEp5qTKAgoYAoSZ2krztU6Gx/Ty1iVhedrbefUWL0vdjwEAggYLUT8jq1w tAvzkVNPKNIhyIdUhI4DSFYyWmNJ0QuPEARBiyBfr70B9q/6abDbL4mcDaXo8cRvqy5VEyKyp1NK UaziOp/BSU5maAoLVpp1FZECT1U1iQoQ39b4RFkMoGqEBniGbbfauh1BaqM+Kdl0R+ctDPxCl8sN LvPnWpqu7CnYaDpz/KZVhxRuyLn674nCPzWiZcXeXGyR9lQS7dB/nRBAo8ccgouoO6bYwZPoOvtA siR5S/fGfCkEq9FZBVpNDteg9lJktNaTrKh6Iqc8DIB0OFI8XOtFkJ1sbkGqR9Z6lQqljP+Opfzl /zz7zOQx9wbCGMYefxlBckjQDYptICBitxQObP+TnV2QMKqi+FiUYcKbs8bv2ntAqlsHRHVfkOgP V8UYe1ezAc21rYda0KgWEAQ45iB3kpozL8JmrQHqw0WJ8w5hjgO+ZG/GCKRoMj2P46OOaq+NLcd/ J8xCFjnPWzjfuCyR5bWgvFVaOqqAsuf5/aF+YeOEZo+fNY+MZ7VhQ1MxD58NiMQTOVFU5dat8/89 AgsDVAGUjsiIZaDbWbtM+ouQWLYlniVMhxbNzLAxHoHMIN8qJfg/ORX+xSGqNTGc6YYXR4VWeC7T yib0+yA6Oux904BRmaCTFrqdaI9bLjMsJe1sy6+Z4ZyPsidy0yCdaKvH5i6qn/vWV8yS0Fkd7TKi ztZJiRPvF83C9fRHLTARbRJZUnYGEUc0zeglietFSFMd+EwWJ4Yl6dV01H5mXr9wZkJAsUXuwJOZ IDGEYmNuyo413uLoAWBJfkCSd3G+a9qYHCt3paAcRusLSsT9D5W7HRnjsmLFXCACAh80Ive1xV4P b+I6ICyWC2nixOMduneEM7miT1IXDRZaxaEI+aH+bZks6ad0NOM67oYgt7EydFUo7g5AUGcosFWI eFMpp4qjPlvN+aq9jyPv8cVqMmB6lfHPZtDIhLBdBVn7z2rrOW4ekzaQg805rTm1iv/URUZTs4VC CKzT1nGsOpo2wyBVt1q6RALmrgsXOU1XPmh1F/yzRwYAT3ENUGyfq3VRER09DbCz98jb5CvKmZEv hDv0SzbHBvx/ZGjXftggwvVBWjFdrh/heXIMUf5cdmMvsbtMIEpBB6+GtT06HliAU4pZJlGYvi21 /CBfAxRI3AT2cZOodM3GVln2Je6BLnrPCVMa4xXilC/yV4g6f8kvPCLYpyXcXBFNDXLdLHFnP785 C+ijYiKgueH4z9a/igZy8H/wTKI6RwlN9vGdgvGKCwR6vOxGffOciYrRha/8QOPjiG/CDPpl2F9P ROe1rN7oyGNKczfRHWxp/rRLpKacdciEDhtbaVCTrUcTehZQ/px/tm9DH71kxls5Vue3jzwdG1Vp pwxMH6yJKCRa+SmTKfefkcGWxNy0y3q3f6DmyGhT/gbpYBgMnFnWzUrP/oE4l99ncrPxJ3+VKOYC 0cjSxOa+kYBDfanbd6AZqLYSohXFGrlAErBOb9QoHpb+mcqFLfn43QakQgSZqOCaxj6qHmQFqgyh rOExKMfF/gpo87wC1vULck+x6K4+Fzby4EHmUhwmxyQ7FDtKGr9e2VNPPeulzSaeJ3GnNsqICtIG C2LWGNJwiE70NyYF8idPir5CjJpFQBBZyPruVewtOshBtFvWtpVY6rVe2Ro82fjB1sSLa/WKezo8 QmSCTCHtK544VxvutzVXf0RmJZEk6dLSTQ+RNxCDtsDRovJIf8RfnLI/gkIIsQGgCvi4uVCYYJsn WRjTQ3YOFE5FIh7kFq9FAozRjK6WuTd96gg3FWEvH3EQBhrdz4am0jZNKAoHkKOMGf7N4I6WxuLT jjfALRFZvUycyqY0wpg3qX3EQmLJfZmCJna1n1BwcC2D1NdeC3eI8840eBgcmDepuuOXNpz21jQG 4yiX2Ee9j0YGsYRspjuXWLQDV5s44gQ+nbX3DBxCPLHGT6eQVqriuTFyAOxankSAqhWXROsx4HiV XILYquMvN87SAPkanCErdqCjLweqmow9ykmi11fRpOq6mIidRZsdOqguvec1hlT24D7O+bADBXc0 opE/cJd1WYeTGcsvP0zGqsyPABvCvHEb7S9Y/p7Tp6bZa+TI8GH+q+ykYVrI5vUdKlzXUjOg02Sz xfCCFdU6IAYGEdYek6lCM+i9R+pl4ZyDqoIL0iVvIVosxcPjD3xu9zN1LZKHUdlgIv8Y5nLzwS44 iqav4IaQoSTrHfLiXhlKaSRYut4mgYA9fZjUmnLgaxmjQZl9wCuvk7ay8ThHvsOUMCfzm2uuOGe8 M6402MkxU+OP6d0ctGuNTmCkR8plvy1xey8EV9adQckwX8bjxa9Y9hGB9l2u9fvkzs+bKXB6j1ke E40+A7pLCzu0CeRX4AIDWqpXYpq8CA+RwPjTdk4GRe5bA4VPCdwmxmSk4Gl59EUeFqQerzHFVaci ovxXUuEYiSeyvBjKG1S3irp+Jm/6pPEKDT6dtyJMG48a6G/Hhe5neGYVM6hivWi+uZwlaMHMNFqE /9+/+Go/ds1JOdC/UujknXCnMkax31mXXxIgI+Zije+0JrMdrP7q2fojx+nbGw1Vi8WJiNj6vAYm qLyoj9nm+EkJEOHAns9fEAuADMSb5QmNYqqvPUZc0aaDAw+BrSxFJ7rj2ztZpImcqydWk0KEoycc YH7+YufD09ytGhGNNDR7DLfil07hY6G1YCzmCHCCvKeUmlhFnmpaT+p3pMOqH2aLlvUDgTT+VvYO oFMEPjlTOiDNK0r1OLLlrqx+DWZ9h5SLAPgdUXZhQ5SHAbAQ7v5mJLJddVQ6SIEdJYeg/+N3K03s /mlcRYH6wiI5PIt04bGa47q8VCWY0xOXUhjFvfr6dRgpSebYZWudwTmR6swy1q+XM4rNg0XE9JAF iZ1i2TJc3pTR504KvATbXG1YbhgABJf4CNzO6iN8mMSZJi0sM0BDMU8MpB4a61uVBu7hixxYRg2b A7EcYujKiaBya+d9RZCOJqAa/J+LLsZHC9ZvXap2ZLS5HQK83H2BBDpySdxNAUoyKiyE4ru8uS82 mljzRF3sHH0uIY40sRIIm5EBD5dvXckurxodPvmCP4wth7Bjc9pLHez2hy5htNbMIKiZ514QTXit N17HkzrsUxMZS4YE8EsL0w5Apd3rvVaiT2+LEkdh394hkcNQPEKS7blN/DLAalMMsrc/ZkJErTW6 JbKlCHi0fRXnl+102aWc/T1gOVvsrbbqYowxwImAJaZN2XMIWdj22/3IiJ7OR864IyxZVBqzrawa UneEOBB7jtcQuht5xNOugk2R+ddRI+910LFa6lt7pazotsh2mC647KCOKxVUXJpMRvq5hHS5S19r ghKtr0VIRJHUzZJyYN0CQ6eOKLj9T5wCW4slG82hIdTsZ5Nf6X57MrJSaJof `protect end_protected
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/fifo_generator_v11_0/ramfifo/fifo_generator_ramfifo.vhd
19
78408
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p7Rd+JJS6BPhm3C8uEMSjtB2IOpOZImN8ABL10O7dB2/wknTrPPVnggIUugEe0Un6rsHScVa0yw8 WbsjeU4skQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bancDuzOXzE/C1Vj5QpW3wyih2C6ymZ1vv70urQ985WeT2kXc7KQyN00fbod+1ycgrcEzdZs+OxF /cQLUqqV1PAWyHyEqXlxABFUHjs/nxBl/f/B9V0jlBhAzKCCHBVtW+DFv8KpHE75Z2lg+r4JTjg7 zQiXYHxUisemJqUJdhA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rZMEEzwWFXOuo5snJgtfZx9Urf7eZRBCxLhuSc3DgaT16zNB/FC6Qo2PLk9pQbhTwkt+6VFrAqaq rIuJ+6NqrQaj6tzRnuILLQxRIcZaZnlaNGPM0QELT1/pgSpbDRVs/w+jfcFf6hDgLWdb7+lF2lZt EzdkUS2z3RzGxMw0dEl0kPzX4BrObwXWpUb1u4DD6JMZb6O50zBS5jLIs04xzSPqxA3PuLRWpuc8 zAMmWK1PCPqsF6JmUA+ToDlUTA4DP+Qb/r/OItKXADHbpGUiJXq85NgUc8TOMYazRmcSDk09joNa rvnt13K7ONnKnXu7DU1cLEZpB6zC/Q33/JmxrA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSUvPGwGSOzh5U1OjbBgxWaXchd+ErSm3+d+gvsNPzEzvrhBDlsbz7cjXesFumQgP32hemPRlsUr lFspe8TkimNAMoMtRIt9Rpr9MJxdvSAJ2AckK92TaQKYGICYWnAAwRZdM4hFhKQynq8onwVPOItS 8G6qhIBnq17qx8rO48o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MVMseSXR8Gidb6hUpBeQo+a3Ho0qfbo2cQ4XmaaPwOf5p+bpngyRNVgFStTGlS9V1Gq9sxZR8m59 KVYbqvyTG1F7VywlVWjcCzm53JiHqc7770pyh1TFlHFmlBkxaKOZI17/BbAJVPtrgC1AFUgqJIKl KWFzGNfBnaqYhwSBpkZVKTp2N/RCKh6/dORV7jPLmH1kXSt5iI647oKA/xzmV2IPvCjRau9wfIMP 3BcMw9SliL4YOeA2gPuyEVJdJ+sinBGqyYpGCshGE4syCgACrJDHcCC8bST8+Ee2RwROkSw85PvD RmNqdRJR8yBkuN8MggDeHwsPe2oFAGN33DaQEA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 56304) `protect data_block Iv82dFjUBOJtp0CC5ikeAzmK1F0OGzQayjkX38cIYatIOxf7Sc+0UDNdH8uM4zjW4KY3N5nnWdoS kL9NC+RMI79KYV+cyoIoh9a4WnqJVaraMiIzFgt2KK+kKDnmrIcgPPjBWCBt8VdwcHRi5Ut9B2Mj LWMF2Egu0qyiebByDT9hU83VKBC8FuCbHS4cMzWBkS1/dX4FKbCwuLwv4rYrRb3RwIu0u/ZGZML3 sfgcdg/t9ARFZ6jjG8LQo56KBOlP3Z6yDZlipM/l8PXrTiLYeS8RkrLXQuXiG58+Yg6tddKx0VDC Wm3GbF+cmnJXuMmZTbFQna4SzA+fl4zGdiyCiB3/spzz864E0//Xa3s+bSPHDUDkABkp34vQYUlI 8zqNJooOtt8RFwbLXWAr+gdzpGfbW+vxUwDzbkRHa1TYBZpc549hy2amKl6D0XyfmVWJi/XUfkDS QnBqOibdObp/1MvseR1F/YI+4zRWfjeB53h3Kmk/92gKe12QwFGV+jniLguICEL+rU9T/V9hosex Nu70r8pJrIdjLoojfvPmE6Qtf8rTGKe05E+AOibSesFEDHcMfoz5cZnhy5nZ1hIYvftB9+idhW1c et4Sg3KZ2Vmkjf2GwJEqu6PUEbq9pGdlOkyqwZjYymXqruLGjZMXnisf0ObB/jWsILVSn9CdOLsT hsDnpoXnxqFj0HE0mNDSfTMSQ4NJbQV0odITaKG1THpbLuObDBTVXgoFtTKMI9+YKA2EqUSt47hv 6bDgs17pJruxUhqABEBA/CAqfL1DFMzRHJuUG/ATdqLAHNrb6jKFxCYWR1HZ69k1jbDIgbviHuoa BUi2/7IzCtmqg5Ftl171TyHb5Fcv6J/GVfy5irGeqrwqXTv73EaepP6ADzSGize0AhlkMkr0itSZ hnoa66202gxrtD/GryFfYM9HnU+4GtCviapT/g/1HcxxsjVoakp6IGspv3iQwXY9Y5JaiEeX+SCE 1b73rpiUX/cDVl9bilWYODGaMonApfTzBubpyEqS8NVofK17+BzAvR50PFsBD0dsip+tuIGXy4Z4 /0DAJJcxTeWQIfWFPvC9MPDPgcUbe3Dp5EJ6WI81XLz4XO52aaducvt4igg157BZqeMVpLXfkCEB zS4NutgAkZjNpQ+ZTbGVVtfLPWr9AM1UDkjEfz3Yvi146TYcV43OqGQy6Te6QyWWlfsq519kal5/ EgEFRa8Z5BOneytGZ8xd3jc+PRpFS5qMvtflKCpq5t4sOBzXZ/bSsYH8LXnth8TIY/YCXN+PLqoh pJWqCMa7JaCwdTyL9XiLHXyBq7HbbS7jr0kwuDt+pdzFYoSI9k4tVxU4ad1yIliONrV3HVCQnXou IdQ+GmhnpVMNUUzrhkN4mbjH+i1IHJN/3AvZUdmHBnXNVQq8bW0RSORvzjapDD0GpcCz2M354iLh A+cpaRTjgyAN4T5x9zE8jVuyW+3YNs1dt1ThGHlbg/aGY1xl4v6w8PMRxdgo6kVMKiMqeXZVQnQn /4GuhAt01ehL2IOuSr2Ndc5wsWCZy7Vv9LS6+UUayywO8Mzwx5HCHTaJ4+hb5EqIxY+yp171eAY8 sLaOMLeCHmHod/hjnkUoKj0cS3s4wkyFihHKUmpWDth4NdMRqlhj58gejNnsE0162AL1fkj1/5ge o+SgN8oS+BoKpAAC8iFyGsYr5RjUKUtI9w65oESNn8apEDxMaV31UIBxQPauUpAQCADTdkiDYHE6 TWj/0DKvdWkvyPodF6Q5KgMmtzDLGPwpLJqpD9PoKjecv+1hS3RhTpgfXUnXTDwXSNKAnB4q9fR2 G6h+k4LfFhDzW+14abIcGFDMiYFT4lc9CPTNj680oHvhBnpc9nbiJcJM68CEmPXij1fHM9FfXKzr M3lyCLuv7PBs9A/QdQxk71NRF0qLm+8y+Ndkj5Ba8KccCp6DYClkjmLgvKCshKOUJqaE82TVOXla 9OO6u5dfnwZ3z83r3rVymOOuxqMOUc7wUIe33sMUyJcThq8+d0rqY2oSPT5hlIY9n64lnL7Lk8lT J+iAICzSQ+rvb1xCr/BN9e2cb3ySOXF77PucO6ncPuEaF6yRU+v0TdrNsNFyEH6ESqiETu7/m95l Mw7MzPP9IRWyWazGgQojcdg5T2ORcBdW4G6BIF5uGgp5ThiH2qlYCgdZurdZD1ZoMA9GKM0o99pq 9zJlMVixQKpvv3o6a6xF/sNCkur1rEr4fAVvB8ec5syIhkwLsGDMLqpNsgp9UliBACzrPp6BcG19 06jH1XF7HO0KMFMzKBvmM3rlO/rWaW03TNGKsdeRCoBRtBeokdirkixwfPBkhv8S0ObaUK4h6XpW LjeOSxHQVaBv9GVQdCE3TPCKInayheBkC9tXiqcGeL/MgAxh0ZJRL9+Bv+BnV6vkajk8VFxsk7If bdAN05kdDLcBjzqfUHC8P0wm/QWS+BiVvgrLgUDSRto6oaZHrY30GtU8MKSglgUrwzREQjXEf4Ce 0bmOYpuLlbtY5kby/GvXAMXrTt2yiHso6yuZU2sRWtXT49AGPUf9A9kUDFJIoy38Yl932cQqLXWT LoKX/3t5RP59o4GURIgjN949c+Muva5gTigk+Pu1Comh9HIvSTIJh1Hn4Fmjl+ZRGhZiQ4H8V4mr H8kodbDBhL2Sx71Zahla1S2w9BNrwcaj6giesQSSkVoVhWv8AdMQYvYsv66E2pc+NrZeHIpuj+fw 1IBqRvte8WuVAMTB9XOErQiL3OWcq/HN7bE0RTLGmDbcJAnivAoSlDJf6QT4bj8N13zHYXysjE+O xm0g4q3B4t/vuTGqIib4EMaxMm7h1t3sTlYUhUL94uObNo2gLeFHmjxFBPULlwJPGtScEPFsskSk 3R4HSDGh7C4JKYdqOqSuGrb7MU9F9SjufTnr+ROSVWqKqfEiPMqC4SMLmCh0T0U0/i66uN04AKeZ Owk2TTJXM4oVZPqdB0yuI91l4HQMb+HORuvHQa8BJ0NqIdtTO37ysbnWRBbpRCrRCoh6OW3bz5Hx VQogeuGzfcVQ7/09VA/eQ9nOiw3EN8DFjdF2kaHBEpx7XFkcNM+b06mhbF+fFsIcCYjyuZXjU2a0 2ktVaZlxjh+W5TWCLcv2X5+oTv30fp7GMhKqokQ1UKSIyb1/bp5ZVejOWyBjqFb0S2dZPJfBZCQq 5u/4WfUDNM3r2Yybb9E7uLY15oHUUMtbT39/c9zIQJVyhkFBR5Ou4LXjHPjsEgrw45FT8iFPSCMP OhM8aQDFHb2hRsj/NXnTdm0aQBms9Im/P4IplXkkYNLJEP13dgwbKACj3ALBWcidpGy0iWCXQohz W023Wcoi3sqPi4IfxH948R//BmtvNNi2/FP11ozNlccdsxVVm3ItKULmNciePX33Ksgcofdr/R03 f4gG4vYWsUOROxpjhbQnO8x+EvVatrDZN6bpVr4E3jpkJbZZIxOSPEg/T5pKZuVUyqB1ZJUXT7kQ AShWhmgLl+00meu9ZFKi9HKCflPTiYw5H6mTah0dONt2ufQjcfM07P8PI19Wibe9ilEs2X9YoRHC N37BjRLUNbL/C2vbSZWpnbJAEaFAtA15etdOirQv2JNN7l0cOtuf+r30IOX+LLuobXdd2Dxhk+9H +H1gwSMJryRoJF/BpCv4cBNOfPruLy1yACjP69ShTHhbp+0e61dujxSumNMqEf3lqHXeOHqVwAO8 4+1bG3GKb3H6kWvcI8RdWYYQz4Ggyoa/AiEgHNYrAbWd4JnGJEoN80rtVWJSHvMhAT3qrrAqay3b ZlLiwTkXc0UWAv99NSub3qafJqKtwF4pNAAZKkq9O98C4+RuDpS0W0EMGkfqUxbre9+BhuQBamgE 3juH0HznDLf0SDjmjTR28Z7VstbcGlMunViwxSWR43Nc1KuvnWkilco5b6DmemlxmxGkHwlfi3pd ykXudE0xoyLcqil7pRcJKQqfCnvsk0YvG3RvsgDAqYe115yPLT8N9YWdEBw9S8zH0s77ai2ii9Oi kK+7MP3/RQAuWw+J9fWCJo46IlpTMF6mPNhCVXVr3DOl9yEhEfxMWguAWG23ZI3hRuoDcrTuAN8M mK/JIoVsoX6cOgW9B7qOGcaZIthBthRJMY56NC3bdWjx84qi5b5QirNB7jDX86XsxTvSblMJ4XR0 EYKo75yg0jBNtYfiJOG7QHe3etREMzbZagAbm77HqJQij70zY8yZT113rK2KOKM8HeYzFOzAq+CU MnTGchlX703QyWGTC1GkjT7Qro74trPI94MZwhUHw+b+kC4o2sJPP26dmZ+DKEIa8pZJiDiAPviM kjkYXzX0B/r4Vxx4s+rxRtwimLp82HXCrfZLKAm22lUAbZ0hQV1WRgAc17K4xuGahOnO+kfZJr2n /WUyZXhYaNgI/TrtGva/herOJjPvfKtRk3ul6SCnTMPk85BL3uR20/QoJa//sGSpSRsgDnYUqsXy 6/+k6RO8lhk1Dq/94S5yjO9u7o+gQvGqQc68PiuS/cPyT7zNC9IXPojl3zl1NA4Inrjc9QlTTqJh dKveVDXkS2uS+53eFDnEWDjAh9dhVMUkcuffB8EqgyI275U3D48iV+fDNok7eLx1scWy58jGY1Kf irGfpnf+ZjwwUIm6Uhe/rXfjSFq+DexvMwmd3JSyVVoIaHnV3HKpTAZBSh3FpQc3335KxkDbyIfd 5KvQWE+XWimyTnLYOMlgv9+V0yE35XBebFUezUPRAKtPvrXQgxrHsbBfo4rs8SAYmfXX/mwsb3y4 Wzvd0AJ4tAoHaDWy79koQGpu42E1aZV2hM8W3v9hcg0N1E63j0Gg3yUhrqAQXMg17XK1n7oMo69N KiLVPhh/yPufFDIxNq37aAA698hU78nMv+w/aYNoXE4FQQ58wPk75fy0kV0BdaWUm8K+GeZ2fH6c Tt91U597C3iaf4NQJvzDnWo+1c08u+AfAxalmCFomRirMzRI/vtgFHBxeIn4e9Dfd+rFro0LYJob EGJxIGk0YFJGlfL+aZirbFtDEj7Sf/EgS/XRcWp4vackoOC3BASqCfEEfLBqYspnMmv0395ibrG9 XCqTuUawE7qq6d9QO4RfJWXO7kbZc2DCZ5odRdgZy9Y676zoucEPdcDP0Ql9Z5fArCxn8IpGbhAC 9SCo4MXHJmKOBW0xz6qiQsJiEKcPfOggDjHWGZPyNQ9zgRGvulbHmdF3JE8cP47UsX0wotWIzkgN 2L1vYZWTqSTEL5s3hWBK9vTHFpwf5I4lEFWZY08fd6jIX/irrX/ayYjhSUWDKTr3PMZwQXBp8lW4 2RIWjJoGTMTP5srj5NfAKiHxpX19ySy/7YbQdSUKYyz89fpUGD18ORtDIYXlDFYx9aa0/feSX7s4 pO+Iz5wowDspHGuoqBK+D7BV6Npfd6mJ1/8RRMWqKS9mUPB4cz7UHB+JHupIqiQsFI1cW2Ajxloi utNQOgsbfSPeo3i9WesYxwxyEQBYKdtN00diHZEhmAwGeSyVCXQ2R/lho7SIz9d40+M9ye2xmTRr E/NEhmnZfIGtu1nJ+yqzxHIgWCTaRWVbf0rcqLPJTbRbYgKm1R4lZhxV7CZsKF29GE1t5szbHT8t JiXbJysLkucFEOAhA8NlGkUQzV+1Ao9njuaTsQ87ftAgtYWiLuM8DzsNMPeoOzyW+/063NWHOPcO BgB2lkQtN8MSlwEx4eO8q9KU5TdK7sLGz/JSuSIEP+yc6Jyf5aXyRuDK3RCfFLBOcw1M7WPWxGBr O0TjqGii9CrEmtfJHPJGBAcJwn2RYmBLY34AkNThr0iQZLYNv7eNaXtoDyB6ncofsels33V29O6G M7XQ5cwDDlAMokVpmlrPSRxRStXfv4Jc4GQm5r/FaYqPGFx1iYBWkFmUKmzp4k9DZgqGq2H/KrVb FSXj5Huk+FGHV/u2Tk4uGHMzbl/xYYiuLhGowpsePBs2GftRoXCRaN434a/HcCe+MFmg/WTcgGej EqZtyRHBgHCok6aetsWNayGJiZJN1dtkvi+rw+RmqvdV+3o2W/D6CMpvnQxNqpTF7MeysawOg/JC WjPVBUtBMh1p2gZgEC4sRDg3/2kFg7on6ZD8ae+HiK5tORpPCFgi/HAtg9SPmu2UNci1+PQ0K7ha x5UAcWGxQutMIGhzHxZ0/KqcLAxSmxVv9ZkLSqJnKSqFMr1Ah+jNkIE8HrW98kwgpCWSPkvsw9oA YRSyVw3dw+j18OpIZZ9S5dht2z4dAKqJ/z3w/P/kCEwNcwfmHar+zD962MHkUTiifzPUJl8ROPCo i0seE1SBxo0IT5wDFY3m5B5+IC272dFrhIZonG7xUMO3IMLljNFP5vFsicy+4BjfBJ4RVbYSfkGQ NajJlkpJ2p/EqjtK8TXmlgaZ+fsilScIza/yxhr8No0LZep/Na5lrNOT4gbIPIlL4NuOm1j9zNoc LruHepY5h+C4p2iXdyo/OTyd2rYuHuoFMmHlhkkpeZ5FLJhpj8xqvSX82Tecl4qSUbx5URxl7wcQ OECKt0wdqbccpse329cuLwEW7i4GWpfUZLFePtCQkOa4AEpaF22XNaN15i/zePmU5riOVVtt4pMv E7aKDrfZ8+oDnyJL19joQyqqzYa8obRPtxqh8TsY7gF1CUqEP4GZCkgrLzfKhtg5EYtzH8CxMdnQ 1kKmurfYbmS7jzALEWyOCWeiXfTtpsquLicRqUpP4tj/0Tozr4qnJ3rbbeaGF54Tvj7sa9Y6lf4W EhZBjqmBrS6tUU1ACpkXaZxEanyHh6obevxEBq7CnzZuFn9EGl76jPfVmCOnMFpMxHN4llJoTo5Y abtj3YeF/E1B0RHkxkLh+GEkQ1FpJPZm7gcI/rH7hWfGDbfv0gn6HVoAffRqyQmLT70Jts/0CDM7 gsn2zZlZJUoT+KtRflJywq2jSudECPREVylMqPHmO8a3+Vy/ie/oJ/yHKmNFB/DqgGyUgpBKK1Om ChJ1XatejUFxlzpmB1/8GIbGPHN2aEKg6gAQJ5hl+LXGN12qc6L7vSoZIBNXYRF2aQGh7elOFU7A bv4RWtg3yW8u45qGWf5lzB5cmHtkzTpLQdwy2QwmUuA1qLqjIyZbthP7Rg9Hhkv44DKI86HhiHa8 gEoX+q9lbdaUGk7DLgRRF/kNN4MfIbJbHV0DVDxYUnRSyrLvCB5WOdzwIYCHmdYfI17Iw8CH401R Y6SHB92qFN0fjyNVEVkeCI2V67LpaB7R2uL7VD4pZf4ciyyX651Xyhh5WPV9Z+hLcLh9QPt15tGm a7GrB6Jj2AXqoByESiu9s8l5Z/SBfiLbJkc9pzJH6L3LvCNx7BLoL4aK8O8dodEXCJSAhfjeSiIj qiWbAR01vsCOgIHnv5Vlmgwe4FmFr6taXvIGMDzyTzyB1ueMJz6loaLwdhPgZ/m6AGx6/rgoUJbz Yhs4hT/LiLGeSg8r+tdyqTbNQNB+p7sbQHMD3DmNVysvrx+PBqk0yn6Xozdk3LWk6240dbnsTfkM v+htPb+TeSltnO68pGfmW0XsjXPx2Rwj6MVV4V9hKiAsvFSyMi2fQyfH5+Wa/jhgmTIX3m/nnfIw OppnOXKG6S1YpkvdwDOSOPQOadsmkG2N+uLatxsi9k5qFMVj8CQcsq/5z8kXHR3LwWazK5KdhUlb 5u2usZVhPwEeBzcG0fBCF8i9VBXLiPiU/V2HXHMd0R0W8AB9UoqsTkqqgZyqLQ8Llt+mP2NtpfsS PfnJvPtjSxa+Xwf+Lz/NMl0yJPRCFMY/1XehqK9iqdhVQrbQF898c7UVZI+x1ZCJFb3c7PlKYoqF awGKc1Lk1XHqGTpPg30eZSHuR71tEKTVCtxufi/KENKqXoONLM9/0av6AAsD6E4gzslwYsIPJ2mq V5Ht4FX9eB423c436rMlB3L1X/YOlNVYr2jbFJl6GcCYO5YXqX4Ds6xD91jfCVDDR7Nonvc0Mmuo VDtGYpBe8pqAdEyHTNjhhZ9VgJp7aEiYYZaFlYTeakCvtZ8lIkPC8mPNZ70QaJhYXKXQ2DXoSmVt Oojdykx5PyrohuHSdS1+3qXxMUWJrVVWlrUe7uU8Ykm8SngsPS8fEvUBsPCDxWTkWjtgCvMRX7WA NjHOPJUra7WJjhY7ClAJxKf/yJ2F89u50coCG4efr1ajnuvC2JKomJ979/DrnU806Bbyon7I20Pi +ZrSyDzMKQ3IM4hVSUV1bgXE6nxT43NdyYsy5D5VaPC/+jbV6XRuBWMtkBBm8PT5cwIUDSqHlDRP 4lRukwra4pbdNfbLPE0FB2IcXX7YE1XB/juIxBgA41rPvk0iyjDD9PQkNLR+MZRO+UdRTzf7ovYc kA+O16FMRnvQE2Qj1IAwuevUBIzgm7wCLQYY6hvQ8oLk/G8B1yuqK/CG7aKchQP9FASKmTvVd8QI 2IhBGzFRK/+kuXMHueDgTGjalfqTuY9QuTkDGHqwL+1thiFN37BLZ88MVc+xjCcGxXF4jFY4Hmkc 7ISBK19wnth43RbNKu4MIwJhHAPcFwchumXMlMa4w+UUiQX09B1u04J9e2J2GTgSizU4ASSc4uuf P2jIieXChYzPWEDJ3+OPOqxCQrul1g4QXhEydHaxcBw4hAgd9WQ1M/yrNbPnYyqNAahl0pebFF58 skjQjxr7UDlgq/tpY4KSq0h5BLqBo+El2iZvA7s9XDm4CR9C/KyS8WdvWynStPai08912vREc9IN b7/opW9EOeycpz9kado2gj+MwPuSN3jpcNOm0MhhIvn2qEE6Ewye1PuleJ0dDiEyTckYLoptnPvT VGUDdN71CbtTjHOyxwTtscpubI0RepIdjC4c0zWTta9AHpHbdvvaBOe0DVmZLmsW50LIpiJX8yh4 r83c38zf5khQH7AkChIr/z632K9tHdmJuBH9swai6/DOf7bXv9R/6PZV2FzqwsUxXyneIHZi2mng p/pTRjMT9RrCKzUn+4doJutx+S7V8HHjyoJ+IoHC3OFW1h1jSSg2fNou++8sitO3qPiqWedl+pmL sTapSD3GE7oRoiQibkUArFhgR9ZM8OlG5Mp8YxXLJRuLT60wASizR9xJ7OaQlDzc/zsYg/Apmn7m MU66ttbYHW6Bly9TFunkONmQRpdNDEtVC5UX/+XiOvdjq2ZGNRFMKIvtkIaJnXwrarkTENhYzGQV rH7PnKtaBEJxgkuAgTYGQ+T6TAEB2SUxKiDnXUmZSUrUTFaKbz1zZHDIpdcdz2Z0Gf5oziITKHGn jkWA3Y+J1kt2VA/cw19m0E9VXlSKnUuKUrcu7bjq0mmrJLW8VyTYfndeG8phVtiSCaA0HpNaXdPv e5AbbK5LxVJusRTTEbPJ7a3/5q2jExg3FHLwZkLg0coW4dm9SvUBT1t4E4cpxXCStf5ZLrmjkzov y5T5bXCD4ejY5jqpFfQIOTqDlTlqungSu/JPq+nDEGLQ+FENA/qWvPLGFA/GgeLgeZBAXXReYvAm 2fqhhBish/M86qQKaVzQcmpW+EkKrpbICXTrAQA9m8Lg+PvZr98E5CsUJ16iTRHyijwwtzoLcbkt FrH0v5t9btcOj9QRxXmCKo6w8IOA/awgO/zwwPrENZpnKAqhfy4k43V+4v1kh9U4Xl8Bl7wOOJNT s6Kzu9ESh5TTeW237yMH6l+gvBZorkvUZDH93x364t5bYWw+CgR1Q2hrcMWgj5POpHs6qkGi63oV 255lNeEEh7ZZacXLhGOIygLbe2DLOZZRyNb0oVcP8bBUhvgHS0NIz3nxNn/vxIdk0CQionTDMjcN V9Hq1uajfDc1PRe6QWnh+EJ4H5kH/DIdqcqfQq7bxd44lCYbNMsae10tBvZFJ7xBnvnALp7HN6kE 1THyckX7a76gmdDhZ57BZzEAGoAEzs6wdUn0lLsSFSpLuDr29l7tzc0jVSjVJmH5oX8LgTVKUBDG adAC97pHqCSGVaMTe1xsfJ4/vhijrsNUoSQZ8aYKZKRDfqtJA7BQMe87ka87T+bY3BDYPVt6GJ3M yEprWhNs/RfpghDP4KcmQ/FLuSk+G/CRFgOJ581me9UJ4u1oRBlqYamExmtnelcw01YhRh8IW283 FOB/00XDOGtekae4LCop6gQKYMyi1D7y8OJiDFh/5sJa57e/D6eSqkURmfcoIPuPBtpuGxdNTQVn X4cfVHyn84r1HkIPn8ItmgyOe1Rxzv6/ZlEwDS8goPyEPpRdxbltJVJ30D+yWR2T2bEcs7kx4+tY QHTCYCX2hdwj8BL3Bi5/5mL0xABlB4s0NKQ6wE6iJpmscUtVYHLDSwOL/O+dyoNiYP+3be8P5EW8 RGaVd4LXTZs1I2MyHyXhL8kxwZPIA3uM1XiOZ31QeUjJkVRvJViMJbBYlEjyK/VPO7aMzKt/8FTr IYOJFAekEGbtJm5yo4qEyVqXJDQ8NHjWbyXBBlbEDQT3rij5mkqmfEoDz0Ef/ZHEMhWTRO25Yc9P xk3qLWHMuZjRcI/pwXz87d6WqXDwedlpkD9E8nu2KjkRQz/MNdCN+R3zvz4XYoN3vTXWUSbvZ8RI QItZYComwHzHLeN3bW7EB2me2TkrKaQF9Ap3n0OR83ks/LcbV6IQfQNsgYnWRul1Iyz5pXOK4kUO /S4axaP+iho4Lx4mYRQOTJRi+74EeNeAls2z3CAH5HpmNJKUySQzNlcO6nh316rAMX5JF2spmTSX XEo3Vflj3uTme0ZaJ1YExy2UQUh2hInnQbFZpGiruXUmr09ZWv7qwbp2FSJ2aYPdMLztOHu8df4y Rd/Oazuh71QRjRiCuW0vhfb//zod5jNy0+aeuLAogpSCKfn0dC6xtXhyNClYpEzZhsPscGll1jGo M80qkWEiEX8mqWPswxy4GuWuzseB67mNRpDVemfsmoOOVD8inNc+uZCD/eKz8H3kSAJcTpCNgQ0v 7xD8X8OCwmYQ0M0xQtUVAydqIte50W47rdQtzwnMJh9OkTH7qgphWFwLPsmJTJLwuJfLJBaTLkWx MydbeKDpbeWaNIomqHwneGL/++9hc1505AfyaXrQhtXFVJh2IB0+RN/ph7HKatcOJ+MsFMAku2xV h3NMgyHg4dOg+Srjbc4yT3dUiJ9o91PSsoGf4h9QxalnrAex14RA5ZQ75auBbv70J8uA84XE4Jee nsH/5ZqPGXYy0I56MxaplNLlBcPypQHaYUQPSszZNITYN8SV6GrEq0sFmeFZirEMD2Z3ikUHlVIA 2SD3ogFIz+zhCvUcJqnLjgS6blDf0G5VsoJ0RC3j27CjqIgRM8yaPIdtRt2sbfYlzldIQklppzEr /YeKRSQOtpSMmX3K2dcB+4oOGpvhJjHGCM09eD1gwAisREOMolCsHXPeUE/yO6NKbfZoLyle1sq2 pUaCXRLHF3wUik4/f7plTVvYzmGPwFOP4zyWYAHA+mFSyc4o6pbsqu1RN2hfVUy1ClETHMqOa/ts ibjIM5ABzZKDF32l0OBaV9sll+Nj+NaS1dgBnpQbf3mYTHvrJKhQD+M0K0My+T3bTEOHx/i5t7D1 yE3+xR8xy2OmaJcPbLMGsI1oQEHbE5fcYtvM5WVXm0+tfJALs1NhoI4G0gg4xV8QuA7Ugf3eL608 loW24dKBLWTPtk0so+Cc25G1+7orzplMlIc17wmUzIm0HlDbHSkvQWqewsiF90MdR+BYcf+IhrX8 YVNer7oYbaAi+Xy4q/1SctzDMkKuBdVFmOtgjEUWR8B/k40IY/zfMEemvWVr44ua8TqGo5EvlDMZ CrggUPsfbgsMd+vbMjGYUnY7qiZ33s57EgbJeZ3kfml5/0gq5bR3EnBWyV2ytfy2I4GS47F8OdrN UeqnygcNisGFIhtWGUAL/6CRhr7yHC/+xpI56GbXgUuWKiWiPILlgH+Fksl5RMb5HFhwKx2/nWpe A6GgzXa2Wxw2kNDZt7VRnzb7vh+HmXas2KpQcwBWTyUUyYjL3LDWfn6AN0/932xnvEnbECLNpIFK yKEwKPcTWwEy16sRBtyDvZ5yLdInfaZSfn4jAuBgYBlPhqPkZb//iJjuyq1lLpfJ2gmpOsTRIRmC xPWXUjLI0+2tPJhIfx8eCv8B5C2CpZhLtTOVKIfQMyza5TZqdifrFnf2Mqk1rRJclhHKZVF0CxIQ cUWOjNKObazGS/6/Ncs7T5K9DT3IYeaNxilW8UnllJlyo1T1I7oYlz7WbxqvZktSrzBZzpMu9sU7 O6Jh58MWTP5DXj6hhpX3cMNkmRaTZcnJZ2ZNu0MXDulqg/lMba6ydDMI6QetHdDlUhK7qdmCnxP0 SiZ1RQx04kpuf44aYkrs3BTgkt1njfBPwWyDS5ns7fTlOqgAjiBC5VCSbyljd5N0dugl+wLlPdPi LI31UfjJCfinDwFGpo+p2lVT/Fd5jbwmbaobmvuQ0cBTGP0B2Gst3ykXD9OODasYafsgWYlsQ2mh ZgijQbb7FDDWw7KSMzq0ba8pcL8i+3d4jZnvZOsmqhMmpluo4lVwC4rIf34GYhsssDqwvrsLa+Ux IKssVVIBvNs68n09xUDH52q1k6BDuK3940YidPKElFOLEKCGLVCdIzJhMd++bwDsySoMFSi2Z8m9 wlI/6D5s6WHp5kkm68j2Q3Oeev9cV43GTVRWTEphmJJcokYeZ+R92Z5Zcg1JqUavk4EhakTEcmz3 1TS/33Rch0++fxuU7ZxCe6xcVB0r1x1MataNO0E5exxGiq+KXQh/QrEDXUwDzJ5YdHuKtbi2sCED niaLxeYOQkpYneBrD7mchCFMydD92a5HzBvxTro3zD3Er8tw8XqMYLO2F1K9oX+mxYGqLjJGT0+h uKBhXMs27YzXury9s+hBHDKpIhWS50ZAx2eMpYMkiEsl62lLNryzl6uMBAJ/lC+hBBeR9vkE7M5b Z91Qmmm35dnv3q41VPodJ8W+1sZEny1cOhPMdmDWTYhPYKWSIdRRT5WqinRUtp0qL8QwhV5zz01r N1UgRchDcJbsMOfWfa+rZhl1weIrXwMpuAxP/Vp4Hee+afmkNFYO0vBouAyUQxI1/7xkkTuvwc6J 3a4dgi66j226fVP3aRiB2EmpMKf6M9GsiDhWrxp5WR1luVA4Em/hb9tngAgkTP4hwzyJdVIepHoN HLcJXB1e13iQl/oNm8NmW8lF9EB3H31OQkqKpMBaIpVFHmIcBeOdARocpCgzbCOg18HXWrBgj52W AxK4IvhrCd6Hw4031QAsu2dOG11L94soruhXN4PSmXiVRhsZAoCwlUPGYVQmsVy5Qom3eUBZbOnP PaZDwlzZnCGOmzpdrz6S5vGM7ugulwPu1Ggf4LVrN9mEPaJPRLexRskcHZQRnf3uK4l7fp/JmIiF z5hyWH/t5F9RAoIJs4QiWG/oVNo2vD/4S8tHIGePkV3V74j/ZR3f2eFwmPqYo+RG7FPs3oeva/LU POeJbQMkYCcDwQ3wAAEQbIQUGVBQ0cJjr8Jwdgz9vfFdBUWMYB+myBqWp5fsnMDslnkUUFNDEWDT YtaRLlPyj6HTldJR8ToOpwPeNkWjOkUwlNOCTO0E+L0rASTOwnFUNekQFVJAFt8tUzIEAmckyfoI W75d/SzzvT5a+tHfye1mxJAimof25G6/Go2ZCHcX4vvE8cZDi8XX3f42jg/iyHeWbXXhIApyoGPo S9oObuu0HrTypgy1xZ+iQtZKkOWoKvSEnkfYwO+BY8Xc9XHkbD2ebDDNHLlvikVTMs2hy4DZ/gH4 NqwsMEuOCkgJq0B/6N0bkH3APO6yjGxEeAkMq22A8cTEJJvkg8Y+h2PvXa82K18gxlMhgR9TXl11 u0w6I/Zqf7LeL4PX1oajjHbxEEot2O/V9+BxMmCUKCM7NgEWsMoex/OUjN+bnEC7qzsRb8UVNPwZ ZZzay+8eqqNXGZDJ9euZTeazyKac0Om0AXiHZcwINHGTfnQWYLTBGbzj4+FEEc6HY6e+vb8vxV2J Tqio+KibSS18baPj3qfRcKxJp1ahrRkMd7BTCcYQaPKW9qY3hI3VtXWsrpVb2m9ZbFYCqZQl+0VP N/q3GqO/70aZPGvh0WmgMWcWumccfAQgGOtZ/V6GShH4/Qp0EqHnNfPqfsQlbVPfMdeXsFRyJqGZ bps/93/mAFX+j12lbYY7mdXqX3zZFkCwouGzV5XgrqKAHuI2Jl9N/0xd7rqScHIIlN41amf85e5C /HCGQb9+a2pexOGLyx7qtwxbIF/l6uFaiBJXPspD89d/6kSbMZ0S55rmC8SL4aGzGOzwxeIW1xNk XrFf1zWP2nvoYiePkao2frXLyUdxBbG1qhjZi1qoAwOX5upcr65eutg7b4gsJHv3OrUQblTivvRi GxbsO3WbJL4/iRSNEHFGeFv1SmFAPcizcp+x886mqAoSUcsnmM6nd2Aa74X/nKt6rw91dlAAxfpQ 3JOhjko1NP2EwX75nk9Qhzxk1R55pTxVn5/i2hRO9qhFisBpHUplZTzrz1ZkgcYn7fv5nTuA8tbw oMyoxcmur1ozs3EtGcBRN/q7moEtu/brzScR4r1kZlpXdFbCS+Ikyau6GOCf1dKQktT1zcmmxfUN 9E4XhHUVTM8uahUZoNCDz0ERMC4SG8D9Vl1R6l5Davh2ur6XZor8mVHcGzyXDaHXZjpa1mvHtSSR yifPNc4ad1sDsnL7N31A/3r2T+PWeR+fdqbyhhyK8sIOPB3N7pUnQKGbDQyyBDrEmDjSRuh9RENh yntYLWqrs0ZqrYh80w/J7g/Z1TpJ1BBqUO73t+RV4jFs1UgQ51rQey24slJiO5UwSA13n05wFpjZ 99UTxqzMJbwrnL+pYZSAMol/aDOsNYQl/mCVzvV8bUYynw0TSD7WhQ1QhAl0tRp8DnPDoG83Cwek Ckk8dTQrQk4wmKEZfVlqRT6hAoAtfAkWvnfQ2ZDXsMEG/fkOen9vXr3Gqj3xefv0P7FGZsfIfnny vF/t9HzuGKrhbaRJjWfmu3Bhh85rEfig+Eo37eDE2szz2bdtGznpXMQ9hckufbmjhcaZPBCvikOi FenCSJmwzNwG56diSfjGIoBWNv/sC+8lKRgbo+6Jt/UzVRuPOhBvlzo2iVsRfGK7XYGcF+UApOyw sOgxmd1aPMCT4q7jClW1mpXhhVIAYoNsIJUSefSgdhzD6EZwoX3Fiib6RPv3px5tiA+BLgvyvu22 5RMVphi1PR2QR1OOjbLe8rYbGmTlekDBiyCa5+8iTOZFnbH6vopssnGJlARkamPnjQuzWs3LMAj5 fKl9B9Fa+NKhNS+4BTY3XcWecZwgnUSUJQlMsH4L/YRCCpiPZvoAd08naR056EhZyHhaw2pqtk/F Yd5A+C+HgHxaTp/BiVImgc6PvpVevIhWI+aUxM3vS34hOU3PsIqayWgeVVM1262SfFRAwQ1TjgUT EMRb8Tkbbf3PIYrmm6kXqlJ9Fm1cFmkk8sTH7HxVp8nW0aja5OhZ3f9eSBGOSjwvXH5b8Zfi0e9U 4qsudpJjAru2AeNC+4oBTDXg7fmZzbAnmP3VmPTTkyQ3TdAwKmT+9ZDhq9TBp+CdRwNvkaHRoR+H ni6A0ntvG+0dVG3E/xbR8b5gayZ3FJ76ZsUTdDgbwYUY906beZLgXkKONi6ZmuonsSIdiPEQSb5/ D72ZgLU/tnscHtzNcN3+aS7GCdcnOB7oloL2tAbcmZsV0uKcOENL+Dxql0bRDzBOC2WB8HqHhjcd 8qCCR/aeg84AgD++LVgBHKmTpCmHUdP9/0A8ldu8n1gx3pUdkSl71N+DwfQiEGb+k+/q3D6F9dh/ 0GSQrGywWPPBgrH7ar4qRR2go3+RRgJZ3ceJRX6Dh2/I4KPs8YzUhVlRzDJ3bEpO2aIbJeFUWXko Nt9KJBpx+ok+2NIZP8j9TB+DOdGs1h9aDP71V+JfewWQ60Dc/lbOaF3B7n+cppjmHOFx3268aT7E +NxjwMHMN62eArTo3LLYe/pwhimA2kziw41HJMxTg2TfIIHh0DvJoBN0ZuQniIgQdPWr24uh69dF YJV9AKv+j5ihUEBXJMpdmul+EwenTcZDfTbJwL+yuibYbIqGoO2zw/gt+kbW6pubGO2nmYtICOLp DtDOHA2aBPvMDMZB4/cxImqyhxIQfZdPrQHVrzzIftW4UybFfyLSjxB3ckJCa+yy91lX2KgM4HmT 5Hb3Oq6WpbZW2UviwkzWgFwvSCpuEsiq9J7gAKCg6dm6LwzORC3sGXu9D8M8thBwPlnks8JzJk8P gpPVXNB8ICK+A48RgU2qTlYUwuKH/CgekRLTZknTxRM9Wo8Z2FiuI6UgfW4twPto/lAuxNgFfsjk Uh8sXH6Od+jlDsZNlCxSG11DqfYM6O9P4q9xBBJv0C8cGYk/sKKe0k5Fyf7KhkYUbFt6OiFd+SNa tKQKUcqDIiXTl8TD9AtZtk6VqhN4ip+pR0z4xOCoi7+K3nRhkp0GQjIH8InBEwM+BOqZlkqVZb5/ SQQdOScTC4+S2PDODmC4Bf5KlXT5Cdvqr7P86C+799GoIBi4oRN1us7tHjF3VKtltMrjya5c0KG8 1UnL2mJKxzMQoQahcuVMi4gwrg7hyknqjKNgVRbp2DQ4W40cFcxHiyhnOM12SAJf2qtidKqOATxc uMgCPiWWClHkck+GkeZbSt26znHtYIj+H4y9SiNvNBIDHrEXXi/afNwUQ6E+dRqRVa7TgqfOCCYG Nk+S94ZTyoBOT/SECDOSnP25Boset51LN54jgk/maYfVZIlDaEx+nPB9oZ4vjYkmXPg4NmI24o62 V0jHAEFJ4Z+hPbaTnvUbSF/2zg40r0Dkg9fmc4vkSOx/+tWf+hQBsyaVYJsyh5EGMR3w3zdy+ROV n4YiXqCuPXij2FUmTuO5SHdZddKYZ2AbNOGRnwI5znja3Dpaddxp+isT/y+ummb8oNVnW1fpG2AT 0Dr0Bpn8EZ1BdoROabtIoqin2r1o+3vEb1voiy21szL4yFX3EDJNE5unydCLPPfUIZ9GeC6pcHZF /pweaUk99g2qm9QYUfef9TquQuH4BnldULhAZu+8BRTwfOCJz3zwfEcy6QWgnRy2nT0ReyUsEbI6 EnBzPuvUfLD/+NyPoYQf89JY7iK3XNe4fZUUJ1K93Dn80iUIELzApviwRlzK7rXR32Le1RM4h8n9 zKK6es3KTyJSn3gsPdXwWJqkQyDdgGFXlYpUlhSjiA+UEI/XIzcNuJvKFXZAyOcwx54jsB3z+FDE TgO8W19LgoBTyNTYGFei5tnJMRj6mYXMv+g2qYUCB9ASQbCJXujyvsfryjkvp6p1QcYl3ycDhcmM dpJHuTJEaUimP1H4X8InqmuVKRw09DZEGK0jk4EaWd1LCVN0K4MsaUczEZPOcCrBLrr6Bonq8HIW bXwPGEvKCLmiE4qEyV//rhFmHW+wA/I8Iy0ICkYMlnO9GRPZngeAIqMkbWTlgUZEWyE9KBrpPapB dGYWQ+2/p3nXW9ML6ZGwG1GCPsblVPXPCa8QtktlvlqXkCL/9cF0oXUHJI2NKRC8QCTtf44gNDDM yvB2zrMpJgN1ZdG4G74kPsE//v5BlMS8JOB0PXnbek2cnrKeOkeOuDCvIvQE0W2qVUTGBEUrGWok zVBAG75oDi46INSibyYsuSDx6b2A9+iHpAF28A2cO9kFnq6hIlKi4rYNJGbHerl+iMRhl4ZJoZyS BtVnkqvagFZSn7SMOgE8K7bIwobs1JHonCgROG3cQ93DXOtSRdP4kbDwd7EBptvUK+A/Qc7vMYC4 d7f/rnWJDW1l4mOHO1tEF0fQIQU3ppzVobIyF+Xev/75PdZXFZEBBEplkZGo+/B3BNQaDx6+BYTQ LJresxOZiTvD1YZHT4yuGxkX8q8kopPBl8CSmpOsCZh7KmX7oTzkJSWLlNJDeLyM63jp91jP6HpC fRek2X7lZI53tl7bRtwLZwOydBX4/D4YesLXiFDib+PORxMh9UUDCHrvzToxOPyS4XD9n0lXsyvh RCEBazWE/fxGSAsqmbq7pOKqqwPP7PjgCt8Rc1JA9sIMKjg16ZjIwPkFsD3t/veeyCWqxHHXefuM HwejJBQffUOKFaPiI4VKHEH2mjpULNM/zW22HRor4FcTMHXtXSLrJbChywDKqhNoN+5nvYzni32E 1bnTPSYuiIN2ZnSYre085ooHB5fFmt6ErfonVaSbeAxp3OUOKeNSjsUU5d5RYzfYFIkDBWKjB6Nl W2dHXrIlvQBAcndPg+U74J2+E3umQWiPpO9eskF/uWf3JqEn0Gc8w5trCbdzyDUW27kL7EDq1CV/ PDuEgEHEv8PXsrT+B16DfbnciieBnrcSpGqkBQMea4+gb7iCjdPv90l+vnPfu8WSavYi3VqEbC0t pvoOcFjd29JE1HxnBiLFKgHMnOVvJPvBmvr+jJbVtqDMuQHukFaeESh49kdgItX1ddcFroQ10e7v AtAcmWuzvVbgh5l5+J1enHlSMpbp3zLNHshFT1GpBY8khOXP8vYzs3OsMQ27ZkxDFwRqzy30PfCZ lA0ll1KoZxjuRI1zATYTqfYCOuI84s14OlIZLffwW91hHR4hp9Lm91H7/UijvwYj091KLY7JWw5R hxAG6YbUafLVG0ap43mdBXTwYPMAkyOJ3lHmpd5gOsmcGI6wHSScNgSaKKTHoefuhPXEm4AcQox8 F31VrK6WXCsi+LnOAtNodc+9GjWI0/k3LYazBBPfDSvhRIWsheprkvO7r/kRYVi4EL0LFNIVC+d7 7EwyIG1P/dV7K19jLeM4kAU47QAZuNzrsvBQvAyZ1ex89y3oufJO4geETch8bqh2s2cu0FL+gTu+ AoSylNO6apLbqU24MDtS27KGAAZPhbGwqfE8H/fPCMHk/f5/KsEilYAhro8xVB7mc68PJAzkq2p+ 0mfg5g0OPGzSOkmL+aX8eT238v0DRoHaPYWhBAuaOewnnlKOUjs0WFV7Rk9YzWRJ4hExnChBZ69O 1GW4ZV82NLWj9IKt5Pwn6lRLbSAH8GZ1wQaQRRC32998cweGJZBqheYmuruyB8x93aWbPaSG84IU 3ejtQKXzcC+7tAc5+/j0sHh3IhbqHrS8DGHG5lg4o7WDK4MYAig8m2bY8qFAJjwFRONVzl+gyB7N +E7y2+kGdFEBmtzOz5VRoUd/YPh6L57g5fSAdd9YOfthX7dwfVXi7kb9WzK5kveAvbegPOKctF2M IG9BY0EK5LKaH5yvn/bsyUR6Ded70uQ6ENs8pRx9GfN53EAorJsAfRMjoHMFr99QgXLanWza57ei 3iJY5lZsdf7Q53JHVbIQzwQf2HSwJABSHZdnXY4brK6aXEg3chZGqeMrFaK5zeEOKHZbb4apxH2X 0eT2Khx/SQ3iX0XoTYBEa4+xhFiRs9qmd7IBTecyW4Gjv/uTog7sPEhM+2idAd8OXfIbI7o9004P Jb6L4BTdyqGd2DP9I91TLkMXCTgIixNILJrLAUYMz6OAixSngksa9IM+pZUCfn+YhGiuHF4pIX+i ikVmwPe+uOvCNc4Kdfb5QoSoxbxQs9janOdhDCmu+GCucVi09O0mS2qk6fS8Yc6ZEYE5soTv5crY Izy+c30dWuFvdIEnJqGhp6af90X+JujcH9wn7FWznIzrm6WMvDiuSBIxhg8PPMhalE2hkb/VL9tk POV9yGONelAoBaCbdx4LPZYTeToGZ6hwEvVg55nSFoMmFzSajDBJPWW29C2V7N6ou9mnno++abmu IqaIGQZgNZyGqZju0PpLOxa0WGNbN65MUQGq8BX4tXJVo5b2kgkiZ2sQrW2BegsWzRvOe7EGDnfa 1gN89l5D2vUpEzSUlx+TRSRqmfjPT34gmxHJ/sa1uGNEHusnW+paVQ9RGfzbhnGkRu3DS3ba+qu3 rchIIMuKKXn5nME5tJJSFgmX1OZNpfENxcRImvKDC0Z7cL6tVJhnyFf3J6Z/qj441HvtKPtX8id9 ev48BhPxDVRcCbQI+74AddJxurkXXpO3kYZHO+XE0Wpmggdghx1rVAly2+AZFOAXX6PDAn/mpbbT zYlFyJAQ6LAUWH1pI9pVdBOzVglqdVYrUa9ZAOjdKn0vvbPlPPSpydmDNPU4hSgO8/UxsMybNp4a hnQR3WgYrv34Ycn1ZbSH+18vUw5R8sSWeTiwf6Egx61v06KpFq957F7eBCSWqUynOdUoBzGKzHtV v2Bm0/6vM8esSRvlY9AVgHwJvyt56LLPZaNH/EJ9jOaMKuRYjmlnN6SjuCPGB5QTMHXPrp82HR3P zo/9F6lDEIuYgJLsC740h1XarHrtJ/NLumtDHW/YszvOs9xsZqpjNaqLc71yxz7TgnPHE4AsxzXf OZQXlRgFi5K1sBRAqN6uN053jYurvA2aoUBCUONAiCtFcO7umeh//Qjx4zbquu1Yc0y/1lboybzT aGv6PyJZCFxmZuKFLcH2HO+fubH1DADAJ1zNQfXT4QiLH4L5YiEzRQYIYBteCT36sbb30YBJMI24 NgVJH/1WL9A9zRj8hKgD/pgZUxfTc4DYLYYbjOZI1LFrVEsa7KRm/2bGiD6vKLn1fsTAumUu4X/2 VPATiC/TBDdb8oAAWkjE5jbk7NV1w+YRuZKW8rYx2KPgu+V+wi8oC18b2PBWLpUtC5c5wlK0ALL5 b336/STBnYLsiR7pRFBd9tJsyJ/hvjpFXOkqS8qlphIXVaRV5itvJG+D+VtB7f2VzPn75B+e8Pi2 5bTxkNWttTdUSV/qSjGHUh+j68HtMlG75BS9t5jwRpbQTuE+/ymTOSnXdRzz1IKG78NsX7x5EL6m I6YCYpjQdlpH7yFNDYuqTGs0uhn/vJlig4v2o7oRHqSLaP8+mc32AoqFPb/u2c1TOsSk8yjhxCq3 f4Q3UaBAGSyss7Lw+JZ7PYi99u0zbtoeQFaoW3BY3Kr++W4gMimhGVkXyOnm2bPPGYqo6ciz3TjD fFQvYS5J8kkOaSlLEsIa68AIZ3nEoLAG41o2MNhvGbM8x96vaBVQ9wt9EOtk/2vZD2XzgAgbM0dx Y+tjGELszYQX1wCgKzIfBnrE1CGAG6YxrpGNcW3/AYozCj+hfu8Q9AlWaCLIPWveXZinlmk4d3Yg UtJ2PJe4+1g8/arYZBlTZOaZn44j1rQDRK8NZXohIXlEfPBHYoc/lDnf/hqDbVMAw702aoAJ02ds aKLcI2NnEf19Ea9N0qgcj0zx+ID7auMUOP8pzsl7gtOx+kj47rCYQymqBTxtmlH5WSe5WitwgzSU 7iim1U0Fy/mFisnWx7UISGRgTiNJDnDOHZQhN5NDb9yFY54EgkivUhWCb8npZMXqECkgJtxPREsZ 3KIBKFSXo63m+MKQ9Ldp363mJznZ2FfljU0GeHJL6gbWz5FrAjXjQL3kwIE/qhWLoY4a337DX3/5 LilimR9ERC0TI33+9tCl25/ZhaVxzZ7RT66aqYJoPqKPfAHunDq8PpW904TnNIIFNQhGUlFofTNU Ue7tuPTeQXwwJ6o4aeRxkcqZbFQePfMM9JZ/aDNm0HRWLzOVluY6OgN4IIyyw73ZnGgpTr38fRmi 9B8QDlnfsRJ0SNraEVBU2OgwOtsumHE2ifdLbwmmtZr85pP9rc6nEqGU2nU6X1OIa/o/IebNEM3V vzC1gXUSN4vnUc2+VrI+uPjP+3L2Hye9sp04NPTdcULrGAPt6ZZbc21GpNETlb/m7Lasmz7x7hFV DE8MD738V8NVCU1HPytytVSPvvTmI7jR4gxe+ID1x9d56NMmhx+4pfy1U4hV1lzVbd0K4AqfsQxP mDzQ6WlJkIUXsU2TGHEZBsp8Ct5q6X1kqC0soZkflgpaBS3x4v4woIqlOF+74U4UO+PfOBf8WLw2 da3dTYidP1K9iRrmzrG7mHLrrkqUvPcW0aXLuc3dJiHnTJEOtpp//P5q+oeDqiqBU6caHXw7BJpu SBY6PH7jMZOGue6KR4A6XoXoC4M0oVOYF3Y9cY2AWJt+SFiuYGysTebdSWk1kzAG59e2BENTY/wl 8lclLa7BMhTsR0d5M7jYz/DdkX0bKN627oRPI7LL5RiXzYBS6WUKVt4eOfSpH/fAThAaeYCHdJDL 4iRHUqmcdQcxVSPoDTQp3HTVsDjEf0Gw4o/vSjWcvMlg32sGXue2D+VH1sZtT6bY9xncrhpEjyXt zLk25Jti5i7x4a56iCIZWMWTG5s3DMjmskNfEOlMRSmyjFWOQ+JRBwaAGqZEkrvJp4qk4QcUPNKB xRMD0NndFbl1xQsugiJrBkMZ8dqOcKDUgH6YuaU40yP/CtXP69IP+12yeYZYdClmeH8xM51aEUyj sYgEaDmBC7IZeN1lDmD2C0jck9oMERYClbu3ZbPsJt9WlsWrawvwHWX8uMhK4YaER/u1SrOKzjZe pevJ7UXzpQONATx0bZNp9+qe7rTdKx4zEF1NsF6oK9gCeCHZSTz9ogOTJR+LdehnJblOxL+4GTB4 ylsXIiCj+8C2RTXB3Nh5t54763gyfMeHYeYUU2ESN7C/Nt8TyYthbnSqIFnaT9IJVuVPciWApH+l ly+ycP0EUx2jsIjfO39RogAZciEuKEDGHnJtuX/DF7bieDw6KP5GX9bPFfPbC9Y1L733xP0PoAK0 qCn5+mRRsiV/B1b3VGorfvTRc/xxuSd1jPQfvajTewZNPt52s1A1IOUDgWijxzjBdh47Yjoq4slZ B4NvXITWOB5i9AUsDd+IS8tw6+tZq17esUGHeIqm978Tc/pvYIhpb5MhJqrv4Lvu3+kKEarEiXL7 0zh/Qn5E2xFeRrCr6/3leLsJgtwGEwDzhtM4cDuSJSpdL3v2FK9pyQBhnmXj4wxCwXb4v92Jd246 wmVfkBinBOGGjgG2i6u6FLTrivqW92hFlZsKFtEMoR9+YGYoHrUlCYfCsghZ5EUuj/KPXv9Wg5Mi jD6YdPvmzJahmUSTJxsBZn5DpDTdH+/Us3QqihlyM/hmPTh2WGRdCA02WUCkZtS+7HrmSZKiQEOC fB2CNqJy/2kJwLLT+tT70odAoxAeYLe+NhsdG44M2Wo8zXbOhrLsTchbQOc9Anc5evxLJUcvK9ej /olA7KG1ZRR76zYv8kfcEZVCCOK3aJvpSv/j9iu4IesUNQ3IVi7ffGtACwpAM3TBVQsltAbyrz42 1s9+atWuQqwqWqYcaKoVjNl7eCSumBr8MAiuqN1SyDivvj2ulW1GmX8NO8NJifpo3W4/2jIC5dKM lPm2PNAkIngJbrxpRDRAPO7+oS0h8IKgF4lb6kSe4+SOJYCBTWuyTP2HIWGDr9LRP65dL8w6MKFf Jw1BwQ0cbU5szf+B5VyL27CxXq9wFtvUGQ/JRAGcTvN65NPPJ656GOgHUwfFQsJnL/vQi4LXrma4 qKwO5QY83g+vHf0XTDBbLtmBuzcORDibF3gxGTMdTePey6+zZXycrIUsCUvHsiSzJFx+yPCy8laS lM0vXOvyf5VNl0pVgxl0Ni2NSgP0o9vKt268HHoUDWn6gQIwZJN8V1MbGkkjyqLm5HuxFjALBod8 akAr4ycVWsX7Y0BA8Y9b7F2wdxkcqsPm6FvPyhl17+gPCq/RsTLHB9XZjq7bd202nkzYxOTeL8FN zYKXPFM9q4PeG4t7qm3UWua8TQc0hVNiJ6ryJ8Rwh/ASVzAuxM//Qvl/rTc0+ueDUqf/VqN+NqPL bT4LTdtah7A2AMc81z2dVC8HHdvjtMn6ztrOuXDdOcXRvDoNoDoFKMh+TyYbNqJmt9lVkuiiy7C7 0Fw2kXoklWpw9vGuM+di9nviJb3hvXxzL3AJUJGTeyJ73t7YB9LFnngbJnZ38ABRfGti98K6t/oB yA3/2mXxRdLhMigW+1BSrYeQIw4grgYefY1zfdCcdJKIc2CjoxFTA0/2HgmCFD6tJCR+pCO+uHif oO7t0mhKcAwTO64hvCPVDYD0E+B88aLj+/oNBAwVguhpxEbJhclPqVbgkvXK7MV/8I2/wnUsvkxY bflsIwWHVwL8Hxj2RHx2Oj2Nj7Y5XH3qQDVklM49Tub+iUBvhYQt8iejHsO7rY9p1KXN/9NZLZbD /j6fhc/GZSMK4Nw+bdFUG4UVGIoF+plo/KqmQSYGDHbDHI+mxoR1BhffGEoZvALnu2ENmAfT922x wu4uvOHinpxiEQ3XHMAYoJCAGZShW3FN+nWkTd1HZ4HmhqiqqIkstRDNAH0ls9QoXPS1q1GDa0Qj piAGQsQtSC1QI17epTFLxC4emzk5toRknSCjAGkMmKQCZ0UeR5OLA6QkmoikaPi528cw2z1AweOI t1O3yBcKP+tgipVGnOAuRcfaQK7h+yKpG7bYehiFBbANUqS3q9ILltMKEtLyggZd9d/jYpWHP5uQ xegerE9Sffax8in+mtQ1OiwVXCizhr6UVjsitT+TscStP5nNHph/esbRDsYzE+bpFI6T4JYCLJon UAzn/vvG9iqMbRZfh8kNqak2T1lVCdSx1dJPwfJL/ZsQJcc5YbkDz2x0DqDDkhdreVn2j4UqtLCq i5u0mXQTkHBSDON0+mZAXDMdIWv/liqfd3xWl65c/ig0S3MX18jAl5kTPupa6IppC9WrJac8f5my Hhse54uMm7KR8WXv2xjuqxwk55/mNnsCHHqbnyM6dY2lKNYZ38kzMMbjm2v024IVpQ3tkm/mwDNq tUSBnARq1Y9oHc7LQO3R7XBMm3Wxa2ucW6lw75bO4s/g+cDz6StK8tzWPEVewjt6PuVF6O9kzJ/i 2ZRMN6RELgDikcOwnLxueqtZknrK5h0ZYhLsIDAipsYABa4zws+XXk03/ITrH4EpmUHz6eqHZul4 ayNncimIbqbPNKHBg5zU3m0mxTqZyS2fD6wGsz/UUDGxxNEa+03YNPYH7iWMaAT2eD7JEO04+0cF Rpo36thrMjy6CJce1+D1gZ/dBOPYOlKiABvvzvLYAPXA8CXncQtbs7d/tm4z6QPYW/h4R9dluDrr o0dVFpvPuEUVMDe+RF9d86rTqKgwE4j58+x0v7NWNK6Ck0NeAsvMEr7YZbIzl25Hg/Db5bpFlYGs V4JetBZiNonYHuNmI9fVMcdMHHLSLugalLtjn1TGNuEBa4M3Vd4k/IQf7O32pSz2y+EijVxMJvIJ yr1JpCGqHP+8ZP4xAiomfaCVw+3bmzc8rW4GCRzsdZhT4Fp9aPSVdqezRzGSWYtfK1200Ktcvdil BpdiC33GGTW0Xt9CrlC5DitD8zBgWxRwG6LVSmugTdl08iPDQZxUwZjsBCI92I7t7NjDzb0UJDb4 S4a0j3Z8mTuF/9xYJspzRB5yvvCDpsxfXt88+n0HL0RCj9x/o2xGfzeUOS9VaOlAGoP/c+N6fdGA +Xf0jgUnEEGQsIZ/wb2FPrsSDjM53NKxBo9NhW6ECUi/tKNCR9i3FJbbL7rWysrOdT6ZY8Jkj3Dg bGDSk0sXPUnwqcEs0vcaOxTH4BxbcsevXTQt48XqZGWB039UrT+0oBB6/FNGn+lp7JhUg5/EPT5o SCuVnXLLh5NTRMZOIY/Jun6tRztEp1D8/7HmPxct37i6QLMaNgYLO1sMpehFnlf8i4ISXJTejnmg 8KVeB/bb2K2ym94oNb92z7fcyoRwZMldC7oTNcy4e4eBhtayntgJUOPeLUAfkEGVRTIutyd+fyBb FX1QFANdt+6wmY/UZq6AW2UuxSvW7zBLS/5kGX/uMiJnDS0J5kU1Qr0EH7TAipoLrD/qyUf3ZzYA 4mtuJj0IkS4fKtyCPKP4LSB/88S216JvpgtkoGycopEaVd0HeRD7IAm84PodOBkPpHLnJuUhaCdz iB0+GRMdAFBQg4OaTAYC/Z6Nl9ul9wJVqq+cpmRe3fS6excURLYHLvoe9ucosU+tPTnca3jD+S9q n/4AX1Bxj37A4KV92MW55+754prfpIr9pFB3NxqKxE1doRDXZh/hf9hpsQrYo6zJfPAu/VYJoz8K rKUWRoFg3laylitK0CelOq+6ET8Cia/zQnZlVSDq/wh+gl+MDjSnV/7GTrF8fLZNCDWLWwEPwRR7 3tLOXUal1AAW75aYKfp5x4/jTrBvpDrJs4udLnhx3vGNz3uNzPijBNhEznkXi/KvPGgQRW/c+9kP VRdgq3voAQvOpwtuSA/4yWfP9NXB4RMhcQNxtpDVgDrBA5AYEQmG4r47V1snHnzCjSUPvoYxoaTE QZnlYHt2ySegECut/ZBdmpar4osYnyeISH/a1UMOSOlih1g2MS+Y2/qmiSQ6DOfkH6HhJalySWeJ Theh6RshORlbMtXDGBItnC3oahU9+MaVGTHsKBEoEOCHo3HOZEb8N9yon1ft7wUPrbX8g0q7wcUq E1kbOqZSrdnFfhTeDyg/GdD3SG2jC0/yxzdIteWGG36XhcxZrVW0zXtuGT0zRhDAjFO91gY4is/W VxdtIiBjDJ+822KeQJQeNa5/krEIC5oY4zhGyQLA7fcyqW9pp5TMQ28P8bd+pIHHSTGV75cqsv28 hCejWNtLDZC0MFHQ8rA+yQo6cJm8X+spTWCfQwM27fx/Slz76dls/PAQxUw2pO00OJdWy4vlaAwD e5ow4ZHGqYne/pUB4c+D/jFPUytx6aVK+rqyMaAmc09PFWOc7NtUYxp/4Gbq0V/PUN93i0Fr/bM5 PtG3LCuMUKl7uT8I91joPFRdVWQsFnnvWnyEQO78zzO/HWBM9GyerGWSjz7fZoDtqSLgmO/lhuDQ V9nwBIuN4VgHrXX1d/Q4zVS66hl8PnQulTxaRkJSU3njSl4eIUwUZPpT7D9exSJ19QFhwpNIj4Rc eioqoNx4BGt/iVYOW8zJvwBn35ppeCsl3XG1YFizECxdNSCefnyg8TM5g8olJlCKmCxoPfF/EsBK VVWSwWqcZhVMu60NEYo3weUsCZ59DRdMJ+aErOf3Otz7fsSdNRif+UZnEtlRFnVi8W3gYuXat435 lMnjwe5AgpfCW4RYmetUBayBlNP0hFTSCEMWKNsw7fplFUFlPY/BghC/IyaGqGwEdy7wM/QYNmNp oYNbsmxr5c0TYu32wZFd3p0Ko82OLwMSYzaYvZUoQR/0QzGAziiJs8h0H3ffMJZybczKqkOHdYuf VUv29urfzSIklHKWFLMYmC5FIE9OEAUEBjRB/ktPgciJ/6/qIsE1nBozDUFMW17Tu26B733cBcGP ZLLhDkdxUYT5+86zohWcCwDaLaj54mObAoYdw3TOgGYd85c3WR7L6dicRLbcBJ/CvoQWqs938XfA HjuzzTG+Lqd8MF2jtdyLrXCwWlYIcio5v7hLIQ/TAYfVl9aHKuKUZcZro9rn8BhBfa+xTzzEk+GG UPqc4e49ALIU2m1/JpA+2X8oL6ZsCyrVJbAbYPBiG5zq6qQ9RTfKPR4oTWSLO5CUfskJ20Ema4rM E8ex7pvqJqt0vvuVoBAKKAJcGErvXaYUS8+a4k9iqqB4l0+IiktvC30uOk2WtEa1XXy71ftr/bMG vHkQ7G2INiMQfr7VZTg/RIUomssMgH9/E+VIXTJA0nLA4jbs88Hd7RjW8a+oEjk3/M2s0GpQx6dD 4e5TEEUmEtoB4RxBAW8PHnsD3qcT5BtqUBrng8pg3CVYdKh9KHATH3ljqIAyUzWMUbkygpqIDMZX WEyOts8ksNjrziDPTiApA7RXP2W5lwACBr/hc2gsC2Ope3FG0LsmOZeWz6fvAKXvI3AUZE3QkBjX ErUoX/fNUOHn38vPU/eO7FpxCIw//ZUeyh8dnE7XCV+j4ul/OdZl35XzsVNRBVI+TSdO9Yt2sMQA 9gk+sesZTi9+zXOig/73oZO4mt5uymlBoOiqdc94LR/sKXXlD7IF0xN4K0OPpQMaJ8AERdAMcTSr 8XiiPwgT2kIwTi7XcmHqbpIP+Q34DrdU1L0k124uJ1/6/rqb15kTnHkuXhJVnDpkCdougw7hOowI O8fHNcvjXYivlxyy0fACBQ25ZWH4/FkGFZ35Sw9hzknYQpTvFFTg8t05rM947vOuoU/OdnWatJjW QCI03GPvCYl/5eJXDU5vcXJKcGCxoLNH4YlgR7lLnTL7Q0sISxGf4Tn2x3qOpbKI4j7W/cuDnURL o4OnwlGI1gQnUWSVoek8gQoQUG4GUDWYEc2/Mmjd3gGKxr7+R2g9atmXCEc793tFIg3gqWjGXFUZ a7uoYs7sh+EQg4tXJ/AyTdv+KE2KRMzPt8aBr9WK92hVq7RR/DY5nro4iiCucRxam+kDF0q2RE7f 57Yf18qnC7AiajbbHS0Guyjl+tUWBM2w1YS5i961OUN5qqlCQxuLCXo5PR0CYpg5jKg807/C9gPr hRBGmfb6261ZBW4I6Rsiiem+U+QnderWSSuNps0PSD9Re5kiZz0RDnqnNxbaFkiTMDKMVxAmjvau 6oKAe+GBaZVQvwC4QFm2o+7Uk+1pRVIhbc7Nhpp/MMTmH7oJH5jwKeoOr3p0H30nmsxMOLZXrcxY KEFKqcmNiTg3V8NsmxOW+cTOLRspTZbP0C4BDbvG/aOIUOA+yRdtNnuI0aRFu/xUeWaOSbs3a1DE tvor8K/jKohYJpyBKdsP1Xucfjdh21xo7PZ8IBa4D9/0bt+xG6rHaALDKnGNA2Ky/myuMpYtjSF5 +pAOkSK9YctKqEZxTkAXWKP7pd5BkqBDTHVU/DdOH3V1/X/HLH8PpyU151YP8wnf6qJPCL4WqgBH hXDaggrb3mZRhI+wtHQ66RYOZhqtywgSeo3eV0HjlQTdW0fCBv7pDLpMDfuFOQoNT7fRuYAsBUOI GL0cZaVVoEhwcI9G6aScxPBPpq4DTYV++/jGGDcczkh/HoJpvZGovXXdjAfkr1IAJMu4/NNo7IWc /PtZnUTAqRRCX8KdPg4RhBm5ezpqhCRijiYNDm4jcpXvjRXO9zo5VDVMQjJ20kDBz1REIsms6X+V GZqBTEZHfkZojNB6AFFMXjoukIcVcpM8SVpE655sIZrdlPMxq1uyzFFnL0Z75jJDkTj48NLgmJKr CzrLSmTc8fWvxUYR/OYZGeFLQSTHcitJPUfDncU9swPMXdD+fe+UD9r9nNjlnY6xDBiHpxA2y4ds E1U8vtaDyg/eVKdpDBU0RTVrIBj7Vwaak9SdO+6R3wG2/B+F5Q6IdE4J6b/bnafJdSrn5xhCwxAt 2NGWnIg55pW6EabrMypHgo/x6Jk3x5YWp5mHZe6utURYHsP4ZMuXgC3m+B7FekwWdB3LrqRO+5bT W0iPStCNQBYPoY/WRQUHiclIqDbKgDYgyLBfK9uMVpgwBtr2U9YtfumweJdeLpEwo6GhC8qYCxlO 8eiC3AZGsVDKhb20XVk8qf0HkduOxhyf8p2UkcTo3ywIM19V4FYe+VJwLOVzWVWEduH/2QUU7qq8 c3MrCitzhTVL6uD8kV3i+vGq13F04Dl/65aRKnVRbvR1+lRAJrxjrj62LA6zvrF/xfRRUDfugWtT I/XfBtWC1I/4QPseBLg2oRPnvR9I8eed+i6dst/piQjm4JsMCHMJIjn3AiGEpzdtsWYcb6dasFhB /ZBnqnwM+PI8hgNXYah6L8iUHpwj2pKYNdcUBEEg3KUs3dp8S9Mwxp/Myi7gaMx++PopuiSQuZix g3JwUzblrmwv5w2BRPSCbHc+iF7vxYL14l1Uq9dR0YU8f2pxgFf/tbdPN10tzWelGZdQHZlMN830 Ezgb6vpkmfoB4JlVwchQDSRZpTmby5/V3BnXjIHp6Kb956OK7BWL60KgHmhkRHPtUpGpIwHadv7a Xa6di4t7KpnL87AzkVQRl5zvy5ExJwUVJ/aw5JxKQvysdccx/1Q5VPvyVLwKJUVBaXyrnwn8pcdg oXHOeUOp0VGh6kpITq0T2P3f3HeJ2B5W6HqWPG+MZeq4NW8zd9/tujipCnJ7FLH0300PP8am2YA4 /55tDFzY1Jq/kyW47MyT4elKnK1B0OxgSkrW4wVFbXxpsIxbgsmA5JrxLnY7l8BNO4yK+y9WfEEK MbAD5x8tC+0UkowdRPqwkYlr+OK11MFPhNj56n3PQhbJr8td8ZVc08bofcZcXte5D60e6qQOmXT9 DlOq1Y4zS3tnhWB0pwUqeoBkKbieLn64h0eO4e6Ct04gFy2Cg9Ql5QyOMTZG3iuQVN1YOcMLiFQS o4qzq+VfpcgvZPlbsVyre+/K4zbtFubNtIexBF1ZC+EdSLanTYjEmwyYWjAnp2FJ5tmbwdBa4HFh ImEZpEs2wjJS9d49PubFhtcF66zxrZoDTv1XmuM+sp1jvBRMGZERnzH5UlzqxlqrIr95sNIJBqX2 Lw7qYUZtQmh8QdXN0Py6Ealt9tjpxxvcsFyRbhUMYAXWPMCmHvv76OVO/+Y5Dfuoxd4lbPnOhnkl DYY/KBHoDJ0HPYcQWDhX+kVMlv9luTh55x/bSCXQmc7ZQ7RKHfTzE2CGv9/jtVd4lUH4d6fFH+L8 I2I/kBjJRo1PESQhtcnEN0SHRP8pYHy+fKeMpusTPIgZpguH3hjDHOzZilvnFD3EVta0f5jGGXgM bbO5Woqz4vnI76HrWRT4m+Qp8u8tbzKFFgFkn7JQIsopAmCd2UQLa6h5p+1RDYNLA06LYvbv5iMe nfPJrR6h9jLZ8U/FcyYsgBrO7oGJBc1/OYtrheWc1Me881dEHQCRcicZRVsXASOom2XJzJnoHYVc TFgDwHOOd02907M6jjjIE3L53kwLW+z0x63zrSdHeF6fNyLiisSU+zqDF7Bq+1gy7XP3N2D/TwjR RaipVUtrzfwKQAzmCP7f3aYn9hEICKYy99TySEQPq7SKQwpT5WUAe3zOd/mPGz28RklDvj6ieb3D vuN9/0GCQ+bbFaAEMsPiLcz/bITbbc+FhVcDOQjxb8vRzigA/dC0rj1nE4qkEAsnsiiWmR261Q9t Diwpn5x2Fn06c95gnRF0uDAvCUJqX8g5fbxY+YHTH3410sL8lLlFVj6N8yD669L/BPSMEaGkS+iL D2cZF14my6zmXxKzqbyJnZLdaMurbro7wmmnhgaxBwgA7Thhydw/bg2tSbrthXt+On7oo2N04xCe CjIdAs3Je3J3xC03HKo/K0KyiR1iNUf3auULgKYjODzde5JiiN19I9gZMEEKU1vzvR/BxS7BoPGU b94c7SZPe/I3MHcaRrB3mP5a0OXl51z3aEb/FZM4ZgISBuTRFlOBJsFaKpZG2umINHhKpWq9teiU gElQLi1OV0dnW7YvO1ztBrEGlTuSipZNXf3MSkOSmMR/XLCMkxAq1+G7PZvn9L5vfIj1ZyY+Xbq1 fo9ADfs8erVe+rPoC4DwOEUgowLTfaAcjLxGafrQnC3UD29IZV0S7iWg68AGrAvWdkosrh0qf4zV Y2Lg9fT0JEP8qHzqIuAckytWTXgyJN6bDDUniDQPkAvJhdNWyAEd9UIuYkLk1vibgBC3HLQjTRgl I+YH9Qc827qnuBf1rZth/i3yKV4jGhI5bJUoMLXV25yOqC0t59jm+rw4aFidiBcR/68/Wqo1D5CH pV8OxEIs0YPNKsJrFV0fLJc4vR3JfCvgE+LfRusiZYoOneFe7qNdYRxuBxzzF4D87Q/a7obY5eG+ jkcPGhHCBYJENcK4k0awGuoOlVo61GxD7zxT1N+rYewCxqHvHgZV3Pok/bCYcV/qmsNqK61aHLYy bZqtSW2PEodjj3jnbw71kARCDnzFuKGfBjtj8j/e7/gqxlveW1E14/7N1Lq1hH6N15+CQ+rdFtui NiePysyraZAwtXtnV5KwQb7mZdy40ofsqDemKz4iYUMCsKA/RiwztUdBtEsPpW1OxiehpK+FEJyp J/T923TCxhRv9z1v51RiL8hvI6X41nhB+FSznI6sxBq1pfe+r8Kg2tSX83llH7T+KcPm+mJl6qw4 U8C6rifzFbEQMUJW1SNfZSn1s7m8KYyf4HCquVOEDaIS/yxuklfAEwgW7Yo1Y9gDnCXseBtzvHhL FmmbutfHMYYYEhG+bzNgXcjgzxNABbszRuS3gf2TuPBq419bvAeV4IupBJ7lj94jWnblNJLvVPm7 Q29x0KYKu/YN2MG0W2BJFRueaCFCKhzhS7XUVVQNfh5U9S5c2ZPXRc0LHBhmqhhOW/bjknFbo6tT KMLMhA3cg0XKVsAAt4LHflFZyccthUFqnfrFgIvoi/s/MjJnS15cJ8Vos7I+Wii/jUvTTaxbtZZy LZeKWI3ZBZCieTIK5NoXbYdra/LBnK0OJNHJx7aR947y6IVQJM9W455+4v8K4gmE1Theze9J8Fa3 zKCW1ciQlYyjctvBOLp+D9t4JF0aRVlLnf4X+RL2fDuhTvuKIpMjN72DcarHQGd66SHY10jUqr0N ypdmXEYId4wxBTucxFLf8Fp6+4fuRbsnVMJBPgumA9bBJOESQPBkZPVQnl/NwVlzS6u59axWUJME SUVxXSl7TxQHYBNohBSBbZZFtJrTm6OIPtvxqF0lZ8bRSMmTi0QnCN8GhGTBFcSxz7eGu8/oCXtE hOCCjRhTkUU+fd77Kc+9QVcilf34JB9Tj5LYLRg6KtSVT71zfHzsozIut46LdkzyIBDsfcxaH3ug 5jpzWIq86WtpvgA/JfOUFosuWwNB1YxfycMTGxkRWRf0uOZO0nlhTtHVNxxfKj5+yLlXsLytj43n TkiVRTkm525ldIMoDW4AwMYViDZ879OM/wDldgpZiECui5cf9ly8VEEGJvlRiPqEZo3dLS5nDA8b T21xixz72yqUpPgASoXYnT95eg8q7N4aZN6g9SqgVBPxqI/RuWlbeWc5olccNyb2ARA/JMMCJzfW hbB167cjK6r10UAgQi8lrpRFHgK3GhORBxNPkc3fDnJG+3TSgCFhD98CS1QxL6dgFCLAlycKK/5E zOrLZo7If+XF1NZq/IApWG8Kwk6DpjznuPEQ3Wiax8aXrEhnr4FgBZqdAIlpGhW3O2jOQRcBiadP tfZaAcfDlNeWgzK0oy3+cDTtfpDZaUOVhgqQAbCUPQQZhWz89DQfo8h+BwS5Ji/6edSarftRPXoG Sin2oB7ORp0/M08mIK2uvOt+rF90t47lHtrswN859Be+slzKID9ye0nVYoljedJ/bOYbBtnVraW3 t9+EHO+t+agGu646ql3QhmzOrGcmrpqFh1viDBRBpxEDJnwjKc34uzFWQ+jqJO7deZnL3Yo1doDC rrLrGa1Rk8/HLYPmAEKW5RKs+2gFnR9L9RygJAV7sS92T8Nt5mrZjHX4qsK0VVtSiiey4cbKjEwR KC9qui+kEqZrMGNj+svA9o0O+CfPUutZvvOdohscmy7xdMMLB+aPAU89NMEdnrov8LLeWiPUiwHD 87DEOcVvOl92kihiQRnTWW2qbVs7ryTbY9/3fcbm92TAfLv82Do2JJawtxDBSWor2AHWxY5Bkxj1 hOeUVLf3xD7glqNQ6KUTMPTe5FsJdEozBtMnQ3u4lbXYQqbLkInZPwB1abj0qJFkyQJ+q+dfxiRM LUuvL7UMSpiIjKQ4HDV+U95dpllR2l+O72fUoDc4wRJTaS6VJj0ArcUXDDYv0IE77ac9Dj7LhpZN 1+ztJhwbOpAt9zPlxb8kl7C5N0mAdkIssz6xDRMbPM5IxO+99DM+nPKjcU2t+5nS26IligWAdLj+ UoKREghQS/uN2Ms0LL5ysY2mzVZndKSQU+FMuYXZ4qlUiAJRTTsAQDajgTTw9emaIQc1yxJJ/iPH /O0YbeUEjqNifkqb9Nb8jRC2lmn5jushrpV9JwYxViBZ5m6THC8bsTxExIAN0QpFCWzUnC5oZozk g1hwbbjgY8GzpqiNgsTEELt/xHCbk/EpK65L5+/LJTH5CZmLw9dPc3ct7ozTaHFd4BRXh6fJ1ud2 DoFy2PVtfxWUs8o7VVsznhLuZjGCNWUdiJrq5eZuttar31os0fqa6cIp1jjJa7aCAb4CvRygVH3Y 3WcY4N3nyMVmfLGVt0KEZaayPEWXTHzZ8dr5edmVbe97I6ToNkZMvjJlbnMWofjBPHoplJfqT5FB 3lKXXJgpLcD3WobCqeR4UsYGGxafGIZ8Eln4n64KkjDwaxeiM3cd3Qjd0js/k8e92gGFx+wBA41x 36GRcB8frcxwnGPEBKBGg2ZSl1PyC27x322zv86ZZGW4ygN2Ywq3lf9vELxuzjyGBAM0bOy9/v0f GTox89Wr/td42lOM3Y+ewueYb2IZQ4AwAb8VOi6nLFZwqPnJMRGrP3hOmf1BeYsl6PjnBoT4uQ8T 8yikcWLAjoetLhFe1thTzH+ZEMDm5X1s/zAJunWQvrLFXA4Ua7Sg0I0SKPf8Y1GiCb8k84ABwI1d PebwumGvb55NZVmiHOTj/uTnBc64bEtYdiGBL/ZDf7tkw9PD/kc5+xHUtfxUckAIRE9YG906xwHI Ub7I6pAzqiwMze48e5yePzlPzwh4qX1RZkG9nIoqlqRFZgXAPfjwGjM1Ag4XkT+QVWfjn1ofVNEf EY5I3hFjaI/VdbM4vwL0Qvd2vcou+Bd0SPTKVii2K5HdtqbF4Yd6QE3LxTJU4QqSArIEEiuEt8ms 29ie6RoCXweqVBNYScEvgHtr7iD7+v+dY6JdZX/y2t4pwstpt4g0RjMTZfjq01jMLA8g7vcDloZi GStj3c11X/JKOOT3dPHvuK/m7mH0imRnPJUjsttXbXkNyqWAN2/7tqqujnj0qbfItLun3IviMb8g GDFIaB4ezR/efonJCVej0tML/ffC+6UfLcB8QoFoACtLh8/xmnE3g7H/3Z7CDF8gScpdCr8hCA9I Wzj47eWgNrFu/43Rk7tssPkMspYjLv7b1PEXeYHFzDwPFLTKtN8LoxGIR+n8P+CyRk9w8V2U1i5k imPr4WbAMvKzJU4AoDPhn1m5CKdhDNMO7ZFw4HE2tBnF27GzdRVsF1wwO46n5zX7gfH/9dWk1dZ2 hQBzg2dxNAwJ3TiFJxRSPp74TooK75mzU0zwT66+rYVcYnU/lBHzLdtPYAPwk4bWf8YsKplEHvvD WwNVRO8d/+d5h90A3+3ItXxSpOgfaE0+i/g1lZTxd56Zw5HGA3YGX4JlG+S+pjmVznr912asqDwZ x7QaJrdsa9Pin0kwupakXQkAEm2OPcP4TKbjWX9rTmvcgNv9RWcX0B4/koLAYyS56oxHo77EDpFc IsrL7FHH1r/i0R+Q6Iwo0TexL4EEMqoMhtWQLSjK9aorSUvf+Xx8eZDu1APOiEg/bedr1eFFluOW RFa+iw8sxPOtl2Ajnc2S3HwhGFrOKiVBAqKn3H3zNfC3UlV0e5SwXTA5RPu9cEu01poJw1WFFCbR +mCKseNr8OyR8LkgbnAVLHXQ087l4ekKF5qL2CEeIh+cN/7BOJknW3DjS2vYUDrMONynmSi4N6d1 6f0dNHdVjTOxbponJFE+YbHkv9Uh8gO7SsfC6ZhUF1tITYmM+kTco+lsXKL5Uv66K9cIrYMg+XKM ZmKWzH5gRsd/zAQzQ1+F89/xrA/BfHHpbkYNsxDRWzc9xzUjJeHkBJZRTCVQAMz+Uz5dOa9xUMpm bzXvYmWOVsdeRPG9tFPZyq6O5amCNw4Rw3aEtbUHBLHRTuYwTyD5GmwYd6WATJQ2vRrk/r0P1zT8 dLu02k/u8ik74Zw1CWIbJ3ux1OHlfbHN4iQ7Gn4TAy5MtszZdHnELVTOF9xaoo2rRCb5KVYoKreV pASsQN1Tfme69loOkx53jEbS/r4Tdah7mw4+jU3E9oLiJ2y9rwZLqbhg/6t9yUN5EYoRlfRkL+5Z NHUmXvFHAEED0HeA7D3sBpO2ikIwZRiI7aEyjrxSPW91j8fhD7Ovd52wOl7+YrWI4gK1UeebEZEp Z+KqV5s2DdJdL9W3lYCIdYWH/LlEHaBPAk46cnA9wtAf6imHzL7PLISWfI9Vatnx3xFfYdnWxbw0 tHtKvWRf8mSlVA4oKCzDd0YDWhft9WXllpb0LV/rQIKHH5ZfViSQ/62fUY0joj2DFtamwRT2PMQz m+0fAr6TowRYWIshXaMnb86uNtOO0LZJBmgSc4vmmHp5ydSl7RHEuar29bijEu3d/BcxReS4MCFB +B7Kb/i0PKv4Pc7CNOLHVErVpxEQtXqsM480LDOdsdpq2l0CU5R5r5n9YxWJU99to77BRMVKwf4T DnP4IFl3Go/Dj8qJ8l/m46D5tMx2NPsuIOFx4fxFtllNgX8+58zGu+glbXEzpcBZm6HYLVh5MX7F 2TXixH2b7BDbBxKaP40XFyLRh1UdgqwRmYpSudeog/nqp8wh+JAF2oZVK2tLv+GQsrBSMKpsH3ts P/2Y1on+zqdb3dk+GiGnJr5vfhsdma7AzOMDxkyQiKeMpEz+S7uKsRA0Yuf2H3lqalDyoJ7lgW7j ssW/4UgIYqgJLv9nVSTrZgabKR6A5jmMtXERr1nQKD3rcHRNOymqfiFHj8dUQFmvVOCgWy2OgNsh g/H/XRp6ChX+m4voWySbJCp8K5uye0MdiXyKk/KVZQohEhtLI4gWTmnSZTUE6zlMyFbJ4V2Loy9u 8/dDenXJi1LVWMnmG0+d1NPEr5pQ8+SMu7sH3zhLWoCXyXpzNCRzQdw+y6pVdKetPIIPyuq+RBsL A8ulOZ6Uz14fJP01iZKg88ZdyJy8Yo/oKQoYG2fbpiyKZBWOAMRK7xDyekpESvlJLBhCxcNu2yLW c/jaoCCHW5JHImZ757zTneRmsMcWxyiD7ejdUzBotguh/KquXBrFJhyXmi70NJefECQ0aXbT0Hia JMc3IBdV+f4B8avHdAiXUpeOLUMe+YGQbsEAScQO/wAxB97W6CJIdFH5+FM9KYqJQJDOV7NnmvDH XZTbQZH155S8ECLVpREwSF3NDnVf6x8/hLYfxugrQ7o7jhl2YK9ONqIldaI/v1fOM9GCBejG5rI7 8SQ4NsRBj/3YRzcy/rIamUXwfSROyY31KYlLNBROJbZnEGqCX2VuFsrOsCXrUaOy4+fNI3W2Cswa 0X8fXK6CgH1PAseyGkqg4v7EYv/X80hhRfFX1qRKC/mHUYBE53B56MUES8xdVsFHg7mFN3dWKudC 1XwEh172L5hBkhXGrGLBuNkpBJMZz3O2JLLZ9q/tLjvrGypE1yaHJL9IlKHnuC2GA+0muDgfLt3U xXHaGZUOcQSGXovltbjWKQDRuAE9LbkX6Rufit0npJSS5Lu1XzZ0m6FweiwKwm/DLPQ5YI2LmjmM PCm2Cm8mb4MGAqdH5kx8DwuP2SZcKX9CeCYQsKjVV3j/a7/6GaOy66ravmU3U9nLkuKLRaT38iPZ PBYTFO6iaaD5QUQYgC3bJBa3EB5GLQY6sk4M2UieEDAQJJbtUw+CPwJTMM6GF7GFxJKNX/B2xKkW rbjqE5j/46ItGzhfOQVyLawoSdiDXcOZEm7A4udgqs4i+pyJPJmKrDiO2r6QpwhvZ2tq3ZNtyZcP pM3ma2Cl97V5lkUQMhVU6aEKGBfqz3sUKokm16YiHVREbDokwVscHH6lnwkR+H4E81ycsAq0NkwM OOcwRJGAniVLG0hiqLeWVaAjzhwz+DhLX4hANcdXduFRwVt5nQuaSRMGplqzb52EW2H3Bm4YWI2C Fn+W1RvUeGDCFOcZLcQaBPnO3pC2mW1Ra7Mo4uQJuA897Bvnl1vR6ROqC3wl3OEKYJyCgJExZ5K+ YTW4M88wskaou6ZK29yQST97Zb4LYqeNtbak47xDl19rxwBHdrDs4R7THEqP8KMpUZE5nJhku7oW dSagJ85TR6bzUH6Wrrrbpt69l1bb6mIymrJnR7Lhgs87CIjm5c9DZGpGN9SDbL/SMyUT+zg9tlBJ CpthVCZs6RtWhDw4Mc0/B/X7NpaRWUtR10PCSryrb514DDh2lsRbntKQ1pLN0TX3DuzKmWzrisqz cghLoon/FOOV043Ns7Y3v3Gz2Wxx8T07A65LYkc0dCf57jlSGj57XztgAzcRygplyC50B4cydWyH M3MzrrXFKfLJC3kt2d5DLbQ56SwFlOw8+FmNNfW8sBMRe5Xin6IbmzD2PyyvCww0/ZEQEiq/G5gm oMUa3mDO2gHYsaInFe6dWcYCM2ahQSJwZWHI9E1WP/db68ZqY1QkHbrGvgzdYF3rBizQ8kKO4g5n 8gLKvtiB0WlDNvEIInNIzLi8zil0uSzTFlxAUWYqr4NtiNyIhdrkJoTH+sDz1mg1LjG2qpPeeTMu r3h3Cp5T30iwLzvkhXBcGQ+CcZyXjiqtYtdTXTPe6WXREK3ZFis7Hwt1+7sRgP/GocFSys6an/FK SxDmlxBJaXSuiM9ZkzdO2JdccISx+4ZqAC31eluStc/EGgIFSPdOEG5RtAgWFQjtgChuV8zDbL6K exYCuCNHCBg1NkokDdg62rmlxTlD4n8o64J3F9Ik4xFxgk5h7JKMh/sICEmDQoeE2YP25Oc2HfRW XvnqPqeAzUpZUSQGlYCc89kPfIX+P7BOuy41j3uPj67F352gkU1nk7Wy97saHlUM46tBgGg59pN+ o4vJzSPfzwzoU7PgUtdxik9T2JRgJy0OgPnkQZNM2sx0jTQkPpzE3rxu1CW3qN7/vxgFIfMApKj6 sxc7ZZmD3OF+FX4Iz3XiFh+X9aOY9muAi6Cg2uX1uFjzgjSgKHu1KJxUdu+5p8iZU02EibqOaWcF IGB7qDU3wIwEBiJasGLD+aA34LEHn/5LbBf9rOIOdG/Bu1HlvGc6SyEs2FVbwsH7oH+4KXbe6PAy A6BVQpqivrb259Mxe/ev3rXlv9CzU/odZBCQar4LTLctwJz9NwxrtoYhugJ8XXS9mnoiAfY38ukH VC6OBYzEzJNHuG024d1V8u5rVUKxkwRTT6qsZXF6VFdmMGRpeeEGlVHyTv86dPaRoku9sPWJj929 ZpPqRAQh+Vms4vVKykbCnWS60RO0PPw6ftZIIqKu21y8oz+CjaevJdTwTYLJNp8bEVt+LOqm2rMa OuuneOFu6wJstLlweSKr9F2fe60QZx5jMowPizH3l4ho8vSgpiRr1fuUdzWNWdOXh++937IOoexG UmalDisfm2/CwKPG2wdRhxp+HotcP7NeT57/pAlT9Zfm3h4OgvC1Dsn5ibb68zfO95qyFk8bum05 zT5p9Gx7DW3u+0UGr65kyNjEQJG9egjGUlu/k9+pwNdtDcpQvUr5R9NOipC1rvShgRIKcGzZQl9I NAhejfTNScQrIMcRjBRedx4dvHTvZFnWeNMd1rRBx3iv5VhtOd8S1PhSNzgeMlUM7I9urdQn6tYE YmFwwdjXEvlkI0s1v+TyiWfOtMLBGdqUSDTVxFgNL0X1nZRYbH+n/Us34axb2VpJrn5IA7iVosIR f20Vy4baItA2DyW/tF6RpK0arMx9YKz1rZa9vnd8iwFATG1OOUKWO0m6kheIflNjqdc/hzsoNxlU ycwjiY7k8c0jB20eI/ekSwN1a94q58s0XzJ9QhK5HkbCOLO94TxDjlN+HGdfVpDlxR1PRzmvW/q3 7yPJbcKR/3z1DnIJcC9KLVL0E2HsN0aZ1sE2o/aOqebU3ydlW32rSiqYNwgt4J4U7T/HfU8XnWUa 99lnsJyoN7JVD1TZkXoauOoEAsRoJUxhimDhmjtw5TdRE59EzkXE+dZ/h72/lj4gQi7SXo7bMgwG XGlV4++vFcYzUvorJfqvK+AimCjo+y+fzny48Ix0WJUpjgIUt7KVAiLZilGksg9aHIKU4k5D4LzC O5JBp1WZ+SneOpPyxS/89PIu8cqLWkUWE1jZdX9c8Vd9pmixE/vBQ3IfKVGKRSbANpk5r26JplA0 otCsEMuVP5paxz5lPFbch01C35M0KO3FZXi7kX0fLlI6D2iDhE6akZkTbNF9sAahsomqdJAI5KZR S7+XIEHWFnhzIs8r1tFglFjAPu924Vv02Nd0mNFfa9McSnO443pumJ4zV+VcKOKF2A54nlp6ZDIw Y8z7ee5tQ4b4l/OotJfbAhhVIEjFX7t/i+CSlR3XTTaAB1BIwq3PMn5e+hdGDTDX7z60/XTtys2r 8ImMVigqbXxs/ZwCmxpseZRYvyD/WdWQFy6XUM3ox1KqS8u1IgOn6fPUMPBlWAGaAF5vmQgdvEMj /rAZ5xEgsKJo/WsFnt68yYf2unzGmVuZ/7JGFhRbJ3ZlhJg49G9Fpo4k9v/vUE2c49MHuuiLanTk yF6WJMsl7rvxWPocFm8c5B5gFiWmjUX7mYf81ohboHlbbFFO/GkpEI1YJoUzQgpQdn1iKGRXtfei oGazO7q6/uCpyqH5C1EaXAXwNyWF1ILT4nLWSDLd+jG5lr1NUzqp67bwdp74Z9nkQJK2qgPKMTTQ HYVKPqRWL0LgthaBYYSzVHecxIQ5VlsKITWEauPnnp8Xu2DAHSIqDEH2Q9cGQNfKnpYL8EsqfInH yCbZJQg5n871dUDBz1ONJqdyEP2WVFDQ8/n3kEnbba++EmROFiIitxksz9ktvv35mcmSPPTNzCHF zHZ/NtjCndB+x740AfZVaK6ukh2UQMvN66Js4Ji5aHL6hpRtZok3jKI5c/++wu4hqHHQE46dZLKl cbk+dVmmBlFbY5O0YpNw3dBcHpn0OtCxlPdyQrfqGXZyzW1iVjHX52RSzBJ815JTK/KCKFD1iilC SDYvfQv3BOkXYg0shGRiouESc0MZ+l+PvSD69QflP6f4wIXhztgomr6ui63eu4nOrTArRK/U23p1 vPBEn0W8aNOS1um8TZmWaLWYLR3B4NwfvCkZtqXPITkMm3PQ8R8oWe/As9IsZtcAlf+VqtluAeXq d8EpQSMcyvoFYNbCRxNbUwngY9FXzYHW/bVbM7OGLF2hygxXcl4IhQgC2s9wFjg+EizuUTSrdbuM vQbkyjSXvU4A7ACBljq4xren3ywmS6c+iH9dSkGh6Bn2iaDsq0TQsDeoTAh47tQqFxLkLDL9Jk94 zlkLwajoGVyNotnSv5uOaUIRt3c4m8j4mMLSTa+axUV2wZB49ZDaatVbX1drQD6mm+TH7lnkY4m5 PwrrvxusBlXc7qs/u0oU4PlTesG2E+4QH6V3tnf3S1A47QyXkMFUK9lr0WuJPBJkJgfQIsv+GUQA WN3aA6Egbhqnb8lti+V1aTUE27jQS8/WoKjPlhIFJ0G6I53YAjRzOi+7D8yll1GauThzpTh0CyKP E6d+vtkP3pyEy7LBJmIh2aSjg3zHcywSTGUxzdHrUiD6V6QOizAmLkdv8tZaGZbAFps127tGJdVX bPuFpMZhujtS4hnIVbQLLjPvn6hqQqMEJU8AHEJ3PuCK9ylQZ6z/YESbzRDIhyAfU9EgMZVLp9QG goJ9zOm5EuRjy+D69CToMMm7xTIdvN0ocLmaFBglb7IPHqJ8x8bhjidighwk6KRU6sK2f4zAtuNa DLfXMW53eE/8W0F1qR2f72pS6Tv86zTQLjn0RT3eKKCXtYzEC4sUKTIHmUzJb5BCt2wT22f7OS1f FNfuPEQSg4AAfrzDRBjDYt6Oi9H3SV2Yx+spFCWGbhehItfWrqYwDC2MqQ2g+yK3UZ1bJLnObQ6o ZetqvgW1xc38F359Ewtruqp0L9WpM4vcDk9KumJ3DYWcqkm5xOoXa+eOSuApFQq4Jx4wYodQOsWQ Mpq0r9i3tgsHZ3uKcG6VZg37rUGAQhNWL7vC7tsKo+cClPPCuvCAzHEgxLzRtr2Og89HAlj1VMxC oJGt2/Xp/HFvYJr2i8DpwOsaOVElnqY5z9yrmfVuvOr/JHArSMYWuVyNlCM+HLy5t8+zd17sIcpz H70LKIp0Q4eKE1Ubc72TDk3+tFCzWfPqctKoDrKXXcMV6eLCMRpSkSMgpVZhyiWMFRw7Wmj/JWGz gPSD9BXuGVoBivaOamYhBwGjzMkKAwohv1buQHIe1ZUwcM8usJ8kdqIIqg8ghxBPYITZVL1PxiPw NTNlLYVoukklfKRcLC0pogHNrIS8TVH7okzt87XflQ548st1oPpG/W5FpxMTOkfofIRUDPGU9c2N ZouAJuxgKTnLEHZ0uU/Ctd3x6+EUZ3jf55w0tjv4Tw2S08fbKvwJ0clMX+XIhV6jjO2LG4tO7Ww1 CguZlgPiVtw9S26k2T5R1Ixxpc9e55jKUVU/0xGkhoqOw5CIgAI2V09f2R7xX7Ceud4bBF60CKi/ rBMbKRBwz786wdnD1iUIPRrOo7UiAhK050azsVmE2l/i6NiWx0s3+S19EUF9S5jCsQFa1Ufiguqj eD+KydRf3nuqX+eqDB1Yzy7/jhFkFgmc/Tpxelf2QzKPtHctJ43KlvnQeTqBkl1UrRSfb0t1Hh7i tWb1ZNSV4GP9PlWycOg/FLcbSYbXQswvwyZhI4800IEzF+q6SEGKr92HGfc35CskJq6C4PljAxxq k7sGk65gSFtL2OLQE8utsH6SHpK4GKg1/8PkttWVlNE4ltB06bzVB5pw3egHtnwjCok2CwOEB9VJ DerGjwsuXxB41/V0+VApdZytVPb6FAiur87ATwg8U/e4hiNuKQjWVkGZ/bV5XoLxX/tjPCiPWxtR 49t2bLrMWVAfEx7roq9+4tUHjTy4lAl4EFRflTY7nhZZzd1ZeF7OHI9j6DgD5RSIKbgm/a0td6VV +jrCb0kAsfIEz+iyqWBtnyQBgsoO5KewUhoVqlNNvghXC+E6x3pfNmfKpSd3PtxAmRNs11SbUhUY iRZVoOnI885j2DrjE0DbQPGOC1RvPGaqh31H0Luu6/eLPOSW7cbSmxuZD9Icb6Lsy42wCFB4CvjN O7xtnY+zeI2SA1bH3S7s8XNDvmI4BsXNB+ybWsaKQgn4dTRvwjY69UAYIJoOrPyvN8oP3Iu1OlNW ecvSCGEp9U5Y1iZM/ktIHuFY1np5EflXqmjXuZeBPDSHWG/RFIcczFfItGlDJNBdNpVcEF4+rY5H 6hNcgvovw6zF8k/L+Ha9++zT41ODJaRCqwTmpYk9eSoh7AGTPj0pbh2wkq8fS+WaJzSda3SclufY mmdMeu62Xb8HUEpBZ/JHPcZo+CJYPXKF8YorB1jDGU33S92GnEb7FDixvWUYY7knMy+Y+KWXZ1xF 36iZVsXhnY4ctgj5ePvu371/zrFtwv2aslbQcBIty6yiqlQAWN63VC1E+Wl06RcluE5yqmA0N15F L376xjDViV+sHinuW1KP0FtnY0DrBbqGcBTMTOIkNhLNeT3UfGWlV+Gem+BN2j4b22FTDGioccuN 3mRQX56oMP0izR9I2GCL98C7WPkOXOvDb2BMb14LxD4u1znvxRFFd1mvadDBWfruHNQN+euq4WFv B+s1Z5i22wrE9QJ2+iqw+yfUwU3D/SJpjW28w1RaIZI78+yPhcZa8St5fAYuby7+xcUnnvLxo2pD ZJe+wceXKUa1bBmA0W0ZtYmxWbZcc//Bo0vWPakcZNI5xCD2GdJjlbp+3aerCsgqI0pA4J0B125i 5CEli5dBY6ZMSyaZf3k0I7gllBQ/6CqXII4BPnJXkNNNMFFmvqoJ1biUSOsHZKC5OMLby4wAwlU6 J5L19NGULPKk6UeNemovD8tZvnX2nbDBUXIh7kiQryolF6E5czYkrA9qhyix/ylKjnvy3ghrnAP1 k74vxDbylHRmnCCZ9hmbKWUNSX5W4cdsvmr4MNJmMKjS870v1Om10Y3YlETK9eD4z+EQMrhTStfp IDtwpuYD62UNLPtuYkjwEMv7FlOweLrbcmHsCjQFYsW538+UTy+fvTwcxZvYvN3m26SKdVgI4XLW y/29NeF2ztu8hkEAnl4VGANWT+41RD/i7azV5MeM9ZgAhKpmInsMWmL/Rb0o0XOjCV3I9tkqQLnk 8dueo4L76TLY3PfOegpltDIR8PxzrqtH1BsVHtOBTf9DjUslroNPzHWLjsl2X/saIEz6lACKCclb VZAi2QqL5Qm7rSxxCUxsH90DPZfTk+XR8iZLaTgfCVt1njz6B9ce0CaZ6zZQIn0qzmco1lxAd9o3 1XzCrx3SQeGLFjcCmQx1sTE5zVJErORjWCM7BMSZJ8pTNQvlqQ01YOQvzFJ4kLgsrr0MT/BbEWbW wLEXtwc/JBP0l6ep9+A2YAzZM98J3WaIAKOVR7qH7qZcKaEk2om8fg53E80XkbpFiRomC+dC7IB/ hF+Z3WTY8Qm1t07e70WAjf5r+x6e+WAomp1H824seeW8UHv3iEbjsj37ogrbqMvDWVnnvOtwlgL3 ONyEKGeE3Z+ELTh5nQVjlEy48eJUOzPNzgs3yqvpxb1RqucteGm8G7Os1o1hHJLnNijgvJJxFT1y 2FKqLT+Lf9uuqqodMalbu2b9OMLh7vQBsbzKY7JHZBX3WI/T2GkCoOpYkFnDrFNRTejeeVal/M6Q OzTioviVXZ3lIxHBactsQOS0mbiAuY9QyLsv3CVDLpGX9PjBmMok0WDn5GHUXMw0U047V+roqYrr YvtIwm6gA/ffEWGdQ3v7qgB7rR0ph8IUBpMCOTrWV8Ljz4f3iIsxpVJDN9kEhZe3M2vm0bapGNfT GARlpjo7szx7x+ZNGZOReSxe4XRVyuv8SAPWGP3/jokRnWAi5zzZt2KyWcgMpIWl9WKSQwXXv9Zy p8nHHwW77sua79gG9EmVZN7aLusmByaQ51hGsaMz4E2g/YP4hIhCLIHbRSOgFRljPv/Vs+cFjm49 o5NId7RyqJgsxL2jI3y+VFLwx2AuQlU91LkV3tR2Xkw732sW02kriIgZ4yYI1BFeqynbcSEjQFZc jF+Y/DmkoEAI0ym19VZ3SW1c+W9ZjqQtDogM9wWczPH1e/t0EZ/LZgFLuB4z4qE+otPBb80OWmRa /AB0pk9zZYc9hHMdRnQvy3BiOMLDFQ1yO+MUZFlwoMJMP/nCQj9gB5/LdCpm2OORXy92fVTrqFO8 IRHSTjgByNYIjbKPRqgaW2o5fz/ycsi0nfMRtyiI5N70Q1+sibD3nTrrpz3SRVpV/wZm8qk+MHfK /rhUYz6spShjIXO1HyUVWoT4wLXckUur+VD9PQrXUUqubEX3iUdMypSJj726Qij87FVHdzxUWfRy JhWHC8PVy4cUjhLOcg/GMi9E32Qirl+50luSRUgdDGXMDvs1OpOrNJt3rEprTvF/DY45MFYvP+xz YJQyCWgLrtsyreCdqMh5C97jixLuK3rhHkgoqMTWVgoF5j7+V36ObAPOdujfhIeSKZeTyGnegRNN zA4V6/5VgJbo6pY/DxP/Iyu5L3EI2Z+RBv8y+/qxMop9dSeYBjkT5ITopCqsa77ujpOd+0Qjimhu g7h/4Yeej5QQwvZ7yTy8phy93380bhiRMq8aLUjoau0Ex0BBIKDZkMVlGjnFQ776JnfMVl5jueUA 90T9N5x0gtI9T53E5YB1NwRN9MdFICKiVraq1rc1j7GhYPny7vYZC6eSZdseJvA4gj3PHPWZ0u2P tq2d30p9csTyzzb5Bjbs0lHkn5/m7rE6jvjeod+yGTZty3VyYAxXMt5NAdL8MlUq3LiPuiuUzjDf o0cIb5JvpYxjYwrA+sdMZUVtFdJr2knZ7cv/CpppybkQsvxfG003dkzuQjM1k/zM9/d3DiVIRfMv +PNXM+tpN8zXzHSoGY3cGfBLmTCV9fuhX9lX30vu021DPDctqTWLD0Incs47DCe1OOX3ksXMSj89 sn9YcZiF4Lgda7JbH1qCeu+QG279vT+TsxyD3S3JlukBP84BFAdGg6IaKuJ4gID5QhuH7GJsU4n5 IPsJCbh4Jgbo6EBU/d8b7i5Gz1S4E8kaqHGLzBwlvghq21BaPwKBH9b3IvJHanFL0MPtxDNGBgIB qIxcHnT6EH6/A9++RGAkC28W86JSXG3Gyero1xSsItL54EdQV8mrd2dYvCKJVfq+VLjBOF+CK0jG Qwty5yNgiJWQPUSHUhL//GwJ4dmTay8Fy8iYyJsm9nKVH3wLSkCIN57nWZ/AgvYccRIntnMHCtSJ ttHwL5IMDg5QtVh6FvGzjyZNBQbWc4RbjLpTYm8tmnFl5o06Q8doV6XoS0u9/beDac8KwcatPkTo rL/bQgrpY/zeObEnf0aLdxNuFsvmOfCKbrBehBO3aHzH8o4lxCLZGBUcFzJ2+LPWbpbQtKb/WkgZ GAh2sOq+jwWKNhz1eCpVIWK2YRX03EcOHRg+EpIueSSCFgtO2cWxDK1ASSY4/WNHMAJ3rg7iMN2X BGzyd9/ZMYPrJvSQAEjzi1iZ+85qqp9zXGJbvU28usqqY6AaN7DJHR4NKx4c+ECUtM4BUAAjq9jp 1IZvi/w5gI5ehET1dxmTweBT//MUJyVnA64BOmzWakcFq6PhP/GzM3mPMPfe0ySIgGNZJDfRdPYo lmdZUKY5sjsNuQlt40P5gIfQ3imgVBZ2XQwnMQyMDs7o84EXvduCnN2QpMBBMRj5kCBf1upmb/t4 pcfdue7gK+Dbh4FmwkfUWOtt9ih3MLltFBYbEVxWohicfEC8Chpv7fmqu/38hVN8e5eheghWZnCl ovsD2oondf2YiyM5wtmQ5AGEBpg06LHgDL3RRRO449dzutFKb9Otd2ky0TGDiSHedM+yuuAyVfPp vYFyWHWqICsXteV3ucBqRHIS1eNovstrZErVA5ydw9k1zdP3QIcvEkzVJLMLO6plCHd9gox0t4sH SgKyVls0A3nLlskS5rHWb64KE/WV2B81w1cm5w+HF2SV3l4ECV9tHALNo0n1zfpQHJk6AMSj0tKS FDC+w3yFQ9tlgbZrZjXDLUw6RK1MR4omeL5f95TQ7WxIOMBKSTqrYiBPkyjEVzfCCoAX58lPM14h WqSXye2lHannS4fzMUz9TS346s+J4Hryg7MG5j+WdS4LEDzOMNz+oeDuQ/ii5DV6HpNaroQpvgsa MNjtvOZgWRDJUnSMIcxt2tIih2M69geXFb+I9hHqq/aOrxEWIDUPt592P4KbpR+RxQaVWmmnlpgs /qbCZQJgSeFmVbajSdFRv6Fuxg9JIFiCG4Md9cKv+Ga3ImsGCOVORUbSb7gZQJ6f1CXYP6jLQ33/ TXTnWscyW2tE1POh7USVoDYU5orerBfuTHyLiYAOR0KUZeByj1BdnTQG9lKT9Rr5teIPvuG2kiFi U6lrXZTCpwSphbjsl/7nzBZ+WGIapbbw+y7Q+EtpGnfY022lHNH/ClIE+PSVVtuIeiwYfE7uNt44 bcLmFbY+MedqzG1NwPyjcYat0AzWq5vtbCT4p7qS29zLtMOBPc05r1E/9DyLfk1F7FKPuksy8JMx 34oC7HtiYyWaiWSdJljUrxZZu8eUtK1ahTWaAOfOZbTFq8vUVwwP3iS++sOkXShT9GLh4Jh2Mnxr ubFwPNSjTw5Z4lVmWJfIxdmYiH0lnBhyGZiHX+Ep3u7JVcHYwBJKRT26AgzzjqoigIpyiZfgHhQx brWA+veb+ubXRwf0rpdlipJy0TFVOSUeu92mBwaw9Ep0E0TO1JxcMs+w4wF2pmr+mEIKhMWZ1Kh4 vAVjM0NyzHvU+I0+L5a07DwdS8fxHmm7OX2PhM2FZfK1fjK6yfDxEmn7R2Rm3eWDIyqpJlBTnaUG tqH1hmB25sjmRy5r+KvDHARv1olA0JudqpRdz1NpKWek1b//2FquhH2rWoU/0T+/o8kyq4/euPZ/ 4vJhHPIAOMdegl7JRAqXyayqDmcejuGiyJS0aPOtsfs6Ia8WQjq8quCz7t18x/2kKCfZghRRakqC 2KPrcQBzQNoQVBCgcFu/1V75+HASF7ZC4B6qnYsjPSIjDMeE+ql17V8fFkPF9rvM0yKiOQiTfrc7 HytTDfor2NrTeocA08XxDkWGxWK3u9Qk3pjlN44a3lBaghhznvMVfcAYrZXIoy7GUPdITdDQKLfb 3uS45Q8b+n4ueQUjMV3yIPhbalUyRuV+sSvi2NzToR7a/QeX0Ix4a3Bh6KJTdvJLGxvHA9zTyO4Y s+Me0NOwSqUM0M/OiVCVLpZv+IpIXE2SiMc7jZ7MePhoiRvGTuzcPE39Zz58beQMENWELezpMwUp jOhqJ+aDAWDWaiyQqiKAHtKKNfNAa0oz39SfPoMK4J6FCDP36TcX5BXsiX7nTHZjmE0FwZ/g9xBY k4MQFD9Ks7SYfEeWP1Z4kyu9FiElLi5kpi5na6iQJwOV2sQg6D9SwRFftsWgtaF0x60slODO/fAn u9qEAlD+TdzEWBoRrTnb7u82eBim/n0bJgTwa+jLBH/xpwS19CHIYWWha/WmRZ2/YdJOO3Im+XqU 5bxrT/AMBiRm9Azt69aDSxIlqaahUmTeWa9KPSJr+0ytWiRTV5rQaek15EJ/MqBY7t6oIZ/0f6fZ fXlghYL0VZ23Q0uPviYSdHHwZa/MoVUVdTh2+I94tkhC7z42c9gv0PGjb6A5W7JEAO9pXqzG5Zh8 NgiPLWJ6Gw14mnQQ2sR8kRYGyFMbqAhVJ+m2MFnkwVWxMlmUl7wBYcyPqEQbRzk6okUJQLgotaR9 6G7AJLf3bMtpCsvonSTMSp/7wITPTD5FTyNKb3bry6J5YRZfLytCb/n0K1+LTq3edRRR0EoIUd9h N3iw6zEVD8F7rkUfXWlUdCSoOg5Q3FKRKI+UT08wqmhXbex2Rd7del28ExRVmDBCwGYPd1ELnWrD Q3iPxN0T2S3l1HBdHOiu/qgXOUjJjPvMpfLbemTDNNZsC6cHS17x8G0kCBu/qS0AsbSbQe/9F1SD iMyTI07cV7wKJ6j+wLwX6h1gqPp0so2ZSANZ15LSZnfrdEl7CcM05NKOK3zFimAT6NjJ/2bff/AU YoyOy3qPZQ+y38MEWx7IVdY3aaKEnAV/FREqaiSUtP/fpvh4uVeZLz/muxuKvx8FWZaJO7hdr2Jg GR4mBiuX3JW/dq7Xewtw+6r/yG1YmK4G17BzaEWSk/AmIcjkNWLtxFfyz+kfW5BzF8/wQMlSskZ8 lcyZosWjy7qhtKo/M1VS2rG0JH2MhF04tRIJ0Wpq5dzJWGa4bAie0PP2YfdIuhmHFKUYoJgbjF9p iBFWk3teLeCoZppYYDuol3A69qPoADESqgVLIKij7+9Z4/9jbjhQ4mcqHkw3KT7eKG99OVxI8HSj jXK4PimH8QOEK0HE0x+kdOECiffb8f7MqUN+WFJgjio1b+f74SO7iIJrrWJJ3iR5q0gRn2wD9wfW 5nNGWpCLe8Qo7q9ulw3rMRiNhic3j9bsBy4cCbHOkPlFg2yOysX7j/HGs+XOI5YKSAZI3dEzZpqL UCsdCJFrR/MiykVJ1gSJVmv/JrLnoHcVHQBllLE3u9AvMcezlXQ6c5k6sYn9YnggH5UX2Op9tnDA 6CtMAypG8hRNycXdkFss9cE9858pd4M/k2zagYHZPbFblmhpJdkZr/bfMg3aQqQ8FG2AhnxOYvEM bLNtAo0TG29P9z1wV7OdHaMxJqyB4BMfQraiZ6hg+Z6jg4CNyp/TMeH7PzbnAG4DrRV4NVANrpjY RjkTm2gy17C4TVh6ABywYBTFZPokzKoud3an6f2yf1+zzr/IBMq5MVQesYCV7Gl73AHPcgp3hnV5 lLwi+g4x6adI9u/h7nBSXWQ+zv3YrfGBBly8RoFwkOB2HqeHmjOuI8x7YKqKiPHMoIMZXNfn/yTK 3EVZFWabu1pXa9tw+SvMtHYLDJxby4U+CLTH3dUYaU4mVK1hPIsKj7s1nWQ2XMj2s231UVw/Qwf1 rpN/lS65FR/mLqAAbRIOvo/jqNWJYb8zMradaHM7FRKFE03XfIt2ycAinrr41wvr5W6JJz69whav +/kRWuXGocnFbEXXrsOXN+WuJUC6i0FQNYmYpGgFtjucZyG1ET4pYI3+eMHUIuQGAv+i7m/FE6zA AzfA+UoPJjAnQEI/Bbxw9jzC9XofTPjMgJ8AgtqDWs+t9PaUMae9MDoww4WqG4Hl9A0Oi7VWfr05 fVvP/sk/J1zK3xCAQXCKdOFTfEade3BkJY2gYsOUE/r+CRuwZX765A6jnAKsOmUiWBpzIYZ++KKt BPRNeeDR400WDwAijigeT3Vqt56N002/K/Xh8PJXPrup/bUFU595HyIzb/APq06ykJYQhf+iJa5D 7Z/r1kxjO5uNrrhrUPegSw0aLQE7aYu1hH6reqjevztA8EtELXlr6lDBGPryHItSvhwnvnWpBe4f /ZpzjoSH9Ls3+zgNIqqV4gaLHBERpPM4H39oRTeYPIDaVT03GgqZgZ5e3HAtidTKj3+m7vghaU3P Gy+WYzxL5wAyp5OGfKUk1TTXgyMN7wnEbSq9doZkygKpFkWz2cAyZcA2kYuswPdrV1jVcqfAosff 25erUDeoXiq0kDmOJ1JjZgTDmRWQxFSRb2M8Enspft+a1eqeqK+Tyzt5NRgaRvGgUf/sDEOY98O/ yHAzmtKLbCZIczfBbsnaxIhtv/bDmXEVcWw5ifv+hj/VFRuch6rPUdWkn+/iXeHOM+k7C+ZWqKzS r11ArWOFmwUfy6i8NsWcDLI8dwbZb3DPDI3iAlVxs7TiVzz3iBULvqPzRZG5JwQJpPefLjcCxhln A7R3CSWn+nMHW4xhA6/Tjfo+E8R4O+sKDy2JbS8/iXZUS2ObU45hZMto7s5G0+Msbz2rvK3k+Hls oUadWU98xo6yWDjiPatHx3np7QX6LKZMU5ztzNNHVsJyQbp7nbIdZTSXSpFPnx4CBYY+pUbkpY7g LU/PNpPHxI3imgDbLit1NBkVY5uRQF8dULvTYT7DbNHdvZZw0Bw5b1CsVllaS9zxOpU550xC18n9 7ib1WYfdiFceZmtDBsKVIqmIlA7840cnaJxRhgw+ypKHSNkfOkoVpU/vqbwcUVddFHVmW0FU3OsU 5Y54Weg+Ysf+J4Fb+uQC3SUrLuogrXCXcantpcICoCZHBTKARBiQKUkv97zHt4qSiXG8Qxs+C6jm tIeIvpzrEHe9pA8KZjuvy8ifDBMyRkSHAHeYOVFZ94LLnQWdQjBLtP1OWJVklvFI78DC1NVaXUzi gjwwcRjElGhHjNAVu8PwQQJGXKI0cb/NeAo3H57jb35kY1rpaDC9k10k3bOrIbbxxB+oXeqxKvd3 7aEgp/Hvv1aAZ7DwXJIiF/uUflJ7alg5OfyiiNooxpw5D1ccBjAUwqvvtDv3zDayriou9IqW4fF/ T32FkkRMrh0Rw0jyDwYH7NLyiR5KwEmwzTY8FuFF/dIp/aWVJ7MQbT/8RpfP2nBIM7PpdRtVFnMq q+pSVPEMeommLfn1TKHsY2YyUctwOWqsyFm3yOKJI0ChJpt4MRjLSPgez07XrxLN1n4XihEfFbUr Rp24L1zHMkCQqisYPntSkMmpFRECIE5uwIyWn6ZrrAvGEXPo9iExy0jBZ9bccyK54lBs9K4NLZ1i WRpDIG00/gt2T91Ji86fbzYuuxxPVywEWDgWJjN91EOswYpUxxw8WvXgDykXbOaQDdIPYBr1VQ0R r4L3C+F4kMEkq90Nstzyp3bUzdNbpysrCYZlRbmuPy8onmI9soYV/3x8uY8JxcuNIRgPNWbxbmOs 6HYhs3e+XhSam25fqH9NoojYw05GuxRdANZzGzaxCjoi1Qf3qJtO8v0l3Ex4MkKu2XlmblDfNDMB p9NEFh+OhAl21PMvTjJCMGaxcmzxhTxBXXsy6lrSQn3v8UJrlFkmYDAay2YQqNa517tyk0kowOeJ m1Ma+3YYMDfmkQhnaQcXsE6gBf/rNvZ5w7HZgJkdl+pOosJBnwgQbJNbp2IFqRaLW6FLd2fWwp3G /DF9AiNfKDYzHWirUwGpx97RkXga6Oe9N6NnhQXKNQA7O0lVbtzgpiDfBrrQum+7lPeqfs68MzmS 2bfdycFcynVHgYEj57dtvCRHHUNZV+t6l8VgQk4Fj47TaAsNa3LBCfj/hAWvzSCzxn71zfP0B9DI 3VwiKD0F9W/4yGyfb7n/5iuKaC2OxvfQI9TGCf+/HdqZ827aRBVXi0Oswppuo5wYY712Oe963JcT z/tSXGO9a0L2XVFepSWVfK+3h8VfOwLf0zer1IC1TRzx43w9A4CIIxtAQPEkyqu47B4rFbJyiyPp SArG4Uf2c2pSEkMTMakM73OozIGJCAmNvpV54wahL8jNlYkc08M0O+mLAQeHs2JgpOovcn2R/ujL TmqKYZz97fSXuEf9P9npeud+MB04gmkRV2JO8sXayOOqaVNJfCy09cQmPOE1PWXi4DnKHzj31RYc 3ghFpoRBHQujePT4NtMGqxznjoKkm/xcMWx/KxeQHugHLbX6aItgH6ctiJfAajkW9hsBbwS558GH uQ2ZjI3Sb8+0E6rVYbD5sQ0gUZtIXObgZSzcq1gYMCO0cg2aWH6kSgC7zDIPBrWS6QNFHcm5kACI 7iuZdVzCE7Ri4zJNdSuoioc/aJjog4deObFNJMshQ47nm4g6ZmRvgby6K9689iJ1SxGXYyiCNDk+ AWQzbWHc5u2EvSuaiq5gc4N03jjPqttUOYNLpfnfL4oZPCCllqn6j003Ofy8uXKpXgeZBP4Kcqzb eUPXzxgYerw/9+2TqSylW0wV39m8TQ//jZ3LoXt13TEPWJr3p+O9tnaxw2AZ3e5PbMzsP5OEfYLm X1TpQ/TtSa08YjZX3FFf4ff/edG20v/TchsDAO+tdGpcizAQk/Q3jo7b15S5wiMpNnv2Zd6RWSj2 2pzfRGXy7ZgAaoS1J66ZofAPihXdMZdihOqDCdhb/ORh2uunVu4Nl+T2S6GBJtiKYnOIib6YUuiL Sx79p7SoW63KbeDLQdxOm7f4n8Z8WTs1t8TfNNVE5TnhTCgQfy/whgto7Z3NwoJMEiGNWbatpaRs /p1yY+t/MaaiRFM+b6k+EipdrCL/4Bzlv8oGrnmfUi6LYcLJYvB5A7pn9fYU/N0PaWOocVe0Ub6/ b/97gqyoll6ZD35ha7riNIdSTlbFfZmviQSft98RsuRWmCp4gqKQrMT3Ie9fhIm2yJFGYBzBOR+j +Ff24arpS7/sPdwV+b5Ioi1Kd2pUShsgDs9mDgunvzAHHSsqw+MTSvNKtTKLVTe5ZEwTl4co8XBZ xFtdgi6YQI6i333rQeYitJ9glHS7Uzayhjmm7pjEXoJ48mudl6vOdv70FVauo7yA9C7VlquOJ9f8 7yGdkU+1JKu0EqtQIj73XGea+vH+79LQi5Wd/fVCpv/bUNDHb/ctKW2Ozjdv7nfDTokdkYd2rBDK u3AYwAHYzHsDgficPQmSsau+3Uce2a84pD52Xk9wHD/kaIbm70mTpIYcrmPJ1WbcljhbPKZl9Vu7 a1///Buc7p6cFEgyLml6EBCjg2F3yni/Mc0E7ryt8v04Wd7oN+YDwBNCRMCgrrTK5DBDDptsbJtX tynNCj443LArEelA0jfK3aMlJvpvjoDmW02QuPmbXHdlDghAJI7VxLFMIhHgSziwk+dYRt91ylHU ITcztwSEcVRGcuLrDBCErVBfTM/f+b4NrRUsCHgzJERnFkF+DF/DoFmHNmINn1h7hMMmO0jvwSU/ Q5v7NPmo06pcV8/W26mDSRsYBpmFG4ybaNRJcoAYi8tZKonCWao6vPP4N4DuEKq0+KO+iD08vYjH Hci02eF/S7cp18WvSYkyVnO9lsiVpiiicpdTdEO+g5efuUOij/421tovE04bBRniIKWjH2jfXlEW PEIy282QOrA2YRrl1RGHh8ysT/QDSujM0D/DfEu+I2J8kEUfLWYSNthhu57VbFy4Zg50b+RT8hpi f7xNbx/+CXaIyCf3DEzTiY0uN7i8aICl7njxCXaX6hKoEl2OxJIX66xf9ZUmWrvQjnb2j2cVwbe5 5xNbWhDL/4OTW+QSKvKS5O92/tNTZXQKGq+siUePq6VwiHiOUyd4h3ZmJD+e5umyGNgFT9Xo5a3H 1ggxRlh7Eaqjol6ux2NFfAWD5Eh3RSNpT5XmbrhPyAWItPhNTlTZGBVrCbxP8oufITqRLaDaUKqn FGNFp/pqaqf2gOVN72KSpaErrusPWQeHC364Ip3mtn/1mexXjmkJFKhpy7YfkRlCl4lRkcMjw9fv DLlSRehKcJ4zLmf2ObeMnZoSxRtUJa+len/SRx8Dj8U4pqKc5p0lyCpDmayr+fNzseBF/tq0FRCo Im3modzJocrepvwwmLNp4rT/BouR17Ipwg3lpsfLDK+WIu50SVPKYS1Dqc5Yq74uCCS+nntYesOj S++SSn/vkaMmWimy06XL4fcM6jG6kFEN9eF4E+zrPBia7INK8Dz1jEhchXANrlcBYz1EUn01+vE2 TYTBaXnWJUCwFD6jIpfA9l5iKs9Kc7TeLKxvnqFZORVlhHwLey1mo+K/ON3Xgz3YwhaLanpZq2f8 vRbcvEzVsNQk0psbst0XiqkdEJIKo7QZK1Ujx40OKsnyxj0H71eWnYMLmW7sjppY7ZYBSowvzr19 /iGs6zXGicpEex/a4wd7ZYyvUg7h1zeqI5GO3zfK++Ktnkm+6yO+xZTYPmqdjZGkw+4WOCQORmHw t91CQYLMTBWlSjuQ22tkb12J+25/yY649E10VlnV1ApFDYdeKwlAkwBJEfgDnn1V9Uh/F2gp1w4Q kQ05WAasaa6Tdq6O1wQcHocJzfDZxK/cp2qkIs5tKouUuoNZxOS71Y/RNTZRiR8Xidv95gkzzRHx BYLN6UoJXavGriHzfA7hLsZZK8Bu12of5fNMHcpAuSj8fTFlWDvIi/Sd5K8lTm9xGfW64O3S1mKR c9pAZCDwWQWzOKr0fYm0DCNbcmuH2ltVib4J/WhA9q/+fwzZLtyJq3GZKJcnnPXypZ411CXpL50r a0jmLZgDmmwhc51aazPaHyt/fKb+c7oZipGIlzsT6RjhVLeG2uZqr4x/QffFdk80IgA5E0K5mu96 dRgAq+goxDfSFIF8qjJ/G/Yt0KXpe6gGUwXwMGpM4RG+eXXQSdcMjs+UyEOPPlmFEitL7/aXd8cr AeqKN3jf9ySYWpqlA0K/7nqJmgigU/3Bj21k1AyYynt8WiAhdpPl6wp8nJguB/tlP4HU7E2mWGhx P1wTwmwkpYgDTFc8ognJmCnw+w1HVOVBQdJJIZhfTbJJJFp+1LVJariCulRGNEmgHPZROxCnME5c BqtTf2vYujbTB/VMnBrl4kE/PWHSlBWij3kPM4BOl50kU1TPMXNsj/Pfpa74QKkP7MenwEH9Xt+w wwaf9PArVD0ixfe2+6h/Qc9/WHhIHlLLEMaiWtyj1uaCP1lRc51kPvGh0ow9WYXFL39mPo9EZU/q 9SZLUJBR2xJQwJ15bti3LU1qSKoDdteB8w2X7D+//6YuKqm+WtQJhhcGzzljI+V4NVpPaIsO9EpS Jfz5Y3W4oY8DJyy0NDZogRKzlncHHWTzVEXleHJ59EG05OhgxTClrJ+MxVUlppmIJooTnvyBRprX cJXEgm+VKnYHxr8qP435kSDu8+J2WPXOhiBLbeaH04+np+9hvorcS9gPAMu2He2Hvd/efC/FAuCF krAgnDMDFIFYbZTrnx4PeFIuMMMVsFE/Jt6umGMABXfxtQvCXvSG5ggp4XSlfYtIHgo6+SFk7JBQ 6eDXLKpciJo3tZ7E10a77q0hNWHCKtV2MaPGhexINvUIZRcEgONFvj7GN0/w51Mn+1nueQKGQPYX tVcnZEL3muxln2qJ5mPqUPJUllyDIZaaJJ4vsG7Zwh/Zo2SeB03gX7GYv+TCSZWm9NGqbduyKNRL iYW3VMEKNiDtyZGlUvGbg3Rp4b2oUYJpjWEeZ2mtaqR5f+w8dX4Sq5aHBQABqOLuGfN/4ec/Y5FZ zXxr5ZubDcJbjBorz8lVKYdI6GeVGdrb1Y0tk7E5oPZa5drZTB64jEY2kQcBuyY0eOk/jdWHaRNh 7W7mQIPa6AvJ/NLvDzs0KBlt47CKzwFo3WA1IOub3Ug4o32DA/Gx++GjTK+mZ10m94E1nIjcfLLg m2n21lBnqLDZVuQ8g3XYqS/st4V+mgG1Jq7fkKjFPjnthmptMBeljbC1UCjlwzC1kxM+unumrC7M DoXdSgct6Way12/7LmRCZFOhJopmpeZ2auvvvmWc8bVZSy+JRCNwdcUzBEg+PMauyJV1XfXgTm3X S6M0KCaeYZnDgiaT0cPwKFH4mQ8r6rbPLj6WTsa0l0QR96HcA83FL49bCAet4Pn3N5KVxywJWoLm noEpMQOToxfwWirtKdjuThOmjuE+ScA0l8iiq89YEiMjo3MYDWmzhDLzngWReMF5wKknThNzplV/ xTO/vBpHK2B2NpT5Rm0fg4x3y3I4b7ak5BeY/X/PawjbCqSM4IzQwnJ0fVn/B7qceakS5DhREwh7 a3/VZz4tVOSJbLVW6xGR2lVUADJDw7NaGIDHXMJHLS3OPNBm4s6KKCDrlzmDPYTpYrEr/V+bOcca R+fjc1OItJUBlm9qGn8C8it2EbSBVuqW+AfLEGnTanU+nR2u7D5MkHZbWC4HNTpjmn4pALpxZxVY NrwxadzCeY8o0orTwIeo/rmZSuIi5z1gQyU8LkNRrFLu54hrH3DUthfuI7nWKTi4BnEhAI0zjFch EW6jWZyimGqw5InHLa9/hqVGAJnvCV96ujGkY8HAjvbCrSRL9RKoeUyXm6vbt5Z3Cb/qO1UjvF39 FeJ3AJeSRlpXhGCLLElZtWyEorXhdQclSzzEOMYU3FbpK3Oxs0MLs8wczDjAwkkD7i5ioPsTO/RW gdmjW2E35UbIR4sw246GmtqW28eTX7nPzDb6LyJp7GOFCjXU8aMZ8r88jYY+1y2xDmzv5+RpcxsS SHFYbE0nkCQVkQpEdaImUlZC/l/FRxPHag5UaD8Yf5ZBbzvAesZAFH7FHHOM/uHddKAdm87GOjYm popiwisNsNGKcaP4PJHs+fchoXFEvNwQL+mZMEERkwQpKFvln1QvEWzuxDOFXAIx9UEEg73GTtRi s4DjYwrW0Cua1oii6NJgn4hx3Mf8BkZlewDK7Oq5Rj/Wrp7LmM3GWMPW8iadRH1JtjSAbhUeZMuW GnaeMfXzlw4ga2tzMO9ZhB51PIY8uQ+ztTnkU8rYHCgEm/zQi4Wv2kEV9laHkGnWkJadZWE6okXO zHNu1Lvf3ndOEBnOz5llQN0WCgynIyhXTIurljRjADHBwn6seCsH0uMUP5fB8rDhwgJhHWd8y3G4 WTIpD/CQpf+KP1NPqNKfpgsOZ91qgrNBHSDZzugyOfMCDtsxtc1+RdR30WMrBDGS6bzj5itEiTM6 oUYvlEheMXmvOxBnWcc+jom5cCiDLTm8kI1e4AE73KNaNtLZqlxOdxTQ6vjWj+Zy4QK/vVAYUCFo tVKsIEeh9sQ5T90e3j3AvE1E/yRTMgrmM0VexDzGWp3D3z2iroghsFbdmo5tWRQwXkrvFaPbrxJA gNguNqu4J6wvywI/PKMa91qnEAMrC0bWSm9nTS7QlYjc/0JcWLLFxfBBqigUD4oh5nASymQiw662 znpd3LtnGFBJTvGdkx1Oz/K8VymlywXBBJBX+w34tzbanx5QI+NRQfby+Z1ygfnu9meSVdhCrOBX m2DCjSK4rSsRMUp16w7MSpyAC0WJw6iRALZw4DKC6MDC4ElFVOCagBmU/pTOM1oLBQxguOuc++GB o/ejLtyEyvqaPSYGc5Gj/yBKU1H1jGr03Ga/3Nwx2dUC5oV1fd6h5udyXfG5d3CIPR/pUO7RBKPh c4XVAGtIDbYrAEM6z0sniEevbrqc9elplrPz/V+vDW30dXqdANJ0z27WzC8yHo5eftAmVSwCVs69 hlcn6GHbWBZSjhHmexjEv9VIhalMUZMV9NAbUFXHoB1Nc5hj8YvryDKGc4KZduQXWdIQsaHlMZ1H xwoDlz5nM4affajnd7/EcTTTvaVxKDTzEPzqIqrPoXeA9ZJWLnzcYEAhu7AeZZk2LjyzVusyWMaU mKQrSru8isPUrOQXjJUHslt7ztoMX0ItFJ8INELsvCWTPCVlNTzPNEua0Jlg8yiaYFq8M7GTOZuI sGUrrdGFyz/277geOUW5pRoeYkOxF/tNF7227bEWUV+Ai5z3DKrYMT3n7jomidC37tpPEpyVukiF JWdlfkv94SuTWiwVwC/VHq/J4aNbwmsr6W9hfECrYOMqf0xz/XmGUzpJx/P1s+tRdCCmmwP0JWMy ypOXSrVSKVcNOysWIH+f88DFaM11azN0jJFgQKabPIumDstUoCcWfjMriK7+gptDj2Fx9hF9ibvj 63EHjCZwaSUs5CtW0LKWFUXxMLLUywufc8LfNdguFY03NdhiM1UiDUtzSl7dCfcOPHwFnjGqUCsH K7Q8Fah4aeKOQbhGADWcsrG8GAi/fcqasNzgxkvz9fWbEy1r74N7d+fLQT6TBcXDnqEpgy+3OyfZ 3eSlIriYsYdooV55/aFLkpzr7A4KiPMUPOdKjhY0BCI0ru42l70od2ErCEiJVZTUErAl6ntDIsRR v1A3+AVo3UKZlAqiNETq66dLARaBWRJ275ArTPRLisRAcAhs8sMXG1CyquhoapV4xv7sBiOXwyYC a6ZLi5jx5g07dZiLsuoa+JZaikvE1X63T3dXby5nKoxIUkDJFX70s5E2PKQBmRIFY4ss/oDf2+ZW zw8On1tPDoceuVgHC6ghQKYaKPrjyt12IvXOiRBOH/a2Dj1Nhkj5UO6O/GrlDnXGUhaQ1Vs58qvI 5NibkrfrUvKipOjUCDSOYiKuYw3hYFqTEgaWGKluQZrEvqQr24YVjX3+/Gx6EvPwZ8C9d8BAh6Gd C2ONnfJDwX/h3gIURw3EqIgKteHjYQz2GQl+HM6XdKHC+1r0tobxvuMCX8B9m4eFp/+d7Ju87pwd h3g5juf0cjhvEI/axt3CX9ylEJkVNCjObdeUT8bEsGkU2ukf93PW/5ILN4Il2ROd+qlD0IJxb1Xa Iywc7TzLtFMq6+odBaaCq8CrLCnmbJZ2YKKovujGvR5LAJCTIAXpgeUezw2E/QReCWzlI/8wk2Qj QPPw83SVv/pB8fugLT/mawjNbYQyVBAm3R06+qPwbwCpfG5klgG6CFj/1xiODo3Ha5cywDRC60NV tZasLKpuvH1l6QnvAh4pMYQqYU/xwlSFsfuPtYhGsfhvN+OnXqPTJ+igaYwn96uGKL9fXX4+KhwL izkGtLUNaT6ejGfB+DJucaMVEhYd21A/WfjI5HpziI75pe4F1fBrltel2ya3mX09D3Y3zVznUf2L 0awiUZGIKkMk6/7e671J0q7n+SAxv9bX6jZbKfKYoYZSVzge6DL7vNKC05QwkZtrtYrv1GQvKJ7B +edX6sFPizuwicRZ3owIaChWUkOyedmQh0GlXjJ9qnTIkLotzU65eqCq12Al5DtMulm/XYZEmmXl 5IJW+5C9EzWME0vP4g95l3C8VLXzsNqfbWlU/IIbLDyg4a4JoS2EQbnNhT6OhgdLwCYcav8M7qCD Jht4iYFtNO1eb4OUkFuLNFgATdufV7VEc/QPo6Zb9NegoTdUDXJTpQr8CR0npsFbzHkPvORYYS7Z 1YjcGrjRW1RdtBUDFaYXz4kPLrbBXUxCtfGrQn1sxra+4a8gKcsiGF8OAL98jVv5tIePfNp8RVf0 WZ8gBDRD9rmwcN9qT0y0M03s/ibhNkyB0hiXswdyFQZU3GVYRimuvjKC+3+h1nxEOdoWmFuf/lgg cSgpSAQVQePXwyij723jS4KF0YpVvo2ZjsCd2hEwWY6XpDtopBt1HTja/QKcC3hRx3YxgSnklNdM ufMSRwvCjUTNpnsYSvbq0feHKTt2KayfivMgbcQWK6ONmpDRUyKsXYSXUqELq2x64pyy+7D1zdLc efAk8uStH6pScVdyxS4irOcl05APk7QEbgDdyPHGsNXVIfQ8i4FYV9PWt6C51sS/E9N0E0sWDwbm lmd0V8wzGUqRyFnDc9kQNPrGl6kzNvnxZtZVJ4gPaSQhfKguC0/S/Tj19xJmsAVNet/ULI+x42Je 1doL/8zYDNaWgXR6/jmMx5enPTUjxeDurd9h9DA6mTFWASSIxcg2GT32cdzTyAI5LZ5gyBNb73re k71yVYWWNsfo/whaIsgDFrtWp7puTgS/+Ln8SWGZvb4KFzlaTFiLObqe1BtU1K1HuysQhm5qB5df 0LYqFCfUXbVJyTh60XDpzzjza9am+/buZ5KpBD9NBnGnj9MsI2a7XM0t6ZtTEMK3dH+e8nha/uoh d8VmMUkk8aShcbRpth7j60fTQtibWlsS/rXL5i6sIYiMfdFjamwEfkCx7GZEZWdMn8a1/N+0PFvu L+AgNGb4pRoGhMdwoUNDYjloSCFzRGL52WVbXv7xkFK/NhCI0lFmYb2/0gphdCz0o3KegZd6CICC 5w7D/EuEaBPp8AAIeVsCahUQlrdvILsiqjTTWG4aSmfRoyY+mxMFqbeZKpeMkuzCXUeSFX5GrTnu t4t4kv7ov/tBVKMspijnOa5QcpJESZXFkdKIKswX/wGoC5PVu9yiOJD2X/ffo9Plf/AKoQ1AvzO/ /tuKY2NjfiZyzg+hbDXiTALHzhoGOzZvc6ltkcJJsdf0/SYYPIus1PrtCqjLT9Pp92H9xuqUyaXU 0AMuN1YlE2eWxwWT6Wo+/RZdmBWGvXEci/+omTOVhZ6CxY6jKf0KK7WWuw2PLNh3qzUCPoP3wsx2 iqUBdRRjuHOZ/SNZzg9+aL/v1LZYQvlndQvXJWVHeYbtKn1g2OFlCoFp65DGrFE5vtK1FHf0UNhf KiAz41cSIzk95S2phaWGUVStX2J9+j+Wl8nP09bKwq6QkM2CXp/JylHe/TgRUOFkIx+2HwxTn/Vy 7mttq4/nL7g9I+tpAnZP6XxlPgPe8/ZaqX5G0mTOwvYRMnT+Kz0OvN70wzmePgNP5xd+TQ6le67A Imr521kLYr9M3q/YhO7ksGzLgTe/SfNaoiGqwPooNiu0XqDRNx+ntc2/XMht1dG/7iFrSMVM8LYv /fSyAdBTQoYVyG2icgZXVEqFB18hkJK/RMfxEbr7XK4EWd711MnFwQp76k9TiSCAcz/1TeVdlOAh dzZpooUBhCk+LXLILkPkZHFt0Kwr0pBSWKv9G+/o8jnMhHSWo/FVGYWRkZuhKVyc8vm2iIQAcx9e +1xKiZWRhTp3aWLksCn1X4EyTnoHMQolHO+0hA/or9/efwjgla5zCidbiHKOKoAJ8m3+KnPYFpKC j776+xXg/zgq0qY7nsIjBLEPxC9r6rcPpybPMM9eZv/enia6Nz/cwif6L5xVBcLPnGBb6Xm4fLIw yIiiFk0Z9DvjUWQjgzILrCAZbwNHJAp2YqFs9gnWn9rFL3PUmKs9zHv4XnKbIGt80GjZ6jtFdkET akdgBg4j+OOdlPiSikxW9C4IPk1B/8RjvwbE2Cg4DYih+8D4Z3OR6hrx7IOauw7SJSkSFdM0d9gH YWd33L4Tdkw705/Xva++Ft9XlJfQCrsGx9QB+cw8zDO+Sg7K+zubIIIl7kny+PQ1LPH5l7Y3Vs2t m5NXnJcKhQd9f43JCthnS68TGJLLCF98lBiBvUTqfkz+zx3G860x7H74QkN/qL2ymKTlBeyhA3ap y3cQ9QVzDn/Ih6jgH96vhn7UVaxhl5ToeVmb9oJkILpVMbb5Dmh20q8nNBiMTHqZZDJFCIJr+UsJ jZRZPYPf5obJTpl01mvtMwrhHInKMKqHeR3wK3BJT4SAJWrLKQvp/gymIrdPkymNc1Ydzj/LeLwK T9EecrY6uxrJCNO4nmOIT3Rt6HZQsjvayEJ2UhVrup3dOSnHnftNQy4kjEvR+yl3HMwtAd/wpN+U 24F5Lw68atIf/6m0Lxzu2I6VEbpX+vsDoXSpqB5Z85yfhfSRG62l9ShGbgOIcLD9CyZEahn/nm4N 4JBtDkuSNcXfx5UnWpFSxcQn3/z8yXz4cLHfQmg678OmoRc6yIGNU+rhpgbzbUOOFelOfScjWcwb 3HxeMCW/xo42ni51qc7SX0ZSZbx1mlIobtZoMsniXanb/EmoXNimgpcHU+XB/B3Q38qkR+7vN20b qU7iu38rnTUaw2thWpvlJyzyY/bFQHY9qGrYHM3zUuyV5MSaT72HOra51u8u60do3XtZQs6f+4yF ccAt6h/EMFv2YRB5uPy1w3BmUD5CPx/PINDL4MbZ1HkcsH1I/D5q054zxnJ8cUqhho0joovuxzLy 70jwtIadLfLuIrx5zzzH15Lr7CpiFiP0apljFJ49D84nQ16SCRVnQqaW+w1MpSNkeW0ngGuY1lui 1RtJvBzzj2h9TBTWOLE/YrAgl0D8WO6w1Gx3K96Z8GdZnOd61xibDRHSCWxumupp7+9JIxlcKAxF +A/3BFl4gOt8S8GzoaifbUk5YUvjIR6hnkIRHruIerI/549GbufkqHRiRtmBOdICY6+uJPqGbhdN o5ZEpp91U+/Q5ueJzzQ3EtHTad3SxQ2BYyxk/0gbKNG260qz2j6aqBS0iCmnGlScc7e4a4Vhq/yu 8e9459MzP9oYptxRd2VuJlW0D21FycS7aexXxo75BM/IV9pwc8gWgvjHqXC46meR/TBVpKLGHjzq crsbEisAlw8ZWUoxK6u8Lx+ObGyrstIsCvmbwFfe2g1EaPJ2pC+2vW+/G9ZFlZOuf2E1sF1nxX1Y KgdyvwkTBIvUnTr3hGbSqeg/Lqp4qgvL+iauy8FCcOH31o92C+nj6ryPv23lfet4negjdHMqdst7 FVMP5vh4+w+CEwC6EGGTzA7EdxBC4MMEWKcUzQgqDG7nJWAcjRDnV/qixS8SGxTsbSzfCv8tv6kr prViiYHAMzoz3n+z1INqXES66J+NnhIYdvuW5DeHoVLBl9tUewEyLNVoRu62MQrFw6EumvBot4xC nP+PuExW9V3NTIZtllhthxl9tNT6o/B4/LliciMSI9KQHvruWb6FmweOfwrpRwJRyql3Wq6aZWQe JgeonSXtJNjLKlG5R0uOyYrjAkgcSpZ1O1tk0MgWpb20LE3VyWIC8VP8nf3FuTr0/iBZrdtg6FGs OCkMhHNGOpTPqbnRLiTMQtwND0pEdcOTs6FCGX7ALYqnCetfIuQnDa45TKFCOd02n9N00XH2EDvp A3xgwQyuWIBcofK1mxKfepHHR/3DsAHOuYJx3Ja7kIfhirPjZ4NJasSWtPS0RPkFXXSiLSGVrFIf HjF/Ej/0Mpf723bV80x7F+xeApRvbhyPjdyYuWtcwaE8Z8pX0MQEQOM3oxwI40FPgrg1duOFVCPu My5gGrxFbPKzt+PldJFleoyyeGY6aJ/C1CBWEtf99lAuuBKKOAXOvQj2di/YWvFPodrjfqiIMPHV 2Zd2KBJjkLGYph6hBwKDXvmfgm44Jynx+KFZTaQFu+Qp/SQ8YbMpUFN/JHcP2RsgPFT9ap+mQyou 4RqMmx5iqdESLIXYO2rqHQM87TiuPy+G1mWR/0cyx5pjKXF7CF6hwYoXH4+vgZAIZEKKyoF8xdt4 ScdOhWDQFGnP90gpIdXfGfgA35yvLFmK2PqsBjZn1Fm/8pWSLtPIAeNhLnuu3A5Z7fzZJTnweGVA /cZgxeys6MgWKUh67UJSHhpzZjWkcM/9i/ct4TlDWi8WrHAnWTo0vBilyl+q6kGk2I481GqSxEM8 xY0vx6EHrNP/yF9XhdDdHI8UO1pNYSwJyy5gA3/CUwW2imz1qnKR4xo+iqnMy6E7Eso8TFydpO7T 53LQYTopED6LjCh5iaJCOvy2kmkXU437vMTvMF2y3ilN1xtZEBLyklK6bwqB1ynp9boFE7Upsn3m /CJ6XRfMFK+zFYzOYTIv5y0JX2H3JUveR74N/sh8qSymxZwJ2Rr+EHJnK2B8DNCuN+f/N+CmoaCj Dgh4WIuhgT4ldrt1zZoqXIxDrK7u8t5m6HpDRFL3QUaDXNuBqFh1MuH3n++sYVLbP5t7RZ5sBBH2 tv5/QM7aATcRkFjVQF67P42I6RTzIa85Rohh7rQw0GKYa7FwOQLf2Ej4osEJGsnoqLTNMmK5Wg4q rAhaw0bTdHTmaX+y8jH3pInVNyqud8IDqPT8fZmiz516MTLB5rx5U6gmoOSEik+zRg9gUHZ7RtaW jA/LWQhniG6VnxoaMfzr/AQSeB1uTBCgwybWlmqlGMkyUsui92SKbbN1kG0dzJDoKeMlXrzpkGy0 hmI397viltALYBlWLmaJLTAP6Rm6LJ6d3ZHJXGvN765vaSFrhuGvd5GbMrJ8aQCSPgr15T2PClah Jwjx/MPJtmEyez1kZgB3bWNSvX9fTGYjiMhmSgqclBgTECfIt+ZyZ038k56ZDSKyTgmeHeESiZOT 4co/hqxuYB/HoXcsl6az3pJAuK/NJVhCwxIM/hpe1guWofHfUWZoBN+hUc1q1podb4mW0Wq0YOiu uvnVzMumblnDxl759mh8T2/gF8VVLz6WPKdDoognXL3f9r1V4efX8rTnlD6HnsTpolncsOV0F4b6 7q4JTyq+jhoiReefF+yknpa/QHRP1qUDBcSWRtCWOoHez9dkDEZyafMWy9ygnSEgMFb3mQtjslir Un0Zaawv8CNGsxwrlnLV1PhmXQ0BezJW4cuWcORlgmfbvGECpRoWgD/OPs3Ep742L7VnRFem3qYX d7ktYED91Zh6hqDRVKEEMU1BtSvBtfvirD/1tLhXooGlGxLahILD2NtGmpClEN8Ud6wJtovLoD86 arcvxRvcQWfSAjylp9DgaJ2/Di4HFCP/XmM/9P5jisilOpeVxNDWawGvLZ/Fxpx3s1s5P9phecp7 Ri/iIkeViJOu08aqZTVPZLJON9fzszU9JeeEJ3AiRISEZxNrr4oYn/bT1IwRKavfz5zxnbEAeE94 6dzIjKL72zhXtGmV7m1Pgn2vk4TyxOHdms8gpB2lznR7w1msSEY1IFJvCZ2sGZofCRv0zli0peVY 9B+HKCeeNY7Bb512o+K7Kr+6ZkYQ8sx5+EoZejfDTHyOdUwhaH00b+S8g+EyQ3iXnG+ScttdJpY4 BNJ/HBirzjMK+p7NlAzGxf9FIl+0E+u4ui62pAqtxwUd8uA8F1Coq79L1Vb1HVMT+UeTq40frVV5 jErMBl3LAzPamlNixJHubeNcRYL3Ek4NdTkzyo46Qv2nCF4erMMEA3cwolktOqmw/+FfUoc11T/T 6LMGUqLvxTfy+r4XsPh6NOZJRaKEuwNIL4wsc+x7/MzAYbT4ifqGuGhqCIZZ5QDkGs2kats1tPyc ayavd8UmWblz4hxzZwackYKYUZIhLGgPY9YQx0hLDulm9AusI0VwkJovTEzvz3ut9rqEvRSfWdFu wuL41hWqghoRIAkp6bdEHBHE6KGr2MfG4mORLDSnBGnJ6KqvQWugD4zP0MxsVbJR2EEwW4RWVn6z R0uRWOlMHRjWSEt7T5zwNRx9L5uQHopScIc3LxWlKYZHf/qe1RFTtd4Te1c81wLgKWExC8TALIW7 OnnYydi2saaiTU3PWnzwtCRBWvhochdcDWSqYCnXJ2tPkzVRasl6l4PUS4JPHlBHUPEiguCkICJz tv4Cye0skEcFQ2O10lVWqRyAWzHwCwgN8eSBtvMtTnR3SSwUbA12hg+TnykfUyUwwGAVi9uMjDlH /25zF8P3RsVRDeRO+6yI3igPHyBL4EtPgOElpsKHXcUB8SdouF/g/SqGt6EpqKOO0AcJuWuW3pPw Y+1IjEbteqN6HHetd+eJ6vT5V7wd4yiLHnwWGKctcblWwjczlLt+1AlgSSqeaa5zCxuDBVeyImHi mSyZJG3iaKWzNaNLdux6HhCw86TKO39lV6ye3A/cgZpcSl+auTnK7pEuUt0GXEtx+S9iSCWyq0d9 hHx7tXEg3kSIpcOXElgox4mCnJHa2X+Azhcivxd9jblFv2nR1CAf/cyg8t3akSTWALDNAZc0PUSK W6SYUa5gIBy2iZ4HRhRjS6b+V2thIg0kBnnzBOeO98Rvd3h5DDVf+6+vVbuUKjnEVOFLCyqn+j3U TWTvJQtE5Rqu2INYC/yWWDAutjL+x8KP9VBxAoW4YvvuAQHYm0G0+HCt4M8LU+fDCu3UOSW6asMk 4hQk8Hh8jnWjauowh9zv5ciH3yOVTql17ggj5yIm2e0sNkqp7io0eK7UNjzOAPFFxL2dOjtz2Fg0 tS68hO4MXgvkPDVyH/hiWguS0pCvstMlNv1ZY+ZvxJR/UMIJKFaVQCRgdTs3M2spA1U7dyd3fE2O mqdiDnUO2zSFJ9SW15EAaeomZ9EeX7uJQtK1L9g59ICLxWxitb3hgzRFK0jI8slxWUVX0Xn0BLAd NqbViGxMkT/SeJ/u+T4EPSQJ2dbXdXZ7a5lFGxQQlV1LTOY4YT6jFY9nX1lO5QwyZU4tC+N2+F1D MQAvZzgWsNex9jKDniSlNbuDh5rPmAfGWXeKJ0BzlxT5szRiG8tYNww7f7RQe0O1H8mIE18cA4py EquTtGF2YXDc2HorDE7MIExaczT6f2g//m66Sznmz4aNHwpD4lpGZDnPIprn/Y1V4Dl5FsBitdKZ /MRz0s+iK6tR27+EPNHKsz2gxQygopH6ivlJjk6zO7P/WDnRwI3oY3RjvslQW3vPiN4MAafrOBe6 dbFzb+QDUQZLMN1iqHcRtD3EUkax0ju0LJFkbDVF9Ya6Evnv5tWBT9tlVcGx+ZhKzUnoU31eWwKq sM5c7pLH+WC2VoUwSQDPAOsQ+lFCyBLGNuHWtT96bYhQLqa5pMh758NAFuPeSG5EmNhqWqK6Hek3 DW85m4PInGdOtLYdmvk9hsmxV1D9DPwvu2bVZKogQqrSzei/nXutJesfGaSQmyoho11cXvA+aJaN L2AdDl5aWfHCFBWxk82X9HZMWmJgjUHewMkgyidKnVEf4WrZQYJfW+OAOkoh/HR8Z3lvi8trVGnD +1kLKihU78BYXNXPG3D4nVgtRg/0ex6nEZnf8e2BIHWdeTi+RGmd0PQvep6zDwlaSQxxgFprwWPc BkP+91S5oE6z7hJIx3aEaQZEWQFuMTMzh+pBTKx12p+CnQETFcnFER1EWl5+7sLEhbmNONHyCCTO hSqFaYHCM3d7UGsCSAZZ79oFpIUs47aH6yF6zsz94W/BYrKksQuCl+yIBtzoh8ZfJzXEszBV6k4w ZdRYyWnX3BGgJH/xc/M33KYSmZqlevmVNEuMDwoiicKcBhwFm2PK1PRtDYAHnqjgJ3zEA0Xs0LlR JDqNVTl+tjJnkBQNPOeSCO151kImPXcjXrdoSySIE61BeYmC/L/44VxhRz7kk2Bs5z5hA39EZQjR Yw/JSZzZE79gJuZT/Hz37vgsnJYetG+rUY2erxv08DPmF9AypxniAFqt0V+tRp1aHjuiZM0weVdu E7rHqvx9msCR7DkR3zu0ac3di55++UNH19h4pu4+QO/LT9Q4EA1vkuVSSMF4i3Uuik/AKohHK5LC EO5xz+Afh9jj9CAaNTjIocyxPQ6beu435ZpSBq7u9VHtpmJDw1Q4ffEXWP/d98zn70lKszn4DYmf QGFV53H+JAt9Gr4SjSHsC2x2fc0gW2KE4qCYYdVPTISDL7idWDNBcbNTIzLK6zFSlKb4MXPqJ4Mn fWV/bnMZMJLIIksn2bpzAsZftNOxtPxF5p0xqyF/v0mYkEkVEz/V2aqf6IevNrLBjIepXmVtRFLA piaTygQ9YrAy+FRoAOLR+IoICnQklBARdEvPJRLP4Umulvadlq3BLnkidthJYgK0U55fNjZmwPiJ cdGV27jRIuWguoBF+FfxxdWzAKsw9TkYlUQM6daVSdPgjf8C3dOAOBPQ4q6eGC3FazW3B1R18Qx2 69iBvT0D73DkJnALfQehUNCpS2UWyQrrDB6DChawSiK989ln1pBopRzK28knbBqUthPqMUEP0wJ3 ehTIHMttiYnTQ95bJoa1Gv9GXHR2NNtcK3AoAsbPTkEGcx3XWAqFutmbOBcCsWl2lUroQjPCmCEF w1E4qMlM6e/P1tb57U9zxPjssNagHL1p2uQEM22JffNqgaHcAxm2VZKkY1E+gLOwIAWq/kVAM+fZ 32/azfebZEyFnnSF9lSnF3IL3+WLjKD3IhgiBFvLNdBcdbb7WJ+Tr4rRBcxcdZ1ft6+d0ivfuYFk P8Bk9uQPr15cjLz4WrqUMoojlt00EouyEDfVepikT4V/SEKkO5u35I+uPhnG6dIQl/YSUnykpMYE VccwAIdT5BBv0fDTFRZpRSapan2hFvItpLpAMir22CfKpgtDk3H9erBvk2gfJZzLJxZ9rmqkq19l EENxD8Sceio9yOqOL+n+dTho7NIIlhGX8tViIzF8RMvQtEQcuU+bvl5O6H134yLTmHqIzeTv8CEr PxwHshScrYKoceet2y5F0yLcqT9chLTrVgcFQfouBzw3KpuRwmSFq/7KFkUz3tOCwH7r0J3oSGZu P6J9RGn+XVjzLthGaG0fvrNcejplkq7WeFPgidsk7yr4cz3+SSJUqM1SROqpSd/8Hnm26uAw7M57 atQD4/pMcPNulN29sVIFQFm257YFqVGkvjSrbGo8OFvpVPhlfO49/zK1WRtS9AUZc6AooOcfVDJC e1bwe+ri3pE7A6K9UklS67kkG95OsQFg+Tqs4Wjtk4jBGzmblEQrB3wEEVQmmdY0h5grB/2MFcp/ WZCRIOpn3ctsYkz/yRC2QlmE86y04moe5bR80jNNARCBUIcji7qPEUVKRNS6d04SUTb3mZYszKU7 +fLOZCGDXG7o7vPqArEN5Tj4Gd4T8/3AbKYUZccG0Q33RAUbwCN2X9GMqLm6WtFiEFwsoswjbS5a 0fVOKIA4IlvsbtLqNBUXzI09UG7UQLZlgGZyG4CE+ZARAnnvQvb1dR1Vl91V6UyfWFHmx4FxIltg JUQALnrxa5P/dYk+hISuUu68uixMpoBHwXekggCnvzaT5Z8CZANwNMP0OOF9/B6nHej+8RS9S5BO xzGj3BtJsHyoyto1OEyNvmIm2g8AHBosqS5eGfhrunlbsyaoR3r2T7JnyaVYKxmoKwIobZhx9U35 WcOOOW5hvSeMb8UOifY0UlmQ6LOHHIc6MqD/DkvdtikxcTLP9lwmi8Bv/Db/cuDhuKa/cJ43QTLw qsn6bdF3yx1Xhrb3VXFqKuT5QqDVHWobK966Js9pIAIR7XX/PbEizavCgdSSe/vOBIQM9j2eNIyQ gXMvET0HD4+ydvmFnXgpFNoJ7dG/tkumeBaWzmK+okYSxUzT153s6eHvStnP0GnrcZu5UOPOphtT iE4FUo8/zWAuo2U1kwXvXZ4cHsJ47Rd2vzXdzFLT+ep0/ZR9oRtDu32F29rkjLHYQd0HkLeeHBFp mp2xdQM2w0qey/ytm0NzM+4N9cnTeSuyjA8XgaBJ4y4fLUqHySR2XM4LaeqcE9UcigHEgopSR9nI wi3WVTjf/mbpbNUz2F/WzbxDIAodPTy2AWT8mOBwwnluH2W7TisWLHMIA+en24nU/nSIYFjFfWhd FGISEPGQFfUpctM9mbaDjd6v51Y6SByhCxuMUKuKkf0TMdH0H+xBCl8RJXLj8hoxf1cOAAg/ikEb YBznT+VrgNNEyElbivJGquHWCSrH4SIB829KdfpITy/yDmABmsLx4dTzFu0h+3INdBM3gY8ZAiSG ukK8ondTWsh+j888Ji8GBpIGM28iDHEjDir1jSswXlZy/72VaDs8P6S3yqnAymhyjtiAk1TT+SpD omSTy6dFpKEFkQo/iBEGP6y5pQIe/BufqqxwKgNHoga69jurtq6Hm9tPH67IqDBC/JylCsdD8ITr UoJzyqfXQN3mtROc0giEHsPctiHvfU6IqD6uql7ZrlHlLsRXSu3lsRe/V5z7adaRDYpEMm2LWx3c 4n75zOlDHHtY5RDHXeVwP7Xe0R4/hCeyD03MviLHyMXOzlaXMRd+SaK33We0E2qotZMHkPC//GHp cCNFa75+5VZO7wgVS/e8/h9WVVhV6ISgE8nxcyJ3IzOYFcqbQVlf+y0b6y/ODQizwpnKV32GffvU KEuGMl8inyhh0Aw1dGcM6+iVLNHGS5E8BDFuOpJ8AEYcVa++OTvabyYSiYdGytpzGrhHO5Ov52zN XKL7mwQYd3Kv3uRxAQCuDo14CTGXdKp0n3ajm5IqqdkJbEFUxZXPTSuRsQvqN1rbMtBEM2uRPjbW r9PVqHNXeOHdUZEwLn2Wj0DhQ7uVMrz0xWkkqg4TAMoWx6oKCMqVN0qyhumjhl0+9scDo5dq/KB9 eNKXcaof6szC11tbe5YW4eYhYwMsf2474We46qzswFJomi1Cw9V6nClkCkX1g7Y89BS00beSBiic SQhxNZHHydMr4qGKY4Qgr89kPHJTh6JOHQOKKYPJFPNQCklrR7uigp1SwxiSUvvKs0PlMw8yzUt8 g6Xx4eBW9nlK3Boi2jfBMuClDamm1n9bols+etYQ9smWmFMF96fk2ePfUS9yVwK71qqXG19gDJt7 S6qaVRTpvGyfwWAgr3j7kVw5Dr6rh/oNPijy32nBJGd3TYs5kN+wVWnLNfMoV3cYmAaiePyVeBUE O0oI8aKEINENFESBRI6v17wh8LznN9Ak4mY3jziCIJdvX1dqnXyCO8av+YgrEeFv2VWXtQXaSKYt GwzdMggc6KlibeVstOijTvlBm7H+y/lSLFEh2Qsx+btlCOw1HapSBn7sBzPqAspWYK+HjTzSaTmS EvoPBqBMXwjP4m2tIreAKTEIVlF8b2DebTsr9WYjhL7pE0TEWmFybOZ6ml2HdgrXs3WSfBqRzfJ+ EwrFfLwqG33Pa/f/ft020uLIAftEk9ksfZ+2+QpzwCDnYRGBXmfPwmji5pESPR2VgVYUots8IVHG 7ox8EFtQR/7ofRNldWmLd62j1eLu5JNXJksf5XERmH8vqUrJFOuS8gY8vRpNbTUZJ4E74CsZtrWI ZGh972CrardGm4RD1OPY6TRoeoyFk5YP9hjDYAWDbTaMUSDeErOlyFsr9vMi7AEwZfMQdEor+Sfu q1bq882lU+IJjnIRnOn2+iDSXQnNPItqIu6kypTOSz1pbNWsgEbWB11fosfz92OXZE67iSEtG7y9 BqLAzZc/x1xwoOir/dWCRiuhfp5g+XfNBJN7rSnXKuVSuDSvPvnyVNS5uK1jVum5/qLtor5/lFoC SSLyZsf8xtEfnSzhlJiMwmHgVFNgzgbzcktGiuF5s0wiA9+EPw+o1Tc3DudflACHE3ZT0gR+ESkN JPezc9gt8/6GAdnu6bX3qH+yDn3c8PfXbuSsQ4QrXfLxxlQf5oWA4Vsoup2hEAhJyglYx4abQQS4 bSzRxleA63rmKVEWNVhrcQq7jvY12CeVSljWdLQ5SuMwHzxQL405A7dwOAuFMDkfV4eV8vDkyeP1 AWNNBfEmOoBBEUUAydAXiWiGSRl/sZVdw4f7uYlnJhjHp5YtSi3jkgSmbcJoDBQTKY8T7Cdpm1dZ WxvHNrg2+7XVUcbx1s4ALOQm/BBD/EJOELaqfZ+OLpl+vOuqrcSt+/rLJgqnrj9z8T2YAiJ8yu7T hMEfzpEm6SqAKEbG4xrQihu0m4nIQi6P0P5A3vQ4PP3A+JJ50tMLRZBH2cdj+IRljAMVBTyb/CAD 8geqiMAiVX6+/YA3peaEp5qTKAgoYAoSZ2krztU6Gx/Ty1iVhedrbefUWL0vdjwEAggYLUT8jq1w tAvzkVNPKNIhyIdUhI4DSFYyWmNJ0QuPEARBiyBfr70B9q/6abDbL4mcDaXo8cRvqy5VEyKyp1NK UaziOp/BSU5maAoLVpp1FZECT1U1iQoQ39b4RFkMoGqEBniGbbfauh1BaqM+Kdl0R+ctDPxCl8sN LvPnWpqu7CnYaDpz/KZVhxRuyLn674nCPzWiZcXeXGyR9lQS7dB/nRBAo8ccgouoO6bYwZPoOvtA siR5S/fGfCkEq9FZBVpNDteg9lJktNaTrKh6Iqc8DIB0OFI8XOtFkJ1sbkGqR9Z6lQqljP+Opfzl /zz7zOQx9wbCGMYefxlBckjQDYptICBitxQObP+TnV2QMKqi+FiUYcKbs8bv2ntAqlsHRHVfkOgP V8UYe1ezAc21rYda0KgWEAQ45iB3kpozL8JmrQHqw0WJ8w5hjgO+ZG/GCKRoMj2P46OOaq+NLcd/ J8xCFjnPWzjfuCyR5bWgvFVaOqqAsuf5/aF+YeOEZo+fNY+MZ7VhQ1MxD58NiMQTOVFU5dat8/89 AgsDVAGUjsiIZaDbWbtM+ouQWLYlniVMhxbNzLAxHoHMIN8qJfg/ORX+xSGqNTGc6YYXR4VWeC7T yib0+yA6Oux904BRmaCTFrqdaI9bLjMsJe1sy6+Z4ZyPsidy0yCdaKvH5i6qn/vWV8yS0Fkd7TKi ztZJiRPvF83C9fRHLTARbRJZUnYGEUc0zeglietFSFMd+EwWJ4Yl6dV01H5mXr9wZkJAsUXuwJOZ IDGEYmNuyo413uLoAWBJfkCSd3G+a9qYHCt3paAcRusLSsT9D5W7HRnjsmLFXCACAh80Ive1xV4P b+I6ICyWC2nixOMduneEM7miT1IXDRZaxaEI+aH+bZks6ad0NOM67oYgt7EydFUo7g5AUGcosFWI eFMpp4qjPlvN+aq9jyPv8cVqMmB6lfHPZtDIhLBdBVn7z2rrOW4ekzaQg805rTm1iv/URUZTs4VC CKzT1nGsOpo2wyBVt1q6RALmrgsXOU1XPmh1F/yzRwYAT3ENUGyfq3VRER09DbCz98jb5CvKmZEv hDv0SzbHBvx/ZGjXftggwvVBWjFdrh/heXIMUf5cdmMvsbtMIEpBB6+GtT06HliAU4pZJlGYvi21 /CBfAxRI3AT2cZOodM3GVln2Je6BLnrPCVMa4xXilC/yV4g6f8kvPCLYpyXcXBFNDXLdLHFnP785 C+ijYiKgueH4z9a/igZy8H/wTKI6RwlN9vGdgvGKCwR6vOxGffOciYrRha/8QOPjiG/CDPpl2F9P ROe1rN7oyGNKczfRHWxp/rRLpKacdciEDhtbaVCTrUcTehZQ/px/tm9DH71kxls5Vue3jzwdG1Vp pwxMH6yJKCRa+SmTKfefkcGWxNy0y3q3f6DmyGhT/gbpYBgMnFnWzUrP/oE4l99ncrPxJ3+VKOYC 0cjSxOa+kYBDfanbd6AZqLYSohXFGrlAErBOb9QoHpb+mcqFLfn43QakQgSZqOCaxj6qHmQFqgyh rOExKMfF/gpo87wC1vULck+x6K4+Fzby4EHmUhwmxyQ7FDtKGr9e2VNPPeulzSaeJ3GnNsqICtIG C2LWGNJwiE70NyYF8idPir5CjJpFQBBZyPruVewtOshBtFvWtpVY6rVe2Ro82fjB1sSLa/WKezo8 QmSCTCHtK544VxvutzVXf0RmJZEk6dLSTQ+RNxCDtsDRovJIf8RfnLI/gkIIsQGgCvi4uVCYYJsn WRjTQ3YOFE5FIh7kFq9FAozRjK6WuTd96gg3FWEvH3EQBhrdz4am0jZNKAoHkKOMGf7N4I6WxuLT jjfALRFZvUycyqY0wpg3qX3EQmLJfZmCJna1n1BwcC2D1NdeC3eI8840eBgcmDepuuOXNpz21jQG 4yiX2Ee9j0YGsYRspjuXWLQDV5s44gQ+nbX3DBxCPLHGT6eQVqriuTFyAOxankSAqhWXROsx4HiV XILYquMvN87SAPkanCErdqCjLweqmow9ykmi11fRpOq6mIidRZsdOqguvec1hlT24D7O+bADBXc0 opE/cJd1WYeTGcsvP0zGqsyPABvCvHEb7S9Y/p7Tp6bZa+TI8GH+q+ykYVrI5vUdKlzXUjOg02Sz xfCCFdU6IAYGEdYek6lCM+i9R+pl4ZyDqoIL0iVvIVosxcPjD3xu9zN1LZKHUdlgIv8Y5nLzwS44 iqav4IaQoSTrHfLiXhlKaSRYut4mgYA9fZjUmnLgaxmjQZl9wCuvk7ay8ThHvsOUMCfzm2uuOGe8 M6402MkxU+OP6d0ctGuNTmCkR8plvy1xey8EV9adQckwX8bjxa9Y9hGB9l2u9fvkzs+bKXB6j1ke E40+A7pLCzu0CeRX4AIDWqpXYpq8CA+RwPjTdk4GRe5bA4VPCdwmxmSk4Gl59EUeFqQerzHFVaci ovxXUuEYiSeyvBjKG1S3irp+Jm/6pPEKDT6dtyJMG48a6G/Hhe5neGYVM6hivWi+uZwlaMHMNFqE /9+/+Go/ds1JOdC/UujknXCnMkax31mXXxIgI+Zije+0JrMdrP7q2fojx+nbGw1Vi8WJiNj6vAYm qLyoj9nm+EkJEOHAns9fEAuADMSb5QmNYqqvPUZc0aaDAw+BrSxFJ7rj2ztZpImcqydWk0KEoycc YH7+YufD09ytGhGNNDR7DLfil07hY6G1YCzmCHCCvKeUmlhFnmpaT+p3pMOqH2aLlvUDgTT+VvYO oFMEPjlTOiDNK0r1OLLlrqx+DWZ9h5SLAPgdUXZhQ5SHAbAQ7v5mJLJddVQ6SIEdJYeg/+N3K03s /mlcRYH6wiI5PIt04bGa47q8VCWY0xOXUhjFvfr6dRgpSebYZWudwTmR6swy1q+XM4rNg0XE9JAF iZ1i2TJc3pTR504KvATbXG1YbhgABJf4CNzO6iN8mMSZJi0sM0BDMU8MpB4a61uVBu7hixxYRg2b A7EcYujKiaBya+d9RZCOJqAa/J+LLsZHC9ZvXap2ZLS5HQK83H2BBDpySdxNAUoyKiyE4ru8uS82 mljzRF3sHH0uIY40sRIIm5EBD5dvXckurxodPvmCP4wth7Bjc9pLHez2hy5htNbMIKiZ514QTXit N17HkzrsUxMZS4YE8EsL0w5Apd3rvVaiT2+LEkdh394hkcNQPEKS7blN/DLAalMMsrc/ZkJErTW6 JbKlCHi0fRXnl+102aWc/T1gOVvsrbbqYowxwImAJaZN2XMIWdj22/3IiJ7OR864IyxZVBqzrawa UneEOBB7jtcQuht5xNOugk2R+ddRI+910LFa6lt7pazotsh2mC647KCOKxVUXJpMRvq5hHS5S19r ghKtr0VIRJHUzZJyYN0CQ6eOKLj9T5wCW4slG82hIdTsZ5Nf6X57MrJSaJof `protect end_protected
mit
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/sync_fifo_fg.vhd
12
68755
------------------------------------------------------------------------------- -- $Id:$ ------------------------------------------------------------------------------- -- sync_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: sync_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Sync FIFO interface to the new -- FIFO Generator Sync FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- sync_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/16/2008$ -- -- History: -- DET 1/16/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Replaced fifo_generator_v4_2 component with fifo_generator_v4_3 -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 4/9/2009 EDK 11.2 -- ~~~~~~ -- - Replaced FIFO Generator version 5.1 with 5.2. -- ^^^^^^ -- -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to V6.1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library proc_common_v4_0; library fifo_generator_v11_0; --use proc_common_v4_0.coregen_comp_defs.all; use fifo_generator_v11_0.all; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.proc_common_pkg.log2; use proc_common_v4_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity sync_fifo_fg is generic ( C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DCOUNT_WIDTH : integer := 4 ; C_ENABLE_RLOCS : integer := 0 ; -- not supported in sync fifo C_HAS_DCOUNT : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_ERR : integer := 0 ; C_HAS_ALMOST_FULL : integer := 0 ; C_MEMORY_TYPE : integer := 0 ; -- 0 = distributed RAM, 1 = BRAM C_PORTS_DIFFER : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; C_READ_DATA_WIDTH : integer := 16; C_READ_DEPTH : integer := 16; C_RD_ERR_LOW : integer := 0 ; C_WR_ACK_LOW : integer := 0 ; C_WR_ERR_LOW : integer := 0 ; C_PRELOAD_REGS : integer := 0 ; -- 1 = first word fall through C_PRELOAD_LATENCY : integer := 1 ; -- 0 = first word fall through C_WRITE_DATA_WIDTH : integer := 16; C_WRITE_DEPTH : integer := 16; C_SYNCHRONIZER_STAGE : integer := 2 -- Valid values are 0 to 8 ); port ( Clk : in std_logic; Sinit : in std_logic; Din : in std_logic_vector(C_WRITE_DATA_WIDTH-1 downto 0); Wr_en : in std_logic; Rd_en : in std_logic; Dout : out std_logic_vector(C_READ_DATA_WIDTH-1 downto 0); Almost_full : out std_logic; Full : out std_logic; Empty : out std_logic; Rd_ack : out std_logic; Wr_ack : out std_logic; Rd_err : out std_logic; Wr_err : out std_logic; Data_count : out std_logic_vector(C_DCOUNT_WIDTH-1 downto 0) ); end entity sync_fifo_fg; architecture implementation of sync_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMaxDepth -- -- Function Description: -- Returns the largest value of either Write depth or Read depth -- requested by input parameters. -- ------------------------------------------------------------------- function GetMaxDepth (rd_depth : integer; wr_depth : integer) return integer is Variable max_value : integer := 0; begin If (rd_depth < wr_depth) Then max_value := wr_depth; else max_value := rd_depth; End if; return(max_value); end function GetMaxDepth; ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; -- Constant Declarations ---------------------------------------------- Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED); --Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; --Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and -- FAMILY_IS_SUPPORTED; -- Calculate associated FIFO characteristics Constant MAX_DEPTH : integer := GetMaxDepth(C_READ_DEPTH,C_WRITE_DEPTH); Constant FGEN_CNT_WIDTH : integer := log2(MAX_DEPTH)+1; Constant ADJ_FGEN_CNT_WIDTH : integer := FGEN_CNT_WIDTH-1; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_MEMORY_TYPE); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 0; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := MAX_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := MAX_DEPTH-4; -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals signal sig_full : std_logic; signal sig_full_fg_datacnt : std_logic_vector(FGEN_CNT_WIDTH-1 downto 0); signal sig_prim_fg_datacnt : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal ALMOST_EMPTY : std_logic; signal RD_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal WR_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- DO_ASSERTION : process begin -- Wait until second rising clock edge to issue assertion Wait until Clk = '1'; wait until Clk = '0'; Wait until Clk = '1'; -- Report an error in simulation environment assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" severity ERROR; Wait;-- halt this process end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Almost_full <= '0' ; -- : out std_logic; Full <= '0' ; -- : out std_logic; Empty <= '1' ; -- : out std_logic; Rd_ack <= '0' ; -- : out std_logic; Wr_ack <= '0' ; -- : out std_logic; Rd_err <= '1' ; -- : out std_logic; Wr_err <= '1' ; -- : out std_logic Data_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IfGen implements the fifo using fifo_generator_v9_3 -- when the designated FPGA Family is Spartan-6, Virtex-6 or -- later. -- ------------------------------------------------------------ FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate begin Full <= sig_full; -- Create legacy data count by concatonating the Full flag to the -- MS Bit position of the FIFO data count -- This is per the Fifo Generator Migration Guide sig_full_fg_datacnt <= sig_full & sig_prim_fg_datacnt; Data_count <= sig_full_fg_datacnt(FGEN_CNT_WIDTH-1 downto FGEN_CNT_WIDTH-C_DCOUNT_WIDTH); ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- BRAM implementations of a legacy Sync FIFO -- ------------------------------------------------------------------------------- I_SYNC_FIFO_BRAM : entity fifo_generator_v11_0.fifo_generator_v11_0 generic map( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, -- what to do here ??? C_DEFAULT_VALUE => "BlankString", -- what to do here ??? C_DIN_WIDTH => C_WRITE_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_READ_DATA_WIDTH, C_ENABLE_RLOCS => 0, -- not supported C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => C_HAS_DCOUNT, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_RD_RST => 0, -- not used for sync FIFO C_HAS_RST => 0, -- not used for sync FIFO C_HAS_SRST => 1, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_WR_RST => 0, -- not used for sync FIFO C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, -- 0 = first word fall through C_PRELOAD_REGS => C_PRELOAD_REGS, -- 1 = first word fall through C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_RD_DEPTH => MAX_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => C_RD_ACK_LOW, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_DEPTH => MAX_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map( backup => '0', backup_marker => '0', clk => Clk, rst => '0', srst => Sinit, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 dout => Dout, full => sig_full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => ALMOST_EMPTY, valid => Rd_ack, underflow => Rd_err, data_count => sig_prim_fg_datacnt, rd_data_count => RD_DATA_COUNT, wr_data_count => WR_DATA_COUNT, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate FAMILY_SUPPORTED; end implementation;
mit
HighlandersFRC/fpga
led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/proc_common_v4_0/hdl/src/vhdl/sync_fifo_fg.vhd
12
68755
------------------------------------------------------------------------------- -- $Id:$ ------------------------------------------------------------------------------- -- sync_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: sync_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Sync FIFO interface to the new -- FIFO Generator Sync FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- sync_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/16/2008$ -- -- History: -- DET 1/16/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Replaced fifo_generator_v4_2 component with fifo_generator_v4_3 -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 4/9/2009 EDK 11.2 -- ~~~~~~ -- - Replaced FIFO Generator version 5.1 with 5.2. -- ^^^^^^ -- -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to V6.1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library proc_common_v4_0; library fifo_generator_v11_0; --use proc_common_v4_0.coregen_comp_defs.all; use fifo_generator_v11_0.all; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.proc_common_pkg.log2; use proc_common_v4_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity sync_fifo_fg is generic ( C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DCOUNT_WIDTH : integer := 4 ; C_ENABLE_RLOCS : integer := 0 ; -- not supported in sync fifo C_HAS_DCOUNT : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_ERR : integer := 0 ; C_HAS_ALMOST_FULL : integer := 0 ; C_MEMORY_TYPE : integer := 0 ; -- 0 = distributed RAM, 1 = BRAM C_PORTS_DIFFER : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; C_READ_DATA_WIDTH : integer := 16; C_READ_DEPTH : integer := 16; C_RD_ERR_LOW : integer := 0 ; C_WR_ACK_LOW : integer := 0 ; C_WR_ERR_LOW : integer := 0 ; C_PRELOAD_REGS : integer := 0 ; -- 1 = first word fall through C_PRELOAD_LATENCY : integer := 1 ; -- 0 = first word fall through C_WRITE_DATA_WIDTH : integer := 16; C_WRITE_DEPTH : integer := 16; C_SYNCHRONIZER_STAGE : integer := 2 -- Valid values are 0 to 8 ); port ( Clk : in std_logic; Sinit : in std_logic; Din : in std_logic_vector(C_WRITE_DATA_WIDTH-1 downto 0); Wr_en : in std_logic; Rd_en : in std_logic; Dout : out std_logic_vector(C_READ_DATA_WIDTH-1 downto 0); Almost_full : out std_logic; Full : out std_logic; Empty : out std_logic; Rd_ack : out std_logic; Wr_ack : out std_logic; Rd_err : out std_logic; Wr_err : out std_logic; Data_count : out std_logic_vector(C_DCOUNT_WIDTH-1 downto 0) ); end entity sync_fifo_fg; architecture implementation of sync_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMaxDepth -- -- Function Description: -- Returns the largest value of either Write depth or Read depth -- requested by input parameters. -- ------------------------------------------------------------------- function GetMaxDepth (rd_depth : integer; wr_depth : integer) return integer is Variable max_value : integer := 0; begin If (rd_depth < wr_depth) Then max_value := wr_depth; else max_value := rd_depth; End if; return(max_value); end function GetMaxDepth; ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; -- Constant Declarations ---------------------------------------------- Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED); --Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; --Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and -- FAMILY_IS_SUPPORTED; -- Calculate associated FIFO characteristics Constant MAX_DEPTH : integer := GetMaxDepth(C_READ_DEPTH,C_WRITE_DEPTH); Constant FGEN_CNT_WIDTH : integer := log2(MAX_DEPTH)+1; Constant ADJ_FGEN_CNT_WIDTH : integer := FGEN_CNT_WIDTH-1; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_MEMORY_TYPE); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 0; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := MAX_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := MAX_DEPTH-4; -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals signal sig_full : std_logic; signal sig_full_fg_datacnt : std_logic_vector(FGEN_CNT_WIDTH-1 downto 0); signal sig_prim_fg_datacnt : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal ALMOST_EMPTY : std_logic; signal RD_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal WR_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0); signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- DO_ASSERTION : process begin -- Wait until second rising clock edge to issue assertion Wait until Clk = '1'; wait until Clk = '0'; Wait until Clk = '1'; -- Report an error in simulation environment assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" severity ERROR; Wait;-- halt this process end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Almost_full <= '0' ; -- : out std_logic; Full <= '0' ; -- : out std_logic; Empty <= '1' ; -- : out std_logic; Rd_ack <= '0' ; -- : out std_logic; Wr_ack <= '0' ; -- : out std_logic; Rd_err <= '1' ; -- : out std_logic; Wr_err <= '1' ; -- : out std_logic Data_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IfGen implements the fifo using fifo_generator_v9_3 -- when the designated FPGA Family is Spartan-6, Virtex-6 or -- later. -- ------------------------------------------------------------ FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate begin Full <= sig_full; -- Create legacy data count by concatonating the Full flag to the -- MS Bit position of the FIFO data count -- This is per the Fifo Generator Migration Guide sig_full_fg_datacnt <= sig_full & sig_prim_fg_datacnt; Data_count <= sig_full_fg_datacnt(FGEN_CNT_WIDTH-1 downto FGEN_CNT_WIDTH-C_DCOUNT_WIDTH); ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- BRAM implementations of a legacy Sync FIFO -- ------------------------------------------------------------------------------- I_SYNC_FIFO_BRAM : entity fifo_generator_v11_0.fifo_generator_v11_0 generic map( C_COMMON_CLOCK => 1, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, -- what to do here ??? C_DEFAULT_VALUE => "BlankString", -- what to do here ??? C_DIN_WIDTH => C_WRITE_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_READ_DATA_WIDTH, C_ENABLE_RLOCS => 0, -- not supported C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => C_HAS_DCOUNT, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_RD_RST => 0, -- not used for sync FIFO C_HAS_RST => 0, -- not used for sync FIFO C_HAS_SRST => 1, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => 0, -- not used for sync FIFO C_HAS_WR_RST => 0, -- not used for sync FIFO C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, -- 0 = first word fall through C_PRELOAD_REGS => C_PRELOAD_REGS, -- 1 = first word fall through C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_RD_DEPTH => MAX_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => C_RD_ACK_LOW, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_DEPTH => MAX_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map( backup => '0', backup_marker => '0', clk => Clk, rst => '0', srst => Sinit, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 dout => Dout, full => sig_full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => ALMOST_EMPTY, valid => Rd_ack, underflow => Rd_err, data_count => sig_prim_fg_datacnt, rd_data_count => RD_DATA_COUNT, wr_data_count => WR_DATA_COUNT, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate FAMILY_SUPPORTED; end implementation;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Template_Wishbone_Example/Libraries/ZPUino_1/zpuino_serialreset.vhd
13
2740
-- -- Serial reset for ZPUINO -- -- Copyright 2010 Alvaro Lopes <alvieboy@alvie.com> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- -- This module causes a synchronous reset when we receive 0xFF at 300 baud. -- Hopefully no other speed setting will cause this. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library board; use board.zpuino_config.all; use board.zpu_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity zpuino_serialreset is generic ( SYSTEM_CLOCK_MHZ: integer := 100 ); port ( clk: in std_logic; rx: in std_logic; rstin: in std_logic; rstout: out std_logic ); end entity zpuino_serialreset; architecture behave of zpuino_serialreset is constant rstcount_val: integer := ((SYSTEM_CLOCK_MHZ*1000000)/300)*8; signal rstcount: integer; signal rstcount_zero_q: std_logic; begin rstout<='1' when rstin='1' or rstcount_zero_q='1' else '0'; process(clk) begin if rising_edge(clk) then if rstin='1' then rstcount <= rstcount_val; rstcount_zero_q <= '0'; else if rx='1' then rstcount <= rstcount_val; else if rstcount/=0 then rstcount <= rstcount - 1; rstcount_zero_q<='0'; else rstcount_zero_q<='1'; end if; end if; end if; end if; end process; end behave;
mit
chcbaram/FPGA
ZPUino_miniSpartan6_plus/ipcore_dir/bootloader.vhd
1
13707
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use ieee.numeric_std.all; entity bootloader_dp_32 is port ( CLK: in std_logic; WEA: in std_logic; ENA: in std_logic; MASKA: in std_logic_vector(3 downto 0); ADDRA: in std_logic_vector(11 downto 2); DIA: in std_logic_vector(31 downto 0); DOA: out std_logic_vector(31 downto 0); WEB: in std_logic; ENB: in std_logic; ADDRB: in std_logic_vector(11 downto 2); DIB: in std_logic_vector(31 downto 0); MASKB: in std_logic_vector(3 downto 0); DOB: out std_logic_vector(31 downto 0) ); end entity bootloader_dp_32; architecture behave of bootloader_dp_32 is subtype RAM_WORD is STD_LOGIC_VECTOR (31 downto 0); type RAM_TABLE is array (0 to 1023) of RAM_WORD; shared variable RAM: RAM_TABLE := RAM_TABLE'( x"0b0b0b98",x"c0040000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"0b0b0b98",x"a1040000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"71fd0608",x"72830609",x"81058205",x"832b2a83",x"ffff0652",x"04000000",x"00000000",x"00000000",x"71fd0608",x"83ffff73",x"83060981",x"05820583",x"2b2b0906",x"7383ffff",x"0b0b0b0b",x"83a70400",x"72098105",x"72057373",x"09060906",x"73097306",x"070a8106",x"53510400",x"00000000",x"00000000",x"72722473",x"732e0753",x"51040000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"71737109",x"71068106",x"30720a10",x"0a720a10",x"0a31050a",x"81065151",x"53510400",x"00000000",x"72722673",x"732e0753",x"51040000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"0b0b0b88",x"cc040000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"720a722b",x"0a535104",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"72729f06",x"0981050b",x"0b0b88af",x"05040000",x"00000000",x"00000000",x"00000000",x"00000000",x"72722aff",x"739f062a",x"0974090a",x"8106ff05",x"06075351",x"04000000",x"00000000",x"00000000",x"71715351",x"020d0406",x"73830609",x"81058205",x"832b0b2b",x"0772fc06",x"0c515104",x"00000000",x"72098105",x"72050970",x"81050906",x"0a810653",x"51040000",x"00000000",x"00000000",x"00000000",x"72098105",x"72050970",x"81050906",x"0a098106",x"53510400",x"00000000",x"00000000",x"00000000",x"71098105",x"52040000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"72720981",x"05055351",x"04000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"72097206",x"73730906",x"07535104",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"71fc0608",x"72830609",x"81058305",x"1010102a",x"81ff0652",x"04000000",x"00000000",x"00000000",x"71fc0608",x"0b0b0b9e",x"ec738306",x"10100508",x"060b0b0b",x"88b20400",x"00000000",x"00000000",x"0b0b0b89",x"80040000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"0b0b0b88",x"e8040000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"72097081",x"0509060a",x"8106ff05",x"70547106",x"73097274",x"05ff0506",x"07515151",x"04000000",x"72097081",x"0509060a",x"098106ff",x"05705471",x"06730972",x"7405ff05",x"06075151",x"51040000",x"05ff0504",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"810b0b0b",x"0b9fb40c",x"51040000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"71810552",x"04000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"02840572",x"10100552",x"04000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"717105ff",x"05715351",x"020d0400",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"81dd3f96",x"ba3f0400",x"00000000",x"00000000",x"10101010",x"10101010",x"10101010",x"10101010",x"10101010",x"10101010",x"10101010",x"10101053",x"51047381",x"ff067383",x"06098105",x"83051010",x"102b0772",x"fc060c51",x"51043c04",x"72728072",x"8106ff05",x"09720605",x"71105272",x"0a100a53",x"72ed3851",x"51535104",x"88088c08",x"90087575",x"99ed2d50",x"50880856",x"900c8c0c",x"880c5104",x"88088c08",x"90087575",x"99a92d50",x"50880856",x"900c8c0c",x"880c5104",x"88088c08",x"90088dff",x"2d900c8c",x"0c880c04",x"ff3d0d0b",x"0b0b9fc4",x"335170a6",x"389fc008",x"70085252",x"70802e92",x"3884129f",x"c00c702d",x"9fc00870",x"08525270",x"f038810b",x"0b0b0b9f",x"c434833d",x"0d040480",x"3d0d0b0b",x"0b9ff008",x"802e8e38",x"0b0b0b0b",x"800b802e",x"09810685",x"38823d0d",x"040b0b0b",x"9ff0510b",x"0b0bf5f8",x"3f823d0d",x"0404ff3d",x"0d80c480",x"80845271",x"0870822a",x"70810651",x"515170f3",x"38833d0d",x"04ff3d0d",x"80c48080",x"84527108",x"70812a70",x"81065151",x"5170f338",x"7382900a",x"0c833d0d",x"04fe3d0d",x"747080dc",x"8080880c",x"7081ff06",x"ff831154",x"51537181",x"268d3880",x"fd518aa9",x"2d72a032",x"51833972",x"518aa92d",x"843d0d04",x"803d0d83",x"ffff0b83",x"d00a0c80",x"fe518aa9",x"2d823d0d",x"04ff3d0d",x"83d00a08",x"70882a52",x"528ac92d",x"7181ff06",x"518ac92d",x"80fe518a",x"a92d833d",x"0d0482f6",x"ff0b80cc",x"8080880c",x"800b80cc",x"8080840c",x"9f0b8390",x"0a0c04ff",x"3d0d7370",x"08515180",x"c8808084",x"70087084",x"80800772",x"0c525283",x"3d0d04ff",x"3d0d80c8",x"80808470",x"0870fbff",x"ff06720c",x"5252833d",x"0d04a090",x"0ba0800c",x"9fc80ba0",x"840c98d9",x"2dff3d0d",x"73518b71",x"0c901152",x"b4808072",x"0c80720c",x"700883ff",x"ff06880c",x"833d0d04",x"fa3d0d78",x"7a7dff1e",x"57575853",x"73ff2ea7",x"38805684",x"5275730c",x"72088818",x"0cff1252",x"71f33874",x"84167408",x"720cff16",x"56565273",x"ff2e0981",x"06dd3888",x"3d0d04f8",x"3d0d80c0",x"80808457",x"83d00a59",x"8be32d76",x"518c892d",x"9fc87088",x"081010b4",x"80840571",x"70840553",x"0c5656fb",x"8084a1ad",x"750c9fa4",x"0b88170c",x"8070780c",x"770c7608",x"83ffff06",x"5683ffdf",x"800b8808",x"278338ff",x"3983ffff",x"790ca080",x"54880853",x"78527651",x"8ca82d76",x"518bc72d",x"78085574",x"762e8938",x"80c3518a",x"a92dff39",x"a0840855",x"74faa090",x"ae802e89",x"3880c251",x"8aa92dff",x"39900a70",x"0870ffbf",x"06720c56",x"568a8e2d",x"8bfa2dff",x"3d0d9fd4",x"0881119f",x"d40c5183",x"900a7008",x"70feff06",x"720c5252",x"833d0d04",x"803d0d8a",x"f82d7281",x"8007518a",x"c92d8b8d",x"2d823d0d",x"04fe3d0d",x"80c08080",x"84538be3",x"2d85730c",x"80730c72",x"087081ff",x"06745351",x"528bc72d",x"71880c84",x"3d0d04fc",x"3d0d7681",x"11338212",x"33718180",x"0a297184",x"80802905",x"83143370",x"82802912",x"84163352",x"7105a080",x"05861685",x"17335752",x"53535557",x"5553ff13",x"5372ff2e",x"91387370",x"81055533",x"52717570",x"81055734",x"e9398951",x"8e9c2d86",x"3d0d04f9",x"3d0d7957",x"80c08080",x"84568be3",x"2d811733",x"82183371",x"82802905",x"53537180",x"2e943885",x"17725553",x"72708105",x"5433760c",x"ff145473",x"f3388317",x"33841833",x"71828029",x"05565280",x"54737527",x"97387358",x"77760c73",x"17760853",x"53717334",x"81145474",x"7426ed38",x"75518bc7",x"2d8af82d",x"8184518a",x"c92d7488",x"2a518ac9",x"2d74518a",x"c92d8054",x"7375278f",x"38731770",x"3352528a",x"c92d8114",x"54ee398b",x"8d2d893d",x"0d04f93d",x"0d795680",x"c0808084",x"558be32d",x"86750c74",x"518bc72d",x"8be32d81",x"ad70760c",x"81173382",x"18337182",x"80290583",x"1933780c",x"84193378",x"0c851933",x"780c5953",x"53805473",x"7727b338",x"72587380",x"2e87388b",x"e32d7775",x"0c731686",x"1133760c",x"87113376",x"0c527451",x"8bc72d8e",x"b12d8808",x"81065271",x"f6388214",x"54767426",x"d1388be3",x"2d84750c",x"74518bc7",x"2d8af82d",x"8187518a",x"c92d8b8d",x"2d893d0d",x"04fc3d0d",x"76811133",x"82123371",x"902b7188",x"2b078314",x"33707207",x"882b8416",x"33710751",x"52535757",x"54528851",x"8e9c2d81",x"ff518aa9",x"2d80c480",x"80845372",x"0870812a",x"70810651",x"515271f3",x"38738480",x"800780c4",x"8080840c",x"863d0d04",x"fe3d0d8e",x"b12d8808",x"88088106",x"535371f3",x"388af82d",x"8183518a",x"c92d7251",x"8ac92d8b",x"8d2d843d",x"0d04fe3d",x"0d800b9f",x"d40c8af8",x"2d818151",x"8ac92d9f",x"a4538f52",x"72708105",x"5433518a",x"c92dff12",x"5271ff2e",x"098106ec",x"388b8d2d",x"843d0d04",x"fe3d0d80",x"0b9fd40c",x"8af82d81",x"82518ac9",x"2d80c080",x"8084528b",x"e32d81f9",x"0a0b80c0",x"80809c0c",x"71087252",x"538bc72d",x"729fdc0c",x"72902a51",x"8ac92d9f",x"dc08882a",x"518ac92d",x"9fdc0851",x"8ac92d8e",x"b12d8808",x"518ac92d",x"8b8d2d84",x"3d0d0480",x"3d0d810b",x"9fd80c80",x"0b83900a",x"0c85518e",x"9c2d823d",x"0d04803d",x"0d800b9f",x"d80c8bae",x"2d86518e",x"9c2d823d",x"0d04fd3d",x"0d80c080",x"8084548a",x"518e9c2d",x"8be32d9f",x"c8745253",x"8c892d72",x"88081010",x"b4808405",x"71708405",x"530c52fb",x"8084a1ad",x"720c9fa4",x"0b88140c",x"73518bc7",x"2d8a8e2d",x"8bfa2dfc",x"3d0d80c0",x"80808470",x"52558bc7",x"2d8be32d",x"8b750c76",x"80c08080",x"940c8075",x"0ca08054",x"775383d0",x"0a527451",x"8ca82d74",x"518bc72d",x"8a8e2d8b",x"fa2dffab",x"3d0d800b",x"9fd80c80",x"0b9fd40c",x"800b8dff",x"0ba0800c",x"5780c480",x"80845584",x"80b3750c",x"80c88080",x"a453fbff",x"ff730870",x"7206750c",x"535480c8",x"80809470",x"08707606",x"720c5353",x"a8709aa5",x"71708405",x"530c9b82",x"710c539c",x"9b0b8812",x"0c9daa0b",x"8c120c94",x"bb0b9012",x"0c53880b",x"80d08080",x"840c80d0",x"0a538173",x"0c8bae2d",x"8288880b",x"80dc8080",x"840c81f2",x"0b900a0c",x"80c08080",x"84705252",x"8bc72d8b",x"e32d7151",x"8bc72d8b",x"e32d8472",x"0c71518b",x"c72d7677",x"7675933d",x"41415b5b",x"5b83d00a",x"5c780870",x"81065152",x"719d389f",x"d8085372",x"f0389fd4",x"085287e8",x"7227e638",x"727e0c72",x"83900a0c",x"98d12d82",x"900a0853",x"79802e81",x"b4387280",x"fe2e0981",x"0680f438",x"76802ec1",x"38807d78",x"58565a82",x"7727ffb5",x"3883ffff",x"7c0c79fe",x"18535379",x"72279838",x"80dc8080",x"88725558",x"72157033",x"790c5281",x"13537373",x"26f238ff",x"16751154",x"7505ff05",x"70337433",x"7072882b",x"077f0853",x"51555152",x"71732e09",x"8106feed",x"38743353",x"728a26fe",x"e4387210",x"109ef805",x"75527008",x"5152712d",x"fed33972",x"80fd2e09",x"81068638",x"815bfec5",x"3976829f",x"269e387a",x"802e8738",x"8073a032",x"545b80d7",x"3d7705fd",x"e0055272",x"72348117",x"57fea239",x"805afe9d",x"397280fe",x"2e098106",x"fe933879",x"5783ffff",x"7c0c8177",x"5c5afe85",x"39803d0d",x"88088c08",x"9008a080",x"0851702d",x"900c8c0c",x"8a0c810b",x"80d00a0c",x"823d0d04",x"ff3d0d98",x"fd2d8052",x"805194f2",x"2d833d0d",x"0483ffff",x"f80d8ce3",x"0483ffff",x"f80da088",x"04000000",x"00000000",x"00000000",x"00000000",x"820b80d0",x"8080900c",x"0b0b0b04",x"0083f00a",x"0b800ba0",x"80721208",x"720c8412",x"5271712e",x"ff05f238",x"028c050d",x"98f00400",x"00000000",x"00000000",x"00000000",x"00fb3d0d",x"77795555",x"80567575",x"24ab3880",x"74249d38",x"80537352",x"745180e1",x"3f880854",x"75802e85",x"38880830",x"5473880c",x"873d0d04",x"73307681",x"325754dc",x"39743055",x"81567380",x"25d238ec",x"39fa3d0d",x"787a5755",x"80577675",x"24a43875",x"9f2c5481",x"53757432",x"74315274",x"519b3f88",x"08547680",x"2e853888",x"08305473",x"880c883d",x"0d047430",x"558157d7",x"39fc3d0d",x"76785354",x"81538074",x"73265255",x"72802e98",x"3870802e",x"a9388072",x"24a43871",x"10731075",x"72265354",x"5272ea38",x"73517883",x"38745170",x"880c863d",x"0d047281",x"2a72812a",x"53537280",x"2ee63871",x"7426ef38",x"73723175",x"74077481",x"2a74812a",x"55555654",x"e539fc3d",x"0d767079",x"7b555555",x"558f7227",x"8c387275",x"07830651",x"70802ea7",x"38ff1252",x"71ff2e98",x"38727081",x"05543374",x"70810556",x"34ff1252",x"71ff2e09",x"8106ea38",x"74880c86",x"3d0d0474",x"51727084",x"05540871",x"70840553",x"0c727084",x"05540871",x"70840553",x"0c727084",x"05540871",x"70840553",x"0c727084",x"05540871",x"70840553",x"0cf01252",x"718f26c9",x"38837227",x"95387270",x"84055408",x"71708405",x"530cfc12",x"52718326",x"ed387054",x"ff8339fc",x"3d0d7679",x"71028c05",x"9f053357",x"55535583",x"72278a38",x"74830651",x"70802ea2",x"38ff1252",x"71ff2e93",x"38737370",x"81055534",x"ff125271",x"ff2e0981",x"06ef3874",x"880c863d",x"0d047474",x"882b7507",x"7071902b",x"07515451",x"8f7227a5",x"38727170",x"8405530c",x"72717084",x"05530c72",x"71708405",x"530c7271",x"70840553",x"0cf01252",x"718f26dd",x"38837227",x"90387271",x"70840553",x"0cfc1252",x"718326f2",x"387053ff",x"9039fb3d",x"0d777970",x"72078306",x"53545270",x"93387173",x"73085456",x"54717308",x"2e80c438",x"73755452",x"71337081",x"ff065254",x"70802e9d",x"38723355",x"70752e09",x"81069538",x"81128114",x"71337081",x"ff065456",x"545270e5",x"38723355",x"7381ff06",x"7581ff06",x"71713188",x"0c525287",x"3d0d0471",x"0970f7fb",x"fdff1406",x"70f88482",x"81800651",x"51517097",x"38841484",x"16710854",x"56547175",x"082edc38",x"73755452",x"ff963980",x"0b880c87",x"3d0d04ff",x"3d0d9fe4",x"0bfc0570",x"08525270",x"ff2e9138",x"702dfc12",x"70085252",x"70ff2e09",x"8106f138",x"833d0d04",x"04eac13f",x"04000000",x"00ffffff",x"ff00ffff",x"ffff00ff",x"ffffff00",x"00000946",x"00000978",x"00000920",x"000007ab",x"000009cf",x"000009e6",x"0000083e",x"000008cd",x"00000757",x"000009fa",x"01090d00",x"007fef80",x"05b8d800",x"a4041700",x"00000000",x"00000000",x"00000000",x"00000fec",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"ffffffff",x"00000000",x"ffffffff",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000"); begin process (clk) begin if rising_edge(clk) then if ENA='1' then if WEA='1' then RAM(conv_integer(ADDRA) ) := DIA; end if; DOA <= RAM(conv_integer(ADDRA)) ; end if; end if; end process; process (clk) begin if rising_edge(clk) then if ENB='1' then if WEB='1' then RAM( conv_integer(ADDRB) ) := DIB; end if; DOB <= RAM(conv_integer(ADDRB)) ; end if; end if; end process; end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/WING_Analog/Libraries/Wishbone_Peripherals/VIDEO_zpuino_wb_vga_zxspectrum.vhd
13
16636
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library board; use board.zpu_config.all; use board.zpuino_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity VIDEO_zpuino_wb_vga_zxspectrum is port( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; -- Wishbone MASTER interface mi_wb_dat_i: in std_logic_vector(wordSize-1 downto 0); mi_wb_dat_o: out std_logic_vector(wordSize-1 downto 0); mi_wb_adr_o: out std_logic_vector(maxAddrBitIncIO downto 0); mi_wb_sel_o: out std_logic_vector(3 downto 0); mi_wb_cti_o: out std_logic_vector(2 downto 0); mi_wb_we_o: out std_logic; mi_wb_cyc_o: out std_logic; mi_wb_stb_o: out std_logic; mi_wb_ack_i: in std_logic; -- VGA signals vgaclk: in std_logic; vga_hsync: out std_logic; vga_vsync: out std_logic; vga_b: out std_logic; vga_r: out std_logic; vga_g: out std_logic; vga_bright: out std_logic ); end entity; architecture behave of VIDEO_zpuino_wb_vga_zxspectrum is component gh_fifo_async_rrd_sr_wf is GENERIC (add_width: INTEGER :=8; -- min value is 2 (4 memory locations) data_width: INTEGER :=8 ); -- size of data bus port ( clk_WR : in STD_LOGIC; -- write clock clk_RD : in STD_LOGIC; -- read clock rst : in STD_LOGIC; -- resets counters srst : in STD_LOGIC:='0'; -- resets counters (sync with clk_WR) WR : in STD_LOGIC; -- write control RD : in STD_LOGIC; -- read control D : in STD_LOGIC_VECTOR (data_width-1 downto 0); Q : out STD_LOGIC_VECTOR (data_width-1 downto 0); empty : out STD_LOGIC; qfull : out STD_LOGIC; hfull : out STD_LOGIC; qqqfull : out STD_LOGIC; afull : out STD_LOGIC; full : out STD_LOGIC); end component; signal fifo_full: std_logic; signal fifo_almost_full: std_logic; signal fifo_write_enable: std_logic; signal fifo_quad_full: std_logic; signal fifo_half_full: std_logic; -- signal readclk: std_logic:='0'; signal fifo_clear: std_logic:='0'; signal read_enable: std_logic:='0'; signal fifo_write, read: std_logic_vector(3 downto 0); signal fifo_empty: std_logic; signal char_wb_dat_o: std_logic_vector(wordSize-1 downto 0); signal char_wb_dat_i: std_logic_vector(wordSize-1 downto 0); signal char_wb_adr_i: std_logic_vector(maxIObit downto minIObit); signal char_wb_cyc_i: std_logic; signal char_wb_stb_i: std_logic; signal char_wb_ack_o: std_logic; signal membase: std_logic_vector(wordSize-1 downto 0) := (others => '0'); signal palletebase: std_logic_vector(wordSize-1 downto 0) := (others => '0'); type state_type is ( fetch_char, fetch_pallete, load_char, fill, next_line, sleep ); type vgaregs_type is record state: state_type; chars: std_logic_vector(wordSize-1 downto 0); pallete: std_logic_vector(wordSize-1 downto 0); --charline: std_logic_vector(7 downto 0); -- The 8 pixels of a char row --charpal: std_logic_vector(7 downto 0); -- Pallete for this char hptr: integer range 0 to 79; -- horizontal counter hoff: unsigned(4 downto 0); voff: unsigned(4 downto 0); memptr: unsigned(wordSize-1 downto 0); palleteptr: unsigned(wordSize-1 downto 0); ls_memptr: unsigned(wordSize-1 downto 0); ls_palleteptr: unsigned(wordSize-1 downto 0); end record; signal r: vgaregs_type; --# 640x480 @ 72Hz (VESA) hsync: 37.9kHz --ModeLine "640x480" 31.5 640 664 704 832 480 489 491 520 -hsync -vsync --# 640x480 @ 75Hz (VESA) hsync: 37.5kHz --ModeLine "640x480" 31.5 640 656 720 840 480 481 484 500 -hsync -vsync --# 640x480 @ 85Hz (VESA) hsync: 43.3kHz --ModeLine "640x480" 36.0 640 696 752 832 480 481 484 509 -hsync -vsync constant VGA_H_BORDER: integer := 64; constant VGA_H_SYNC: integer := 40; constant VGA_H_FRONTPORCH: integer := 24+VGA_H_BORDER; constant VGA_H_DISPLAY: integer := 640 - (2*VGA_H_BORDER); constant VGA_H_BACKPORCH: integer := 128+VGA_H_BORDER; constant VGA_V_BORDER: integer := 48; constant VGA_V_FRONTPORCH: integer := 29+VGA_V_BORDER; constant VGA_V_SYNC: integer := 2; constant VGA_V_DISPLAY: integer := 480 - (2*VGA_V_BORDER); constant VGA_V_BACKPORCH: integer := 9+VGA_V_BORDER; -- constant VGA_H_BORDER: integer := 0; -- constant VGA_H_SYNC: integer := 2; -- constant VGA_H_FRONTPORCH: integer := 2; -- constant VGA_H_DISPLAY: integer := 128; -- constant VGA_H_BACKPORCH: integer := 2; -- constant VGA_V_BORDER: integer := 0; -- constant VGA_V_FRONTPORCH: integer := 2; -- constant VGA_V_SYNC: integer := 2; -- constant VGA_V_DISPLAY: integer := 192; -- constant VGA_V_BACKPORCH: integer := 2; constant VGA_HCOUNT: integer := VGA_H_SYNC + VGA_H_FRONTPORCH + VGA_H_DISPLAY + VGA_H_BACKPORCH; constant VGA_VCOUNT: integer := VGA_V_SYNC + VGA_V_FRONTPORCH + VGA_V_DISPLAY + VGA_V_BACKPORCH; constant v_polarity: std_logic := '1'; constant h_polarity: std_logic := '1'; -- Pixel counters signal hcount_q: integer range 0 to VGA_HCOUNT; signal vcount_q: integer range 0 to VGA_VCOUNT; signal h_sync_tick: std_logic; signal vgarst: std_logic := '0'; signal rstq1: std_logic:='1'; signal rstq2: std_logic; signal v_display: std_logic; signal v_display_in_wbclk: std_logic; signal v_display_q: std_logic; --signal v_border: std_logic; signal cache_clear: std_logic; signal vga_reset_q1, vga_reset_q2: std_logic; signal rdly: std_logic; signal hdup: std_logic := '1'; signal hflip: std_logic; begin -- Wishbone register access wb_dat_o(31 downto 1) <= (others => DontCareValue); wb_dat_o(0) <= v_display_in_wbclk; mi_wb_dat_o <= (others => DontCareValue); process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then rdly<='0'; wb_ack_o<='0'; else if rdly='0' then if wb_stb_i='1' and wb_cyc_i='1' then if wb_we_i='1' then case wb_adr_i(3 downto 2) is when "00" => membase(maxAddrBit downto 0) <= wb_dat_i(maxAddrBit downto 0); when "01" => palletebase(maxAddrBit downto 0) <= wb_dat_i(maxAddrBit downto 0); when others => end case; end if; wb_ack_o<='1'; rdly <= '1'; end if; else rdly <= '0'; wb_ack_o<='0'; end if; end if; end if; end process; process(wb_clk_i) begin if rising_edge(wb_clk_i) then if (vcount_q < VGA_V_DISPLAY) then v_display_in_wbclk <= '1'; else v_display_in_wbclk <= '0'; end if; end if; end process; process(wb_clk_i, wb_rst_i, r, mi_wb_ack_i, mi_wb_dat_i,membase,palletebase) variable w: vgaregs_type; variable current_char: std_logic_vector(7 downto 0); variable current_pallete: std_logic_vector(7 downto 0); variable vdisp_char: std_logic_vector(2 downto 0); -- Vertical offset in char (0 to 7) variable pixel: std_logic_vector(3 downto 0); variable hmax: integer range 0 to 7; begin mi_wb_stb_o <= '0'; mi_wb_cyc_o <= '0'; mi_wb_we_o <= '0'; mi_wb_adr_o <= (others => '0'); fifo_write_enable<='0'; char_wb_cyc_i<='0'; char_wb_stb_i<='0'; char_wb_adr_i <= (others => DontCareValue); pixel := (others => DontCareValue); -- vdisp_char := std_logic_vector(r.voff(3 downto 1)); -- Ignore last bit - will duplicate vertical line --if hdup='1' then hmax := 7; -- 8 32-bit values = 256 bits --else -- hmax := 79; --end if; w := r; if wb_rst_i='1' or vga_reset_q1='1' then w.state := sleep; --w.palloff := (others => '0'); fifo_clear <='1'; w.hptr := 0; w.hoff := (others =>'0'); w.voff := (others =>'0'); w.memptr := unsigned(membase); w.palleteptr := unsigned(palletebase); mi_wb_adr_o <= (others => DontCareValue); w.ls_memptr := unsigned(membase); w.ls_palleteptr := unsigned(palletebase); else fifo_clear<='0'; case r.state is when fetch_char => mi_wb_stb_o <= '1'; mi_wb_cyc_o <= '1'; mi_wb_adr_o <= std_logic_vector( r.memptr(maxAddrBitIncIO downto 0) ); --w.charoff := (others => '0'); w.chars := mi_wb_dat_i; w.hoff := (others => '0'); if mi_wb_ack_i='1' then w.state := fill; end if; when fetch_pallete => mi_wb_stb_o <= '1'; mi_wb_cyc_o <= '1'; mi_wb_adr_o <= std_logic_vector( r.palleteptr(maxAddrBitIncIO downto 0) ); w.pallete := mi_wb_dat_i; if mi_wb_ack_i='1' then w.state := fetch_char; end if; when fill => -- Choose color case r.palleteptr(1 downto 0) is when "11" => current_pallete := r.pallete(7 downto 0); when "10" => current_pallete := r.pallete(15 downto 8); when "01" => current_pallete := r.pallete(23 downto 16); when "00" => current_pallete := r.pallete(31 downto 24); when others => end case; --w.charpal := current_pallete; case r.chars(31) is when '1' => pixel := current_pallete(6) & current_pallete(2 downto 0); when '0' => pixel := current_pallete(6) & current_pallete(5 downto 3); when others => end case; -- Other bits have extended attributes if fifo_almost_full='0' then fifo_write_enable<='1'; -- Shift r.chars w.chars(31 downto 1) := r.chars(30 downto 0); w.chars(0) := DontCareValue; w.hoff := r.hoff + 1; if r.hoff="11111" then -- Meaning we just output the 32 bits if r.hptr=hmax then -- Finished a whole line w.hptr := 0; w.voff := r.voff + 1; if r.voff(0)='1' then -- Finished a whole character line w.memptr := r.memptr + 4; if r.voff(3 downto 0) /="1111" then w.palleteptr := r.ls_palleteptr; else w.palleteptr := r.palleteptr + 1; end if; w.state := next_line; else w.memptr := r.ls_memptr; w.palleteptr := r.ls_palleteptr; w.state := sleep; end if; else -- Still doing a line w.hptr := w.hptr + 1; w.memptr := r.memptr + 4; w.palleteptr := r.palleteptr + 1; --if r.palleteptr(1 downto 0)="11" then -- Increase pointer w.state := fetch_pallete; --elsif r.memptr(1 downto 0)="11" then -- Increase pointer --else -- w.state := fetch_char; --end if; --else -- w.state := load_char; --end if; end if; else if r.hoff(2 downto 0)="111" then -- Just output 8 bits w.palleteptr := r.palleteptr + 1; if r.palleteptr(1 downto 0)="11" then -- Increase pointer w.state := fetch_pallete; end if; end if; end if; end if; when sleep => w.state := fetch_pallete; when next_line => if r.voff(3 downto 0)="0000" then w.ls_palleteptr := r.palleteptr; end if; w.ls_memptr := r.memptr; w.state := fetch_pallete; when others => end case; end if; fifo_write <= pixel; if rising_edge(wb_clk_i) then r <= w; end if; end process; -- -- -- VGA part -- -- process(vgaclk, wb_rst_i) begin if wb_rst_i='1' then rstq1 <= '1'; rstq2 <= '1'; elsif rising_edge(vgaclk) then rstq1 <= rstq2; rstq2 <= '0'; end if; end process; vgarst <= rstq1; hcounter: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then hcount_q <= VGA_H_DISPLAY + VGA_H_BACKPORCH - 1; else if hcount_q = VGA_HCOUNT then hcount_q <= 0; else hcount_q <= hcount_q + 1; end if; end if; end if; end process; process(hcount_q, vcount_q) begin if hcount_q < VGA_H_DISPLAY and vcount_q < VGA_V_DISPLAY then v_display<='1'; else v_display<='0'; end if; end process; process(vgaclk) begin if rising_edge(vgaclk) then v_display_q <= v_display; end if; end process; hsyncgen: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then vga_hsync<=h_polarity; else h_sync_tick <= '0'; if hcount_q = (VGA_H_DISPLAY + VGA_H_FRONTPORCH) then h_sync_tick <= '1'; vga_hsync <= not h_polarity; elsif hcount_q = (VGA_HCOUNT - VGA_H_BACKPORCH) then vga_hsync <= h_polarity; end if; end if; end if; end process; vcounter: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then vcount_q <= VGA_V_DISPLAY + VGA_V_BACKPORCH - 1; else if vcount_q = VGA_VCOUNT then vcount_q <= 0; report "V finished" severity note; else if h_sync_tick='1' then vcount_q <= vcount_q + 1; end if; end if; end if; end if; end process; -- Cache clear. vclear: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then cache_clear <= '1'; else cache_clear<='0'; --if vcount_q = VGA_V_DISPLAY and h_sync_tick='1' then -- cache_clear<='1'; --end if; if not (vcount_q < VGA_V_DISPLAY) then cache_clear <='1'; end if; end if; end if; end process; vsyncgen: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then vga_vsync<=v_polarity; --cache_clear <= '1'; else --cache_clear <= '0'; if vcount_q = (VGA_V_DISPLAY + VGA_V_FRONTPORCH) then vga_vsync <= not v_polarity; elsif vcount_q = (VGA_VCOUNT - VGA_V_BACKPORCH) then vga_vsync <= v_polarity; --cache_clear <= '1'; end if; end if; end if; end process; -- Synchronous output process(vgaclk) begin if rising_edge(vgaclk) then if v_display='0' then vga_b <= '0'; vga_r <= '0'; vga_g <= '0'; vga_bright <= '0'; else vga_b <= read(0); vga_r <= read(1); vga_g <= read(2); vga_bright <= read(3); end if; end if; end process; process(wb_clk_i,cache_clear) begin if cache_clear='1' then vga_reset_q1<='1'; vga_reset_q2<='1'; elsif rising_edge(wb_clk_i) then vga_reset_q2<='0'; vga_reset_q1<=vga_reset_q2; end if; end process; -- In order to perform H duplication, we use a trick here process(vgaclk,v_display,v_display_q) begin if rising_edge(vgaclk) then if v_display='1' and v_display_q='0' then -- Starting an horizontal line display, reset hflip if needed hflip <= '1'; else if v_display='0' then hflip <='0'; else hflip <= hflip xor hdup; end if; end if; end if; end process; read_enable <= v_display and not hflip; myfifo: gh_fifo_async_rrd_sr_wf generic map ( data_width => 4, add_width => 4 ) port map ( clk_WR => wb_clk_i, clk_RD => vgaclk, rst => '0', srst => fifo_clear, WR => fifo_write_enable, RD => read_enable, D => fifo_write, Q => read, empty => fifo_empty, qfull => fifo_quad_full, hfull => fifo_half_full, qqqfull => fifo_almost_full, full => fifo_full ); end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Benchy_Sump_LogicAnalyzer_JTAG/Libraries/Wishbone_Peripherals/VIDEO_zpuino_wb_vga_zxspectrum.vhd
13
16636
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library board; use board.zpu_config.all; use board.zpuino_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity VIDEO_zpuino_wb_vga_zxspectrum is port( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; -- Wishbone MASTER interface mi_wb_dat_i: in std_logic_vector(wordSize-1 downto 0); mi_wb_dat_o: out std_logic_vector(wordSize-1 downto 0); mi_wb_adr_o: out std_logic_vector(maxAddrBitIncIO downto 0); mi_wb_sel_o: out std_logic_vector(3 downto 0); mi_wb_cti_o: out std_logic_vector(2 downto 0); mi_wb_we_o: out std_logic; mi_wb_cyc_o: out std_logic; mi_wb_stb_o: out std_logic; mi_wb_ack_i: in std_logic; -- VGA signals vgaclk: in std_logic; vga_hsync: out std_logic; vga_vsync: out std_logic; vga_b: out std_logic; vga_r: out std_logic; vga_g: out std_logic; vga_bright: out std_logic ); end entity; architecture behave of VIDEO_zpuino_wb_vga_zxspectrum is component gh_fifo_async_rrd_sr_wf is GENERIC (add_width: INTEGER :=8; -- min value is 2 (4 memory locations) data_width: INTEGER :=8 ); -- size of data bus port ( clk_WR : in STD_LOGIC; -- write clock clk_RD : in STD_LOGIC; -- read clock rst : in STD_LOGIC; -- resets counters srst : in STD_LOGIC:='0'; -- resets counters (sync with clk_WR) WR : in STD_LOGIC; -- write control RD : in STD_LOGIC; -- read control D : in STD_LOGIC_VECTOR (data_width-1 downto 0); Q : out STD_LOGIC_VECTOR (data_width-1 downto 0); empty : out STD_LOGIC; qfull : out STD_LOGIC; hfull : out STD_LOGIC; qqqfull : out STD_LOGIC; afull : out STD_LOGIC; full : out STD_LOGIC); end component; signal fifo_full: std_logic; signal fifo_almost_full: std_logic; signal fifo_write_enable: std_logic; signal fifo_quad_full: std_logic; signal fifo_half_full: std_logic; -- signal readclk: std_logic:='0'; signal fifo_clear: std_logic:='0'; signal read_enable: std_logic:='0'; signal fifo_write, read: std_logic_vector(3 downto 0); signal fifo_empty: std_logic; signal char_wb_dat_o: std_logic_vector(wordSize-1 downto 0); signal char_wb_dat_i: std_logic_vector(wordSize-1 downto 0); signal char_wb_adr_i: std_logic_vector(maxIObit downto minIObit); signal char_wb_cyc_i: std_logic; signal char_wb_stb_i: std_logic; signal char_wb_ack_o: std_logic; signal membase: std_logic_vector(wordSize-1 downto 0) := (others => '0'); signal palletebase: std_logic_vector(wordSize-1 downto 0) := (others => '0'); type state_type is ( fetch_char, fetch_pallete, load_char, fill, next_line, sleep ); type vgaregs_type is record state: state_type; chars: std_logic_vector(wordSize-1 downto 0); pallete: std_logic_vector(wordSize-1 downto 0); --charline: std_logic_vector(7 downto 0); -- The 8 pixels of a char row --charpal: std_logic_vector(7 downto 0); -- Pallete for this char hptr: integer range 0 to 79; -- horizontal counter hoff: unsigned(4 downto 0); voff: unsigned(4 downto 0); memptr: unsigned(wordSize-1 downto 0); palleteptr: unsigned(wordSize-1 downto 0); ls_memptr: unsigned(wordSize-1 downto 0); ls_palleteptr: unsigned(wordSize-1 downto 0); end record; signal r: vgaregs_type; --# 640x480 @ 72Hz (VESA) hsync: 37.9kHz --ModeLine "640x480" 31.5 640 664 704 832 480 489 491 520 -hsync -vsync --# 640x480 @ 75Hz (VESA) hsync: 37.5kHz --ModeLine "640x480" 31.5 640 656 720 840 480 481 484 500 -hsync -vsync --# 640x480 @ 85Hz (VESA) hsync: 43.3kHz --ModeLine "640x480" 36.0 640 696 752 832 480 481 484 509 -hsync -vsync constant VGA_H_BORDER: integer := 64; constant VGA_H_SYNC: integer := 40; constant VGA_H_FRONTPORCH: integer := 24+VGA_H_BORDER; constant VGA_H_DISPLAY: integer := 640 - (2*VGA_H_BORDER); constant VGA_H_BACKPORCH: integer := 128+VGA_H_BORDER; constant VGA_V_BORDER: integer := 48; constant VGA_V_FRONTPORCH: integer := 29+VGA_V_BORDER; constant VGA_V_SYNC: integer := 2; constant VGA_V_DISPLAY: integer := 480 - (2*VGA_V_BORDER); constant VGA_V_BACKPORCH: integer := 9+VGA_V_BORDER; -- constant VGA_H_BORDER: integer := 0; -- constant VGA_H_SYNC: integer := 2; -- constant VGA_H_FRONTPORCH: integer := 2; -- constant VGA_H_DISPLAY: integer := 128; -- constant VGA_H_BACKPORCH: integer := 2; -- constant VGA_V_BORDER: integer := 0; -- constant VGA_V_FRONTPORCH: integer := 2; -- constant VGA_V_SYNC: integer := 2; -- constant VGA_V_DISPLAY: integer := 192; -- constant VGA_V_BACKPORCH: integer := 2; constant VGA_HCOUNT: integer := VGA_H_SYNC + VGA_H_FRONTPORCH + VGA_H_DISPLAY + VGA_H_BACKPORCH; constant VGA_VCOUNT: integer := VGA_V_SYNC + VGA_V_FRONTPORCH + VGA_V_DISPLAY + VGA_V_BACKPORCH; constant v_polarity: std_logic := '1'; constant h_polarity: std_logic := '1'; -- Pixel counters signal hcount_q: integer range 0 to VGA_HCOUNT; signal vcount_q: integer range 0 to VGA_VCOUNT; signal h_sync_tick: std_logic; signal vgarst: std_logic := '0'; signal rstq1: std_logic:='1'; signal rstq2: std_logic; signal v_display: std_logic; signal v_display_in_wbclk: std_logic; signal v_display_q: std_logic; --signal v_border: std_logic; signal cache_clear: std_logic; signal vga_reset_q1, vga_reset_q2: std_logic; signal rdly: std_logic; signal hdup: std_logic := '1'; signal hflip: std_logic; begin -- Wishbone register access wb_dat_o(31 downto 1) <= (others => DontCareValue); wb_dat_o(0) <= v_display_in_wbclk; mi_wb_dat_o <= (others => DontCareValue); process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then rdly<='0'; wb_ack_o<='0'; else if rdly='0' then if wb_stb_i='1' and wb_cyc_i='1' then if wb_we_i='1' then case wb_adr_i(3 downto 2) is when "00" => membase(maxAddrBit downto 0) <= wb_dat_i(maxAddrBit downto 0); when "01" => palletebase(maxAddrBit downto 0) <= wb_dat_i(maxAddrBit downto 0); when others => end case; end if; wb_ack_o<='1'; rdly <= '1'; end if; else rdly <= '0'; wb_ack_o<='0'; end if; end if; end if; end process; process(wb_clk_i) begin if rising_edge(wb_clk_i) then if (vcount_q < VGA_V_DISPLAY) then v_display_in_wbclk <= '1'; else v_display_in_wbclk <= '0'; end if; end if; end process; process(wb_clk_i, wb_rst_i, r, mi_wb_ack_i, mi_wb_dat_i,membase,palletebase) variable w: vgaregs_type; variable current_char: std_logic_vector(7 downto 0); variable current_pallete: std_logic_vector(7 downto 0); variable vdisp_char: std_logic_vector(2 downto 0); -- Vertical offset in char (0 to 7) variable pixel: std_logic_vector(3 downto 0); variable hmax: integer range 0 to 7; begin mi_wb_stb_o <= '0'; mi_wb_cyc_o <= '0'; mi_wb_we_o <= '0'; mi_wb_adr_o <= (others => '0'); fifo_write_enable<='0'; char_wb_cyc_i<='0'; char_wb_stb_i<='0'; char_wb_adr_i <= (others => DontCareValue); pixel := (others => DontCareValue); -- vdisp_char := std_logic_vector(r.voff(3 downto 1)); -- Ignore last bit - will duplicate vertical line --if hdup='1' then hmax := 7; -- 8 32-bit values = 256 bits --else -- hmax := 79; --end if; w := r; if wb_rst_i='1' or vga_reset_q1='1' then w.state := sleep; --w.palloff := (others => '0'); fifo_clear <='1'; w.hptr := 0; w.hoff := (others =>'0'); w.voff := (others =>'0'); w.memptr := unsigned(membase); w.palleteptr := unsigned(palletebase); mi_wb_adr_o <= (others => DontCareValue); w.ls_memptr := unsigned(membase); w.ls_palleteptr := unsigned(palletebase); else fifo_clear<='0'; case r.state is when fetch_char => mi_wb_stb_o <= '1'; mi_wb_cyc_o <= '1'; mi_wb_adr_o <= std_logic_vector( r.memptr(maxAddrBitIncIO downto 0) ); --w.charoff := (others => '0'); w.chars := mi_wb_dat_i; w.hoff := (others => '0'); if mi_wb_ack_i='1' then w.state := fill; end if; when fetch_pallete => mi_wb_stb_o <= '1'; mi_wb_cyc_o <= '1'; mi_wb_adr_o <= std_logic_vector( r.palleteptr(maxAddrBitIncIO downto 0) ); w.pallete := mi_wb_dat_i; if mi_wb_ack_i='1' then w.state := fetch_char; end if; when fill => -- Choose color case r.palleteptr(1 downto 0) is when "11" => current_pallete := r.pallete(7 downto 0); when "10" => current_pallete := r.pallete(15 downto 8); when "01" => current_pallete := r.pallete(23 downto 16); when "00" => current_pallete := r.pallete(31 downto 24); when others => end case; --w.charpal := current_pallete; case r.chars(31) is when '1' => pixel := current_pallete(6) & current_pallete(2 downto 0); when '0' => pixel := current_pallete(6) & current_pallete(5 downto 3); when others => end case; -- Other bits have extended attributes if fifo_almost_full='0' then fifo_write_enable<='1'; -- Shift r.chars w.chars(31 downto 1) := r.chars(30 downto 0); w.chars(0) := DontCareValue; w.hoff := r.hoff + 1; if r.hoff="11111" then -- Meaning we just output the 32 bits if r.hptr=hmax then -- Finished a whole line w.hptr := 0; w.voff := r.voff + 1; if r.voff(0)='1' then -- Finished a whole character line w.memptr := r.memptr + 4; if r.voff(3 downto 0) /="1111" then w.palleteptr := r.ls_palleteptr; else w.palleteptr := r.palleteptr + 1; end if; w.state := next_line; else w.memptr := r.ls_memptr; w.palleteptr := r.ls_palleteptr; w.state := sleep; end if; else -- Still doing a line w.hptr := w.hptr + 1; w.memptr := r.memptr + 4; w.palleteptr := r.palleteptr + 1; --if r.palleteptr(1 downto 0)="11" then -- Increase pointer w.state := fetch_pallete; --elsif r.memptr(1 downto 0)="11" then -- Increase pointer --else -- w.state := fetch_char; --end if; --else -- w.state := load_char; --end if; end if; else if r.hoff(2 downto 0)="111" then -- Just output 8 bits w.palleteptr := r.palleteptr + 1; if r.palleteptr(1 downto 0)="11" then -- Increase pointer w.state := fetch_pallete; end if; end if; end if; end if; when sleep => w.state := fetch_pallete; when next_line => if r.voff(3 downto 0)="0000" then w.ls_palleteptr := r.palleteptr; end if; w.ls_memptr := r.memptr; w.state := fetch_pallete; when others => end case; end if; fifo_write <= pixel; if rising_edge(wb_clk_i) then r <= w; end if; end process; -- -- -- VGA part -- -- process(vgaclk, wb_rst_i) begin if wb_rst_i='1' then rstq1 <= '1'; rstq2 <= '1'; elsif rising_edge(vgaclk) then rstq1 <= rstq2; rstq2 <= '0'; end if; end process; vgarst <= rstq1; hcounter: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then hcount_q <= VGA_H_DISPLAY + VGA_H_BACKPORCH - 1; else if hcount_q = VGA_HCOUNT then hcount_q <= 0; else hcount_q <= hcount_q + 1; end if; end if; end if; end process; process(hcount_q, vcount_q) begin if hcount_q < VGA_H_DISPLAY and vcount_q < VGA_V_DISPLAY then v_display<='1'; else v_display<='0'; end if; end process; process(vgaclk) begin if rising_edge(vgaclk) then v_display_q <= v_display; end if; end process; hsyncgen: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then vga_hsync<=h_polarity; else h_sync_tick <= '0'; if hcount_q = (VGA_H_DISPLAY + VGA_H_FRONTPORCH) then h_sync_tick <= '1'; vga_hsync <= not h_polarity; elsif hcount_q = (VGA_HCOUNT - VGA_H_BACKPORCH) then vga_hsync <= h_polarity; end if; end if; end if; end process; vcounter: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then vcount_q <= VGA_V_DISPLAY + VGA_V_BACKPORCH - 1; else if vcount_q = VGA_VCOUNT then vcount_q <= 0; report "V finished" severity note; else if h_sync_tick='1' then vcount_q <= vcount_q + 1; end if; end if; end if; end if; end process; -- Cache clear. vclear: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then cache_clear <= '1'; else cache_clear<='0'; --if vcount_q = VGA_V_DISPLAY and h_sync_tick='1' then -- cache_clear<='1'; --end if; if not (vcount_q < VGA_V_DISPLAY) then cache_clear <='1'; end if; end if; end if; end process; vsyncgen: process(vgaclk) begin if rising_edge(vgaclk) then if vgarst='1' then vga_vsync<=v_polarity; --cache_clear <= '1'; else --cache_clear <= '0'; if vcount_q = (VGA_V_DISPLAY + VGA_V_FRONTPORCH) then vga_vsync <= not v_polarity; elsif vcount_q = (VGA_VCOUNT - VGA_V_BACKPORCH) then vga_vsync <= v_polarity; --cache_clear <= '1'; end if; end if; end if; end process; -- Synchronous output process(vgaclk) begin if rising_edge(vgaclk) then if v_display='0' then vga_b <= '0'; vga_r <= '0'; vga_g <= '0'; vga_bright <= '0'; else vga_b <= read(0); vga_r <= read(1); vga_g <= read(2); vga_bright <= read(3); end if; end if; end process; process(wb_clk_i,cache_clear) begin if cache_clear='1' then vga_reset_q1<='1'; vga_reset_q2<='1'; elsif rising_edge(wb_clk_i) then vga_reset_q2<='0'; vga_reset_q1<=vga_reset_q2; end if; end process; -- In order to perform H duplication, we use a trick here process(vgaclk,v_display,v_display_q) begin if rising_edge(vgaclk) then if v_display='1' and v_display_q='0' then -- Starting an horizontal line display, reset hflip if needed hflip <= '1'; else if v_display='0' then hflip <='0'; else hflip <= hflip xor hdup; end if; end if; end if; end process; read_enable <= v_display and not hflip; myfifo: gh_fifo_async_rrd_sr_wf generic map ( data_width => 4, add_width => 4 ) port map ( clk_WR => wb_clk_i, clk_RD => vgaclk, rst => '0', srst => fifo_clear, WR => fifo_write_enable, RD => read_enable, D => fifo_write, Q => read, empty => fifo_empty, qfull => fifo_quad_full, hfull => fifo_half_full, qqqfull => fifo_almost_full, full => fifo_full ); end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Wing_VGA8/Libraries/ZPUino_1/board_Papilio_Pro/zpu_config.vhd
14
2691
-- ZPU -- -- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- The views and conclusions contained in the software and documentation -- are those of the authors and should not be interpreted as representing -- official policies, either expressed or implied, of the ZPU Project. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; package zpu_config is -- generate trace output or not. constant Generate_Trace : boolean := true; constant wordPower : integer := 5; -- during simulation, set this to '0' to get matching trace.txt constant DontCareValue : std_logic := 'X'; -- Clock frequency in MHz. constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) constant maxAddrBitIncIO : integer := 27; constant maxAddrBitBRAM : integer := 22; constant maxIOBit: integer := maxAddrBitIncIO - 1; constant minIOBit: integer := 2; -- Stack size constant stackSize_bits: integer := 13; constant Undefined: std_logic :='0'; -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); constant enable_fmul16: boolean := true; end zpu_config;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Audio_ModFile_simple/Libraries/ZPUino_1/zpuino_uart.vhd
13
6945
-- -- UART for ZPUINO -- -- Copyright 2010 Alvaro Lopes <alvieboy@alvie.com> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library board; use board.zpu_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity zpuino_uart is generic ( bits: integer := 11 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; enabled: out std_logic; tx: out std_logic; rx: in std_logic ); end entity zpuino_uart; architecture behave of zpuino_uart is component zpuino_uart_rx is port ( clk: in std_logic; rst: in std_logic; rx: in std_logic; rxclk: in std_logic; read: in std_logic; data: out std_logic_vector(7 downto 0); data_av: out std_logic ); end component zpuino_uart_rx; component TxUnit is port ( clk_i : in std_logic; -- Clock signal reset_i : in std_logic; -- Reset input enable_i : in std_logic; -- Enable input load_i : in std_logic; -- Load input txd_o : out std_logic; -- RS-232 data output busy_o : out std_logic; -- Tx Busy intx_o : out std_logic; -- Tx in progress datai_i : in std_logic_vector(7 downto 0)); -- Byte to transmit end component TxUnit; component uart_brgen is port ( clk: in std_logic; rst: in std_logic; en: in std_logic; count: in std_logic_vector(15 downto 0); clkout: out std_logic ); end component uart_brgen; component fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end component fifo; signal uart_read: std_logic; signal uart_write: std_logic; signal divider_tx: std_logic_vector(15 downto 0) := x"000f"; signal divider_rx_q: std_logic_vector(15 downto 0); signal data_ready: std_logic; signal received_data: std_logic_vector(7 downto 0); signal fifo_data: std_logic_vector(7 downto 0); signal uart_busy: std_logic; signal uart_intx: std_logic; signal fifo_empty: std_logic; signal rx_br: std_logic; signal tx_br: std_logic; signal rx_en: std_logic; signal dready_q: std_logic; signal data_ready_dly_q: std_logic; signal fifo_rd: std_logic; signal enabled_q: std_logic; begin enabled <= enabled_q; wb_inta_o <= '0'; wb_ack_o <= wb_cyc_i and wb_stb_i; rx_inst: zpuino_uart_rx port map( clk => wb_clk_i, rst => wb_rst_i, rxclk => rx_br, read => uart_read, rx => rx, data_av => data_ready, data => received_data ); uart_read <= dready_q; tx_core: TxUnit port map( clk_i => wb_clk_i, reset_i => wb_rst_i, enable_i => tx_br, load_i => uart_write, txd_o => tx, busy_o => uart_busy, intx_o => uart_intx, datai_i => wb_dat_i(7 downto 0) ); -- TODO: check multiple writes uart_write <= '1' when (wb_cyc_i='1' and wb_stb_i='1' and wb_we_i='1') and wb_adr_i(2)='0' else '0'; -- Rx timing rx_timer: uart_brgen port map( clk => wb_clk_i, rst => wb_rst_i, en => '1', clkout => rx_br, count => divider_rx_q ); -- Tx timing tx_timer: uart_brgen port map( clk => wb_clk_i, rst => wb_rst_i, en => rx_br, clkout => tx_br, count => divider_tx ); process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then dready_q<='0'; data_ready_dly_q<='0'; else data_ready_dly_q<=data_ready; if data_ready='1' and data_ready_dly_q='0' then dready_q<='1'; else dready_q<='0'; end if; end if; end if; end process; fifo_instance: fifo generic map ( bits => bits ) port map ( clk => wb_clk_i, rst => wb_rst_i, wr => dready_q, rd => fifo_rd, write => received_data, read => fifo_data, full => open, empty => fifo_empty ); fifo_rd<='1' when wb_adr_i(2)='0' and (wb_cyc_i='1' and wb_stb_i='1' and wb_we_i='0') else '0'; process(wb_adr_i, received_data, uart_busy, data_ready, fifo_empty, fifo_data,uart_intx) begin case wb_adr_i(2) is when '1' => wb_dat_o <= (others => Undefined); wb_dat_o(0) <= not fifo_empty; wb_dat_o(1) <= uart_busy; wb_dat_o(2) <= uart_intx; when '0' => wb_dat_o <= (others => '0'); wb_dat_o(7 downto 0) <= fifo_data; when others => wb_dat_o <= (others => DontCareValue); end case; end process; process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then enabled_q<='0'; else if wb_cyc_i='1' and wb_stb_i='1' and wb_we_i='1' then if wb_adr_i(2)='1' then divider_rx_q <= wb_dat_i(15 downto 0); enabled_q <= wb_dat_i(16); end if; end if; end if; end if; end process; end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Audio_YM2149_simple/Libraries/ZPUino_1/zpuino_uart.vhd
13
6945
-- -- UART for ZPUINO -- -- Copyright 2010 Alvaro Lopes <alvieboy@alvie.com> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library board; use board.zpu_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity zpuino_uart is generic ( bits: integer := 11 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; enabled: out std_logic; tx: out std_logic; rx: in std_logic ); end entity zpuino_uart; architecture behave of zpuino_uart is component zpuino_uart_rx is port ( clk: in std_logic; rst: in std_logic; rx: in std_logic; rxclk: in std_logic; read: in std_logic; data: out std_logic_vector(7 downto 0); data_av: out std_logic ); end component zpuino_uart_rx; component TxUnit is port ( clk_i : in std_logic; -- Clock signal reset_i : in std_logic; -- Reset input enable_i : in std_logic; -- Enable input load_i : in std_logic; -- Load input txd_o : out std_logic; -- RS-232 data output busy_o : out std_logic; -- Tx Busy intx_o : out std_logic; -- Tx in progress datai_i : in std_logic_vector(7 downto 0)); -- Byte to transmit end component TxUnit; component uart_brgen is port ( clk: in std_logic; rst: in std_logic; en: in std_logic; count: in std_logic_vector(15 downto 0); clkout: out std_logic ); end component uart_brgen; component fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end component fifo; signal uart_read: std_logic; signal uart_write: std_logic; signal divider_tx: std_logic_vector(15 downto 0) := x"000f"; signal divider_rx_q: std_logic_vector(15 downto 0); signal data_ready: std_logic; signal received_data: std_logic_vector(7 downto 0); signal fifo_data: std_logic_vector(7 downto 0); signal uart_busy: std_logic; signal uart_intx: std_logic; signal fifo_empty: std_logic; signal rx_br: std_logic; signal tx_br: std_logic; signal rx_en: std_logic; signal dready_q: std_logic; signal data_ready_dly_q: std_logic; signal fifo_rd: std_logic; signal enabled_q: std_logic; begin enabled <= enabled_q; wb_inta_o <= '0'; wb_ack_o <= wb_cyc_i and wb_stb_i; rx_inst: zpuino_uart_rx port map( clk => wb_clk_i, rst => wb_rst_i, rxclk => rx_br, read => uart_read, rx => rx, data_av => data_ready, data => received_data ); uart_read <= dready_q; tx_core: TxUnit port map( clk_i => wb_clk_i, reset_i => wb_rst_i, enable_i => tx_br, load_i => uart_write, txd_o => tx, busy_o => uart_busy, intx_o => uart_intx, datai_i => wb_dat_i(7 downto 0) ); -- TODO: check multiple writes uart_write <= '1' when (wb_cyc_i='1' and wb_stb_i='1' and wb_we_i='1') and wb_adr_i(2)='0' else '0'; -- Rx timing rx_timer: uart_brgen port map( clk => wb_clk_i, rst => wb_rst_i, en => '1', clkout => rx_br, count => divider_rx_q ); -- Tx timing tx_timer: uart_brgen port map( clk => wb_clk_i, rst => wb_rst_i, en => rx_br, clkout => tx_br, count => divider_tx ); process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then dready_q<='0'; data_ready_dly_q<='0'; else data_ready_dly_q<=data_ready; if data_ready='1' and data_ready_dly_q='0' then dready_q<='1'; else dready_q<='0'; end if; end if; end if; end process; fifo_instance: fifo generic map ( bits => bits ) port map ( clk => wb_clk_i, rst => wb_rst_i, wr => dready_q, rd => fifo_rd, write => received_data, read => fifo_data, full => open, empty => fifo_empty ); fifo_rd<='1' when wb_adr_i(2)='0' and (wb_cyc_i='1' and wb_stb_i='1' and wb_we_i='0') else '0'; process(wb_adr_i, received_data, uart_busy, data_ready, fifo_empty, fifo_data,uart_intx) begin case wb_adr_i(2) is when '1' => wb_dat_o <= (others => Undefined); wb_dat_o(0) <= not fifo_empty; wb_dat_o(1) <= uart_busy; wb_dat_o(2) <= uart_intx; when '0' => wb_dat_o <= (others => '0'); wb_dat_o(7 downto 0) <= fifo_data; when others => wb_dat_o <= (others => DontCareValue); end case; end process; process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then enabled_q<='0'; else if wb_cyc_i='1' and wb_stb_i='1' and wb_we_i='1' then if wb_adr_i(2)='1' then divider_rx_q <= wb_dat_i(15 downto 0); enabled_q <= wb_dat_i(16); end if; end if; end if; end if; end process; end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Benchy_Sump_LogicAnalyzer/Libraries/ZPUino_1/zpuino_uart.vhd
13
6945
-- -- UART for ZPUINO -- -- Copyright 2010 Alvaro Lopes <alvieboy@alvie.com> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library board; use board.zpu_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity zpuino_uart is generic ( bits: integer := 11 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; enabled: out std_logic; tx: out std_logic; rx: in std_logic ); end entity zpuino_uart; architecture behave of zpuino_uart is component zpuino_uart_rx is port ( clk: in std_logic; rst: in std_logic; rx: in std_logic; rxclk: in std_logic; read: in std_logic; data: out std_logic_vector(7 downto 0); data_av: out std_logic ); end component zpuino_uart_rx; component TxUnit is port ( clk_i : in std_logic; -- Clock signal reset_i : in std_logic; -- Reset input enable_i : in std_logic; -- Enable input load_i : in std_logic; -- Load input txd_o : out std_logic; -- RS-232 data output busy_o : out std_logic; -- Tx Busy intx_o : out std_logic; -- Tx in progress datai_i : in std_logic_vector(7 downto 0)); -- Byte to transmit end component TxUnit; component uart_brgen is port ( clk: in std_logic; rst: in std_logic; en: in std_logic; count: in std_logic_vector(15 downto 0); clkout: out std_logic ); end component uart_brgen; component fifo is generic ( bits: integer := 11 ); port ( clk: in std_logic; rst: in std_logic; wr: in std_logic; rd: in std_logic; write: in std_logic_vector(7 downto 0); read : out std_logic_vector(7 downto 0); full: out std_logic; empty: out std_logic ); end component fifo; signal uart_read: std_logic; signal uart_write: std_logic; signal divider_tx: std_logic_vector(15 downto 0) := x"000f"; signal divider_rx_q: std_logic_vector(15 downto 0); signal data_ready: std_logic; signal received_data: std_logic_vector(7 downto 0); signal fifo_data: std_logic_vector(7 downto 0); signal uart_busy: std_logic; signal uart_intx: std_logic; signal fifo_empty: std_logic; signal rx_br: std_logic; signal tx_br: std_logic; signal rx_en: std_logic; signal dready_q: std_logic; signal data_ready_dly_q: std_logic; signal fifo_rd: std_logic; signal enabled_q: std_logic; begin enabled <= enabled_q; wb_inta_o <= '0'; wb_ack_o <= wb_cyc_i and wb_stb_i; rx_inst: zpuino_uart_rx port map( clk => wb_clk_i, rst => wb_rst_i, rxclk => rx_br, read => uart_read, rx => rx, data_av => data_ready, data => received_data ); uart_read <= dready_q; tx_core: TxUnit port map( clk_i => wb_clk_i, reset_i => wb_rst_i, enable_i => tx_br, load_i => uart_write, txd_o => tx, busy_o => uart_busy, intx_o => uart_intx, datai_i => wb_dat_i(7 downto 0) ); -- TODO: check multiple writes uart_write <= '1' when (wb_cyc_i='1' and wb_stb_i='1' and wb_we_i='1') and wb_adr_i(2)='0' else '0'; -- Rx timing rx_timer: uart_brgen port map( clk => wb_clk_i, rst => wb_rst_i, en => '1', clkout => rx_br, count => divider_rx_q ); -- Tx timing tx_timer: uart_brgen port map( clk => wb_clk_i, rst => wb_rst_i, en => rx_br, clkout => tx_br, count => divider_tx ); process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then dready_q<='0'; data_ready_dly_q<='0'; else data_ready_dly_q<=data_ready; if data_ready='1' and data_ready_dly_q='0' then dready_q<='1'; else dready_q<='0'; end if; end if; end if; end process; fifo_instance: fifo generic map ( bits => bits ) port map ( clk => wb_clk_i, rst => wb_rst_i, wr => dready_q, rd => fifo_rd, write => received_data, read => fifo_data, full => open, empty => fifo_empty ); fifo_rd<='1' when wb_adr_i(2)='0' and (wb_cyc_i='1' and wb_stb_i='1' and wb_we_i='0') else '0'; process(wb_adr_i, received_data, uart_busy, data_ready, fifo_empty, fifo_data,uart_intx) begin case wb_adr_i(2) is when '1' => wb_dat_o <= (others => Undefined); wb_dat_o(0) <= not fifo_empty; wb_dat_o(1) <= uart_busy; wb_dat_o(2) <= uart_intx; when '0' => wb_dat_o <= (others => '0'); wb_dat_o(7 downto 0) <= fifo_data; when others => wb_dat_o <= (others => DontCareValue); end case; end process; process(wb_clk_i) begin if rising_edge(wb_clk_i) then if wb_rst_i='1' then enabled_q<='0'; else if wb_cyc_i='1' and wb_stb_i='1' and wb_we_i='1' then if wb_adr_i(2)='1' then divider_rx_q <= wb_dat_i(15 downto 0); enabled_q <= wb_dat_i(16); end if; end if; end if; end if; end process; end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Benchy_Sump_LogicAnalyzer_JTAG/Libraries/ZPUino_1/board_Papilio_Pro/zpuinopkg.vhd
26
21269
-- -- ZPUINO package -- -- Copyright 2010 Alvaro Lopes <alvieboy@alvie.com> -- -- Version: 1.0 -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.numeric_std.all; library work; use work.zpu_config.all; use work.zpupkg.all; use work.zpuino_config.all; package zpuinopkg is constant num_devices: integer := (2**zpuino_number_io_select_bits); type slot_std_logic_type is array(0 to num_devices-1) of std_logic; subtype cpuword_type is std_logic_vector(31 downto 0); type slot_cpuword_type is array(0 to num_devices-1) of cpuword_type; subtype address_type is std_logic_vector(maxIObit downto minIObit); type slot_address_type is array(0 to num_devices-1) of address_type; component zpuino_top_icache is port ( clk: in std_logic; rst: in std_logic; -- Connection to board IO module slot_cyc: out slot_std_logic_type; slot_we: out slot_std_logic_type; slot_stb: out slot_std_logic_type; slot_read: in slot_cpuword_type; slot_write: out slot_cpuword_type; slot_address: out slot_address_type; slot_ack: in slot_std_logic_type; slot_interrupt: in slot_std_logic_type; -- Wishbone MASTER interface (for DMA) m_wb_dat_o: out std_logic_vector(wordSize-1 downto 0); m_wb_dat_i: in std_logic_vector(wordSize-1 downto 0); m_wb_adr_i: in std_logic_vector(maxAddrBitIncIO downto 0); m_wb_we_i: in std_logic; m_wb_cyc_i: in std_logic; m_wb_stb_i: in std_logic; m_wb_ack_o: out std_logic; memory_enable: out std_logic; -- Memory connection ram_wb_ack_i: in std_logic; ram_wb_stall_i: in std_logic; ram_wb_dat_i: in std_logic_vector(wordSize-1 downto 0); ram_wb_dat_o: out std_logic_vector(wordSize-1 downto 0); ram_wb_adr_o: out std_logic_vector(maxAddrBit downto 0); ram_wb_cyc_o: out std_logic; ram_wb_stb_o: out std_logic; ram_wb_sel_o: out std_logic_vector(3 downto 0); ram_wb_we_o: out std_logic; rom_wb_ack_i: in std_logic; rom_wb_stall_i: in std_logic; rom_wb_dat_i: in std_logic_vector(wordSize-1 downto 0); rom_wb_adr_o: out std_logic_vector(maxAddrBit downto 0); rom_wb_cyc_o: out std_logic; rom_wb_cti_o: out std_logic_vector(2 downto 0); rom_wb_stb_o: out std_logic; dbg_reset: out std_logic; jtag_data_chain_out: out std_logic_vector(98 downto 0); jtag_ctrl_chain_in: in std_logic_vector(11 downto 0) ); end component zpuino_top_icache; component zpuino_top is port ( clk: in std_logic; rst: in std_logic; -- Connection to board IO module slot_cyc: out slot_std_logic_type; slot_we: out slot_std_logic_type; slot_stb: out slot_std_logic_type; slot_read: in slot_cpuword_type; slot_write: out slot_cpuword_type; slot_address: out slot_address_type; slot_ack: in slot_std_logic_type; slot_interrupt: in slot_std_logic_type; dbg_reset: out std_logic; -- Memory accesses (for DMA) -- This is a master interface m_wb_dat_o: out std_logic_vector(wordSize-1 downto 0); m_wb_dat_i: in std_logic_vector(wordSize-1 downto 0); m_wb_adr_i: in std_logic_vector(maxAddrBitIncIO downto 0); m_wb_we_i: in std_logic; m_wb_cyc_i: in std_logic; m_wb_stb_i: in std_logic; m_wb_ack_o: out std_logic; jtag_data_chain_out: out std_logic_vector(98 downto 0); jtag_ctrl_chain_in: in std_logic_vector(11 downto 0) ); end component; component zpuino_io is port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxAddrBitIncIO downto 0); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; intready: in std_logic; cache_flush: out std_logic; memory_enable: out std_logic; slot_cyc: out slot_std_logic_type; slot_we: out slot_std_logic_type; slot_stb: out slot_std_logic_type; slot_read: in slot_cpuword_type; slot_write: out slot_cpuword_type; slot_address: out slot_address_type; slot_ack: in slot_std_logic_type; slot_interrupt: in slot_std_logic_type ); end component zpuino_io; component zpuino_empty_device is port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic ); end component zpuino_empty_device; component zpuino_spi is port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; mosi: out std_logic; miso: in std_logic; sck: out std_logic; enabled: out std_logic ); end component zpuino_spi; component zpuino_uart is generic ( bits: integer := 11 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; enabled: out std_logic; tx: out std_logic; rx: in std_logic ); end component zpuino_uart; component zpuino_gpio is generic ( gpio_count: integer := 32 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; spp_data: in std_logic_vector(gpio_count-1 downto 0); spp_read: out std_logic_vector(gpio_count-1 downto 0); gpio_o: out std_logic_vector(gpio_count-1 downto 0); gpio_t: out std_logic_vector(gpio_count-1 downto 0); gpio_i: in std_logic_vector(gpio_count-1 downto 0); spp_cap_in: in std_logic_vector(gpio_count-1 downto 0); -- SPP capable pin for INPUT spp_cap_out: in std_logic_vector(gpio_count-1 downto 0) -- SPP capable pin for OUTPUT ); end component zpuino_gpio; component zpuino_timers is generic ( A_TSCENABLED: boolean := false; A_PWMCOUNT: integer range 1 to 8 := 2; A_WIDTH: integer range 1 to 32 := 16; A_PRESCALER_ENABLED: boolean := true; A_BUFFERS: boolean := true; B_TSCENABLED: boolean := false; B_PWMCOUNT: integer range 1 to 8 := 2; B_WIDTH: integer range 1 to 32 := 16; B_PRESCALER_ENABLED: boolean := false; B_BUFFERS: boolean := false ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; wb_intb_o:out std_logic; pwm_A_out: out std_logic_vector(A_PWMCOUNT-1 downto 0); pwm_B_out: out std_logic_vector(B_PWMCOUNT-1 downto 0) ); end component zpuino_timers; component zpuino_intr is generic ( INTERRUPT_LINES: integer := 16 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; poppc_inst:in std_logic; cache_flush: out std_logic; memory_enable: out std_logic; intr_in: in std_logic_vector(INTERRUPT_LINES-1 downto 0); intr_cfglvl:in std_logic_vector(INTERRUPT_LINES-1 downto 0) ); end component zpuino_intr; component zpuino_sigmadelta is port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; sync_in: in std_logic; -- Connection to GPIO pin raw_out: out std_logic_vector(17 downto 0); spp_data: out std_logic_vector(1 downto 0); spp_en: out std_logic_vector(1 downto 0) ); end component zpuino_sigmadelta; component zpuino_crc16 is port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic ); end component zpuino_crc16; component zpuino_adc is port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; sample: in std_logic; -- GPIO SPI pins mosi: out std_logic; miso: in std_logic; sck: out std_logic; seln: out std_logic; enabled: out std_logic ); end component zpuino_adc; component sram_ctrl is port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(31 downto 0); wb_dat_i: in std_logic_vector(31 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); --wb_sel_i: in std_logic_vector(3 downto 0); --wb_cti_i: in std_logic_vector(2 downto 0); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_stall_o: out std_logic; clk_we: in std_logic; clk_wen: in std_logic; -- SRAM signals sram_addr: out std_logic_vector(18 downto 0); sram_data: inout std_logic_vector(15 downto 0); sram_ce: out std_logic; sram_we: out std_logic; sram_oe: out std_logic; sram_be: out std_logic ); end component sram_ctrl; component zpuino_sevenseg is generic ( BITS: integer := 2; EXTRASIZE: integer := 32; FREQ_PER_DISPLAY: integer := 120; MHZ: integer := 96; INVERT: boolean := true ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; segdata: out std_logic_vector(6 downto 0); dot: out std_logic; extra: out std_logic_vector(EXTRASIZE-1 downto 0); enable: out std_logic_vector((2**BITS)-1 downto 0) ); end component; component wbarb2_1 is generic ( ADDRESS_HIGH: integer := maxIObit; ADDRESS_LOW: integer := maxIObit ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; -- Master 0 signals m0_wb_dat_o: out std_logic_vector(31 downto 0); m0_wb_dat_i: in std_logic_vector(31 downto 0); m0_wb_adr_i: in std_logic_vector(ADDRESS_HIGH downto ADDRESS_LOW); m0_wb_sel_i: in std_logic_vector(3 downto 0); m0_wb_cti_i: in std_logic_vector(2 downto 0); m0_wb_we_i: in std_logic; m0_wb_cyc_i: in std_logic; m0_wb_stb_i: in std_logic; m0_wb_ack_o: out std_logic; m0_wb_stall_o: out std_logic; -- Master 1 signals m1_wb_dat_o: out std_logic_vector(31 downto 0); m1_wb_dat_i: in std_logic_vector(31 downto 0); m1_wb_adr_i: in std_logic_vector(ADDRESS_HIGH downto ADDRESS_LOW); m1_wb_sel_i: in std_logic_vector(3 downto 0); m1_wb_cti_i: in std_logic_vector(2 downto 0); m1_wb_we_i: in std_logic; m1_wb_cyc_i: in std_logic; m1_wb_stb_i: in std_logic; m1_wb_ack_o: out std_logic; m1_wb_stall_o: out std_logic; -- Slave signals s0_wb_dat_i: in std_logic_vector(31 downto 0); s0_wb_dat_o: out std_logic_vector(31 downto 0); s0_wb_adr_o: out std_logic_vector(ADDRESS_HIGH downto ADDRESS_LOW); s0_wb_sel_o: out std_logic_vector(3 downto 0); s0_wb_cti_o: out std_logic_vector(2 downto 0); s0_wb_we_o: out std_logic; s0_wb_cyc_o: out std_logic; s0_wb_stb_o: out std_logic; s0_wb_ack_i: in std_logic; s0_wb_stall_i: in std_logic ); end component; component wbbootloadermux is generic ( address_high: integer:=31; address_low: integer:=2 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; sel: in std_logic; -- Master m_wb_dat_o: out std_logic_vector(31 downto 0); m_wb_dat_i: in std_logic_vector(31 downto 0); m_wb_adr_i: in std_logic_vector(address_high downto address_low); m_wb_sel_i: in std_logic_vector(3 downto 0); m_wb_cti_i: in std_logic_vector(2 downto 0); m_wb_we_i: in std_logic; m_wb_cyc_i: in std_logic; m_wb_stb_i: in std_logic; m_wb_ack_o: out std_logic; m_wb_stall_o: out std_logic; -- Slave 0 signals s0_wb_dat_i: in std_logic_vector(31 downto 0); s0_wb_dat_o: out std_logic_vector(31 downto 0); s0_wb_adr_o: out std_logic_vector(address_high downto address_low); s0_wb_sel_o: out std_logic_vector(3 downto 0); s0_wb_cti_o: out std_logic_vector(2 downto 0); s0_wb_we_o: out std_logic; s0_wb_cyc_o: out std_logic; s0_wb_stb_o: out std_logic; s0_wb_ack_i: in std_logic; s0_wb_stall_i: in std_logic; -- Slave 1 signals s1_wb_dat_i: in std_logic_vector(31 downto 0); s1_wb_dat_o: out std_logic_vector(31 downto 0); s1_wb_adr_o: out std_logic_vector(11 downto 2); s1_wb_sel_o: out std_logic_vector(3 downto 0); s1_wb_cti_o: out std_logic_vector(2 downto 0); s1_wb_we_o: out std_logic; s1_wb_cyc_o: out std_logic; s1_wb_stb_o: out std_logic; s1_wb_ack_i: in std_logic; s1_wb_stall_i: in std_logic ); end component wbbootloadermux; component wb_master_np_to_slave_p is generic ( ADDRESS_HIGH: integer := maxIObit; ADDRESS_LOW: integer := maxIObit ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; -- Master signals m_wb_dat_o: out std_logic_vector(31 downto 0); m_wb_dat_i: in std_logic_vector(31 downto 0); m_wb_adr_i: in std_logic_vector(ADDRESS_HIGH downto ADDRESS_LOW); m_wb_sel_i: in std_logic_vector(3 downto 0); m_wb_cti_i: in std_logic_vector(2 downto 0); m_wb_we_i: in std_logic; m_wb_cyc_i: in std_logic; m_wb_stb_i: in std_logic; m_wb_ack_o: out std_logic; -- Slave signals s_wb_dat_i: in std_logic_vector(31 downto 0); s_wb_dat_o: out std_logic_vector(31 downto 0); s_wb_adr_o: out std_logic_vector(ADDRESS_HIGH downto ADDRESS_LOW); s_wb_sel_o: out std_logic_vector(3 downto 0); s_wb_cti_o: out std_logic_vector(2 downto 0); s_wb_we_o: out std_logic; s_wb_cyc_o: out std_logic; s_wb_stb_o: out std_logic; s_wb_ack_i: in std_logic; s_wb_stall_i: in std_logic ); end component; component generic_sp_ram is generic ( address_bits: integer := 8; data_bits: integer := 32 ); port ( clka: in std_logic; ena: in std_logic; wea: in std_logic; addra: in std_logic_vector(address_bits-1 downto 0); dia: in std_logic_vector(data_bits-1 downto 0); doa: out std_logic_vector(data_bits-1 downto 0) ); end component; component generic_dp_ram is generic ( address_bits: integer := 8; data_bits: integer := 32 ); port ( clka: in std_logic; ena: in std_logic; wea: in std_logic; addra: in std_logic_vector(address_bits-1 downto 0); dia: in std_logic_vector(data_bits-1 downto 0); doa: out std_logic_vector(data_bits-1 downto 0); clkb: in std_logic; enb: in std_logic; web: in std_logic; addrb: in std_logic_vector(address_bits-1 downto 0); dib: in std_logic_vector(data_bits-1 downto 0); dob: out std_logic_vector(data_bits-1 downto 0) ); end component generic_dp_ram; component zpuino_io_YM2149 is generic ( FREQMHZ: integer := 96 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIOBit downto minIOBit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o: out std_logic; data_out: out std_logic_vector(7 downto 0) ); end component; component wb_sid6581 is port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; clk_1MHZ: in std_logic; audio_data: out std_logic_vector(17 downto 0) ); end component wb_sid6581; component zpuino_vga is generic( vgaclk_divider: integer := 2 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; wb_dat_o: out std_logic_vector(wordSize-1 downto 0); wb_dat_i: in std_logic_vector(wordSize-1 downto 0); wb_adr_i: in std_logic_vector(maxIObit downto minIObit); wb_we_i: in std_logic; wb_cyc_i: in std_logic; wb_stb_i: in std_logic; wb_ack_o: out std_logic; wb_inta_o:out std_logic; wb_intb_o:out std_logic; -- VGA interface vgaclk: in std_logic; vga_hsync: out std_logic; vga_vsync: out std_logic; vga_r: out std_logic_vector(2 downto 0); vga_g: out std_logic_vector(2 downto 0); vga_b: out std_logic_vector(1 downto 0) ); end component; component simple_sigmadelta is generic ( BITS: integer := 8 ); port ( clk: in std_logic; rst: in std_logic; data_in: in std_logic_vector(BITS-1 downto 0); data_out: out std_logic ); end component simple_sigmadelta; component zpuino_serialreset is generic ( SYSTEM_CLOCK_MHZ: integer := 92 ); port ( clk: in std_logic; rx: in std_logic; rstin: in std_logic; rstout: out std_logic ); end component zpuino_serialreset; end package zpuinopkg;
mit
sinkswim/DLX-Pro
DLX_simulation_cfg/a.b-DataPath.core/a.b.g-ID_EX_Reg.vhd
1
4383
--------------------------------------------------------------------------- -- ID/EX Pipeline Register -- It propagates inputs coming from the decode stage to the ex stage -- Note the use of the flush control signal: it used to flush the pipeline -- register in case of control hazards(fluhs when the signal is asseted). -- The reset is synchronous with respet to the clock, whereas the flush is -- asynchronous. --------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.globals.all; ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- entity idex_reg is port ( -- INPUTS cw_to_ex_dec : in std_logic_vector((CW_SIZE+ALUOP_SIZE)-2 downto 0); -- control word directed to the ex stage (note -2 since unsigned control signal is alredy used in decode thus no need to propagate) jump_address_dec : in std_logic_vector(31 downto 0); -- jump address extended pc_4_dec : in std_logic_vector(31 downto 0); -- PC incremented by 4 from decode read_data_1_dec : in std_logic_vector(31 downto 0); -- reg 1 read from decode read_data_2_dec : in std_logic_vector(31 downto 0); -- reg 2 read from decode immediate_ext_dec : in std_logic_vector(31 downto 0); -- immediate sign extended from decode immediate_dec : in std_logic_vector(15 downto 0); -- immediate for lui instrucion from decode rt_dec : in std_logic_vector(4 downto 0); -- rt address from decode rd_dec : in std_logic_vector(4 downto 0); -- rs address from decode rs_dec : in std_logic_vector(4 downto 0); -- rd address from decode clk : in std_logic; -- global clock signal rst : in std_logic; -- global reset signal -- OUTPUTS cw_to_ex : out std_logic_vector((CW_SIZE+ALUOP_SIZE)-2 downto 0); -- control word for ex stage jump_address : out std_logic_vector(31 downto 0); -- jump address to ex stage pc_4 : out std_logic_vector(31 downto 0); read_data_1 : out std_logic_vector(31 downto 0); read_data_2 : out std_logic_vector(31 downto 0); immediate_ext : out std_logic_vector(31 downto 0); immediate : out std_logic_vector(15 downto 0); rt : out std_logic_vector(4 downto 0); rd : out std_logic_vector(4 downto 0); rs : out std_logic_vector(4 downto 0) ); end idex_reg; ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- architecture behavioral of idex_reg is begin ------------------------ -- Reg Proc -- Type: Sequiential -- Purpose: Implement -- the behavior of the -- pipeline register -- Reset is synchronous ------------------------ Reg_proc: process(clk) begin if (clk = '1' and clk'event) then if (rst = '1') then cw_to_ex <= (others => '0'); jump_address <= (others => '0'); pc_4 <= (others => '0'); read_data_1 <= (others => '0'); read_data_2 <= (others => '0'); immediate_ext <= (others => '0'); immediate <= (others => '0'); rt <= (others => '0'); rd <= (others => '0'); rs <= (others => '0'); else cw_to_ex <= cw_to_ex_dec; jump_address <= jump_address_dec; pc_4 <= pc_4_dec; read_data_1 <= read_data_1_dec; read_data_2 <= read_data_2_dec; immediate_ext <= immediate_ext_dec; immediate <= immediate_dec; rt <= rt_dec; rd <= rd_dec; rs <= rs_dec; end if; end if; end process; end behavioral;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/MegaWing_Logicstart/Libraries/Wishbone_Peripherals/sid_voice.vhd
13
28809
------------------------------------------------------------------------------- -- -- SID 6581 (voice) -- -- This piece of VHDL code describes a single SID voice (sound channel) -- ------------------------------------------------------------------------------- -- to do: - better resolution of result signal voice, this is now only 12bits -- but it could be 20 !! Problem, it does not fit the PWM-dac ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity sid_voice is port ( clk_1MHz : in std_logic; -- this line drives the oscilator reset : in std_logic; -- active high signal (i.e. registers are reset when reset=1) Freq_lo : in std_logic_vector(7 downto 0); -- low-byte of frequency register Freq_hi : in std_logic_vector(7 downto 0); -- high-byte of frequency register Pw_lo : in std_logic_vector(7 downto 0); -- low-byte of PuleWidth register Pw_hi : in std_logic_vector(3 downto 0); -- high-nibble of PuleWidth register Control : in std_logic_vector(7 downto 0); -- control register Att_dec : in std_logic_vector(7 downto 0); -- attack-deccay register Sus_Rel : in std_logic_vector(7 downto 0); -- sustain-release register PA_MSB_in : in std_logic; -- Phase Accumulator MSB input PA_MSB_out : out std_logic; -- Phase Accumulator MSB output Osc : out std_logic_vector(7 downto 0); -- Voice waveform register Env : out std_logic_vector(7 downto 0); -- Voice envelope register voice : out std_logic_vector(11 downto 0) -- Voice waveform, this is the actual audio signal ); end sid_voice; architecture Behavioral of sid_voice is ------------------------------------------------------------------------------- -- Altera multiplier -- COMPONENT lpm_mult -- GENERIC -- ( -- lpm_hint : STRING; -- lpm_representation : STRING; -- lpm_type : STRING; -- lpm_widtha : NATURAL; -- lpm_widthb : NATURAL; -- lpm_widthp : NATURAL; -- lpm_widths : NATURAL -- ); -- PORT -- ( -- dataa : IN STD_LOGIC_VECTOR (11 DOWNTO 0); -- datab : IN STD_LOGIC_VECTOR (7 DOWNTO 0); -- result : OUT STD_LOGIC_VECTOR (19 DOWNTO 0) -- ); -- END COMPONENT; ------------------------------------------------------------------------------- signal accumulator : std_logic_vector(23 downto 0) := (others => '0'); signal accu_bit_prev : std_logic := '0'; signal PA_MSB_in_prev : std_logic := '0'; -- this type of signal has only two states 0 or 1 (so no more bits are required) signal pulse : std_logic := '0'; signal sawtooth : std_logic_vector(11 downto 0) := (others => '0'); signal triangle : std_logic_vector(11 downto 0) := (others => '0'); signal noise : std_logic_vector(11 downto 0) := (others => '0'); signal LFSR : std_logic_vector(22 downto 0) := (others => '0'); signal frequency : std_logic_vector(15 downto 0) := (others => '0'); signal pulsewidth : std_logic_vector(11 downto 0) := (others => '0'); -- Envelope Generator type envelope_state_types is (idle, attack, attack_lp, decay, decay_lp, sustain, release, release_lp); signal cur_state, next_state : envelope_state_types; signal divider_value : integer range 0 to 2**15 - 1 :=0; signal divider_attack : integer range 0 to 2**15 - 1 :=0; signal divider_dec_rel : integer range 0 to 2**15 - 1 :=0; signal divider_counter : integer range 0 to 2**18 - 1 :=0; signal exp_table_value : integer range 0 to 2**18 - 1 :=0; signal exp_table_active : std_logic := '0'; signal divider_rst : std_logic := '0'; signal Dec_rel : std_logic_vector(3 downto 0) := (others => '0'); signal Dec_rel_sel : std_logic := '0'; signal env_counter : std_logic_vector(17 downto 0) := (others => '0'); signal env_count_hold_A : std_logic := '0'; signal env_count_hold_B : std_logic := '0'; signal env_cnt_up : std_logic := '0'; signal env_cnt_clear : std_logic := '0'; signal signal_mux : std_logic_vector(17 downto 0) := (others => '0'); signal signal_vol : std_logic_vector(35 downto 0) := (others => '0'); ------------------------------------------------------------------------------------- -- stop the oscillator when test = '1' alias test : std_logic is Control(3); -- Ring Modulation was accomplished by substituting the accumulator MSB of an -- oscillator in the EXOR function of the triangle waveform generator with the -- accumulator MSB of the previous oscillator. That is why the triangle waveform -- must be selected to use Ring Modulation. alias ringmod : std_logic is Control(2); -- Hard Sync was accomplished by clearing the accumulator of an Oscillator -- based on the accumulator MSB of the previous oscillator. alias sync : std_logic is Control(1); -- alias gate : std_logic is Control(0); ------------------------------------------------------------------------------------- begin -- output the Phase accumulator's MSB for sync and ringmod purposes PA_MSB_out <= accumulator(23); -- output the upper 8-bits of the waveform. -- Useful for random numbers (noise must be selected) Osc <= signal_mux(11 downto 4); -- output the envelope register, for special sound effects when connecting this -- signal to the input of other channels/voices Env <= env_counter(7 downto 0); -- use the register value to fill the variable frequency(15 downto 8) <= Freq_hi(7 downto 0); -- frequency(7 downto 0) <= Freq_lo(7 downto 0); -- use the register value to fill the variable pulsewidth(11 downto 8) <= Pw_hi(3 downto 0); -- pulsewidth(7 downto 0) <= Pw_lo(7 downto 0); -- voice <= signal_vol(19 downto 8); -- Phase accumulator : -- "As I recall, the Oscillator is a 24-bit phase-accumulating design of which -- the lower 16-bits are programmable for pitch control. The output of the -- accumulator goes directly to a D/A converter through a waveform selector. -- Normally, the output of a phase-accumulating oscillator would be used as an -- address into memory which contained a wavetable, but SID had to be entirely -- self-contained and there was no room at all for a wavetable on the chip." -- "Hard Sync was accomplished by clearing the accumulator of an Oscillator -- based on the accumulator MSB of the previous oscillator." PhaseAcc:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then PA_MSB_in_prev <= PA_MSB_in; -- the reset and test signal can stop the oscillator, -- stopping the oscillator is very useful when you want to play "samples" if ((reset = '1') or (test = '1') or ((sync = '1') and (PA_MSB_in_prev /= PA_MSB_in) and (PA_MSB_in = '0'))) then accumulator <= (others => '0'); else -- accumulate the new phase (i.o.w. increment env_counter with the freq. value) accumulator <= accumulator + ("0" & frequency(15 downto 0)); end if; end if; end process; -- Sawtooth waveform : -- "The Sawtooth waveform was created by sending the upper 12-bits of the -- accumulator to the 12-bit Waveform D/A." Snd_Sawtooth:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then sawtooth <= accumulator(23 downto 12); end if; end process; --Pulse waveform : -- "The Pulse waveform was created by sending the upper 12-bits of the -- accumulator to a 12-bit digital comparator. The output of the comparator was -- either a one or a zero. This single output was then sent to all 12 bits of -- the Waveform D/A. " Snd_pulse:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ((accumulator(23 downto 12)) >= (pulsewidth(11 downto 0))) then pulse <= '1'; else pulse <= '0'; end if; end if; end process; --Triangle waveform : -- "The Triangle waveform was created by using the MSB of the accumulator to -- invert the remaining upper 11 accumulator bits using EXOR gates. These 11 -- bits were then left-shifted (throwing away the MSB) and sent to the Waveform -- D/A (so the resolution of the triangle waveform was half that of the sawtooth, -- but the amplitude and frequency were the same). " -- "Ring Modulation was accomplished by substituting the accumulator MSB of an -- oscillator in the EXOR function of the triangle waveform generator with the -- accumulator MSB of the previous oscillator. That is why the triangle waveform -- must be selected to use Ring Modulation." Snd_triangle:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ringmod = '0' then -- no ringmodulation triangle(11)<= accumulator(23) xor accumulator(22); triangle(10)<= accumulator(23) xor accumulator(21); triangle(9) <= accumulator(23) xor accumulator(20); triangle(8) <= accumulator(23) xor accumulator(19); triangle(7) <= accumulator(23) xor accumulator(18); triangle(6) <= accumulator(23) xor accumulator(17); triangle(5) <= accumulator(23) xor accumulator(16); triangle(4) <= accumulator(23) xor accumulator(15); triangle(3) <= accumulator(23) xor accumulator(14); triangle(2) <= accumulator(23) xor accumulator(13); triangle(1) <= accumulator(23) xor accumulator(12); triangle(0) <= accumulator(23) xor accumulator(11); else -- ringmodulation by the other voice (previous voice) triangle(11)<= PA_MSB_in xor accumulator(22); triangle(10)<= PA_MSB_in xor accumulator(21); triangle(9) <= PA_MSB_in xor accumulator(20); triangle(8) <= PA_MSB_in xor accumulator(19); triangle(7) <= PA_MSB_in xor accumulator(18); triangle(6) <= PA_MSB_in xor accumulator(17); triangle(5) <= PA_MSB_in xor accumulator(16); triangle(4) <= PA_MSB_in xor accumulator(15); triangle(3) <= PA_MSB_in xor accumulator(14); triangle(2) <= PA_MSB_in xor accumulator(13); triangle(1) <= PA_MSB_in xor accumulator(12); triangle(0) <= PA_MSB_in xor accumulator(11); end if; end if; end process; --Noise (23-bit Linear Feedback Shift Register, max combinations = 8388607) : -- "The Noise waveform was created using a 23-bit pseudo-random sequence -- generator (i.e., a shift register with specific outputs fed back to the input -- through combinatorial logic). The shift register was clocked by one of the -- intermediate bits of the accumulator to keep the frequency content of the -- noise waveform relatively the same as the pitched waveforms. -- The upper 12-bits of the shift register were sent to the Waveform D/A." noise <= LFSR(22 downto 11); Snd_noise:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then -- the test signal can stop the oscillator, -- stopping the oscillator is very useful when you want to play "samples" if ((reset = '1') or (test = '1')) then accu_bit_prev <= '0'; -- the "seed" value (the value that eventually determines the output -- pattern) may never be '0' otherwise the generator "locks up" LFSR <= "00000000000000000000001"; else accu_bit_prev <= accumulator(19); -- when not equal to ... if (accu_bit_prev /= accumulator(19)) then LFSR(22 downto 1) <= LFSR(21 downto 0); LFSR(0) <= LFSR(17) xor LFSR(22); -- see Xilinx XAPP052 for maximal LFSR taps else LFSR <= LFSR; end if; end if; end if; end process; -- Waveform Output selector (MUX): -- "Since all of the waveforms were just digital bits, the Waveform Selector -- consisted of multiplexers that selected which waveform bits would be sent -- to the Waveform D/A. The multiplexers were single transistors and did not -- provide a "lock-out", allowing combinations of the waveforms to be selected. -- The combination was actually a logical ANDing of the bits of each waveform, -- which produced unpredictable results, so I didn't encourage this, especially -- since it could lock up the pseudo-random sequence generator by filling it -- with zeroes." Snd_select:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then signal_mux(11) <= (triangle(11) and Control(4)) or (sawtooth(11) and Control(5)) or (pulse and Control(6)) or (noise(11) and Control(7)); signal_mux(10) <= (triangle(10) and Control(4)) or (sawtooth(10) and Control(5)) or (pulse and Control(6)) or (noise(10) and Control(7)); signal_mux(9) <= (triangle(9) and Control(4)) or (sawtooth(9) and Control(5)) or (pulse and Control(6)) or (noise(9) and Control(7)); signal_mux(8) <= (triangle(8) and Control(4)) or (sawtooth(8) and Control(5)) or (pulse and Control(6)) or (noise(8) and Control(7)); signal_mux(7) <= (triangle(7) and Control(4)) or (sawtooth(7) and Control(5)) or (pulse and Control(6)) or (noise(7) and Control(7)); signal_mux(6) <= (triangle(6) and Control(4)) or (sawtooth(6) and Control(5)) or (pulse and Control(6)) or (noise(6) and Control(7)); signal_mux(5) <= (triangle(5) and Control(4)) or (sawtooth(5) and Control(5)) or (pulse and Control(6)) or (noise(5) and Control(7)); signal_mux(4) <= (triangle(4) and Control(4)) or (sawtooth(4) and Control(5)) or (pulse and Control(6)) or (noise(4) and Control(7)); signal_mux(3) <= (triangle(3) and Control(4)) or (sawtooth(3) and Control(5)) or (pulse and Control(6)) or (noise(3) and Control(7)); signal_mux(2) <= (triangle(2) and Control(4)) or (sawtooth(2) and Control(5)) or (pulse and Control(6)) or (noise(2) and Control(7)); signal_mux(1) <= (triangle(1) and Control(4)) or (sawtooth(1) and Control(5)) or (pulse and Control(6)) or (noise(1) and Control(7)); signal_mux(0) <= (triangle(0) and Control(4)) or (sawtooth(0) and Control(5)) or (pulse and Control(6)) or (noise(0) and Control(7)); end if; end process; -- Waveform envelope (volume) control : -- "The output of the Waveform D/A (which was an analog voltage at this point) -- was fed into the reference input of an 8-bit multiplying D/A, creating a DCA -- (digitally-controlled-amplifier). The digital control word which modulated -- the amplitude of the waveform came from the Envelope Generator." -- "The 8-bit output of the Envelope Generator was then sent to the Multiplying -- D/A converter to modulate the amplitude of the selected Oscillator Waveform -- (to be technically accurate, actually the waveform was modulating the output -- of the Envelope Generator, but the result is the same)." Envelope_multiplier:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then --calculate the resulting volume (due to the envelope generator) of the --voice, signal_mux(12bit) * env_counter(8bit), so the result will --require 20 bits !! signal_vol <= signal_mux * env_counter; end if; end process; -- Altera multiplier -- lpm_mult_component : lpm_mult -- GENERIC MAP -- ( -- lpm_hint => "MAXIMIZE_SPEED=5", -- lpm_representation => "UNSIGNED", -- lpm_type => "LPM_MULT", -- lpm_widtha => 12, -- lpm_widthb => 8, -- lpm_widthp => 20, -- lpm_widths => 1 -- ) -- PORT MAP -- ( -- dataa(11 downto 0) => signal_mux, -- datab(7 downto 0) => env_counter, -- result => signal_vol -- ); -- Envelope generator : -- "The Envelope Generator was simply an 8-bit up/down counter which, when -- triggered by the Gate bit, counted from 0 to 255 at the Attack rate, from -- 255 down to the programmed Sustain value at the Decay rate, remained at the -- Sustain value until the Gate bit was cleared then counted down from the -- Sustain value to 0 at the Release rate." -- -- /\ -- / \ -- / | \________ -- / | | \ -- / | | |\ -- / | | | \ -- attack|dec|sustain|rel -- this process controls the state machine "current-state"-value Envelope_SM_advance: process (reset, clk_1MHz) begin if (reset = '1') then cur_state <= idle; else if (rising_edge(clk_1MHz)) then cur_state <= next_state; end if; end if; end process; -- this process controls the envelope (in other words, the volume control) Envelope_SM: process (reset, cur_state, gate, divider_attack, divider_dec_rel, Att_dec, Sus_Rel, env_counter) begin if (reset = '1') then next_state <= idle; env_cnt_clear <='1'; env_cnt_up <='1'; env_count_hold_B <='1'; divider_rst <='1'; divider_value <= 0; exp_table_active <='0'; Dec_rel_sel <='0'; -- select decay as input for decay/release table else env_cnt_clear <='0'; -- use this statement unless stated otherwise env_cnt_up <='1'; -- use this statement unless stated otherwise env_count_hold_B <='1'; -- use this statement unless stated otherwise divider_rst <='0'; -- use this statement unless stated otherwise divider_value <= 0; -- use this statement unless stated otherwise exp_table_active <='0'; -- use this statement unless stated otherwise case cur_state is -- IDLE when idle => env_cnt_clear <= '1'; -- clear envelope env_counter divider_rst <= '1'; Dec_rel_sel <= '0'; -- select decay as input for decay/release table if gate = '1' then next_state <= attack; else next_state <= idle; end if; when attack => env_cnt_clear <= '1'; -- clear envelope env_counter divider_rst <= '1'; divider_value <= divider_attack; next_state <= attack_lp; Dec_rel_sel <= '0'; -- select decay as input for decay/release table when attack_lp => env_count_hold_B <= '0'; -- enable envelope env_counter env_cnt_up <= '1'; -- envelope env_counter must count up (increment) divider_value <= divider_attack; Dec_rel_sel <= '0'; -- select decay as input for decay/release table if env_counter = "11111111" then next_state <= decay; else if gate = '0' then next_state <= release; else next_state <= attack_lp; end if; end if; when decay => divider_rst <= '1'; exp_table_active <= '1'; -- activate exponential look-up table env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; next_state <= decay_lp; Dec_rel_sel <= '0'; -- select decay as input for decay/release table when decay_lp => exp_table_active <= '1'; -- activate exponential look-up table env_count_hold_B <= '0'; -- enable envelope env_counter env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; Dec_rel_sel <= '0'; -- select decay as input for decay/release table if (env_counter(7 downto 4) = Sus_Rel(7 downto 4)) then next_state <= sustain; else if gate = '0' then next_state <= release; else next_state <= decay_lp; end if; end if; -- "A digital comparator was used for the Sustain function. The upper -- four bits of the Up/Down counter were compared to the programmed -- Sustain value and would stop the clock to the Envelope Generator when -- the counter counted down to the Sustain value. This created 16 linearly -- spaced sustain levels without havingto go through a look-up table -- translation between the 4-bit register value and the 8-bit Envelope -- Generator output. It also meant that sustain levels were adjustable -- in steps of 16. Again, more register bits would have provided higher -- resolution." -- "When the Gate bit was cleared, the clock would again be enabled, -- allowing the counter to count down to zero. Like an analog envelope -- generator, the SID Envelope Generator would track the Sustain level -- if it was changed to a lower value during the Sustain portion of the -- envelope, however, it would not count UP if the Sustain level were set -- higher." Instead it would count down to '0'. when sustain => divider_value <= 0; Dec_rel_sel <='1'; -- select release as input for decay/release table if gate = '0' then next_state <= release; else if (env_counter(7 downto 4) = Sus_Rel(7 downto 4)) then next_state <= sustain; else next_state <= decay; end if; end if; when release => divider_rst <= '1'; exp_table_active <= '1'; -- activate exponential look-up table env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; Dec_rel_sel <= '1'; -- select release as input for decay/release table next_state <= release_lp; when release_lp => exp_table_active <= '1'; -- activate exponential look-up table env_count_hold_B <= '0'; -- enable envelope env_counter env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; Dec_rel_sel <= '1'; -- select release as input for decay/release table if env_counter = "00000000" then next_state <= idle; else if gate = '1' then next_state <= idle; else next_state <= release_lp; end if; end if; when others => divider_value <= 0; Dec_rel_sel <= '0'; -- select decay as input for decay/release table next_state <= idle; end case; end if; end process; -- 8 bit up/down env_counter Envelope_counter:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ((reset = '1') or (env_cnt_clear = '1')) then env_counter <= (others => '0'); else if ((env_count_hold_A = '1') or (env_count_hold_B = '1'))then env_counter <= env_counter; else if (env_cnt_up = '1') then env_counter <= env_counter + 1; else env_counter <= env_counter - 1; end if; end if; end if; end if; end process; -- Divider : -- "A programmable frequency divider was used to set the various rates -- (unfortunately I don't remember how many bits the divider was, either 12 -- or 16 bits). A small look-up table translated the 16 register-programmable -- values to the appropriate number to load into the frequency divider. -- Depending on what state the Envelope Generator was in (i.e. ADS or R), the -- appropriate register would be selected and that number would be translated -- and loaded into the divider. Obviously it would have been better to have -- individual bit control of the divider which would have provided great -- resolution for each rate, however I did not have enough silicon area for a -- lot of register bits. Using this approach, I was able to cram a wide range -- of rates into 4 bits, allowing the ADSR to be defined in two bytes instead -- of eight. The actual numbers in the look-up table were arrived at -- subjectively by setting up typical patches on a Sequential Circuits Pro-1 -- and measuring the envelope times by ear (which is why the available rates -- seem strange)!" prog_freq_div:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ((reset = '1') or (divider_rst = '1')) then env_count_hold_A <= '1'; divider_counter <= 0; else if (divider_counter = 0) then env_count_hold_A <= '0'; if (exp_table_active = '1') then divider_counter <= exp_table_value; else divider_counter <= divider_value; end if; else env_count_hold_A <= '1'; divider_counter <= divider_counter - 1; end if; end if; end if; end process; -- Piese-wise linear approximation of an exponential : -- "In order to more closely model the exponential decay of sounds, another -- look-up table on the output of the Envelope Generator would sequentially -- divide the clock to the Envelope Generator by two at specific counts in the -- Decay and Release cycles. This created a piece-wise linear approximation of -- an exponential. I was particularly happy how well this worked considering -- the simplicity of the circuitry. The Attack, however, was linear, but this -- sounded fine." -- The clock is divided by two at specifiek values of the envelope generator to -- create an exponential. Exponential_table:process(clk_1MHz) BEGIN if (rising_edge(clk_1MHz)) then if (reset = '1') then exp_table_value <= 0; else case CONV_INTEGER(env_counter) is when 0 to 51 => exp_table_value <= divider_value * 16; when 52 to 101 => exp_table_value <= divider_value * 8; when 102 to 152 => exp_table_value <= divider_value * 4; when 153 to 203 => exp_table_value <= divider_value * 2; when 204 to 255 => exp_table_value <= divider_value; when others => exp_table_value <= divider_value; end case; end if; end if; end process; -- Attack Lookup table : -- It takes 255 clock cycles from zero to peak value. Therefore the divider -- equals (attack rate / clockcycletime of 1MHz clock) / 254; Attack_table:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if (reset = '1') then divider_attack <= 0; else case Att_dec(7 downto 4) is when "0000" => divider_attack <= 8; --attack rate: ( 2mS / 1uS per clockcycle) /254 steps when "0001" => divider_attack <= 31; --attack rate: ( 8mS / 1uS per clockcycle) /254 steps when "0010" => divider_attack <= 63; --attack rate: ( 16mS / 1uS per clockcycle) /254 steps when "0011" => divider_attack <= 94; --attack rate: ( 24mS / 1uS per clockcycle) /254 steps when "0100" => divider_attack <= 150; --attack rate: ( 38mS / 1uS per clockcycle) /254 steps when "0101" => divider_attack <= 220; --attack rate: ( 56mS / 1uS per clockcycle) /254 steps when "0110" => divider_attack <= 268; --attack rate: ( 68mS / 1uS per clockcycle) /254 steps when "0111" => divider_attack <= 315; --attack rate: ( 80mS / 1uS per clockcycle) /254 steps when "1000" => divider_attack <= 394; --attack rate: ( 100mS / 1uS per clockcycle) /254 steps when "1001" => divider_attack <= 984; --attack rate: ( 250mS / 1uS per clockcycle) /254 steps when "1010" => divider_attack <= 1968; --attack rate: ( 500mS / 1uS per clockcycle) /254 steps when "1011" => divider_attack <= 3150; --attack rate: ( 800mS / 1uS per clockcycle) /254 steps when "1100" => divider_attack <= 3937; --attack rate: (1000mS / 1uS per clockcycle) /254 steps when "1101" => divider_attack <= 11811; --attack rate: (3000mS / 1uS per clockcycle) /254 steps when "1110" => divider_attack <= 19685; --attack rate: (5000mS / 1uS per clockcycle) /254 steps when "1111" => divider_attack <= 31496; --attack rate: (8000mS / 1uS per clockcycle) /254 steps when others => divider_attack <= 0; -- end case; end if; end if; end process; Decay_Release_input_select:process(Dec_rel_sel, Att_dec, Sus_Rel) begin if (Dec_rel_sel = '0') then Dec_rel(3 downto 0) <= Att_dec(3 downto 0); else Dec_rel(3 downto 0) <= Sus_rel(3 downto 0); end if; end process; -- Decay Lookup table : -- It takes 32 * 51 = 1632 clock cycles to fall from peak level to zero. -- Release Lookup table : -- It takes 32 * 51 = 1632 clock cycles to fall from peak level to zero. Decay_Release_table:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if reset = '1' then divider_dec_rel <= 0; else case Dec_rel(3 downto 0) is when "0000" => divider_dec_rel <= 3; --release rate: ( 6mS / 1uS per clockcycle) / 1632 when "0001" => divider_dec_rel <= 15; --release rate: ( 24mS / 1uS per clockcycle) / 1632 when "0010" => divider_dec_rel <= 29; --release rate: ( 48mS / 1uS per clockcycle) / 1632 when "0011" => divider_dec_rel <= 44; --release rate: ( 72mS / 1uS per clockcycle) / 1632 when "0100" => divider_dec_rel <= 70; --release rate: ( 114mS / 1uS per clockcycle) / 1632 when "0101" => divider_dec_rel <= 103; --release rate: ( 168mS / 1uS per clockcycle) / 1632 when "0110" => divider_dec_rel <= 125; --release rate: ( 204mS / 1uS per clockcycle) / 1632 when "0111" => divider_dec_rel <= 147; --release rate: ( 240mS / 1uS per clockcycle) / 1632 when "1000" => divider_dec_rel <= 184; --release rate: ( 300mS / 1uS per clockcycle) / 1632 when "1001" => divider_dec_rel <= 459; --release rate: ( 750mS / 1uS per clockcycle) / 1632 when "1010" => divider_dec_rel <= 919; --release rate: ( 1500mS / 1uS per clockcycle) / 1632 when "1011" => divider_dec_rel <= 1471; --release rate: ( 2400mS / 1uS per clockcycle) / 1632 when "1100" => divider_dec_rel <= 1838; --release rate: ( 3000mS / 1uS per clockcycle) / 1632 when "1101" => divider_dec_rel <= 5515; --release rate: ( 9000mS / 1uS per clockcycle) / 1632 when "1110" => divider_dec_rel <= 9191; --release rate: (15000mS / 1uS per clockcycle) / 1632 when "1111" => divider_dec_rel <= 14706; --release rate: (24000mS / 1uS per clockcycle) / 1632 when others => divider_dec_rel <= 0; -- end case; end if; end if; end process; end Behavioral;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Benchy_Sump_LogicAnalyzer_JTAG/Libraries/Wishbone_Peripherals/sid_voice.vhd
13
28809
------------------------------------------------------------------------------- -- -- SID 6581 (voice) -- -- This piece of VHDL code describes a single SID voice (sound channel) -- ------------------------------------------------------------------------------- -- to do: - better resolution of result signal voice, this is now only 12bits -- but it could be 20 !! Problem, it does not fit the PWM-dac ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity sid_voice is port ( clk_1MHz : in std_logic; -- this line drives the oscilator reset : in std_logic; -- active high signal (i.e. registers are reset when reset=1) Freq_lo : in std_logic_vector(7 downto 0); -- low-byte of frequency register Freq_hi : in std_logic_vector(7 downto 0); -- high-byte of frequency register Pw_lo : in std_logic_vector(7 downto 0); -- low-byte of PuleWidth register Pw_hi : in std_logic_vector(3 downto 0); -- high-nibble of PuleWidth register Control : in std_logic_vector(7 downto 0); -- control register Att_dec : in std_logic_vector(7 downto 0); -- attack-deccay register Sus_Rel : in std_logic_vector(7 downto 0); -- sustain-release register PA_MSB_in : in std_logic; -- Phase Accumulator MSB input PA_MSB_out : out std_logic; -- Phase Accumulator MSB output Osc : out std_logic_vector(7 downto 0); -- Voice waveform register Env : out std_logic_vector(7 downto 0); -- Voice envelope register voice : out std_logic_vector(11 downto 0) -- Voice waveform, this is the actual audio signal ); end sid_voice; architecture Behavioral of sid_voice is ------------------------------------------------------------------------------- -- Altera multiplier -- COMPONENT lpm_mult -- GENERIC -- ( -- lpm_hint : STRING; -- lpm_representation : STRING; -- lpm_type : STRING; -- lpm_widtha : NATURAL; -- lpm_widthb : NATURAL; -- lpm_widthp : NATURAL; -- lpm_widths : NATURAL -- ); -- PORT -- ( -- dataa : IN STD_LOGIC_VECTOR (11 DOWNTO 0); -- datab : IN STD_LOGIC_VECTOR (7 DOWNTO 0); -- result : OUT STD_LOGIC_VECTOR (19 DOWNTO 0) -- ); -- END COMPONENT; ------------------------------------------------------------------------------- signal accumulator : std_logic_vector(23 downto 0) := (others => '0'); signal accu_bit_prev : std_logic := '0'; signal PA_MSB_in_prev : std_logic := '0'; -- this type of signal has only two states 0 or 1 (so no more bits are required) signal pulse : std_logic := '0'; signal sawtooth : std_logic_vector(11 downto 0) := (others => '0'); signal triangle : std_logic_vector(11 downto 0) := (others => '0'); signal noise : std_logic_vector(11 downto 0) := (others => '0'); signal LFSR : std_logic_vector(22 downto 0) := (others => '0'); signal frequency : std_logic_vector(15 downto 0) := (others => '0'); signal pulsewidth : std_logic_vector(11 downto 0) := (others => '0'); -- Envelope Generator type envelope_state_types is (idle, attack, attack_lp, decay, decay_lp, sustain, release, release_lp); signal cur_state, next_state : envelope_state_types; signal divider_value : integer range 0 to 2**15 - 1 :=0; signal divider_attack : integer range 0 to 2**15 - 1 :=0; signal divider_dec_rel : integer range 0 to 2**15 - 1 :=0; signal divider_counter : integer range 0 to 2**18 - 1 :=0; signal exp_table_value : integer range 0 to 2**18 - 1 :=0; signal exp_table_active : std_logic := '0'; signal divider_rst : std_logic := '0'; signal Dec_rel : std_logic_vector(3 downto 0) := (others => '0'); signal Dec_rel_sel : std_logic := '0'; signal env_counter : std_logic_vector(17 downto 0) := (others => '0'); signal env_count_hold_A : std_logic := '0'; signal env_count_hold_B : std_logic := '0'; signal env_cnt_up : std_logic := '0'; signal env_cnt_clear : std_logic := '0'; signal signal_mux : std_logic_vector(17 downto 0) := (others => '0'); signal signal_vol : std_logic_vector(35 downto 0) := (others => '0'); ------------------------------------------------------------------------------------- -- stop the oscillator when test = '1' alias test : std_logic is Control(3); -- Ring Modulation was accomplished by substituting the accumulator MSB of an -- oscillator in the EXOR function of the triangle waveform generator with the -- accumulator MSB of the previous oscillator. That is why the triangle waveform -- must be selected to use Ring Modulation. alias ringmod : std_logic is Control(2); -- Hard Sync was accomplished by clearing the accumulator of an Oscillator -- based on the accumulator MSB of the previous oscillator. alias sync : std_logic is Control(1); -- alias gate : std_logic is Control(0); ------------------------------------------------------------------------------------- begin -- output the Phase accumulator's MSB for sync and ringmod purposes PA_MSB_out <= accumulator(23); -- output the upper 8-bits of the waveform. -- Useful for random numbers (noise must be selected) Osc <= signal_mux(11 downto 4); -- output the envelope register, for special sound effects when connecting this -- signal to the input of other channels/voices Env <= env_counter(7 downto 0); -- use the register value to fill the variable frequency(15 downto 8) <= Freq_hi(7 downto 0); -- frequency(7 downto 0) <= Freq_lo(7 downto 0); -- use the register value to fill the variable pulsewidth(11 downto 8) <= Pw_hi(3 downto 0); -- pulsewidth(7 downto 0) <= Pw_lo(7 downto 0); -- voice <= signal_vol(19 downto 8); -- Phase accumulator : -- "As I recall, the Oscillator is a 24-bit phase-accumulating design of which -- the lower 16-bits are programmable for pitch control. The output of the -- accumulator goes directly to a D/A converter through a waveform selector. -- Normally, the output of a phase-accumulating oscillator would be used as an -- address into memory which contained a wavetable, but SID had to be entirely -- self-contained and there was no room at all for a wavetable on the chip." -- "Hard Sync was accomplished by clearing the accumulator of an Oscillator -- based on the accumulator MSB of the previous oscillator." PhaseAcc:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then PA_MSB_in_prev <= PA_MSB_in; -- the reset and test signal can stop the oscillator, -- stopping the oscillator is very useful when you want to play "samples" if ((reset = '1') or (test = '1') or ((sync = '1') and (PA_MSB_in_prev /= PA_MSB_in) and (PA_MSB_in = '0'))) then accumulator <= (others => '0'); else -- accumulate the new phase (i.o.w. increment env_counter with the freq. value) accumulator <= accumulator + ("0" & frequency(15 downto 0)); end if; end if; end process; -- Sawtooth waveform : -- "The Sawtooth waveform was created by sending the upper 12-bits of the -- accumulator to the 12-bit Waveform D/A." Snd_Sawtooth:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then sawtooth <= accumulator(23 downto 12); end if; end process; --Pulse waveform : -- "The Pulse waveform was created by sending the upper 12-bits of the -- accumulator to a 12-bit digital comparator. The output of the comparator was -- either a one or a zero. This single output was then sent to all 12 bits of -- the Waveform D/A. " Snd_pulse:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ((accumulator(23 downto 12)) >= (pulsewidth(11 downto 0))) then pulse <= '1'; else pulse <= '0'; end if; end if; end process; --Triangle waveform : -- "The Triangle waveform was created by using the MSB of the accumulator to -- invert the remaining upper 11 accumulator bits using EXOR gates. These 11 -- bits were then left-shifted (throwing away the MSB) and sent to the Waveform -- D/A (so the resolution of the triangle waveform was half that of the sawtooth, -- but the amplitude and frequency were the same). " -- "Ring Modulation was accomplished by substituting the accumulator MSB of an -- oscillator in the EXOR function of the triangle waveform generator with the -- accumulator MSB of the previous oscillator. That is why the triangle waveform -- must be selected to use Ring Modulation." Snd_triangle:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ringmod = '0' then -- no ringmodulation triangle(11)<= accumulator(23) xor accumulator(22); triangle(10)<= accumulator(23) xor accumulator(21); triangle(9) <= accumulator(23) xor accumulator(20); triangle(8) <= accumulator(23) xor accumulator(19); triangle(7) <= accumulator(23) xor accumulator(18); triangle(6) <= accumulator(23) xor accumulator(17); triangle(5) <= accumulator(23) xor accumulator(16); triangle(4) <= accumulator(23) xor accumulator(15); triangle(3) <= accumulator(23) xor accumulator(14); triangle(2) <= accumulator(23) xor accumulator(13); triangle(1) <= accumulator(23) xor accumulator(12); triangle(0) <= accumulator(23) xor accumulator(11); else -- ringmodulation by the other voice (previous voice) triangle(11)<= PA_MSB_in xor accumulator(22); triangle(10)<= PA_MSB_in xor accumulator(21); triangle(9) <= PA_MSB_in xor accumulator(20); triangle(8) <= PA_MSB_in xor accumulator(19); triangle(7) <= PA_MSB_in xor accumulator(18); triangle(6) <= PA_MSB_in xor accumulator(17); triangle(5) <= PA_MSB_in xor accumulator(16); triangle(4) <= PA_MSB_in xor accumulator(15); triangle(3) <= PA_MSB_in xor accumulator(14); triangle(2) <= PA_MSB_in xor accumulator(13); triangle(1) <= PA_MSB_in xor accumulator(12); triangle(0) <= PA_MSB_in xor accumulator(11); end if; end if; end process; --Noise (23-bit Linear Feedback Shift Register, max combinations = 8388607) : -- "The Noise waveform was created using a 23-bit pseudo-random sequence -- generator (i.e., a shift register with specific outputs fed back to the input -- through combinatorial logic). The shift register was clocked by one of the -- intermediate bits of the accumulator to keep the frequency content of the -- noise waveform relatively the same as the pitched waveforms. -- The upper 12-bits of the shift register were sent to the Waveform D/A." noise <= LFSR(22 downto 11); Snd_noise:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then -- the test signal can stop the oscillator, -- stopping the oscillator is very useful when you want to play "samples" if ((reset = '1') or (test = '1')) then accu_bit_prev <= '0'; -- the "seed" value (the value that eventually determines the output -- pattern) may never be '0' otherwise the generator "locks up" LFSR <= "00000000000000000000001"; else accu_bit_prev <= accumulator(19); -- when not equal to ... if (accu_bit_prev /= accumulator(19)) then LFSR(22 downto 1) <= LFSR(21 downto 0); LFSR(0) <= LFSR(17) xor LFSR(22); -- see Xilinx XAPP052 for maximal LFSR taps else LFSR <= LFSR; end if; end if; end if; end process; -- Waveform Output selector (MUX): -- "Since all of the waveforms were just digital bits, the Waveform Selector -- consisted of multiplexers that selected which waveform bits would be sent -- to the Waveform D/A. The multiplexers were single transistors and did not -- provide a "lock-out", allowing combinations of the waveforms to be selected. -- The combination was actually a logical ANDing of the bits of each waveform, -- which produced unpredictable results, so I didn't encourage this, especially -- since it could lock up the pseudo-random sequence generator by filling it -- with zeroes." Snd_select:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then signal_mux(11) <= (triangle(11) and Control(4)) or (sawtooth(11) and Control(5)) or (pulse and Control(6)) or (noise(11) and Control(7)); signal_mux(10) <= (triangle(10) and Control(4)) or (sawtooth(10) and Control(5)) or (pulse and Control(6)) or (noise(10) and Control(7)); signal_mux(9) <= (triangle(9) and Control(4)) or (sawtooth(9) and Control(5)) or (pulse and Control(6)) or (noise(9) and Control(7)); signal_mux(8) <= (triangle(8) and Control(4)) or (sawtooth(8) and Control(5)) or (pulse and Control(6)) or (noise(8) and Control(7)); signal_mux(7) <= (triangle(7) and Control(4)) or (sawtooth(7) and Control(5)) or (pulse and Control(6)) or (noise(7) and Control(7)); signal_mux(6) <= (triangle(6) and Control(4)) or (sawtooth(6) and Control(5)) or (pulse and Control(6)) or (noise(6) and Control(7)); signal_mux(5) <= (triangle(5) and Control(4)) or (sawtooth(5) and Control(5)) or (pulse and Control(6)) or (noise(5) and Control(7)); signal_mux(4) <= (triangle(4) and Control(4)) or (sawtooth(4) and Control(5)) or (pulse and Control(6)) or (noise(4) and Control(7)); signal_mux(3) <= (triangle(3) and Control(4)) or (sawtooth(3) and Control(5)) or (pulse and Control(6)) or (noise(3) and Control(7)); signal_mux(2) <= (triangle(2) and Control(4)) or (sawtooth(2) and Control(5)) or (pulse and Control(6)) or (noise(2) and Control(7)); signal_mux(1) <= (triangle(1) and Control(4)) or (sawtooth(1) and Control(5)) or (pulse and Control(6)) or (noise(1) and Control(7)); signal_mux(0) <= (triangle(0) and Control(4)) or (sawtooth(0) and Control(5)) or (pulse and Control(6)) or (noise(0) and Control(7)); end if; end process; -- Waveform envelope (volume) control : -- "The output of the Waveform D/A (which was an analog voltage at this point) -- was fed into the reference input of an 8-bit multiplying D/A, creating a DCA -- (digitally-controlled-amplifier). The digital control word which modulated -- the amplitude of the waveform came from the Envelope Generator." -- "The 8-bit output of the Envelope Generator was then sent to the Multiplying -- D/A converter to modulate the amplitude of the selected Oscillator Waveform -- (to be technically accurate, actually the waveform was modulating the output -- of the Envelope Generator, but the result is the same)." Envelope_multiplier:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then --calculate the resulting volume (due to the envelope generator) of the --voice, signal_mux(12bit) * env_counter(8bit), so the result will --require 20 bits !! signal_vol <= signal_mux * env_counter; end if; end process; -- Altera multiplier -- lpm_mult_component : lpm_mult -- GENERIC MAP -- ( -- lpm_hint => "MAXIMIZE_SPEED=5", -- lpm_representation => "UNSIGNED", -- lpm_type => "LPM_MULT", -- lpm_widtha => 12, -- lpm_widthb => 8, -- lpm_widthp => 20, -- lpm_widths => 1 -- ) -- PORT MAP -- ( -- dataa(11 downto 0) => signal_mux, -- datab(7 downto 0) => env_counter, -- result => signal_vol -- ); -- Envelope generator : -- "The Envelope Generator was simply an 8-bit up/down counter which, when -- triggered by the Gate bit, counted from 0 to 255 at the Attack rate, from -- 255 down to the programmed Sustain value at the Decay rate, remained at the -- Sustain value until the Gate bit was cleared then counted down from the -- Sustain value to 0 at the Release rate." -- -- /\ -- / \ -- / | \________ -- / | | \ -- / | | |\ -- / | | | \ -- attack|dec|sustain|rel -- this process controls the state machine "current-state"-value Envelope_SM_advance: process (reset, clk_1MHz) begin if (reset = '1') then cur_state <= idle; else if (rising_edge(clk_1MHz)) then cur_state <= next_state; end if; end if; end process; -- this process controls the envelope (in other words, the volume control) Envelope_SM: process (reset, cur_state, gate, divider_attack, divider_dec_rel, Att_dec, Sus_Rel, env_counter) begin if (reset = '1') then next_state <= idle; env_cnt_clear <='1'; env_cnt_up <='1'; env_count_hold_B <='1'; divider_rst <='1'; divider_value <= 0; exp_table_active <='0'; Dec_rel_sel <='0'; -- select decay as input for decay/release table else env_cnt_clear <='0'; -- use this statement unless stated otherwise env_cnt_up <='1'; -- use this statement unless stated otherwise env_count_hold_B <='1'; -- use this statement unless stated otherwise divider_rst <='0'; -- use this statement unless stated otherwise divider_value <= 0; -- use this statement unless stated otherwise exp_table_active <='0'; -- use this statement unless stated otherwise case cur_state is -- IDLE when idle => env_cnt_clear <= '1'; -- clear envelope env_counter divider_rst <= '1'; Dec_rel_sel <= '0'; -- select decay as input for decay/release table if gate = '1' then next_state <= attack; else next_state <= idle; end if; when attack => env_cnt_clear <= '1'; -- clear envelope env_counter divider_rst <= '1'; divider_value <= divider_attack; next_state <= attack_lp; Dec_rel_sel <= '0'; -- select decay as input for decay/release table when attack_lp => env_count_hold_B <= '0'; -- enable envelope env_counter env_cnt_up <= '1'; -- envelope env_counter must count up (increment) divider_value <= divider_attack; Dec_rel_sel <= '0'; -- select decay as input for decay/release table if env_counter = "11111111" then next_state <= decay; else if gate = '0' then next_state <= release; else next_state <= attack_lp; end if; end if; when decay => divider_rst <= '1'; exp_table_active <= '1'; -- activate exponential look-up table env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; next_state <= decay_lp; Dec_rel_sel <= '0'; -- select decay as input for decay/release table when decay_lp => exp_table_active <= '1'; -- activate exponential look-up table env_count_hold_B <= '0'; -- enable envelope env_counter env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; Dec_rel_sel <= '0'; -- select decay as input for decay/release table if (env_counter(7 downto 4) = Sus_Rel(7 downto 4)) then next_state <= sustain; else if gate = '0' then next_state <= release; else next_state <= decay_lp; end if; end if; -- "A digital comparator was used for the Sustain function. The upper -- four bits of the Up/Down counter were compared to the programmed -- Sustain value and would stop the clock to the Envelope Generator when -- the counter counted down to the Sustain value. This created 16 linearly -- spaced sustain levels without havingto go through a look-up table -- translation between the 4-bit register value and the 8-bit Envelope -- Generator output. It also meant that sustain levels were adjustable -- in steps of 16. Again, more register bits would have provided higher -- resolution." -- "When the Gate bit was cleared, the clock would again be enabled, -- allowing the counter to count down to zero. Like an analog envelope -- generator, the SID Envelope Generator would track the Sustain level -- if it was changed to a lower value during the Sustain portion of the -- envelope, however, it would not count UP if the Sustain level were set -- higher." Instead it would count down to '0'. when sustain => divider_value <= 0; Dec_rel_sel <='1'; -- select release as input for decay/release table if gate = '0' then next_state <= release; else if (env_counter(7 downto 4) = Sus_Rel(7 downto 4)) then next_state <= sustain; else next_state <= decay; end if; end if; when release => divider_rst <= '1'; exp_table_active <= '1'; -- activate exponential look-up table env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; Dec_rel_sel <= '1'; -- select release as input for decay/release table next_state <= release_lp; when release_lp => exp_table_active <= '1'; -- activate exponential look-up table env_count_hold_B <= '0'; -- enable envelope env_counter env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; Dec_rel_sel <= '1'; -- select release as input for decay/release table if env_counter = "00000000" then next_state <= idle; else if gate = '1' then next_state <= idle; else next_state <= release_lp; end if; end if; when others => divider_value <= 0; Dec_rel_sel <= '0'; -- select decay as input for decay/release table next_state <= idle; end case; end if; end process; -- 8 bit up/down env_counter Envelope_counter:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ((reset = '1') or (env_cnt_clear = '1')) then env_counter <= (others => '0'); else if ((env_count_hold_A = '1') or (env_count_hold_B = '1'))then env_counter <= env_counter; else if (env_cnt_up = '1') then env_counter <= env_counter + 1; else env_counter <= env_counter - 1; end if; end if; end if; end if; end process; -- Divider : -- "A programmable frequency divider was used to set the various rates -- (unfortunately I don't remember how many bits the divider was, either 12 -- or 16 bits). A small look-up table translated the 16 register-programmable -- values to the appropriate number to load into the frequency divider. -- Depending on what state the Envelope Generator was in (i.e. ADS or R), the -- appropriate register would be selected and that number would be translated -- and loaded into the divider. Obviously it would have been better to have -- individual bit control of the divider which would have provided great -- resolution for each rate, however I did not have enough silicon area for a -- lot of register bits. Using this approach, I was able to cram a wide range -- of rates into 4 bits, allowing the ADSR to be defined in two bytes instead -- of eight. The actual numbers in the look-up table were arrived at -- subjectively by setting up typical patches on a Sequential Circuits Pro-1 -- and measuring the envelope times by ear (which is why the available rates -- seem strange)!" prog_freq_div:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ((reset = '1') or (divider_rst = '1')) then env_count_hold_A <= '1'; divider_counter <= 0; else if (divider_counter = 0) then env_count_hold_A <= '0'; if (exp_table_active = '1') then divider_counter <= exp_table_value; else divider_counter <= divider_value; end if; else env_count_hold_A <= '1'; divider_counter <= divider_counter - 1; end if; end if; end if; end process; -- Piese-wise linear approximation of an exponential : -- "In order to more closely model the exponential decay of sounds, another -- look-up table on the output of the Envelope Generator would sequentially -- divide the clock to the Envelope Generator by two at specific counts in the -- Decay and Release cycles. This created a piece-wise linear approximation of -- an exponential. I was particularly happy how well this worked considering -- the simplicity of the circuitry. The Attack, however, was linear, but this -- sounded fine." -- The clock is divided by two at specifiek values of the envelope generator to -- create an exponential. Exponential_table:process(clk_1MHz) BEGIN if (rising_edge(clk_1MHz)) then if (reset = '1') then exp_table_value <= 0; else case CONV_INTEGER(env_counter) is when 0 to 51 => exp_table_value <= divider_value * 16; when 52 to 101 => exp_table_value <= divider_value * 8; when 102 to 152 => exp_table_value <= divider_value * 4; when 153 to 203 => exp_table_value <= divider_value * 2; when 204 to 255 => exp_table_value <= divider_value; when others => exp_table_value <= divider_value; end case; end if; end if; end process; -- Attack Lookup table : -- It takes 255 clock cycles from zero to peak value. Therefore the divider -- equals (attack rate / clockcycletime of 1MHz clock) / 254; Attack_table:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if (reset = '1') then divider_attack <= 0; else case Att_dec(7 downto 4) is when "0000" => divider_attack <= 8; --attack rate: ( 2mS / 1uS per clockcycle) /254 steps when "0001" => divider_attack <= 31; --attack rate: ( 8mS / 1uS per clockcycle) /254 steps when "0010" => divider_attack <= 63; --attack rate: ( 16mS / 1uS per clockcycle) /254 steps when "0011" => divider_attack <= 94; --attack rate: ( 24mS / 1uS per clockcycle) /254 steps when "0100" => divider_attack <= 150; --attack rate: ( 38mS / 1uS per clockcycle) /254 steps when "0101" => divider_attack <= 220; --attack rate: ( 56mS / 1uS per clockcycle) /254 steps when "0110" => divider_attack <= 268; --attack rate: ( 68mS / 1uS per clockcycle) /254 steps when "0111" => divider_attack <= 315; --attack rate: ( 80mS / 1uS per clockcycle) /254 steps when "1000" => divider_attack <= 394; --attack rate: ( 100mS / 1uS per clockcycle) /254 steps when "1001" => divider_attack <= 984; --attack rate: ( 250mS / 1uS per clockcycle) /254 steps when "1010" => divider_attack <= 1968; --attack rate: ( 500mS / 1uS per clockcycle) /254 steps when "1011" => divider_attack <= 3150; --attack rate: ( 800mS / 1uS per clockcycle) /254 steps when "1100" => divider_attack <= 3937; --attack rate: (1000mS / 1uS per clockcycle) /254 steps when "1101" => divider_attack <= 11811; --attack rate: (3000mS / 1uS per clockcycle) /254 steps when "1110" => divider_attack <= 19685; --attack rate: (5000mS / 1uS per clockcycle) /254 steps when "1111" => divider_attack <= 31496; --attack rate: (8000mS / 1uS per clockcycle) /254 steps when others => divider_attack <= 0; -- end case; end if; end if; end process; Decay_Release_input_select:process(Dec_rel_sel, Att_dec, Sus_Rel) begin if (Dec_rel_sel = '0') then Dec_rel(3 downto 0) <= Att_dec(3 downto 0); else Dec_rel(3 downto 0) <= Sus_rel(3 downto 0); end if; end process; -- Decay Lookup table : -- It takes 32 * 51 = 1632 clock cycles to fall from peak level to zero. -- Release Lookup table : -- It takes 32 * 51 = 1632 clock cycles to fall from peak level to zero. Decay_Release_table:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if reset = '1' then divider_dec_rel <= 0; else case Dec_rel(3 downto 0) is when "0000" => divider_dec_rel <= 3; --release rate: ( 6mS / 1uS per clockcycle) / 1632 when "0001" => divider_dec_rel <= 15; --release rate: ( 24mS / 1uS per clockcycle) / 1632 when "0010" => divider_dec_rel <= 29; --release rate: ( 48mS / 1uS per clockcycle) / 1632 when "0011" => divider_dec_rel <= 44; --release rate: ( 72mS / 1uS per clockcycle) / 1632 when "0100" => divider_dec_rel <= 70; --release rate: ( 114mS / 1uS per clockcycle) / 1632 when "0101" => divider_dec_rel <= 103; --release rate: ( 168mS / 1uS per clockcycle) / 1632 when "0110" => divider_dec_rel <= 125; --release rate: ( 204mS / 1uS per clockcycle) / 1632 when "0111" => divider_dec_rel <= 147; --release rate: ( 240mS / 1uS per clockcycle) / 1632 when "1000" => divider_dec_rel <= 184; --release rate: ( 300mS / 1uS per clockcycle) / 1632 when "1001" => divider_dec_rel <= 459; --release rate: ( 750mS / 1uS per clockcycle) / 1632 when "1010" => divider_dec_rel <= 919; --release rate: ( 1500mS / 1uS per clockcycle) / 1632 when "1011" => divider_dec_rel <= 1471; --release rate: ( 2400mS / 1uS per clockcycle) / 1632 when "1100" => divider_dec_rel <= 1838; --release rate: ( 3000mS / 1uS per clockcycle) / 1632 when "1101" => divider_dec_rel <= 5515; --release rate: ( 9000mS / 1uS per clockcycle) / 1632 when "1110" => divider_dec_rel <= 9191; --release rate: (15000mS / 1uS per clockcycle) / 1632 when "1111" => divider_dec_rel <= 14706; --release rate: (24000mS / 1uS per clockcycle) / 1632 when others => divider_dec_rel <= 0; -- end case; end if; end if; end process; end Behavioral;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Wing_VGA8/Libraries/Wishbone_Peripherals/sid_voice.vhd
13
28809
------------------------------------------------------------------------------- -- -- SID 6581 (voice) -- -- This piece of VHDL code describes a single SID voice (sound channel) -- ------------------------------------------------------------------------------- -- to do: - better resolution of result signal voice, this is now only 12bits -- but it could be 20 !! Problem, it does not fit the PWM-dac ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity sid_voice is port ( clk_1MHz : in std_logic; -- this line drives the oscilator reset : in std_logic; -- active high signal (i.e. registers are reset when reset=1) Freq_lo : in std_logic_vector(7 downto 0); -- low-byte of frequency register Freq_hi : in std_logic_vector(7 downto 0); -- high-byte of frequency register Pw_lo : in std_logic_vector(7 downto 0); -- low-byte of PuleWidth register Pw_hi : in std_logic_vector(3 downto 0); -- high-nibble of PuleWidth register Control : in std_logic_vector(7 downto 0); -- control register Att_dec : in std_logic_vector(7 downto 0); -- attack-deccay register Sus_Rel : in std_logic_vector(7 downto 0); -- sustain-release register PA_MSB_in : in std_logic; -- Phase Accumulator MSB input PA_MSB_out : out std_logic; -- Phase Accumulator MSB output Osc : out std_logic_vector(7 downto 0); -- Voice waveform register Env : out std_logic_vector(7 downto 0); -- Voice envelope register voice : out std_logic_vector(11 downto 0) -- Voice waveform, this is the actual audio signal ); end sid_voice; architecture Behavioral of sid_voice is ------------------------------------------------------------------------------- -- Altera multiplier -- COMPONENT lpm_mult -- GENERIC -- ( -- lpm_hint : STRING; -- lpm_representation : STRING; -- lpm_type : STRING; -- lpm_widtha : NATURAL; -- lpm_widthb : NATURAL; -- lpm_widthp : NATURAL; -- lpm_widths : NATURAL -- ); -- PORT -- ( -- dataa : IN STD_LOGIC_VECTOR (11 DOWNTO 0); -- datab : IN STD_LOGIC_VECTOR (7 DOWNTO 0); -- result : OUT STD_LOGIC_VECTOR (19 DOWNTO 0) -- ); -- END COMPONENT; ------------------------------------------------------------------------------- signal accumulator : std_logic_vector(23 downto 0) := (others => '0'); signal accu_bit_prev : std_logic := '0'; signal PA_MSB_in_prev : std_logic := '0'; -- this type of signal has only two states 0 or 1 (so no more bits are required) signal pulse : std_logic := '0'; signal sawtooth : std_logic_vector(11 downto 0) := (others => '0'); signal triangle : std_logic_vector(11 downto 0) := (others => '0'); signal noise : std_logic_vector(11 downto 0) := (others => '0'); signal LFSR : std_logic_vector(22 downto 0) := (others => '0'); signal frequency : std_logic_vector(15 downto 0) := (others => '0'); signal pulsewidth : std_logic_vector(11 downto 0) := (others => '0'); -- Envelope Generator type envelope_state_types is (idle, attack, attack_lp, decay, decay_lp, sustain, release, release_lp); signal cur_state, next_state : envelope_state_types; signal divider_value : integer range 0 to 2**15 - 1 :=0; signal divider_attack : integer range 0 to 2**15 - 1 :=0; signal divider_dec_rel : integer range 0 to 2**15 - 1 :=0; signal divider_counter : integer range 0 to 2**18 - 1 :=0; signal exp_table_value : integer range 0 to 2**18 - 1 :=0; signal exp_table_active : std_logic := '0'; signal divider_rst : std_logic := '0'; signal Dec_rel : std_logic_vector(3 downto 0) := (others => '0'); signal Dec_rel_sel : std_logic := '0'; signal env_counter : std_logic_vector(17 downto 0) := (others => '0'); signal env_count_hold_A : std_logic := '0'; signal env_count_hold_B : std_logic := '0'; signal env_cnt_up : std_logic := '0'; signal env_cnt_clear : std_logic := '0'; signal signal_mux : std_logic_vector(17 downto 0) := (others => '0'); signal signal_vol : std_logic_vector(35 downto 0) := (others => '0'); ------------------------------------------------------------------------------------- -- stop the oscillator when test = '1' alias test : std_logic is Control(3); -- Ring Modulation was accomplished by substituting the accumulator MSB of an -- oscillator in the EXOR function of the triangle waveform generator with the -- accumulator MSB of the previous oscillator. That is why the triangle waveform -- must be selected to use Ring Modulation. alias ringmod : std_logic is Control(2); -- Hard Sync was accomplished by clearing the accumulator of an Oscillator -- based on the accumulator MSB of the previous oscillator. alias sync : std_logic is Control(1); -- alias gate : std_logic is Control(0); ------------------------------------------------------------------------------------- begin -- output the Phase accumulator's MSB for sync and ringmod purposes PA_MSB_out <= accumulator(23); -- output the upper 8-bits of the waveform. -- Useful for random numbers (noise must be selected) Osc <= signal_mux(11 downto 4); -- output the envelope register, for special sound effects when connecting this -- signal to the input of other channels/voices Env <= env_counter(7 downto 0); -- use the register value to fill the variable frequency(15 downto 8) <= Freq_hi(7 downto 0); -- frequency(7 downto 0) <= Freq_lo(7 downto 0); -- use the register value to fill the variable pulsewidth(11 downto 8) <= Pw_hi(3 downto 0); -- pulsewidth(7 downto 0) <= Pw_lo(7 downto 0); -- voice <= signal_vol(19 downto 8); -- Phase accumulator : -- "As I recall, the Oscillator is a 24-bit phase-accumulating design of which -- the lower 16-bits are programmable for pitch control. The output of the -- accumulator goes directly to a D/A converter through a waveform selector. -- Normally, the output of a phase-accumulating oscillator would be used as an -- address into memory which contained a wavetable, but SID had to be entirely -- self-contained and there was no room at all for a wavetable on the chip." -- "Hard Sync was accomplished by clearing the accumulator of an Oscillator -- based on the accumulator MSB of the previous oscillator." PhaseAcc:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then PA_MSB_in_prev <= PA_MSB_in; -- the reset and test signal can stop the oscillator, -- stopping the oscillator is very useful when you want to play "samples" if ((reset = '1') or (test = '1') or ((sync = '1') and (PA_MSB_in_prev /= PA_MSB_in) and (PA_MSB_in = '0'))) then accumulator <= (others => '0'); else -- accumulate the new phase (i.o.w. increment env_counter with the freq. value) accumulator <= accumulator + ("0" & frequency(15 downto 0)); end if; end if; end process; -- Sawtooth waveform : -- "The Sawtooth waveform was created by sending the upper 12-bits of the -- accumulator to the 12-bit Waveform D/A." Snd_Sawtooth:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then sawtooth <= accumulator(23 downto 12); end if; end process; --Pulse waveform : -- "The Pulse waveform was created by sending the upper 12-bits of the -- accumulator to a 12-bit digital comparator. The output of the comparator was -- either a one or a zero. This single output was then sent to all 12 bits of -- the Waveform D/A. " Snd_pulse:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ((accumulator(23 downto 12)) >= (pulsewidth(11 downto 0))) then pulse <= '1'; else pulse <= '0'; end if; end if; end process; --Triangle waveform : -- "The Triangle waveform was created by using the MSB of the accumulator to -- invert the remaining upper 11 accumulator bits using EXOR gates. These 11 -- bits were then left-shifted (throwing away the MSB) and sent to the Waveform -- D/A (so the resolution of the triangle waveform was half that of the sawtooth, -- but the amplitude and frequency were the same). " -- "Ring Modulation was accomplished by substituting the accumulator MSB of an -- oscillator in the EXOR function of the triangle waveform generator with the -- accumulator MSB of the previous oscillator. That is why the triangle waveform -- must be selected to use Ring Modulation." Snd_triangle:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ringmod = '0' then -- no ringmodulation triangle(11)<= accumulator(23) xor accumulator(22); triangle(10)<= accumulator(23) xor accumulator(21); triangle(9) <= accumulator(23) xor accumulator(20); triangle(8) <= accumulator(23) xor accumulator(19); triangle(7) <= accumulator(23) xor accumulator(18); triangle(6) <= accumulator(23) xor accumulator(17); triangle(5) <= accumulator(23) xor accumulator(16); triangle(4) <= accumulator(23) xor accumulator(15); triangle(3) <= accumulator(23) xor accumulator(14); triangle(2) <= accumulator(23) xor accumulator(13); triangle(1) <= accumulator(23) xor accumulator(12); triangle(0) <= accumulator(23) xor accumulator(11); else -- ringmodulation by the other voice (previous voice) triangle(11)<= PA_MSB_in xor accumulator(22); triangle(10)<= PA_MSB_in xor accumulator(21); triangle(9) <= PA_MSB_in xor accumulator(20); triangle(8) <= PA_MSB_in xor accumulator(19); triangle(7) <= PA_MSB_in xor accumulator(18); triangle(6) <= PA_MSB_in xor accumulator(17); triangle(5) <= PA_MSB_in xor accumulator(16); triangle(4) <= PA_MSB_in xor accumulator(15); triangle(3) <= PA_MSB_in xor accumulator(14); triangle(2) <= PA_MSB_in xor accumulator(13); triangle(1) <= PA_MSB_in xor accumulator(12); triangle(0) <= PA_MSB_in xor accumulator(11); end if; end if; end process; --Noise (23-bit Linear Feedback Shift Register, max combinations = 8388607) : -- "The Noise waveform was created using a 23-bit pseudo-random sequence -- generator (i.e., a shift register with specific outputs fed back to the input -- through combinatorial logic). The shift register was clocked by one of the -- intermediate bits of the accumulator to keep the frequency content of the -- noise waveform relatively the same as the pitched waveforms. -- The upper 12-bits of the shift register were sent to the Waveform D/A." noise <= LFSR(22 downto 11); Snd_noise:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then -- the test signal can stop the oscillator, -- stopping the oscillator is very useful when you want to play "samples" if ((reset = '1') or (test = '1')) then accu_bit_prev <= '0'; -- the "seed" value (the value that eventually determines the output -- pattern) may never be '0' otherwise the generator "locks up" LFSR <= "00000000000000000000001"; else accu_bit_prev <= accumulator(19); -- when not equal to ... if (accu_bit_prev /= accumulator(19)) then LFSR(22 downto 1) <= LFSR(21 downto 0); LFSR(0) <= LFSR(17) xor LFSR(22); -- see Xilinx XAPP052 for maximal LFSR taps else LFSR <= LFSR; end if; end if; end if; end process; -- Waveform Output selector (MUX): -- "Since all of the waveforms were just digital bits, the Waveform Selector -- consisted of multiplexers that selected which waveform bits would be sent -- to the Waveform D/A. The multiplexers were single transistors and did not -- provide a "lock-out", allowing combinations of the waveforms to be selected. -- The combination was actually a logical ANDing of the bits of each waveform, -- which produced unpredictable results, so I didn't encourage this, especially -- since it could lock up the pseudo-random sequence generator by filling it -- with zeroes." Snd_select:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then signal_mux(11) <= (triangle(11) and Control(4)) or (sawtooth(11) and Control(5)) or (pulse and Control(6)) or (noise(11) and Control(7)); signal_mux(10) <= (triangle(10) and Control(4)) or (sawtooth(10) and Control(5)) or (pulse and Control(6)) or (noise(10) and Control(7)); signal_mux(9) <= (triangle(9) and Control(4)) or (sawtooth(9) and Control(5)) or (pulse and Control(6)) or (noise(9) and Control(7)); signal_mux(8) <= (triangle(8) and Control(4)) or (sawtooth(8) and Control(5)) or (pulse and Control(6)) or (noise(8) and Control(7)); signal_mux(7) <= (triangle(7) and Control(4)) or (sawtooth(7) and Control(5)) or (pulse and Control(6)) or (noise(7) and Control(7)); signal_mux(6) <= (triangle(6) and Control(4)) or (sawtooth(6) and Control(5)) or (pulse and Control(6)) or (noise(6) and Control(7)); signal_mux(5) <= (triangle(5) and Control(4)) or (sawtooth(5) and Control(5)) or (pulse and Control(6)) or (noise(5) and Control(7)); signal_mux(4) <= (triangle(4) and Control(4)) or (sawtooth(4) and Control(5)) or (pulse and Control(6)) or (noise(4) and Control(7)); signal_mux(3) <= (triangle(3) and Control(4)) or (sawtooth(3) and Control(5)) or (pulse and Control(6)) or (noise(3) and Control(7)); signal_mux(2) <= (triangle(2) and Control(4)) or (sawtooth(2) and Control(5)) or (pulse and Control(6)) or (noise(2) and Control(7)); signal_mux(1) <= (triangle(1) and Control(4)) or (sawtooth(1) and Control(5)) or (pulse and Control(6)) or (noise(1) and Control(7)); signal_mux(0) <= (triangle(0) and Control(4)) or (sawtooth(0) and Control(5)) or (pulse and Control(6)) or (noise(0) and Control(7)); end if; end process; -- Waveform envelope (volume) control : -- "The output of the Waveform D/A (which was an analog voltage at this point) -- was fed into the reference input of an 8-bit multiplying D/A, creating a DCA -- (digitally-controlled-amplifier). The digital control word which modulated -- the amplitude of the waveform came from the Envelope Generator." -- "The 8-bit output of the Envelope Generator was then sent to the Multiplying -- D/A converter to modulate the amplitude of the selected Oscillator Waveform -- (to be technically accurate, actually the waveform was modulating the output -- of the Envelope Generator, but the result is the same)." Envelope_multiplier:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then --calculate the resulting volume (due to the envelope generator) of the --voice, signal_mux(12bit) * env_counter(8bit), so the result will --require 20 bits !! signal_vol <= signal_mux * env_counter; end if; end process; -- Altera multiplier -- lpm_mult_component : lpm_mult -- GENERIC MAP -- ( -- lpm_hint => "MAXIMIZE_SPEED=5", -- lpm_representation => "UNSIGNED", -- lpm_type => "LPM_MULT", -- lpm_widtha => 12, -- lpm_widthb => 8, -- lpm_widthp => 20, -- lpm_widths => 1 -- ) -- PORT MAP -- ( -- dataa(11 downto 0) => signal_mux, -- datab(7 downto 0) => env_counter, -- result => signal_vol -- ); -- Envelope generator : -- "The Envelope Generator was simply an 8-bit up/down counter which, when -- triggered by the Gate bit, counted from 0 to 255 at the Attack rate, from -- 255 down to the programmed Sustain value at the Decay rate, remained at the -- Sustain value until the Gate bit was cleared then counted down from the -- Sustain value to 0 at the Release rate." -- -- /\ -- / \ -- / | \________ -- / | | \ -- / | | |\ -- / | | | \ -- attack|dec|sustain|rel -- this process controls the state machine "current-state"-value Envelope_SM_advance: process (reset, clk_1MHz) begin if (reset = '1') then cur_state <= idle; else if (rising_edge(clk_1MHz)) then cur_state <= next_state; end if; end if; end process; -- this process controls the envelope (in other words, the volume control) Envelope_SM: process (reset, cur_state, gate, divider_attack, divider_dec_rel, Att_dec, Sus_Rel, env_counter) begin if (reset = '1') then next_state <= idle; env_cnt_clear <='1'; env_cnt_up <='1'; env_count_hold_B <='1'; divider_rst <='1'; divider_value <= 0; exp_table_active <='0'; Dec_rel_sel <='0'; -- select decay as input for decay/release table else env_cnt_clear <='0'; -- use this statement unless stated otherwise env_cnt_up <='1'; -- use this statement unless stated otherwise env_count_hold_B <='1'; -- use this statement unless stated otherwise divider_rst <='0'; -- use this statement unless stated otherwise divider_value <= 0; -- use this statement unless stated otherwise exp_table_active <='0'; -- use this statement unless stated otherwise case cur_state is -- IDLE when idle => env_cnt_clear <= '1'; -- clear envelope env_counter divider_rst <= '1'; Dec_rel_sel <= '0'; -- select decay as input for decay/release table if gate = '1' then next_state <= attack; else next_state <= idle; end if; when attack => env_cnt_clear <= '1'; -- clear envelope env_counter divider_rst <= '1'; divider_value <= divider_attack; next_state <= attack_lp; Dec_rel_sel <= '0'; -- select decay as input for decay/release table when attack_lp => env_count_hold_B <= '0'; -- enable envelope env_counter env_cnt_up <= '1'; -- envelope env_counter must count up (increment) divider_value <= divider_attack; Dec_rel_sel <= '0'; -- select decay as input for decay/release table if env_counter = "11111111" then next_state <= decay; else if gate = '0' then next_state <= release; else next_state <= attack_lp; end if; end if; when decay => divider_rst <= '1'; exp_table_active <= '1'; -- activate exponential look-up table env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; next_state <= decay_lp; Dec_rel_sel <= '0'; -- select decay as input for decay/release table when decay_lp => exp_table_active <= '1'; -- activate exponential look-up table env_count_hold_B <= '0'; -- enable envelope env_counter env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; Dec_rel_sel <= '0'; -- select decay as input for decay/release table if (env_counter(7 downto 4) = Sus_Rel(7 downto 4)) then next_state <= sustain; else if gate = '0' then next_state <= release; else next_state <= decay_lp; end if; end if; -- "A digital comparator was used for the Sustain function. The upper -- four bits of the Up/Down counter were compared to the programmed -- Sustain value and would stop the clock to the Envelope Generator when -- the counter counted down to the Sustain value. This created 16 linearly -- spaced sustain levels without havingto go through a look-up table -- translation between the 4-bit register value and the 8-bit Envelope -- Generator output. It also meant that sustain levels were adjustable -- in steps of 16. Again, more register bits would have provided higher -- resolution." -- "When the Gate bit was cleared, the clock would again be enabled, -- allowing the counter to count down to zero. Like an analog envelope -- generator, the SID Envelope Generator would track the Sustain level -- if it was changed to a lower value during the Sustain portion of the -- envelope, however, it would not count UP if the Sustain level were set -- higher." Instead it would count down to '0'. when sustain => divider_value <= 0; Dec_rel_sel <='1'; -- select release as input for decay/release table if gate = '0' then next_state <= release; else if (env_counter(7 downto 4) = Sus_Rel(7 downto 4)) then next_state <= sustain; else next_state <= decay; end if; end if; when release => divider_rst <= '1'; exp_table_active <= '1'; -- activate exponential look-up table env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; Dec_rel_sel <= '1'; -- select release as input for decay/release table next_state <= release_lp; when release_lp => exp_table_active <= '1'; -- activate exponential look-up table env_count_hold_B <= '0'; -- enable envelope env_counter env_cnt_up <= '0'; -- envelope env_counter must count down (decrement) divider_value <= divider_dec_rel; Dec_rel_sel <= '1'; -- select release as input for decay/release table if env_counter = "00000000" then next_state <= idle; else if gate = '1' then next_state <= idle; else next_state <= release_lp; end if; end if; when others => divider_value <= 0; Dec_rel_sel <= '0'; -- select decay as input for decay/release table next_state <= idle; end case; end if; end process; -- 8 bit up/down env_counter Envelope_counter:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ((reset = '1') or (env_cnt_clear = '1')) then env_counter <= (others => '0'); else if ((env_count_hold_A = '1') or (env_count_hold_B = '1'))then env_counter <= env_counter; else if (env_cnt_up = '1') then env_counter <= env_counter + 1; else env_counter <= env_counter - 1; end if; end if; end if; end if; end process; -- Divider : -- "A programmable frequency divider was used to set the various rates -- (unfortunately I don't remember how many bits the divider was, either 12 -- or 16 bits). A small look-up table translated the 16 register-programmable -- values to the appropriate number to load into the frequency divider. -- Depending on what state the Envelope Generator was in (i.e. ADS or R), the -- appropriate register would be selected and that number would be translated -- and loaded into the divider. Obviously it would have been better to have -- individual bit control of the divider which would have provided great -- resolution for each rate, however I did not have enough silicon area for a -- lot of register bits. Using this approach, I was able to cram a wide range -- of rates into 4 bits, allowing the ADSR to be defined in two bytes instead -- of eight. The actual numbers in the look-up table were arrived at -- subjectively by setting up typical patches on a Sequential Circuits Pro-1 -- and measuring the envelope times by ear (which is why the available rates -- seem strange)!" prog_freq_div:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if ((reset = '1') or (divider_rst = '1')) then env_count_hold_A <= '1'; divider_counter <= 0; else if (divider_counter = 0) then env_count_hold_A <= '0'; if (exp_table_active = '1') then divider_counter <= exp_table_value; else divider_counter <= divider_value; end if; else env_count_hold_A <= '1'; divider_counter <= divider_counter - 1; end if; end if; end if; end process; -- Piese-wise linear approximation of an exponential : -- "In order to more closely model the exponential decay of sounds, another -- look-up table on the output of the Envelope Generator would sequentially -- divide the clock to the Envelope Generator by two at specific counts in the -- Decay and Release cycles. This created a piece-wise linear approximation of -- an exponential. I was particularly happy how well this worked considering -- the simplicity of the circuitry. The Attack, however, was linear, but this -- sounded fine." -- The clock is divided by two at specifiek values of the envelope generator to -- create an exponential. Exponential_table:process(clk_1MHz) BEGIN if (rising_edge(clk_1MHz)) then if (reset = '1') then exp_table_value <= 0; else case CONV_INTEGER(env_counter) is when 0 to 51 => exp_table_value <= divider_value * 16; when 52 to 101 => exp_table_value <= divider_value * 8; when 102 to 152 => exp_table_value <= divider_value * 4; when 153 to 203 => exp_table_value <= divider_value * 2; when 204 to 255 => exp_table_value <= divider_value; when others => exp_table_value <= divider_value; end case; end if; end if; end process; -- Attack Lookup table : -- It takes 255 clock cycles from zero to peak value. Therefore the divider -- equals (attack rate / clockcycletime of 1MHz clock) / 254; Attack_table:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if (reset = '1') then divider_attack <= 0; else case Att_dec(7 downto 4) is when "0000" => divider_attack <= 8; --attack rate: ( 2mS / 1uS per clockcycle) /254 steps when "0001" => divider_attack <= 31; --attack rate: ( 8mS / 1uS per clockcycle) /254 steps when "0010" => divider_attack <= 63; --attack rate: ( 16mS / 1uS per clockcycle) /254 steps when "0011" => divider_attack <= 94; --attack rate: ( 24mS / 1uS per clockcycle) /254 steps when "0100" => divider_attack <= 150; --attack rate: ( 38mS / 1uS per clockcycle) /254 steps when "0101" => divider_attack <= 220; --attack rate: ( 56mS / 1uS per clockcycle) /254 steps when "0110" => divider_attack <= 268; --attack rate: ( 68mS / 1uS per clockcycle) /254 steps when "0111" => divider_attack <= 315; --attack rate: ( 80mS / 1uS per clockcycle) /254 steps when "1000" => divider_attack <= 394; --attack rate: ( 100mS / 1uS per clockcycle) /254 steps when "1001" => divider_attack <= 984; --attack rate: ( 250mS / 1uS per clockcycle) /254 steps when "1010" => divider_attack <= 1968; --attack rate: ( 500mS / 1uS per clockcycle) /254 steps when "1011" => divider_attack <= 3150; --attack rate: ( 800mS / 1uS per clockcycle) /254 steps when "1100" => divider_attack <= 3937; --attack rate: (1000mS / 1uS per clockcycle) /254 steps when "1101" => divider_attack <= 11811; --attack rate: (3000mS / 1uS per clockcycle) /254 steps when "1110" => divider_attack <= 19685; --attack rate: (5000mS / 1uS per clockcycle) /254 steps when "1111" => divider_attack <= 31496; --attack rate: (8000mS / 1uS per clockcycle) /254 steps when others => divider_attack <= 0; -- end case; end if; end if; end process; Decay_Release_input_select:process(Dec_rel_sel, Att_dec, Sus_Rel) begin if (Dec_rel_sel = '0') then Dec_rel(3 downto 0) <= Att_dec(3 downto 0); else Dec_rel(3 downto 0) <= Sus_rel(3 downto 0); end if; end process; -- Decay Lookup table : -- It takes 32 * 51 = 1632 clock cycles to fall from peak level to zero. -- Release Lookup table : -- It takes 32 * 51 = 1632 clock cycles to fall from peak level to zero. Decay_Release_table:process(clk_1MHz) begin if (rising_edge(clk_1MHz)) then if reset = '1' then divider_dec_rel <= 0; else case Dec_rel(3 downto 0) is when "0000" => divider_dec_rel <= 3; --release rate: ( 6mS / 1uS per clockcycle) / 1632 when "0001" => divider_dec_rel <= 15; --release rate: ( 24mS / 1uS per clockcycle) / 1632 when "0010" => divider_dec_rel <= 29; --release rate: ( 48mS / 1uS per clockcycle) / 1632 when "0011" => divider_dec_rel <= 44; --release rate: ( 72mS / 1uS per clockcycle) / 1632 when "0100" => divider_dec_rel <= 70; --release rate: ( 114mS / 1uS per clockcycle) / 1632 when "0101" => divider_dec_rel <= 103; --release rate: ( 168mS / 1uS per clockcycle) / 1632 when "0110" => divider_dec_rel <= 125; --release rate: ( 204mS / 1uS per clockcycle) / 1632 when "0111" => divider_dec_rel <= 147; --release rate: ( 240mS / 1uS per clockcycle) / 1632 when "1000" => divider_dec_rel <= 184; --release rate: ( 300mS / 1uS per clockcycle) / 1632 when "1001" => divider_dec_rel <= 459; --release rate: ( 750mS / 1uS per clockcycle) / 1632 when "1010" => divider_dec_rel <= 919; --release rate: ( 1500mS / 1uS per clockcycle) / 1632 when "1011" => divider_dec_rel <= 1471; --release rate: ( 2400mS / 1uS per clockcycle) / 1632 when "1100" => divider_dec_rel <= 1838; --release rate: ( 3000mS / 1uS per clockcycle) / 1632 when "1101" => divider_dec_rel <= 5515; --release rate: ( 9000mS / 1uS per clockcycle) / 1632 when "1110" => divider_dec_rel <= 9191; --release rate: (15000mS / 1uS per clockcycle) / 1632 when "1111" => divider_dec_rel <= 14706; --release rate: (24000mS / 1uS per clockcycle) / 1632 when others => divider_dec_rel <= 0; -- end case; end if; end if; end process; end Behavioral;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Template_PSL_Base/Libraries/ZPUino_1/board_Papilio_One_500k/zpu_config_hyperion.vhd
13
2695
-- ZPU -- -- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- The views and conclusions contained in the software and documentation -- are those of the authors and should not be interpreted as representing -- official policies, either expressed or implied, of the ZPU Project. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; package zpu_config_hyperion is -- generate trace output or not. constant Generate_Trace : boolean := false; constant wordPower : integer := 5; -- during simulation, set this to '0' to get matching trace.txt constant DontCareValue : std_logic := 'X'; constant Undefined: std_logic := '0'; -- Clock frequency in MHz. constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) constant maxAddrBitIncIO : integer := 27; constant maxAddrBitBRAM : integer := 13; constant maxIOBit: integer := maxAddrBitIncIO - 1; constant minIOBit: integer := 2; constant stackSize_bits: integer := 9; -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); constant enable_fmul16: boolean := false; end zpu_config_hyperion;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Audio_SID_simple/Libraries/ZPUino_1/board_Papilio_One_500k/zpu_config_hyperion.vhd
13
2695
-- ZPU -- -- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- The views and conclusions contained in the software and documentation -- are those of the authors and should not be interpreted as representing -- official policies, either expressed or implied, of the ZPU Project. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; package zpu_config_hyperion is -- generate trace output or not. constant Generate_Trace : boolean := false; constant wordPower : integer := 5; -- during simulation, set this to '0' to get matching trace.txt constant DontCareValue : std_logic := 'X'; constant Undefined: std_logic := '0'; -- Clock frequency in MHz. constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) constant maxAddrBitIncIO : integer := 27; constant maxAddrBitBRAM : integer := 13; constant maxIOBit: integer := maxAddrBitIncIO - 1; constant minIOBit: integer := 2; constant stackSize_bits: integer := 9; -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); constant enable_fmul16: boolean := false; end zpu_config_hyperion;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Audio_ModFile_simple/Libraries/ZPUino_1/board_Papilio_One_500k/zpu_config_hyperion.vhd
13
2695
-- ZPU -- -- Copyright 2004-2008 oharboe - Øyvind Harboe - oyvind.harboe@zylin.com -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE ZPU PROJECT ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- The views and conclusions contained in the software and documentation -- are those of the authors and should not be interpreted as representing -- official policies, either expressed or implied, of the ZPU Project. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; package zpu_config_hyperion is -- generate trace output or not. constant Generate_Trace : boolean := false; constant wordPower : integer := 5; -- during simulation, set this to '0' to get matching trace.txt constant DontCareValue : std_logic := 'X'; constant Undefined: std_logic := '0'; -- Clock frequency in MHz. constant ZPU_Frequency : std_logic_vector(7 downto 0) := x"32"; -- This is the msb address bit. bytes=2^(maxAddrBitIncIO+1) constant maxAddrBitIncIO : integer := 27; constant maxAddrBitBRAM : integer := 13; constant maxIOBit: integer := maxAddrBitIncIO - 1; constant minIOBit: integer := 2; constant stackSize_bits: integer := 9; -- start byte address of stack. -- point to top of RAM - 2*words constant spStart : std_logic_vector(maxAddrBitIncIO downto 0) := conv_std_logic_vector((2**(maxAddrBitBRAM+1))-8, maxAddrBitIncIO+1); constant enable_fmul16: boolean := false; end zpu_config_hyperion;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Audio_ModFile_simple/Libraries/Wishbone_Peripherals/VIDEO_zpuino_wb_char_ram_8x8_sp.vhd
13
16221
-- -- Wishbone VGA controller character RAM. -- -- Copyright 2011 Alvaro Lopes <alvieboy@alvie.com> -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library board; use board.zpu_config.all; use board.zpuino_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity VIDEO_zpuino_wb_char_ram_8x8_sp is port ( wishbone_in : in std_logic_vector(61 downto 0); wishbone_out : out std_logic_vector(33 downto 0) ); end entity VIDEO_zpuino_wb_char_ram_8x8_sp; architecture behave of VIDEO_zpuino_wb_char_ram_8x8_sp is subtype ramword is std_logic_vector(7 downto 0); type ramtype is array(0 to 2047) of ramword; shared variable charram: ramtype := ( x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"7e",x"81",x"a5",x"81",x"bd",x"99",x"81",x"7e",x"7e",x"ff",x"db",x"ff",x"c3",x"e7",x"ff",x"7e",x"6c",x"fe",x"fe",x"fe",x"7c",x"38",x"10",x"00",x"10",x"38",x"7c",x"fe",x"7c",x"38",x"10",x"00",x"38",x"7c",x"38",x"fe",x"fe",x"d6",x"10",x"38",x"10",x"38",x"7c",x"fe",x"fe",x"7c",x"10",x"38",x"00",x"00",x"18",x"3c",x"3c",x"18",x"00",x"00",x"ff",x"ff",x"e7",x"c3",x"c3",x"e7",x"ff",x"ff",x"00",x"3c",x"66",x"42",x"42",x"66",x"3c",x"00",x"ff",x"c3",x"99",x"bd",x"bd",x"99",x"c3",x"ff",x"0f",x"07",x"0f",x"7d",x"cc",x"cc",x"cc",x"78",x"3c",x"66",x"66",x"66",x"3c",x"18",x"7e",x"18",x"3f",x"33",x"3f",x"30",x"30",x"70",x"f0",x"e0",x"7f",x"63",x"7f",x"63",x"63",x"67",x"e6",x"c0",x"18",x"db",x"3c",x"e7",x"e7",x"3c",x"db",x"18",x"80",x"e0",x"f8",x"fe",x"f8",x"e0",x"80",x"00",x"02",x"0e",x"3e",x"fe",x"3e",x"0e",x"02",x"00",x"18",x"3c",x"7e",x"18",x"18",x"7e",x"3c",x"18",x"66",x"66",x"66",x"66",x"66",x"00",x"66",x"00",x"7f",x"db",x"db",x"7b",x"1b",x"1b",x"1b",x"00",x"3e",x"61",x"3c",x"66",x"66",x"3c",x"86",x"7c",x"00",x"00",x"00",x"00",x"7e",x"7e",x"7e",x"00",x"18",x"3c",x"7e",x"18",x"7e",x"3c",x"18",x"ff",x"18",x"3c",x"7e",x"18",x"18",x"18",x"18",x"00",x"18",x"18",x"18",x"18",x"7e",x"3c",x"18",x"00",x"00",x"18",x"0c",x"fe",x"0c",x"18",x"00",x"00",x"00",x"30",x"60",x"fe",x"60",x"30",x"00",x"00",x"00",x"00",x"c0",x"c0",x"c0",x"fe",x"00",x"00",x"00",x"24",x"66",x"ff",x"66",x"24",x"00",x"00",x"00",x"18",x"3c",x"7e",x"ff",x"ff",x"00",x"00",x"00",x"ff",x"ff",x"7e",x"3c",x"18",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"3c",x"3c",x"18",x"18",x"00",x"18",x"00",x"66",x"66",x"24",x"00",x"00",x"00",x"00",x"00",x"6c",x"6c",x"fe",x"6c",x"fe",x"6c",x"6c",x"00",x"18",x"3e",x"60",x"3c",x"06",x"7c",x"18",x"00",x"00",x"c6",x"cc",x"18",x"30",x"66",x"c6",x"00",x"38",x"6c",x"38",x"76",x"dc",x"cc",x"76",x"00",x"18",x"18",x"30",x"00",x"00",x"00",x"00",x"00",x"0c",x"18",x"30",x"30",x"30",x"18",x"0c",x"00",x"30",x"18",x"0c",x"0c",x"0c",x"18",x"30",x"00",x"00",x"66",x"3c",x"ff",x"3c",x"66",x"00",x"00",x"00",x"18",x"18",x"7e",x"18",x"18",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"18",x"30",x"00",x"00",x"00",x"7e",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"18",x"00",x"06",x"0c",x"18",x"30",x"60",x"c0",x"80",x"00",x"38",x"6c",x"c6",x"d6",x"c6",x"6c",x"38",x"00",x"18",x"38",x"18",x"18",x"18",x"18",x"7e",x"00",x"7c",x"c6",x"06",x"1c",x"30",x"66",x"fe",x"00",x"7c",x"c6",x"06",x"3c",x"06",x"c6",x"7c",x"00",x"1c",x"3c",x"6c",x"cc",x"fe",x"0c",x"1e",x"00",x"fe",x"c0",x"c0",x"fc",x"06",x"c6",x"7c",x"00",x"38",x"60",x"c0",x"fc",x"c6",x"c6",x"7c",x"00",x"fe",x"c6",x"0c",x"18",x"30",x"30",x"30",x"00",x"7c",x"c6",x"c6",x"7c",x"c6",x"c6",x"7c",x"00",x"7c",x"c6",x"c6",x"7e",x"06",x"0c",x"78",x"00",x"00",x"18",x"18",x"00",x"00",x"18",x"18",x"00",x"00",x"18",x"18",x"00",x"00",x"18",x"18",x"30",x"06",x"0c",x"18",x"30",x"18",x"0c",x"06",x"00",x"00",x"00",x"7e",x"00",x"00",x"7e",x"00",x"00",x"60",x"30",x"18",x"0c",x"18",x"30",x"60",x"00",x"7c",x"c6",x"0c",x"18",x"18",x"00",x"18",x"00",x"7c",x"c6",x"de",x"de",x"de",x"c0",x"78",x"00",x"38",x"6c",x"c6",x"fe",x"c6",x"c6",x"c6",x"00",x"fc",x"66",x"66",x"7c",x"66",x"66",x"fc",x"00",x"3c",x"66",x"c0",x"c0",x"c0",x"66",x"3c",x"00",x"f8",x"6c",x"66",x"66",x"66",x"6c",x"f8",x"00",x"fe",x"62",x"68",x"78",x"68",x"62",x"fe",x"00",x"fe",x"62",x"68",x"78",x"68",x"60",x"f0",x"00",x"3c",x"66",x"c0",x"c0",x"ce",x"66",x"3a",x"00",x"c6",x"c6",x"c6",x"fe",x"c6",x"c6",x"c6",x"00",x"3c",x"18",x"18",x"18",x"18",x"18",x"3c",x"00",x"1e",x"0c",x"0c",x"0c",x"cc",x"cc",x"78",x"00",x"e6",x"66",x"6c",x"78",x"6c",x"66",x"e6",x"00",x"f0",x"60",x"60",x"60",x"62",x"66",x"fe",x"00",x"c6",x"ee",x"fe",x"fe",x"d6",x"c6",x"c6",x"00",x"c6",x"e6",x"f6",x"de",x"ce",x"c6",x"c6",x"00",x"7c",x"c6",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"fc",x"66",x"66",x"7c",x"60",x"60",x"f0",x"00",x"7c",x"c6",x"c6",x"c6",x"c6",x"ce",x"7c",x"0e",x"fc",x"66",x"66",x"7c",x"6c",x"66",x"e6",x"00",x"3c",x"66",x"30",x"18",x"0c",x"66",x"3c",x"00",x"7e",x"7e",x"5a",x"18",x"18",x"18",x"3c",x"00",x"c6",x"c6",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"c6",x"c6",x"c6",x"c6",x"c6",x"6c",x"38",x"00",x"c6",x"c6",x"c6",x"d6",x"d6",x"fe",x"6c",x"00",x"c6",x"c6",x"6c",x"38",x"6c",x"c6",x"c6",x"00",x"66",x"66",x"66",x"3c",x"18",x"18",x"3c",x"00",x"fe",x"c6",x"8c",x"18",x"32",x"66",x"fe",x"00",x"3c",x"30",x"30",x"30",x"30",x"30",x"3c",x"00",x"c0",x"60",x"30",x"18",x"0c",x"06",x"02",x"00",x"3c",x"0c",x"0c",x"0c",x"0c",x"0c",x"3c",x"00",x"10",x"38",x"6c",x"c6",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"30",x"18",x"0c",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"e0",x"60",x"7c",x"66",x"66",x"66",x"dc",x"00",x"00",x"00",x"7c",x"c6",x"c0",x"c6",x"7c",x"00",x"1c",x"0c",x"7c",x"cc",x"cc",x"cc",x"76",x"00",x"00",x"00",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"3c",x"66",x"60",x"f8",x"60",x"60",x"f0",x"00",x"00",x"00",x"76",x"cc",x"cc",x"7c",x"0c",x"f8",x"e0",x"60",x"6c",x"76",x"66",x"66",x"e6",x"00",x"18",x"00",x"38",x"18",x"18",x"18",x"3c",x"00",x"06",x"00",x"06",x"06",x"06",x"66",x"66",x"3c",x"e0",x"60",x"66",x"6c",x"78",x"6c",x"e6",x"00",x"38",x"18",x"18",x"18",x"18",x"18",x"3c",x"00",x"00",x"00",x"ec",x"fe",x"d6",x"d6",x"d6",x"00",x"00",x"00",x"dc",x"66",x"66",x"66",x"66",x"00",x"00",x"00",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"00",x"00",x"dc",x"66",x"66",x"7c",x"60",x"f0",x"00",x"00",x"76",x"cc",x"cc",x"7c",x"0c",x"1e",x"00",x"00",x"dc",x"76",x"60",x"60",x"f0",x"00",x"00",x"00",x"7e",x"c0",x"7c",x"06",x"fc",x"00",x"30",x"30",x"fc",x"30",x"30",x"36",x"1c",x"00",x"00",x"00",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"00",x"00",x"c6",x"c6",x"c6",x"6c",x"38",x"00",x"00",x"00",x"c6",x"d6",x"d6",x"fe",x"6c",x"00",x"00",x"00",x"c6",x"6c",x"38",x"6c",x"c6",x"00",x"00",x"00",x"c6",x"c6",x"c6",x"7e",x"06",x"fc",x"00",x"00",x"7e",x"4c",x"18",x"32",x"7e",x"00",x"0e",x"18",x"18",x"70",x"18",x"18",x"0e",x"00",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"00",x"70",x"18",x"18",x"0e",x"18",x"18",x"70",x"00",x"76",x"dc",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"10",x"38",x"6c",x"c6",x"c6",x"fe",x"00",x"7c",x"c6",x"c0",x"c0",x"c6",x"7c",x"0c",x"78",x"cc",x"00",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"0c",x"18",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"7c",x"82",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"c6",x"00",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"30",x"18",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"30",x"30",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"00",x"00",x"7e",x"c0",x"c0",x"7e",x"0c",x"38",x"7c",x"82",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"c6",x"00",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"30",x"18",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"66",x"00",x"38",x"18",x"18",x"18",x"3c",x"00",x"7c",x"82",x"38",x"18",x"18",x"18",x"3c",x"00",x"30",x"18",x"00",x"38",x"18",x"18",x"3c",x"00",x"c6",x"38",x"6c",x"c6",x"fe",x"c6",x"c6",x"00",x"38",x"6c",x"7c",x"c6",x"fe",x"c6",x"c6",x"00",x"18",x"30",x"fe",x"c0",x"f8",x"c0",x"fe",x"00",x"00",x"00",x"7e",x"12",x"fe",x"90",x"fe",x"00",x"3e",x"6c",x"cc",x"fe",x"cc",x"cc",x"ce",x"00",x"7c",x"82",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"c6",x"00",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"30",x"18",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"78",x"84",x"00",x"cc",x"cc",x"cc",x"76",x"00",x"60",x"30",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"c6",x"00",x"c6",x"c6",x"c6",x"7e",x"06",x"fc",x"c6",x"38",x"6c",x"c6",x"c6",x"6c",x"38",x"00",x"c6",x"00",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"00",x"02",x"7c",x"ce",x"d6",x"e6",x"7c",x"80",x"38",x"6c",x"64",x"f0",x"60",x"66",x"fc",x"00",x"3a",x"6c",x"ce",x"d6",x"e6",x"6c",x"b8",x"00",x"00",x"c6",x"6c",x"38",x"6c",x"c6",x"00",x"00",x"0e",x"1b",x"18",x"3c",x"18",x"d8",x"70",x"00",x"18",x"30",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"0c",x"18",x"00",x"38",x"18",x"18",x"3c",x"00",x"0c",x"18",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"18",x"30",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"76",x"dc",x"00",x"dc",x"66",x"66",x"66",x"00",x"76",x"dc",x"00",x"e6",x"f6",x"de",x"ce",x"00",x"3c",x"6c",x"6c",x"3e",x"00",x"7e",x"00",x"00",x"38",x"6c",x"6c",x"38",x"00",x"7c",x"00",x"00",x"18",x"00",x"18",x"18",x"30",x"63",x"3e",x"00",x"7e",x"81",x"b9",x"a5",x"b9",x"a5",x"81",x"7e",x"00",x"00",x"00",x"fe",x"06",x"06",x"00",x"00",x"63",x"e6",x"6c",x"7e",x"33",x"66",x"cc",x"0f",x"63",x"e6",x"6c",x"7a",x"36",x"6a",x"df",x"06",x"18",x"00",x"18",x"18",x"3c",x"3c",x"18",x"00",x"00",x"33",x"66",x"cc",x"66",x"33",x"00",x"00",x"00",x"cc",x"66",x"33",x"66",x"cc",x"00",x"00",x"22",x"88",x"22",x"88",x"22",x"88",x"22",x"88",x"55",x"aa",x"55",x"aa",x"55",x"aa",x"55",x"aa",x"77",x"dd",x"77",x"dd",x"77",x"dd",x"77",x"dd",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"f8",x"18",x"18",x"18",x"30",x"60",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"7c",x"82",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"18",x"0c",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"7e",x"81",x"9d",x"a1",x"a1",x"9d",x"81",x"7e",x"36",x"36",x"f6",x"06",x"f6",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"00",x"00",x"fe",x"06",x"f6",x"36",x"36",x"36",x"36",x"36",x"f6",x"06",x"fe",x"00",x"00",x"00",x"18",x"18",x"7e",x"c0",x"c0",x"7e",x"18",x"18",x"66",x"66",x"3c",x"7e",x"18",x"7e",x"18",x"18",x"00",x"00",x"00",x"00",x"f8",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"1f",x"00",x"00",x"00",x"18",x"18",x"18",x"18",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"1f",x"18",x"18",x"18",x"00",x"00",x"00",x"00",x"ff",x"00",x"00",x"00",x"18",x"18",x"18",x"18",x"ff",x"18",x"18",x"18",x"76",x"dc",x"7c",x"06",x"7e",x"c6",x"7e",x"00",x"76",x"dc",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"36",x"36",x"37",x"30",x"3f",x"00",x"00",x"00",x"00",x"00",x"3f",x"30",x"37",x"36",x"36",x"36",x"36",x"36",x"f7",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"f7",x"36",x"36",x"36",x"36",x"36",x"37",x"30",x"37",x"36",x"36",x"36",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"36",x"36",x"f7",x"00",x"f7",x"36",x"36",x"36",x"00",x"c6",x"7c",x"c6",x"c6",x"7c",x"c6",x"00",x"30",x"7e",x"0c",x"7c",x"cc",x"cc",x"78",x"00",x"f8",x"6c",x"66",x"f6",x"66",x"6c",x"f8",x"00",x"7c",x"82",x"fe",x"c0",x"fc",x"c0",x"fe",x"00",x"c6",x"00",x"fe",x"c0",x"fc",x"c0",x"fe",x"00",x"30",x"18",x"fe",x"c0",x"fc",x"c0",x"fe",x"00",x"00",x"00",x"38",x"18",x"18",x"18",x"3c",x"00",x"0c",x"18",x"3c",x"18",x"18",x"18",x"3c",x"00",x"3c",x"42",x"3c",x"18",x"18",x"18",x"3c",x"00",x"66",x"00",x"3c",x"18",x"18",x"18",x"3c",x"00",x"18",x"18",x"18",x"18",x"f8",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"1f",x"18",x"18",x"18",x"ff",x"ff",x"ff",x"ff",x"ff",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"ff",x"ff",x"ff",x"ff",x"18",x"18",x"18",x"00",x"00",x"18",x"18",x"18",x"30",x"18",x"3c",x"18",x"18",x"18",x"3c",x"00",x"ff",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"30",x"60",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"78",x"cc",x"cc",x"d8",x"cc",x"c6",x"cc",x"00",x"7c",x"82",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"0c",x"06",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"76",x"dc",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"76",x"dc",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"00",x"00",x"66",x"66",x"66",x"66",x"7c",x"c0",x"e0",x"60",x"7c",x"66",x"66",x"7c",x"60",x"f0",x"f0",x"60",x"7c",x"66",x"7c",x"60",x"f0",x"00",x"18",x"30",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"7c",x"82",x"00",x"c6",x"c6",x"c6",x"7c",x"00",x"60",x"30",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"18",x"30",x"c6",x"c6",x"c6",x"7e",x"06",x"fc",x"0c",x"18",x"66",x"66",x"3c",x"18",x"3c",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0c",x"18",x"30",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"7e",x"00",x"00",x"00",x"00",x"18",x"18",x"7e",x"18",x"18",x"00",x"7e",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"e1",x"32",x"e4",x"3a",x"f6",x"2a",x"5f",x"86",x"7f",x"db",x"db",x"7b",x"1b",x"1b",x"1b",x"00",x"3e",x"61",x"3c",x"66",x"66",x"3c",x"86",x"7c",x"00",x"18",x"00",x"7e",x"00",x"18",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"0c",x"38",x"38",x"6c",x"6c",x"38",x"00",x"00",x"00",x"00",x"00",x"c6",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"00",x"00",x"00",x"00",x"18",x"38",x"18",x"18",x"3c",x"00",x"00",x"00",x"78",x"0c",x"38",x"0c",x"78",x"00",x"00",x"00",x"78",x"0c",x"18",x"30",x"7c",x"00",x"00",x"00",x"00",x"00",x"3c",x"3c",x"3c",x"3c",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00" ); signal selected: std_logic; --signal read_ended: std_logic; signal wb_clk_i: std_logic; -- Wishbone clock signal wb_rst_i: std_logic; -- Wishbone reset (synchronous) signal wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits) signal wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits) signal wb_we_i: std_logic; -- Wishbone write enable signal signal wb_cyc_i: std_logic; -- Wishbone cycle signal signal wb_stb_i: std_logic; -- Wishbone strobe signal signal wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits) signal wb_ack_o: std_logic; -- Wishbone acknowledge out signal signal wb_inta_o: std_logic; begin -- Unpack the wishbone array into signals so the modules code is not confusing. wb_clk_i <= wishbone_in(61); wb_rst_i <= wishbone_in(60); wb_dat_i <= wishbone_in(59 downto 28); wb_adr_i <= wishbone_in(27 downto 3); wb_we_i <= wishbone_in(2); wb_cyc_i <= wishbone_in(1); wb_stb_i <= wishbone_in(0); wishbone_out(33 downto 2) <= wb_dat_o; wishbone_out(1) <= wb_ack_o; wishbone_out(0) <= wb_inta_o; -- Finish unpacking Wishbone signals. selected <= '1' when wb_cyc_i='1' and wb_stb_i='1' else '0'; wb_dat_o(31 downto 8) <= (others => '0'); process(wb_clk_i) begin if rising_edge(wb_clk_i) then wb_ack_o<='0'; if selected='1' then if wb_we_i='1' then charram(conv_integer(wb_adr_i(12 downto 2))):=wb_dat_i(7 downto 0); end if; wb_dat_o(7 downto 0) <= charram(conv_integer(wb_adr_i(12 downto 2))); wb_ack_o<='1'; end if; end if; end process; end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Template_Wishbone_Example/Libraries/Wishbone_Peripherals/VIDEO_zpuino_wb_char_ram_8x8_sp.vhd
13
16221
-- -- Wishbone VGA controller character RAM. -- -- Copyright 2011 Alvaro Lopes <alvieboy@alvie.com> -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library board; use board.zpu_config.all; use board.zpuino_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity VIDEO_zpuino_wb_char_ram_8x8_sp is port ( wishbone_in : in std_logic_vector(61 downto 0); wishbone_out : out std_logic_vector(33 downto 0) ); end entity VIDEO_zpuino_wb_char_ram_8x8_sp; architecture behave of VIDEO_zpuino_wb_char_ram_8x8_sp is subtype ramword is std_logic_vector(7 downto 0); type ramtype is array(0 to 2047) of ramword; shared variable charram: ramtype := ( x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"7e",x"81",x"a5",x"81",x"bd",x"99",x"81",x"7e",x"7e",x"ff",x"db",x"ff",x"c3",x"e7",x"ff",x"7e",x"6c",x"fe",x"fe",x"fe",x"7c",x"38",x"10",x"00",x"10",x"38",x"7c",x"fe",x"7c",x"38",x"10",x"00",x"38",x"7c",x"38",x"fe",x"fe",x"d6",x"10",x"38",x"10",x"38",x"7c",x"fe",x"fe",x"7c",x"10",x"38",x"00",x"00",x"18",x"3c",x"3c",x"18",x"00",x"00",x"ff",x"ff",x"e7",x"c3",x"c3",x"e7",x"ff",x"ff",x"00",x"3c",x"66",x"42",x"42",x"66",x"3c",x"00",x"ff",x"c3",x"99",x"bd",x"bd",x"99",x"c3",x"ff",x"0f",x"07",x"0f",x"7d",x"cc",x"cc",x"cc",x"78",x"3c",x"66",x"66",x"66",x"3c",x"18",x"7e",x"18",x"3f",x"33",x"3f",x"30",x"30",x"70",x"f0",x"e0",x"7f",x"63",x"7f",x"63",x"63",x"67",x"e6",x"c0",x"18",x"db",x"3c",x"e7",x"e7",x"3c",x"db",x"18",x"80",x"e0",x"f8",x"fe",x"f8",x"e0",x"80",x"00",x"02",x"0e",x"3e",x"fe",x"3e",x"0e",x"02",x"00",x"18",x"3c",x"7e",x"18",x"18",x"7e",x"3c",x"18",x"66",x"66",x"66",x"66",x"66",x"00",x"66",x"00",x"7f",x"db",x"db",x"7b",x"1b",x"1b",x"1b",x"00",x"3e",x"61",x"3c",x"66",x"66",x"3c",x"86",x"7c",x"00",x"00",x"00",x"00",x"7e",x"7e",x"7e",x"00",x"18",x"3c",x"7e",x"18",x"7e",x"3c",x"18",x"ff",x"18",x"3c",x"7e",x"18",x"18",x"18",x"18",x"00",x"18",x"18",x"18",x"18",x"7e",x"3c",x"18",x"00",x"00",x"18",x"0c",x"fe",x"0c",x"18",x"00",x"00",x"00",x"30",x"60",x"fe",x"60",x"30",x"00",x"00",x"00",x"00",x"c0",x"c0",x"c0",x"fe",x"00",x"00",x"00",x"24",x"66",x"ff",x"66",x"24",x"00",x"00",x"00",x"18",x"3c",x"7e",x"ff",x"ff",x"00",x"00",x"00",x"ff",x"ff",x"7e",x"3c",x"18",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"3c",x"3c",x"18",x"18",x"00",x"18",x"00",x"66",x"66",x"24",x"00",x"00",x"00",x"00",x"00",x"6c",x"6c",x"fe",x"6c",x"fe",x"6c",x"6c",x"00",x"18",x"3e",x"60",x"3c",x"06",x"7c",x"18",x"00",x"00",x"c6",x"cc",x"18",x"30",x"66",x"c6",x"00",x"38",x"6c",x"38",x"76",x"dc",x"cc",x"76",x"00",x"18",x"18",x"30",x"00",x"00",x"00",x"00",x"00",x"0c",x"18",x"30",x"30",x"30",x"18",x"0c",x"00",x"30",x"18",x"0c",x"0c",x"0c",x"18",x"30",x"00",x"00",x"66",x"3c",x"ff",x"3c",x"66",x"00",x"00",x"00",x"18",x"18",x"7e",x"18",x"18",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"18",x"30",x"00",x"00",x"00",x"7e",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"18",x"00",x"06",x"0c",x"18",x"30",x"60",x"c0",x"80",x"00",x"38",x"6c",x"c6",x"d6",x"c6",x"6c",x"38",x"00",x"18",x"38",x"18",x"18",x"18",x"18",x"7e",x"00",x"7c",x"c6",x"06",x"1c",x"30",x"66",x"fe",x"00",x"7c",x"c6",x"06",x"3c",x"06",x"c6",x"7c",x"00",x"1c",x"3c",x"6c",x"cc",x"fe",x"0c",x"1e",x"00",x"fe",x"c0",x"c0",x"fc",x"06",x"c6",x"7c",x"00",x"38",x"60",x"c0",x"fc",x"c6",x"c6",x"7c",x"00",x"fe",x"c6",x"0c",x"18",x"30",x"30",x"30",x"00",x"7c",x"c6",x"c6",x"7c",x"c6",x"c6",x"7c",x"00",x"7c",x"c6",x"c6",x"7e",x"06",x"0c",x"78",x"00",x"00",x"18",x"18",x"00",x"00",x"18",x"18",x"00",x"00",x"18",x"18",x"00",x"00",x"18",x"18",x"30",x"06",x"0c",x"18",x"30",x"18",x"0c",x"06",x"00",x"00",x"00",x"7e",x"00",x"00",x"7e",x"00",x"00",x"60",x"30",x"18",x"0c",x"18",x"30",x"60",x"00",x"7c",x"c6",x"0c",x"18",x"18",x"00",x"18",x"00",x"7c",x"c6",x"de",x"de",x"de",x"c0",x"78",x"00",x"38",x"6c",x"c6",x"fe",x"c6",x"c6",x"c6",x"00",x"fc",x"66",x"66",x"7c",x"66",x"66",x"fc",x"00",x"3c",x"66",x"c0",x"c0",x"c0",x"66",x"3c",x"00",x"f8",x"6c",x"66",x"66",x"66",x"6c",x"f8",x"00",x"fe",x"62",x"68",x"78",x"68",x"62",x"fe",x"00",x"fe",x"62",x"68",x"78",x"68",x"60",x"f0",x"00",x"3c",x"66",x"c0",x"c0",x"ce",x"66",x"3a",x"00",x"c6",x"c6",x"c6",x"fe",x"c6",x"c6",x"c6",x"00",x"3c",x"18",x"18",x"18",x"18",x"18",x"3c",x"00",x"1e",x"0c",x"0c",x"0c",x"cc",x"cc",x"78",x"00",x"e6",x"66",x"6c",x"78",x"6c",x"66",x"e6",x"00",x"f0",x"60",x"60",x"60",x"62",x"66",x"fe",x"00",x"c6",x"ee",x"fe",x"fe",x"d6",x"c6",x"c6",x"00",x"c6",x"e6",x"f6",x"de",x"ce",x"c6",x"c6",x"00",x"7c",x"c6",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"fc",x"66",x"66",x"7c",x"60",x"60",x"f0",x"00",x"7c",x"c6",x"c6",x"c6",x"c6",x"ce",x"7c",x"0e",x"fc",x"66",x"66",x"7c",x"6c",x"66",x"e6",x"00",x"3c",x"66",x"30",x"18",x"0c",x"66",x"3c",x"00",x"7e",x"7e",x"5a",x"18",x"18",x"18",x"3c",x"00",x"c6",x"c6",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"c6",x"c6",x"c6",x"c6",x"c6",x"6c",x"38",x"00",x"c6",x"c6",x"c6",x"d6",x"d6",x"fe",x"6c",x"00",x"c6",x"c6",x"6c",x"38",x"6c",x"c6",x"c6",x"00",x"66",x"66",x"66",x"3c",x"18",x"18",x"3c",x"00",x"fe",x"c6",x"8c",x"18",x"32",x"66",x"fe",x"00",x"3c",x"30",x"30",x"30",x"30",x"30",x"3c",x"00",x"c0",x"60",x"30",x"18",x"0c",x"06",x"02",x"00",x"3c",x"0c",x"0c",x"0c",x"0c",x"0c",x"3c",x"00",x"10",x"38",x"6c",x"c6",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"30",x"18",x"0c",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"e0",x"60",x"7c",x"66",x"66",x"66",x"dc",x"00",x"00",x"00",x"7c",x"c6",x"c0",x"c6",x"7c",x"00",x"1c",x"0c",x"7c",x"cc",x"cc",x"cc",x"76",x"00",x"00",x"00",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"3c",x"66",x"60",x"f8",x"60",x"60",x"f0",x"00",x"00",x"00",x"76",x"cc",x"cc",x"7c",x"0c",x"f8",x"e0",x"60",x"6c",x"76",x"66",x"66",x"e6",x"00",x"18",x"00",x"38",x"18",x"18",x"18",x"3c",x"00",x"06",x"00",x"06",x"06",x"06",x"66",x"66",x"3c",x"e0",x"60",x"66",x"6c",x"78",x"6c",x"e6",x"00",x"38",x"18",x"18",x"18",x"18",x"18",x"3c",x"00",x"00",x"00",x"ec",x"fe",x"d6",x"d6",x"d6",x"00",x"00",x"00",x"dc",x"66",x"66",x"66",x"66",x"00",x"00",x"00",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"00",x"00",x"dc",x"66",x"66",x"7c",x"60",x"f0",x"00",x"00",x"76",x"cc",x"cc",x"7c",x"0c",x"1e",x"00",x"00",x"dc",x"76",x"60",x"60",x"f0",x"00",x"00",x"00",x"7e",x"c0",x"7c",x"06",x"fc",x"00",x"30",x"30",x"fc",x"30",x"30",x"36",x"1c",x"00",x"00",x"00",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"00",x"00",x"c6",x"c6",x"c6",x"6c",x"38",x"00",x"00",x"00",x"c6",x"d6",x"d6",x"fe",x"6c",x"00",x"00",x"00",x"c6",x"6c",x"38",x"6c",x"c6",x"00",x"00",x"00",x"c6",x"c6",x"c6",x"7e",x"06",x"fc",x"00",x"00",x"7e",x"4c",x"18",x"32",x"7e",x"00",x"0e",x"18",x"18",x"70",x"18",x"18",x"0e",x"00",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"00",x"70",x"18",x"18",x"0e",x"18",x"18",x"70",x"00",x"76",x"dc",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"10",x"38",x"6c",x"c6",x"c6",x"fe",x"00",x"7c",x"c6",x"c0",x"c0",x"c6",x"7c",x"0c",x"78",x"cc",x"00",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"0c",x"18",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"7c",x"82",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"c6",x"00",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"30",x"18",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"30",x"30",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"00",x"00",x"7e",x"c0",x"c0",x"7e",x"0c",x"38",x"7c",x"82",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"c6",x"00",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"30",x"18",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"66",x"00",x"38",x"18",x"18",x"18",x"3c",x"00",x"7c",x"82",x"38",x"18",x"18",x"18",x"3c",x"00",x"30",x"18",x"00",x"38",x"18",x"18",x"3c",x"00",x"c6",x"38",x"6c",x"c6",x"fe",x"c6",x"c6",x"00",x"38",x"6c",x"7c",x"c6",x"fe",x"c6",x"c6",x"00",x"18",x"30",x"fe",x"c0",x"f8",x"c0",x"fe",x"00",x"00",x"00",x"7e",x"12",x"fe",x"90",x"fe",x"00",x"3e",x"6c",x"cc",x"fe",x"cc",x"cc",x"ce",x"00",x"7c",x"82",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"c6",x"00",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"30",x"18",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"78",x"84",x"00",x"cc",x"cc",x"cc",x"76",x"00",x"60",x"30",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"c6",x"00",x"c6",x"c6",x"c6",x"7e",x"06",x"fc",x"c6",x"38",x"6c",x"c6",x"c6",x"6c",x"38",x"00",x"c6",x"00",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"00",x"02",x"7c",x"ce",x"d6",x"e6",x"7c",x"80",x"38",x"6c",x"64",x"f0",x"60",x"66",x"fc",x"00",x"3a",x"6c",x"ce",x"d6",x"e6",x"6c",x"b8",x"00",x"00",x"c6",x"6c",x"38",x"6c",x"c6",x"00",x"00",x"0e",x"1b",x"18",x"3c",x"18",x"d8",x"70",x"00",x"18",x"30",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"0c",x"18",x"00",x"38",x"18",x"18",x"3c",x"00",x"0c",x"18",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"18",x"30",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"76",x"dc",x"00",x"dc",x"66",x"66",x"66",x"00",x"76",x"dc",x"00",x"e6",x"f6",x"de",x"ce",x"00",x"3c",x"6c",x"6c",x"3e",x"00",x"7e",x"00",x"00",x"38",x"6c",x"6c",x"38",x"00",x"7c",x"00",x"00",x"18",x"00",x"18",x"18",x"30",x"63",x"3e",x"00",x"7e",x"81",x"b9",x"a5",x"b9",x"a5",x"81",x"7e",x"00",x"00",x"00",x"fe",x"06",x"06",x"00",x"00",x"63",x"e6",x"6c",x"7e",x"33",x"66",x"cc",x"0f",x"63",x"e6",x"6c",x"7a",x"36",x"6a",x"df",x"06",x"18",x"00",x"18",x"18",x"3c",x"3c",x"18",x"00",x"00",x"33",x"66",x"cc",x"66",x"33",x"00",x"00",x"00",x"cc",x"66",x"33",x"66",x"cc",x"00",x"00",x"22",x"88",x"22",x"88",x"22",x"88",x"22",x"88",x"55",x"aa",x"55",x"aa",x"55",x"aa",x"55",x"aa",x"77",x"dd",x"77",x"dd",x"77",x"dd",x"77",x"dd",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"f8",x"18",x"18",x"18",x"30",x"60",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"7c",x"82",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"18",x"0c",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"7e",x"81",x"9d",x"a1",x"a1",x"9d",x"81",x"7e",x"36",x"36",x"f6",x"06",x"f6",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"00",x"00",x"fe",x"06",x"f6",x"36",x"36",x"36",x"36",x"36",x"f6",x"06",x"fe",x"00",x"00",x"00",x"18",x"18",x"7e",x"c0",x"c0",x"7e",x"18",x"18",x"66",x"66",x"3c",x"7e",x"18",x"7e",x"18",x"18",x"00",x"00",x"00",x"00",x"f8",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"1f",x"00",x"00",x"00",x"18",x"18",x"18",x"18",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"1f",x"18",x"18",x"18",x"00",x"00",x"00",x"00",x"ff",x"00",x"00",x"00",x"18",x"18",x"18",x"18",x"ff",x"18",x"18",x"18",x"76",x"dc",x"7c",x"06",x"7e",x"c6",x"7e",x"00",x"76",x"dc",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"36",x"36",x"37",x"30",x"3f",x"00",x"00",x"00",x"00",x"00",x"3f",x"30",x"37",x"36",x"36",x"36",x"36",x"36",x"f7",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"f7",x"36",x"36",x"36",x"36",x"36",x"37",x"30",x"37",x"36",x"36",x"36",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"36",x"36",x"f7",x"00",x"f7",x"36",x"36",x"36",x"00",x"c6",x"7c",x"c6",x"c6",x"7c",x"c6",x"00",x"30",x"7e",x"0c",x"7c",x"cc",x"cc",x"78",x"00",x"f8",x"6c",x"66",x"f6",x"66",x"6c",x"f8",x"00",x"7c",x"82",x"fe",x"c0",x"fc",x"c0",x"fe",x"00",x"c6",x"00",x"fe",x"c0",x"fc",x"c0",x"fe",x"00",x"30",x"18",x"fe",x"c0",x"fc",x"c0",x"fe",x"00",x"00",x"00",x"38",x"18",x"18",x"18",x"3c",x"00",x"0c",x"18",x"3c",x"18",x"18",x"18",x"3c",x"00",x"3c",x"42",x"3c",x"18",x"18",x"18",x"3c",x"00",x"66",x"00",x"3c",x"18",x"18",x"18",x"3c",x"00",x"18",x"18",x"18",x"18",x"f8",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"1f",x"18",x"18",x"18",x"ff",x"ff",x"ff",x"ff",x"ff",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"ff",x"ff",x"ff",x"ff",x"18",x"18",x"18",x"00",x"00",x"18",x"18",x"18",x"30",x"18",x"3c",x"18",x"18",x"18",x"3c",x"00",x"ff",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"30",x"60",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"78",x"cc",x"cc",x"d8",x"cc",x"c6",x"cc",x"00",x"7c",x"82",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"0c",x"06",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"76",x"dc",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"76",x"dc",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"00",x"00",x"66",x"66",x"66",x"66",x"7c",x"c0",x"e0",x"60",x"7c",x"66",x"66",x"7c",x"60",x"f0",x"f0",x"60",x"7c",x"66",x"7c",x"60",x"f0",x"00",x"18",x"30",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"7c",x"82",x"00",x"c6",x"c6",x"c6",x"7c",x"00",x"60",x"30",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"18",x"30",x"c6",x"c6",x"c6",x"7e",x"06",x"fc",x"0c",x"18",x"66",x"66",x"3c",x"18",x"3c",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0c",x"18",x"30",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"7e",x"00",x"00",x"00",x"00",x"18",x"18",x"7e",x"18",x"18",x"00",x"7e",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"e1",x"32",x"e4",x"3a",x"f6",x"2a",x"5f",x"86",x"7f",x"db",x"db",x"7b",x"1b",x"1b",x"1b",x"00",x"3e",x"61",x"3c",x"66",x"66",x"3c",x"86",x"7c",x"00",x"18",x"00",x"7e",x"00",x"18",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"0c",x"38",x"38",x"6c",x"6c",x"38",x"00",x"00",x"00",x"00",x"00",x"c6",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"00",x"00",x"00",x"00",x"18",x"38",x"18",x"18",x"3c",x"00",x"00",x"00",x"78",x"0c",x"38",x"0c",x"78",x"00",x"00",x"00",x"78",x"0c",x"18",x"30",x"7c",x"00",x"00",x"00",x"00",x"00",x"3c",x"3c",x"3c",x"3c",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00" ); signal selected: std_logic; --signal read_ended: std_logic; signal wb_clk_i: std_logic; -- Wishbone clock signal wb_rst_i: std_logic; -- Wishbone reset (synchronous) signal wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits) signal wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits) signal wb_we_i: std_logic; -- Wishbone write enable signal signal wb_cyc_i: std_logic; -- Wishbone cycle signal signal wb_stb_i: std_logic; -- Wishbone strobe signal signal wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits) signal wb_ack_o: std_logic; -- Wishbone acknowledge out signal signal wb_inta_o: std_logic; begin -- Unpack the wishbone array into signals so the modules code is not confusing. wb_clk_i <= wishbone_in(61); wb_rst_i <= wishbone_in(60); wb_dat_i <= wishbone_in(59 downto 28); wb_adr_i <= wishbone_in(27 downto 3); wb_we_i <= wishbone_in(2); wb_cyc_i <= wishbone_in(1); wb_stb_i <= wishbone_in(0); wishbone_out(33 downto 2) <= wb_dat_o; wishbone_out(1) <= wb_ack_o; wishbone_out(0) <= wb_inta_o; -- Finish unpacking Wishbone signals. selected <= '1' when wb_cyc_i='1' and wb_stb_i='1' else '0'; wb_dat_o(31 downto 8) <= (others => '0'); process(wb_clk_i) begin if rising_edge(wb_clk_i) then wb_ack_o<='0'; if selected='1' then if wb_we_i='1' then charram(conv_integer(wb_adr_i(12 downto 2))):=wb_dat_i(7 downto 0); end if; wb_dat_o(7 downto 0) <= charram(conv_integer(wb_adr_i(12 downto 2))); wb_ack_o<='1'; end if; end if; end process; end behave;
mit
chcbaram/FPGA
zap-2.3.0-windows/papilio-zap-ide/examples/00.Papilio_Schematic_Library/examples/Audio_RetroCade_Synth/Libraries/Wishbone_Peripherals/VIDEO_zpuino_wb_char_ram_8x8_sp.vhd
13
16221
-- -- Wishbone VGA controller character RAM. -- -- Copyright 2011 Alvaro Lopes <alvieboy@alvie.com> -- -- The FreeBSD license -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above -- copyright notice, this list of conditions and the following -- disclaimer in the documentation and/or other materials -- provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- ZPU PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, -- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS -- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF -- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library board; use board.zpu_config.all; use board.zpuino_config.all; use board.zpupkg.all; use board.zpuinopkg.all; entity VIDEO_zpuino_wb_char_ram_8x8_sp is port ( wishbone_in : in std_logic_vector(61 downto 0); wishbone_out : out std_logic_vector(33 downto 0) ); end entity VIDEO_zpuino_wb_char_ram_8x8_sp; architecture behave of VIDEO_zpuino_wb_char_ram_8x8_sp is subtype ramword is std_logic_vector(7 downto 0); type ramtype is array(0 to 2047) of ramword; shared variable charram: ramtype := ( x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"7e",x"81",x"a5",x"81",x"bd",x"99",x"81",x"7e",x"7e",x"ff",x"db",x"ff",x"c3",x"e7",x"ff",x"7e",x"6c",x"fe",x"fe",x"fe",x"7c",x"38",x"10",x"00",x"10",x"38",x"7c",x"fe",x"7c",x"38",x"10",x"00",x"38",x"7c",x"38",x"fe",x"fe",x"d6",x"10",x"38",x"10",x"38",x"7c",x"fe",x"fe",x"7c",x"10",x"38",x"00",x"00",x"18",x"3c",x"3c",x"18",x"00",x"00",x"ff",x"ff",x"e7",x"c3",x"c3",x"e7",x"ff",x"ff",x"00",x"3c",x"66",x"42",x"42",x"66",x"3c",x"00",x"ff",x"c3",x"99",x"bd",x"bd",x"99",x"c3",x"ff",x"0f",x"07",x"0f",x"7d",x"cc",x"cc",x"cc",x"78",x"3c",x"66",x"66",x"66",x"3c",x"18",x"7e",x"18",x"3f",x"33",x"3f",x"30",x"30",x"70",x"f0",x"e0",x"7f",x"63",x"7f",x"63",x"63",x"67",x"e6",x"c0",x"18",x"db",x"3c",x"e7",x"e7",x"3c",x"db",x"18",x"80",x"e0",x"f8",x"fe",x"f8",x"e0",x"80",x"00",x"02",x"0e",x"3e",x"fe",x"3e",x"0e",x"02",x"00",x"18",x"3c",x"7e",x"18",x"18",x"7e",x"3c",x"18",x"66",x"66",x"66",x"66",x"66",x"00",x"66",x"00",x"7f",x"db",x"db",x"7b",x"1b",x"1b",x"1b",x"00",x"3e",x"61",x"3c",x"66",x"66",x"3c",x"86",x"7c",x"00",x"00",x"00",x"00",x"7e",x"7e",x"7e",x"00",x"18",x"3c",x"7e",x"18",x"7e",x"3c",x"18",x"ff",x"18",x"3c",x"7e",x"18",x"18",x"18",x"18",x"00",x"18",x"18",x"18",x"18",x"7e",x"3c",x"18",x"00",x"00",x"18",x"0c",x"fe",x"0c",x"18",x"00",x"00",x"00",x"30",x"60",x"fe",x"60",x"30",x"00",x"00",x"00",x"00",x"c0",x"c0",x"c0",x"fe",x"00",x"00",x"00",x"24",x"66",x"ff",x"66",x"24",x"00",x"00",x"00",x"18",x"3c",x"7e",x"ff",x"ff",x"00",x"00",x"00",x"ff",x"ff",x"7e",x"3c",x"18",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"3c",x"3c",x"18",x"18",x"00",x"18",x"00",x"66",x"66",x"24",x"00",x"00",x"00",x"00",x"00",x"6c",x"6c",x"fe",x"6c",x"fe",x"6c",x"6c",x"00",x"18",x"3e",x"60",x"3c",x"06",x"7c",x"18",x"00",x"00",x"c6",x"cc",x"18",x"30",x"66",x"c6",x"00",x"38",x"6c",x"38",x"76",x"dc",x"cc",x"76",x"00",x"18",x"18",x"30",x"00",x"00",x"00",x"00",x"00",x"0c",x"18",x"30",x"30",x"30",x"18",x"0c",x"00",x"30",x"18",x"0c",x"0c",x"0c",x"18",x"30",x"00",x"00",x"66",x"3c",x"ff",x"3c",x"66",x"00",x"00",x"00",x"18",x"18",x"7e",x"18",x"18",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"18",x"30",x"00",x"00",x"00",x"7e",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"18",x"00",x"06",x"0c",x"18",x"30",x"60",x"c0",x"80",x"00",x"38",x"6c",x"c6",x"d6",x"c6",x"6c",x"38",x"00",x"18",x"38",x"18",x"18",x"18",x"18",x"7e",x"00",x"7c",x"c6",x"06",x"1c",x"30",x"66",x"fe",x"00",x"7c",x"c6",x"06",x"3c",x"06",x"c6",x"7c",x"00",x"1c",x"3c",x"6c",x"cc",x"fe",x"0c",x"1e",x"00",x"fe",x"c0",x"c0",x"fc",x"06",x"c6",x"7c",x"00",x"38",x"60",x"c0",x"fc",x"c6",x"c6",x"7c",x"00",x"fe",x"c6",x"0c",x"18",x"30",x"30",x"30",x"00",x"7c",x"c6",x"c6",x"7c",x"c6",x"c6",x"7c",x"00",x"7c",x"c6",x"c6",x"7e",x"06",x"0c",x"78",x"00",x"00",x"18",x"18",x"00",x"00",x"18",x"18",x"00",x"00",x"18",x"18",x"00",x"00",x"18",x"18",x"30",x"06",x"0c",x"18",x"30",x"18",x"0c",x"06",x"00",x"00",x"00",x"7e",x"00",x"00",x"7e",x"00",x"00",x"60",x"30",x"18",x"0c",x"18",x"30",x"60",x"00",x"7c",x"c6",x"0c",x"18",x"18",x"00",x"18",x"00",x"7c",x"c6",x"de",x"de",x"de",x"c0",x"78",x"00",x"38",x"6c",x"c6",x"fe",x"c6",x"c6",x"c6",x"00",x"fc",x"66",x"66",x"7c",x"66",x"66",x"fc",x"00",x"3c",x"66",x"c0",x"c0",x"c0",x"66",x"3c",x"00",x"f8",x"6c",x"66",x"66",x"66",x"6c",x"f8",x"00",x"fe",x"62",x"68",x"78",x"68",x"62",x"fe",x"00",x"fe",x"62",x"68",x"78",x"68",x"60",x"f0",x"00",x"3c",x"66",x"c0",x"c0",x"ce",x"66",x"3a",x"00",x"c6",x"c6",x"c6",x"fe",x"c6",x"c6",x"c6",x"00",x"3c",x"18",x"18",x"18",x"18",x"18",x"3c",x"00",x"1e",x"0c",x"0c",x"0c",x"cc",x"cc",x"78",x"00",x"e6",x"66",x"6c",x"78",x"6c",x"66",x"e6",x"00",x"f0",x"60",x"60",x"60",x"62",x"66",x"fe",x"00",x"c6",x"ee",x"fe",x"fe",x"d6",x"c6",x"c6",x"00",x"c6",x"e6",x"f6",x"de",x"ce",x"c6",x"c6",x"00",x"7c",x"c6",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"fc",x"66",x"66",x"7c",x"60",x"60",x"f0",x"00",x"7c",x"c6",x"c6",x"c6",x"c6",x"ce",x"7c",x"0e",x"fc",x"66",x"66",x"7c",x"6c",x"66",x"e6",x"00",x"3c",x"66",x"30",x"18",x"0c",x"66",x"3c",x"00",x"7e",x"7e",x"5a",x"18",x"18",x"18",x"3c",x"00",x"c6",x"c6",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"c6",x"c6",x"c6",x"c6",x"c6",x"6c",x"38",x"00",x"c6",x"c6",x"c6",x"d6",x"d6",x"fe",x"6c",x"00",x"c6",x"c6",x"6c",x"38",x"6c",x"c6",x"c6",x"00",x"66",x"66",x"66",x"3c",x"18",x"18",x"3c",x"00",x"fe",x"c6",x"8c",x"18",x"32",x"66",x"fe",x"00",x"3c",x"30",x"30",x"30",x"30",x"30",x"3c",x"00",x"c0",x"60",x"30",x"18",x"0c",x"06",x"02",x"00",x"3c",x"0c",x"0c",x"0c",x"0c",x"0c",x"3c",x"00",x"10",x"38",x"6c",x"c6",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"30",x"18",x"0c",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"e0",x"60",x"7c",x"66",x"66",x"66",x"dc",x"00",x"00",x"00",x"7c",x"c6",x"c0",x"c6",x"7c",x"00",x"1c",x"0c",x"7c",x"cc",x"cc",x"cc",x"76",x"00",x"00",x"00",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"3c",x"66",x"60",x"f8",x"60",x"60",x"f0",x"00",x"00",x"00",x"76",x"cc",x"cc",x"7c",x"0c",x"f8",x"e0",x"60",x"6c",x"76",x"66",x"66",x"e6",x"00",x"18",x"00",x"38",x"18",x"18",x"18",x"3c",x"00",x"06",x"00",x"06",x"06",x"06",x"66",x"66",x"3c",x"e0",x"60",x"66",x"6c",x"78",x"6c",x"e6",x"00",x"38",x"18",x"18",x"18",x"18",x"18",x"3c",x"00",x"00",x"00",x"ec",x"fe",x"d6",x"d6",x"d6",x"00",x"00",x"00",x"dc",x"66",x"66",x"66",x"66",x"00",x"00",x"00",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"00",x"00",x"dc",x"66",x"66",x"7c",x"60",x"f0",x"00",x"00",x"76",x"cc",x"cc",x"7c",x"0c",x"1e",x"00",x"00",x"dc",x"76",x"60",x"60",x"f0",x"00",x"00",x"00",x"7e",x"c0",x"7c",x"06",x"fc",x"00",x"30",x"30",x"fc",x"30",x"30",x"36",x"1c",x"00",x"00",x"00",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"00",x"00",x"c6",x"c6",x"c6",x"6c",x"38",x"00",x"00",x"00",x"c6",x"d6",x"d6",x"fe",x"6c",x"00",x"00",x"00",x"c6",x"6c",x"38",x"6c",x"c6",x"00",x"00",x"00",x"c6",x"c6",x"c6",x"7e",x"06",x"fc",x"00",x"00",x"7e",x"4c",x"18",x"32",x"7e",x"00",x"0e",x"18",x"18",x"70",x"18",x"18",x"0e",x"00",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"00",x"70",x"18",x"18",x"0e",x"18",x"18",x"70",x"00",x"76",x"dc",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"10",x"38",x"6c",x"c6",x"c6",x"fe",x"00",x"7c",x"c6",x"c0",x"c0",x"c6",x"7c",x"0c",x"78",x"cc",x"00",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"0c",x"18",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"7c",x"82",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"c6",x"00",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"30",x"18",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"30",x"30",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"00",x"00",x"7e",x"c0",x"c0",x"7e",x"0c",x"38",x"7c",x"82",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"c6",x"00",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"30",x"18",x"7c",x"c6",x"fe",x"c0",x"7c",x"00",x"66",x"00",x"38",x"18",x"18",x"18",x"3c",x"00",x"7c",x"82",x"38",x"18",x"18",x"18",x"3c",x"00",x"30",x"18",x"00",x"38",x"18",x"18",x"3c",x"00",x"c6",x"38",x"6c",x"c6",x"fe",x"c6",x"c6",x"00",x"38",x"6c",x"7c",x"c6",x"fe",x"c6",x"c6",x"00",x"18",x"30",x"fe",x"c0",x"f8",x"c0",x"fe",x"00",x"00",x"00",x"7e",x"12",x"fe",x"90",x"fe",x"00",x"3e",x"6c",x"cc",x"fe",x"cc",x"cc",x"ce",x"00",x"7c",x"82",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"c6",x"00",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"30",x"18",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"78",x"84",x"00",x"cc",x"cc",x"cc",x"76",x"00",x"60",x"30",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"c6",x"00",x"c6",x"c6",x"c6",x"7e",x"06",x"fc",x"c6",x"38",x"6c",x"c6",x"c6",x"6c",x"38",x"00",x"c6",x"00",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"00",x"02",x"7c",x"ce",x"d6",x"e6",x"7c",x"80",x"38",x"6c",x"64",x"f0",x"60",x"66",x"fc",x"00",x"3a",x"6c",x"ce",x"d6",x"e6",x"6c",x"b8",x"00",x"00",x"c6",x"6c",x"38",x"6c",x"c6",x"00",x"00",x"0e",x"1b",x"18",x"3c",x"18",x"d8",x"70",x"00",x"18",x"30",x"78",x"0c",x"7c",x"cc",x"76",x"00",x"0c",x"18",x"00",x"38",x"18",x"18",x"3c",x"00",x"0c",x"18",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"18",x"30",x"cc",x"cc",x"cc",x"cc",x"76",x"00",x"76",x"dc",x"00",x"dc",x"66",x"66",x"66",x"00",x"76",x"dc",x"00",x"e6",x"f6",x"de",x"ce",x"00",x"3c",x"6c",x"6c",x"3e",x"00",x"7e",x"00",x"00",x"38",x"6c",x"6c",x"38",x"00",x"7c",x"00",x"00",x"18",x"00",x"18",x"18",x"30",x"63",x"3e",x"00",x"7e",x"81",x"b9",x"a5",x"b9",x"a5",x"81",x"7e",x"00",x"00",x"00",x"fe",x"06",x"06",x"00",x"00",x"63",x"e6",x"6c",x"7e",x"33",x"66",x"cc",x"0f",x"63",x"e6",x"6c",x"7a",x"36",x"6a",x"df",x"06",x"18",x"00",x"18",x"18",x"3c",x"3c",x"18",x"00",x"00",x"33",x"66",x"cc",x"66",x"33",x"00",x"00",x"00",x"cc",x"66",x"33",x"66",x"cc",x"00",x"00",x"22",x"88",x"22",x"88",x"22",x"88",x"22",x"88",x"55",x"aa",x"55",x"aa",x"55",x"aa",x"55",x"aa",x"77",x"dd",x"77",x"dd",x"77",x"dd",x"77",x"dd",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"f8",x"18",x"18",x"18",x"30",x"60",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"7c",x"82",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"18",x"0c",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"7e",x"81",x"9d",x"a1",x"a1",x"9d",x"81",x"7e",x"36",x"36",x"f6",x"06",x"f6",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"36",x"00",x"00",x"fe",x"06",x"f6",x"36",x"36",x"36",x"36",x"36",x"f6",x"06",x"fe",x"00",x"00",x"00",x"18",x"18",x"7e",x"c0",x"c0",x"7e",x"18",x"18",x"66",x"66",x"3c",x"7e",x"18",x"7e",x"18",x"18",x"00",x"00",x"00",x"00",x"f8",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"1f",x"00",x"00",x"00",x"18",x"18",x"18",x"18",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"18",x"18",x"18",x"18",x"18",x"18",x"18",x"1f",x"18",x"18",x"18",x"00",x"00",x"00",x"00",x"ff",x"00",x"00",x"00",x"18",x"18",x"18",x"18",x"ff",x"18",x"18",x"18",x"76",x"dc",x"7c",x"06",x"7e",x"c6",x"7e",x"00",x"76",x"dc",x"38",x"6c",x"c6",x"fe",x"c6",x"00",x"36",x"36",x"37",x"30",x"3f",x"00",x"00",x"00",x"00",x"00",x"3f",x"30",x"37",x"36",x"36",x"36",x"36",x"36",x"f7",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"f7",x"36",x"36",x"36",x"36",x"36",x"37",x"30",x"37",x"36",x"36",x"36",x"00",x"00",x"ff",x"00",x"ff",x"00",x"00",x"00",x"36",x"36",x"f7",x"00",x"f7",x"36",x"36",x"36",x"00",x"c6",x"7c",x"c6",x"c6",x"7c",x"c6",x"00",x"30",x"7e",x"0c",x"7c",x"cc",x"cc",x"78",x"00",x"f8",x"6c",x"66",x"f6",x"66",x"6c",x"f8",x"00",x"7c",x"82",x"fe",x"c0",x"fc",x"c0",x"fe",x"00",x"c6",x"00",x"fe",x"c0",x"fc",x"c0",x"fe",x"00",x"30",x"18",x"fe",x"c0",x"fc",x"c0",x"fe",x"00",x"00",x"00",x"38",x"18",x"18",x"18",x"3c",x"00",x"0c",x"18",x"3c",x"18",x"18",x"18",x"3c",x"00",x"3c",x"42",x"3c",x"18",x"18",x"18",x"3c",x"00",x"66",x"00",x"3c",x"18",x"18",x"18",x"3c",x"00",x"18",x"18",x"18",x"18",x"f8",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"1f",x"18",x"18",x"18",x"ff",x"ff",x"ff",x"ff",x"ff",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"ff",x"ff",x"ff",x"ff",x"18",x"18",x"18",x"00",x"00",x"18",x"18",x"18",x"30",x"18",x"3c",x"18",x"18",x"18",x"3c",x"00",x"ff",x"ff",x"ff",x"ff",x"00",x"00",x"00",x"00",x"30",x"60",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"78",x"cc",x"cc",x"d8",x"cc",x"c6",x"cc",x"00",x"7c",x"82",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"0c",x"06",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"76",x"dc",x"7c",x"c6",x"c6",x"c6",x"7c",x"00",x"76",x"dc",x"38",x"6c",x"c6",x"6c",x"38",x"00",x"00",x"00",x"66",x"66",x"66",x"66",x"7c",x"c0",x"e0",x"60",x"7c",x"66",x"66",x"7c",x"60",x"f0",x"f0",x"60",x"7c",x"66",x"7c",x"60",x"f0",x"00",x"18",x"30",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"7c",x"82",x"00",x"c6",x"c6",x"c6",x"7c",x"00",x"60",x"30",x"c6",x"c6",x"c6",x"c6",x"7c",x"00",x"18",x"30",x"c6",x"c6",x"c6",x"7e",x"06",x"fc",x"0c",x"18",x"66",x"66",x"3c",x"18",x"3c",x"00",x"ff",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"0c",x"18",x"30",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"7e",x"00",x"00",x"00",x"00",x"18",x"18",x"7e",x"18",x"18",x"00",x"7e",x"00",x"00",x"00",x"00",x"00",x"00",x"ff",x"00",x"ff",x"e1",x"32",x"e4",x"3a",x"f6",x"2a",x"5f",x"86",x"7f",x"db",x"db",x"7b",x"1b",x"1b",x"1b",x"00",x"3e",x"61",x"3c",x"66",x"66",x"3c",x"86",x"7c",x"00",x"18",x"00",x"7e",x"00",x"18",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"0c",x"38",x"38",x"6c",x"6c",x"38",x"00",x"00",x"00",x"00",x"00",x"c6",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"18",x"00",x"00",x"00",x"00",x"18",x"38",x"18",x"18",x"3c",x"00",x"00",x"00",x"78",x"0c",x"38",x"0c",x"78",x"00",x"00",x"00",x"78",x"0c",x"18",x"30",x"7c",x"00",x"00",x"00",x"00",x"00",x"3c",x"3c",x"3c",x"3c",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00",x"00" ); signal selected: std_logic; --signal read_ended: std_logic; signal wb_clk_i: std_logic; -- Wishbone clock signal wb_rst_i: std_logic; -- Wishbone reset (synchronous) signal wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits) signal wb_adr_i: std_logic_vector(26 downto 2); -- Wishbone address input (32 bits) signal wb_we_i: std_logic; -- Wishbone write enable signal signal wb_cyc_i: std_logic; -- Wishbone cycle signal signal wb_stb_i: std_logic; -- Wishbone strobe signal signal wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits) signal wb_ack_o: std_logic; -- Wishbone acknowledge out signal signal wb_inta_o: std_logic; begin -- Unpack the wishbone array into signals so the modules code is not confusing. wb_clk_i <= wishbone_in(61); wb_rst_i <= wishbone_in(60); wb_dat_i <= wishbone_in(59 downto 28); wb_adr_i <= wishbone_in(27 downto 3); wb_we_i <= wishbone_in(2); wb_cyc_i <= wishbone_in(1); wb_stb_i <= wishbone_in(0); wishbone_out(33 downto 2) <= wb_dat_o; wishbone_out(1) <= wb_ack_o; wishbone_out(0) <= wb_inta_o; -- Finish unpacking Wishbone signals. selected <= '1' when wb_cyc_i='1' and wb_stb_i='1' else '0'; wb_dat_o(31 downto 8) <= (others => '0'); process(wb_clk_i) begin if rising_edge(wb_clk_i) then wb_ack_o<='0'; if selected='1' then if wb_we_i='1' then charram(conv_integer(wb_adr_i(12 downto 2))):=wb_dat_i(7 downto 0); end if; wb_dat_o(7 downto 0) <= charram(conv_integer(wb_adr_i(12 downto 2))); wb_ack_o<='1'; end if; end if; end process; end behave;
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/altpll1.vhd
1
16366
-- megafunction wizard: %ALTPLL% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altpll -- ============================================================ -- File Name: altpll1.vhd -- Megafunction Name(s): -- altpll -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY altpll1 IS PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ; c1 : OUT STD_LOGIC ); END altpll1; ARCHITECTURE SYN OF altpll1 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; SIGNAL sub_wire3 : STD_LOGIC ; SIGNAL sub_wire4 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire5_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire5 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT altpll GENERIC ( clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; clk1_divide_by : NATURAL; clk1_duty_cycle : NATURAL; clk1_multiply_by : NATURAL; clk1_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_type : STRING; operation_mode : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; port_extclk0 : STRING; port_extclk1 : STRING; port_extclk2 : STRING; port_extclk3 : STRING ); PORT ( inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) ); END COMPONENT; BEGIN sub_wire5_bv(0 DOWNTO 0) <= "0"; sub_wire5 <= To_stdlogicvector(sub_wire5_bv); sub_wire2 <= sub_wire0(1); sub_wire1 <= sub_wire0(0); c0 <= sub_wire1; c1 <= sub_wire2; sub_wire3 <= inclk0; sub_wire4 <= sub_wire5(0 DOWNTO 0) & sub_wire3; altpll_component : altpll GENERIC MAP ( clk0_divide_by => 11, clk0_duty_cycle => 50, clk0_multiply_by => 6, clk0_phase_shift => "0", clk1_divide_by => 9, clk1_duty_cycle => 50, clk1_multiply_by => 4, clk1_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 37037, intended_device_family => "Cyclone II", lpm_type => "altpll", operation_mode => "NORMAL", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_UNUSED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_USED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", port_extclk0 => "PORT_UNUSED", port_extclk1 => "PORT_UNUSED", port_extclk2 => "PORT_UNUSED", port_extclk3 => "PORT_UNUSED" ) PORT MAP ( inclk => sub_wire4, clk => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "11" -- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "14.727273" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "12.000000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "27.000" -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "324.000" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "6" -- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "14.74560000" -- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "12.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "altpll1.mif" -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" -- Retrieval info: PRIVATE: USE_CLK1 STRING "1" -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "11" -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "6" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "9" -- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "4" -- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" -- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL altpll1.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL altpll1.ppf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL altpll1.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL altpll1.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL altpll1.bsf TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL altpll1_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
mit
hubertokf/VHDL-Fast-Adders
RCA/32bits/RCA/RCA.vhd
1
1806
-- Somador 8_bits -- LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY RCA IS PORT ( CarryIn: in std_logic; val1,val2: in std_logic_vector (31 downto 0); SomaResult: out std_logic_vector (31 downto 0); rst:in std_logic; clk:in std_logic; CarryOut: out std_logic ); END RCA ; ARCHITECTURE strc_RCA OF RCA IS signal carry: std_logic_vector (31 downto 1); signal CarryInTemp: std_logic; signal CarryOutTemp0,CarryOutTemp1: std_logic; signal A, B, Ssoma: std_logic_vector(31 downto 0); COMPONENT Soma1 port ( CarryIn,val1,val2: in std_logic ; SomaResult,CarryOut: out std_logic ); END COMPONENT ; COMPONENT Reg1Bit port( valIn: in std_logic; clk: in std_logic; rst: in std_logic; valOut: out std_logic ); END COMPONENT ; COMPONENT Reg32Bit port( valIn: in std_logic_vector(31 downto 0); clk: in std_logic; rst: in std_logic; valOut: out std_logic_vector(31 downto 0) ); END COMPONENT ; BEGIN --registradores-- Reg_CarryIn: Reg1Bit PORT MAP ( valIn=>CarryIn, clk=>clk, rst=>rst, valOut=>CarryInTemp ); Reg_CarryOut: Reg1Bit PORT MAP ( valIn=>CarryOutTemp0, clk=>clk, rst=>rst, valOut=>CarryOut ); Reg_A: Reg32Bit PORT MAP ( valIn=>val1, clk=>clk, rst=>rst, valOut=>A ); Reg_B: Reg32Bit PORT MAP ( valIn=>val2, clk=>clk, rst=>rst, valOut=>B ); Reg_Ssoma: Reg32Bit PORT MAP ( valIn=>Ssoma, clk=>clk, rst=>rst, valOut=>SomaResult ); --somador-- Som0: Soma1 PORT MAP ( CarryInTemp, A(0), B(0), Ssoma(0), carry(1) ); SOM: FOR i IN 1 TO 30 GENERATE Som1: Soma1 PORT MAP ( carry(i), A(i), B(i), Ssoma(i), carry(i+1) ); END GENERATE; Som7: Soma1 PORT MAP ( carry(31), A(31), B(31), Ssoma(31), CarryOutTemp0 ); END strc_RCA ;
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/uart.vhd
1
22032
-------------------------------------------------------------------------------- -- UART -- Implements a universal asynchronous receiver transmitter with parameterisable -- BAUD rate. Tested on a Spartan 6 LX9 connected to a Silicon Labs Cp210 -- USB-UART Bridge. -- -- @author Peter A Bennett -- @copyright (c) 2012 Peter A Bennett -- @license LGPL -- @email pab850@googlemail.com -- @contact www.bytebash.com -- -- Extended by -- @author Robert Lange -- @copyright (c) 2013 Robert Lange -- @license LGPL -- @home https://github.com/sd2k9/ -- -- Modified by -- @author Kevin Johnson -- @license LGPL -- @email KJohnson@gatech.edu -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Math log2,ceil required to get the number of bits for our counter use ieee.math_real.log2; use ieee.math_real.ceil; entity UART is Generic ( -- Baudrate in bps -- The baudrate must satisify the following condition: -- BAUD_DIVIDER := truncate(CLOCK_FREQUENCY/BAUD_RATE) -- remainder(BAUD_DIVIDER/16) == 0 -- Why: 16 times oversampling on the receiver side -- Also take care that the remainder(CLOCK_FREQUENCY/BAUD_RATE) is -- small because this determines the UART baud rate error -- See constant c_oversample_divider_val for more information BAUD_RATE : positive := 9600; -- Input Clock frequency in Hz -- Actual clock for SCOMP version is 14.72MHz, but we tell the UART -- 14.7456MHz to make the divider calculations work out. This creates -- a 0.13% error, which is well within the acceptable range. CLOCK_FREQUENCY : positive := 14745600 ); Port ( -- System Clock CLOCK : in std_logic; -- High-Active Asynchronous Reset RESET : in std_logic; -- The input data: 8 bit - this is the UART sender -- Provide data on DATA_STREAM_IN and set STB to high -- Keep the data stable until ACK is set to high which shows that -- the data is copied into the internal buffer. Then you should -- revoke STB and you can change IN as you want. DATA_STREAM_IN : in std_logic_vector(7 downto 0); DATA_STREAM_IN_STB : in std_logic; DATA_STREAM_IN_ACK : out std_logic := '0'; -- The output data: 8 bit - this is the UART receiver -- Data is only valid during the time the STB is high -- Acknowledge the data with a pulse on ACK, which is confirmed by -- revoking STB. -- When the following start bit is received the data becomes -- invalid and the STB is revoked. So take care about fetching the -- data early enough, or install your own FIFO buffer DATA_STREAM_OUT : out std_logic_vector(7 downto 0); DATA_STREAM_OUT_STB : out std_logic; DATA_STREAM_OUT_ACK : in std_logic; TX : out std_logic; RX : in std_logic -- Async Receive ); end UART; architecture RTL of UART is ---------------------------------------------------------------------------- -- BAUD Generation ---------------------------------------------------------------------------- -- First create the divider for the 16 times oversampled baud rate, -- the baud rate then is derived by dividing by 16. -- Thats why the 16 times oversampling clock must be derived without any reminder left -- from the baud rate, to not disturb the resulting bit rate -- You need to take care about this when selecting baud and clock frequency -- Substract one, otherwise the reloading step is counted twice constant c_oversample_divider_steps : natural := natural(CLOCK_FREQUENCY / (16*BAUD_RATE))-1; -- And also how many bits do we need? constant c_oversample_divider_bits : natural := natural(ceil(log2(real(c_oversample_divider_steps)))); -- And this is the counter type we use subtype oversample_baud_counter_type is unsigned(c_oversample_divider_bits-1 downto 0); -- Please only use this final value constant c_oversample_divider_val : oversample_baud_counter_type := to_unsigned(c_oversample_divider_steps, c_oversample_divider_bits); -- Datatype for the rx and tx counter type, must accomodate for the 8bit positions subtype uart_rxtx_count_type is unsigned(2 downto 0); constant c_uart_rxtx_count_reset : uart_rxtx_count_type := "000"; -- Reset value: 0 signal oversample_baud_counter : oversample_baud_counter_type := c_oversample_divider_val; -- Tick created every counter reset signal oversample_baud_tick : std_ulogic := '0'; -- At this moment we sample the incoming signal signal uart_rx_sample_tick : std_ulogic := '0'; -- The baud rate itself is the oversampling tick divided by 16 subtype baud_counter_type is unsigned(3 downto 0); signal baud_counter : baud_counter_type := ( others => '1'); signal baud_tick : std_ulogic := '0'; ---------------------------------------------------------------------------- -- Transmitter Signals ---------------------------------------------------------------------------- type uart_tx_states is ( idle, wait_for_tick, send_start_bit, transmit_data, send_stop_bit); signal uart_tx_state : uart_tx_states := idle; signal uart_tx_data_block : std_logic_vector(7 downto 0) := (others => '0'); signal uart_tx_data : std_logic := '1'; signal uart_tx_count : uart_rxtx_count_type := c_uart_rxtx_count_reset; -- 8 states, stored in 3 bits signal uart_rx_data_in_ack : std_logic := '0'; ---------------------------------------------------------------------------- -- Receiver Signals ---------------------------------------------------------------------------- type uart_rx_states is ( rx_wait_start_synchronise -- Wait and deliver data , rx_get_start_bit -- We are reading the start bit , rx_get_data , rx_get_stop_bit ); signal uart_rx_state : uart_rx_states := rx_wait_start_synchronise; signal uart_rx_bit : std_logic := '0'; signal uart_rx_data_block : std_logic_vector(7 downto 0) := (others => '0'); signal uart_rx_filter : unsigned(1 downto 0) := (others => '0'); signal uart_rx_count : uart_rxtx_count_type := c_uart_rxtx_count_reset; -- 8 states, stored in 3 bits signal uart_rx_data_out_stb: std_ulogic := '0'; -- Syncing Clock to Receive Data, compared to baud_counter and creates uart_rx_sample_tick signal uart_rx_sync_clock : baud_counter_type := (others => '0'); ---------------------------------------------------------------------------- -- Helper functions ---------------------------------------------------------------------------- pure function shift_right_by_one ( -- Shift right by 1, fill with new bit constant shift : in std_logic_vector(7 downto 0); -- Signal to shift constant fill : in std_ulogic) -- New bit 7 return std_logic_vector is variable ret : std_logic_vector(7 downto 0); begin -- function shift_right_by_one ret(7) := fill; ret(6 downto 0) := shift (7 downto 1); return ret; end function shift_right_by_one; ---------------------------------------------------------------------------- -- Begin Body ---------------------------------------------------------------------------- begin ---------------------------------------------------------------------------- -- Transmitter Part: Sending Data ---------------------------------------------------------------------------- TX <= uart_tx_data; -- The input clock is CLOCK_FREQUENCY -- For example its set to 100Mhz, then needs to be divided down to the -- rate dictated by the BAUD_RATE. For example, if 115200 baud is selected -- (115200 baud = 115200 bps - 115.2kbps) a tick must be generated once -- every 1/115200 -- As explained above we use a two-step approach, so we just scale down -- here the 16-times oversampled RX clock again -- Use a down-counter to have a simple test for zero -- Thats the counter part TX_CLOCK_DIVIDER : process (CLOCK, RESET) begin if RESET = '1' then baud_counter <= (others => '1'); elsif rising_edge (CLOCK) then if oversample_baud_tick = '1' then -- Use as Clock enable if baud_counter = 0 then baud_counter <= (others => '1'); else baud_counter <= baud_counter - 1; end if; end if; end if; end process TX_CLOCK_DIVIDER; -- And thats the baud tick, which is of course only one clock long -- So both counters should be Zero TX_TICK: baud_tick <= '0' when RESET = '1' else '1' when oversample_baud_tick = '1' and baud_counter = 0 else '0'; -- Get data from DATA_STREAM_IN and send it one bit at a time -- upon each BAUD tick. LSB first. -- Wait 1 tick, Send Start Bit (0), Send Data 0-7, Send Stop Bit (1) UART_SEND_DATA : process(CLOCK, RESET) begin if RESET = '1' then uart_tx_data <= '1'; uart_tx_data_block <= (others => '0'); uart_tx_count <= c_uart_rxtx_count_reset; uart_tx_state <= idle; uart_rx_data_in_ack <= '0'; elsif rising_edge(CLOCK) then uart_rx_data_in_ack <= '0'; case uart_tx_state is when idle => if DATA_STREAM_IN_STB = '1' then uart_tx_data_block <= DATA_STREAM_IN; uart_rx_data_in_ack <= '1'; uart_tx_state <= wait_for_tick; end if; when wait_for_tick => if baud_tick = '1' then uart_tx_state <= send_start_bit; end if; when send_start_bit => if baud_tick = '1' then uart_tx_data <= '0'; uart_tx_state <= transmit_data; end if; when transmit_data => if baud_tick = '1' then -- Send next bit uart_tx_data <= uart_tx_data_block(0); -- Shift for next transmit bit, filling with don't care -- Xilinx ISE does not know srl? So just build it ourself, hehe -- uart_tx_data_block <= uart_tx_data_block srl 1; uart_tx_data_block <= shift_right_by_one(uart_tx_data_block, '-'); if uart_tx_count = 7 then -- binary 111 -- We're done, move to next state uart_tx_state <= send_stop_bit; else -- Stay in current state uart_tx_state <= transmit_data; end if; -- Always increment here, will go to zero if we're out uart_tx_count <= uart_tx_count + 1; end if; when send_stop_bit => if baud_tick = '1' then uart_tx_data <= '1'; uart_tx_state <= idle; end if; when others => uart_tx_data <= '1'; uart_tx_state <= idle; end case; end if; end process UART_SEND_DATA; ---------------------------------------------------------------------------- -- Receiver Part: Getting Data ---------------------------------------------------------------------------- DATA_STREAM_IN_ACK <= uart_rx_data_in_ack; DATA_STREAM_OUT <= uart_rx_data_block; DATA_STREAM_OUT_STB <= uart_rx_data_out_stb; -- The RX clock divider uses the 16 times oversampled clock, which we -- create here from the input clock -- Use a down-counter to have a simple test for zero -- Thats for the counter and tick creation part RX_CLOCK_DIVIDER : process (CLOCK, RESET) begin if RESET = '1' then oversample_baud_counter <= c_oversample_divider_val; oversample_baud_tick <= '0'; elsif rising_edge (CLOCK) then if oversample_baud_counter = 0 then oversample_baud_counter <= c_oversample_divider_val; oversample_baud_tick <= '1'; else oversample_baud_counter <= oversample_baud_counter - 1; oversample_baud_tick <= '0'; end if; end if; end process RX_CLOCK_DIVIDER; -- We create the sample time by syncing the oversampled tick (BAUD * 16) -- to the received start bit by comparing then vs. the stored receive sync value -- It's only one clock tick active RX_SAMPLE: uart_rx_sample_tick <= '0' when RESET = '1' else '1' when oversample_baud_tick = '1' and uart_rx_sync_clock = baud_counter else '0'; -- Synchronise RXD and Filter to suppress spikes with a 2 bit counter -- This is done with the 16-times oversampled clock -- Take care, every time the receive clock is resynchronized to the next -- start bit we can have somewhat of a jump here. But thats no problem -- because the jump (in case it occur) is still synchronous. And we save us -- another counter :-) RXD_SYNC_FILTER : process(CLOCK, RESET) begin if RESET = '1' then uart_rx_filter <= (others => '1'); uart_rx_bit <= '1'; elsif rising_edge(CLOCK) then if oversample_baud_tick = '1' then -- Filter RXD. if RX = '1' and uart_rx_filter < 3 then uart_rx_filter <= uart_rx_filter + 1; elsif RX = '0' and uart_rx_filter > 0 then uart_rx_filter <= uart_rx_filter - 1; end if; -- Set the RX bit. if uart_rx_filter = 3 then uart_rx_bit <= '1'; elsif uart_rx_filter = 0 then uart_rx_bit <= '0'; end if; end if; end if; end process RXD_SYNC_FILTER; UART_RECEIVE_DATA : process(CLOCK, RESET) begin if RESET = '1' then uart_rx_state <= rx_wait_start_synchronise; uart_rx_data_block <= (others => '0'); uart_rx_count <= c_uart_rxtx_count_reset; uart_rx_data_out_stb <= '0'; uart_rx_sync_clock <= (others => '0'); elsif rising_edge(CLOCK) then case uart_rx_state is -- Waiting for new data to come when rx_wait_start_synchronise => -- With normal clock: Take care about the ACK from -- previous received data if DATA_STREAM_OUT_ACK = '1' then -- Revoke strobe uart_rx_data_out_stb <= '0'; -- No need to reset data block, it's anyway overwritten during recive -- uart_rx_data_block <= (others => '0'); end if; -- Only here we need to look for start with the -- oversampled clock rate if oversample_baud_tick = '1' and uart_rx_bit = '0' then -- We are back in business! uart_rx_state <= rx_get_start_bit; -- Resynchronize the receive bit timing with the input signal -- invert the MSB, because we need to skip half of -- the start bit. -- We want to sample in the MIDDLE of the bit, remember? -- This will be used from now on as sample moment uart_rx_sync_clock <= (not baud_counter(3), baud_counter(2), baud_counter(1), baud_counter(0) ); end if; -- oversample_baud_tick = '1' and uart_rx_bit = '0' when rx_get_start_bit => -- With normal clock: Take care about the ACK from -- previous received data if DATA_STREAM_OUT_ACK = '1' then -- Revoke strobe uart_rx_data_out_stb <= '0'; -- No need to reset data block, it's anyway overwritten during recive -- uart_rx_data_block <= (others => '0'); end if; if uart_rx_sample_tick = '1' then if uart_rx_bit = '0' then -- Everything alright, we really got a start bit -- Please continue with data reception uart_rx_state <= rx_get_data; -- This is the last time we can revoke a potentially pending -- receive strobe -- Your fault if you didn't fetched the data until here! uart_rx_data_out_stb <= '0'; -- But at least warn about this -- Not for synthesis: -- pragma translate_off assert uart_rx_data_out_stb = '0' report "Receive Data was not fetched by system! Losing previous data byte!" severity warning; -- pragma translate_on else -- Oh no! Corrupted Start bit! Now we're in trouble -- Best to abort the game and issue a (simulation) -- warning uart_rx_state <= rx_wait_start_synchronise; -- Not for synthesis: -- pragma translate_off report "We got an corrupted start bit! Something is wrong and most likely we will now fail to receive the following data. Trying to reset the receive state machine." severity error; -- pragma translate_on end if; end if; when rx_get_data => if uart_rx_sample_tick = '1' then -- Receive next bit, shift others one bit down -- We receive lsb first, thus we're filling and shifting from msb direction uart_rx_data_block <= shift_right_by_one(uart_rx_data_block, uart_rx_bit); if uart_rx_count = 7 then -- binary 111 -- We're done, move to next state uart_rx_state <= rx_get_stop_bit; else -- Continue in this state uart_rx_state <= rx_get_data; end if; -- Always increment here, will go to zero if we're out uart_rx_count <= uart_rx_count + 1; end if; when rx_get_stop_bit => if uart_rx_sample_tick = '1' then if uart_rx_bit = '1' then -- Everything alright, we really got the closing stop bit -- Set our strobe: Data is ready! uart_rx_data_out_stb <= '1'; else -- Oh no! Corrupted Stop bit! Now we're in trouble -- Best to abort the game and issue a (simulation) warning -- Not for synthesis: -- pragma translate_off report "We got an corrupted stop bit! Something is wrong - throwing away this data byte" severity error; -- pragma translate_on end if; -- Anyway, go to wait for next datablock uart_rx_state <= rx_wait_start_synchronise; end if; when others => -- This is an illegal state - start over uart_rx_state <= rx_wait_start_synchronise; end case; end if; end process UART_RECEIVE_DATA; end RTL;
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/i2c_ctrl.vhd
1
5379
-- Controller for the I2C master. -- This is mostly a state machine used to control -- the various muxes and registers used for the I2C -- device. -- Author: Kevin Johnson. Last modified: 18 June 2014 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity i2c_ctrl is port( resetn : in std_logic; clk : in std_logic; IO_DATA : in std_logic_vector(15 downto 0); wr_rdy : in std_logic; wr_cmd : in std_logic; wr_data : in std_logic; rx_byte : in std_logic_vector(7 downto 0); i2c_busy : in std_logic; tx_addr : out std_logic_vector(7 downto 0); tx_byte : out std_logic_vector(7 downto 0); comm_en : out std_logic; rnw : out std_logic; data_out : out std_logic_vector(15 downto 0); busy : out std_logic ); end entity; architecture main of i2c_ctrl is -- Build an enumerated type for the state machine type state_type is (idle, Tx2, Tx1p, Tx1, Rx2, Rx1p, Rx1); -- Register used to hold the current state signal state : state_type; signal go : std_logic; -- tells state machine when to leave idle signal running : std_logic; -- flag that communication is in progress signal prev_busy : std_logic; -- previous value of i2c_busy signal cmd_in : std_logic_vector(7 downto 0); signal addr_in : std_logic_vector(7 downto 0); signal data_in : std_logic_vector(15 downto 0); begin -- latches for the cmd/data from SCOMP: latch_cmd_data : process (wr_cmd, wr_data, resetn) begin if (resetn = '0') then cmd_in <= x"00"; elsif rising_edge(wr_cmd) then cmd_in <= IO_DATA(15 downto 8); addr_in <= IO_DATA(7 downto 0); end if; if (resetn = '0') then data_in <= x"0000"; elsif rising_edge(wr_data) then data_in <= IO_DATA; end if; end process; -- This process handles the START signal, which -- is basically a DFF that gets set by wr_rdy, and reset -- by a signal from the other state machine. The other -- state machine checks this to determine if it should start, -- and resets this once it has started. latch_rdy : process (wr_rdy, running, resetn) begin if (resetn = '0') OR (running = '1') then go <= '0'; elsif rising_edge(wr_rdy) then go <= '1'; end if; end process; busy <= running OR go; -- The main state machine state_machine : process (clk, resetn) begin if resetn = '0' then state <= idle; comm_en <= '0'; running <= '0'; elsif (rising_edge(clk)) then prev_busy <= i2c_busy; -- used to detect transitions case state is when idle => if go = '1' then -- this is the signal to start running <= '1'; tx_addr <= addr_in; -- set the I2C controller's address data_out(15 downto 0) <= x"0000"; -- clear data -- transition to the correct state according to cmd if cmd_in(5 downto 4) = "10" then -- two to send state <= Tx2; tx_byte <= data_in(15 downto 8); rnw <= '0'; elsif cmd_in(5 downto 4) = "01" then -- one to send state <= Tx1p; tx_byte <= data_in(7 downto 0); rnw <= '0'; elsif cmd_in(1 downto 0) = "10" then -- two to rx state <= Rx2; rnw <= '1'; elsif cmd_in(1 downto 0) = "01" then -- one to rx state <= Rx1p; rnw <= '1'; else -- invalid command state <= idle; end if; else -- not starting state <= idle; running <= '0'; -- no longer running end if; when Tx2 => comm_en <= '1'; -- safe to start transaction if (prev_busy = '0') and (i2c_busy = '1') then -- busy just went high tx_byte <= data_in(7 downto 0); -- prepare next byte elsif (prev_busy = '1') and (i2c_busy = '0') then -- just went low state <= Tx1; end if; when Tx1p => comm_en <= '1'; -- begin communication state <= Tx1; when Tx1 => if (prev_busy = '0') and (i2c_busy = '1') then -- busy just went high rnw <= '1'; -- prepare to read if cmd_in(1) = cmd_in(0) then -- probably 00 read, but also handles 11 comm_en <= '0'; -- end communication end if; elsif (prev_busy = '1') and (i2c_busy = '0') then -- just went low if cmd_in(1 downto 0) = "10" then state <= Rx2; elsif cmd_in(1 downto 0) = "01" then state <= Rx1; else state <= idle; end if; end if; when Rx2 => comm_en <= '1'; -- safe to start transaction if (prev_busy = '1') and (i2c_busy = '0') then -- just went low state <= Rx1; data_out(15 downto 8) <= rx_byte; -- store the rx'd byte end if; when Rx1p => comm_en <= '1'; -- begin communication state <= Rx1; when Rx1 => if (prev_busy = '0') and (i2c_busy = '1') then -- busy just went high comm_en <= '0'; -- end communication elsif (prev_busy = '1') and (i2c_busy = '0') then -- just went low state <= idle; data_out(7 downto 0) <= rx_byte; -- store the rx'd byte end if; when others => state <= idle; end case; end if; end process; end main;
mit
lfmunoz/vhdl
ip_blocks/sip_spi/sim/txt_util.vhd
4
14568
library ieee; use ieee.std_logic_1164.all; use std.textio.all; package txt_util is -- prints a message to the screen procedure print(text: string); -- prints the message when active -- useful for debug switches procedure print(active: boolean; text: string); -- converts std_logic into a character function chr(sl: std_logic) return character; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string; -- converts std_logic_vector into a string (binary base) function str(slv: std_logic_vector) return string; -- converts boolean into a string function str(b: boolean) return string; -- converts an integer into a single character -- (can also be used for hex conversion and other bases) function chr(int: integer) return character; -- converts integer into string using specified base function str(int: integer; base: integer) return string; -- converts integer to string, using base 10 function str(int: integer) return string; -- convert std_logic_vector into a string in hex format function hstr(slv: std_logic_vector) return string; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character; -- convert a character to lower case function to_lower(c: character) return character; -- convert a string to upper case function to_upper(s: string) return string; -- convert a string to lower case function to_lower(s: string) return string; -- functions to convert strings into other formats -------------------------------------------------- -- converts a character into std_logic function to_std_logic(c: character) return std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector; -- file I/O ----------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string); -- print string to a file and start new line procedure print(file out_file: TEXT; new_string: in string); -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character); end txt_util; package body txt_util is -- prints text to the screen procedure print(text: string) is variable msg_line: line; begin write(msg_line, text); writeline(output, msg_line); end print; -- prints text to the screen when active procedure print(active: boolean; text: string) is begin if active then print(text); end if; end print; -- converts std_logic into a character function chr(sl: std_logic) return character is variable c: character; begin case sl is when 'U' => c:= 'U'; when 'X' => c:= 'X'; when '0' => c:= '0'; when '1' => c:= '1'; when 'Z' => c:= 'Z'; when 'W' => c:= 'W'; when 'L' => c:= 'L'; when 'H' => c:= 'H'; when '-' => c:= '-'; end case; return c; end chr; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string is variable s: string(1 to 1); begin s(1) := chr(sl); return s; end str; -- converts std_logic_vector into a string (binary base) -- (this also takes care of the fact that the range of -- a string is natural while a std_logic_vector may -- have an integer range) function str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := chr(slv(i)); r := r + 1; end loop; return result; end str; function str(b: boolean) return string is begin if b then return "true"; else return "false"; end if; end str; -- converts an integer into a character -- for 0 to 9 the obvious mapping is used, higher -- values are mapped to the characters A-Z -- (this is usefull for systems with base > 10) -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function chr(int: integer) return character is variable c: character; begin case int is when 0 => c := '0'; when 1 => c := '1'; when 2 => c := '2'; when 3 => c := '3'; when 4 => c := '4'; when 5 => c := '5'; when 6 => c := '6'; when 7 => c := '7'; when 8 => c := '8'; when 9 => c := '9'; when 10 => c := 'A'; when 11 => c := 'B'; when 12 => c := 'C'; when 13 => c := 'D'; when 14 => c := 'E'; when 15 => c := 'F'; when 16 => c := 'G'; when 17 => c := 'H'; when 18 => c := 'I'; when 19 => c := 'J'; when 20 => c := 'K'; when 21 => c := 'L'; when 22 => c := 'M'; when 23 => c := 'N'; when 24 => c := 'O'; when 25 => c := 'P'; when 26 => c := 'Q'; when 27 => c := 'R'; when 28 => c := 'S'; when 29 => c := 'T'; when 30 => c := 'U'; when 31 => c := 'V'; when 32 => c := 'W'; when 33 => c := 'X'; when 34 => c := 'Y'; when 35 => c := 'Z'; when others => c := '?'; end case; return c; end chr; -- convert integer to string using specified base -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function str(int: integer; base: integer) return string is variable temp: string(1 to 10); variable num: integer; variable abs_int: integer; variable len: integer := 1; variable power: integer := 1; begin -- bug fix for negative numbers abs_int := abs(int); num := abs_int; while num >= base loop -- Determine how many len := len + 1; -- characters required num := num / base; -- to represent the end loop ; -- number. for i in len downto 1 loop -- Convert the number to temp(i) := chr(abs_int/power mod base); -- a string starting power := power * base; -- with the right hand end loop ; -- side. -- return result and add sign if required if int < 0 then return '-'& temp(1 to len); else return temp(1 to len); end if; end str; -- convert integer to string, using base 10 function str(int: integer) return string is begin return str(int, 10) ; end str; -- converts a std_logic_vector into a hex string. function hstr(slv: std_logic_vector) return string is variable hexlen: integer; variable longslv : std_logic_vector(67 downto 0) := (others => '0'); variable hex : string(1 to 16); variable fourbit : std_logic_vector(3 downto 0); begin hexlen := (slv'left+1)/4; if (slv'left+1) mod 4 /= 0 then hexlen := hexlen + 1; end if; longslv(slv'left downto 0) := slv; for i in (hexlen -1) downto 0 loop fourbit := longslv(((i*4)+3) downto (i*4)); case fourbit is when "0000" => hex(hexlen -I) := '0'; when "0001" => hex(hexlen -I) := '1'; when "0010" => hex(hexlen -I) := '2'; when "0011" => hex(hexlen -I) := '3'; when "0100" => hex(hexlen -I) := '4'; when "0101" => hex(hexlen -I) := '5'; when "0110" => hex(hexlen -I) := '6'; when "0111" => hex(hexlen -I) := '7'; when "1000" => hex(hexlen -I) := '8'; when "1001" => hex(hexlen -I) := '9'; when "1010" => hex(hexlen -I) := 'A'; when "1011" => hex(hexlen -I) := 'B'; when "1100" => hex(hexlen -I) := 'C'; when "1101" => hex(hexlen -I) := 'D'; when "1110" => hex(hexlen -I) := 'E'; when "1111" => hex(hexlen -I) := 'F'; when "ZZZZ" => hex(hexlen -I) := 'z'; when "UUUU" => hex(hexlen -I) := 'u'; when "XXXX" => hex(hexlen -I) := 'x'; when others => hex(hexlen -I) := '?'; end case; end loop; return hex(1 to hexlen); end hstr; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character is variable u: character; begin case c is when 'a' => u := 'A'; when 'b' => u := 'B'; when 'c' => u := 'C'; when 'd' => u := 'D'; when 'e' => u := 'E'; when 'f' => u := 'F'; when 'g' => u := 'G'; when 'h' => u := 'H'; when 'i' => u := 'I'; when 'j' => u := 'J'; when 'k' => u := 'K'; when 'l' => u := 'L'; when 'm' => u := 'M'; when 'n' => u := 'N'; when 'o' => u := 'O'; when 'p' => u := 'P'; when 'q' => u := 'Q'; when 'r' => u := 'R'; when 's' => u := 'S'; when 't' => u := 'T'; when 'u' => u := 'U'; when 'v' => u := 'V'; when 'w' => u := 'W'; when 'x' => u := 'X'; when 'y' => u := 'Y'; when 'z' => u := 'Z'; when others => u := c; end case; return u; end to_upper; -- convert a character to lower case function to_lower(c: character) return character is variable l: character; begin case c is when 'A' => l := 'a'; when 'B' => l := 'b'; when 'C' => l := 'c'; when 'D' => l := 'd'; when 'E' => l := 'e'; when 'F' => l := 'f'; when 'G' => l := 'g'; when 'H' => l := 'h'; when 'I' => l := 'i'; when 'J' => l := 'j'; when 'K' => l := 'k'; when 'L' => l := 'l'; when 'M' => l := 'm'; when 'N' => l := 'n'; when 'O' => l := 'o'; when 'P' => l := 'p'; when 'Q' => l := 'q'; when 'R' => l := 'r'; when 'S' => l := 's'; when 'T' => l := 't'; when 'U' => l := 'u'; when 'V' => l := 'v'; when 'W' => l := 'w'; when 'X' => l := 'x'; when 'Y' => l := 'y'; when 'Z' => l := 'z'; when others => l := c; end case; return l; end to_lower; -- convert a string to upper case function to_upper(s: string) return string is variable uppercase: string (s'range); begin for i in s'range loop uppercase(i):= to_upper(s(i)); end loop; return uppercase; end to_upper; -- convert a string to lower case function to_lower(s: string) return string is variable lowercase: string (s'range); begin for i in s'range loop lowercase(i):= to_lower(s(i)); end loop; return lowercase; end to_lower; -- functions to convert strings into other types -- converts a character into a std_logic function to_std_logic(c: character) return std_logic is variable sl: std_logic; begin case c is when 'U' => sl := 'U'; when 'X' => sl := 'X'; when '0' => sl := '0'; when '1' => sl := '1'; when 'Z' => sl := 'Z'; when 'W' => sl := 'W'; when 'L' => sl := 'L'; when 'H' => sl := 'H'; when '-' => sl := '-'; when others => sl := 'X'; end case; return sl; end to_std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector is variable slv: std_logic_vector(s'high-s'low downto 0); variable k: integer; begin k := s'high-s'low; for i in s'range loop slv(k) := to_std_logic(s(i)); k := k - 1; end loop; return slv; end to_std_logic_vector; ---------------- -- file I/O -- ---------------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string) is variable l: line; variable c: character; variable is_string: boolean; begin readline(in_file, l); -- clear the contents of the result string for i in res_string'range loop res_string(i) := ' '; end loop; -- read all characters of the line, up to the length -- of the results string for i in res_string'range loop read(l, c, is_string); res_string(i) := c; if not is_string then -- found end of line exit; end if; end loop; end str_read; -- print string to a file procedure print(file out_file: TEXT; new_string: in string) is variable l: line; begin write(l, new_string); writeline(out_file, l); end print; -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character) is variable l: line; begin write(l, char); writeline(out_file, l); end print; -- appends contents of a string to a file until line feed occurs -- (LF is considered to be the end of the string) procedure str_write(file out_file: TEXT; new_string: in string) is begin for i in new_string'range loop print(out_file, new_string(i)); if new_string(i) = LF then -- end of string exit; end if; end loop; end str_write; end txt_util;
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/lpm_add_sub_db0.vhd
1
4965
-- megafunction wizard: %LPM_ADD_SUB% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: lpm_add_sub -- ============================================================ -- File Name: lpm_add_sub_db0.vhd -- Megafunction Name(s): -- lpm_add_sub -- -- Simulation Library Files(s): -- lpm -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY lpm; USE lpm.all; ENTITY lpm_add_sub_db0 IS PORT ( dataa : IN STD_LOGIC_VECTOR (8 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (8 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (8 DOWNTO 0) ); END lpm_add_sub_db0; ARCHITECTURE SYN OF lpm_add_sub_db0 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (8 DOWNTO 0); COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_representation : STRING; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (8 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (8 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (8 DOWNTO 0) ); END COMPONENT; BEGIN result <= sub_wire0(8 DOWNTO 0); lpm_add_sub_component : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_representation => "UNSIGNED", lpm_type => "LPM_ADD_SUB", lpm_width => 9 ) PORT MAP ( dataa => dataa, datab => datab, result => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: CarryIn NUMERIC "0" -- Retrieval info: PRIVATE: CarryOut NUMERIC "0" -- Retrieval info: PRIVATE: ConstantA NUMERIC "0" -- Retrieval info: PRIVATE: ConstantB NUMERIC "0" -- Retrieval info: PRIVATE: Function NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0" -- Retrieval info: PRIVATE: Latency NUMERIC "0" -- Retrieval info: PRIVATE: Overflow NUMERIC "0" -- Retrieval info: PRIVATE: RadixA NUMERIC "10" -- Retrieval info: PRIVATE: RadixB NUMERIC "10" -- Retrieval info: PRIVATE: Representation NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: ValidCtA NUMERIC "0" -- Retrieval info: PRIVATE: ValidCtB NUMERIC "0" -- Retrieval info: PRIVATE: WhichConstant NUMERIC "0" -- Retrieval info: PRIVATE: aclr NUMERIC "0" -- Retrieval info: PRIVATE: clken NUMERIC "0" -- Retrieval info: PRIVATE: nBit NUMERIC "9" -- Retrieval info: CONSTANT: LPM_DIRECTION STRING "ADD" -- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO" -- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED" -- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_ADD_SUB" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "9" -- Retrieval info: USED_PORT: dataa 0 0 9 0 INPUT NODEFVAL dataa[8..0] -- Retrieval info: USED_PORT: datab 0 0 9 0 INPUT NODEFVAL datab[8..0] -- Retrieval info: USED_PORT: result 0 0 9 0 OUTPUT NODEFVAL result[8..0] -- Retrieval info: CONNECT: result 0 0 9 0 @result 0 0 9 0 -- Retrieval info: CONNECT: @dataa 0 0 9 0 dataa 0 0 9 0 -- Retrieval info: CONNECT: @datab 0 0 9 0 datab 0 0 9 0 -- Retrieval info: LIBRARY: lpm lpm.lpm_components.all -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_add_sub_db0.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_add_sub_db0.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_add_sub_db0.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_add_sub_db0.bsf TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_add_sub_db0_inst.vhd FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_add_sub_db0_waveforms.html FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_add_sub_db0_wave*.jpg FALSE -- Retrieval info: LIB_FILE: lpm
mit
hubertokf/VHDL-Fast-Adders
CLAH/CLA2bits/8bits/CLAH8bits/CLAH8bits.vhd
1
2889
LIBRARY Ieee; USE ieee.std_logic_1164.all; ENTITY CLAH8bits IS PORT ( val1,val2: IN STD_LOGIC_VECTOR(7 DOWNTO 0); CarryIn: IN STD_LOGIC; CarryOut: OUT STD_LOGIC; clk: IN STD_LOGIC; rst: IN STD_LOGIC; SomaResult:OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END CLAH8bits; ARCHITECTURE strc_CLAH8bits of CLAH8bits is SIGNAL Cin_sig, Cout_sig: STD_LOGIC; SIGNAL P0_sig, P1_sig, P2_sig, P3_sig: STD_LOGIC; SIGNAL G0_sig, G1_sig, G2_sig, G3_sig: STD_LOGIC; SIGNAL Cout1_temp_sig, Cout2_temp_sig, Cout3_temp_sig: STD_LOGIC; SIGNAL A_sig, B_sig, Out_sig: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL SomaT1,SomaT2,SomaT3,SomaT4:STD_LOGIC_VECTOR(1 DOWNTO 0); Component CLA2bits PORT ( val1,val2: IN STD_LOGIC_VECTOR(1 DOWNTO 0); SomaResult:OUT STD_LOGIC_VECTOR(1 DOWNTO 0); CarryIn: IN STD_LOGIC; P, G: OUT STD_LOGIC ); end component; Component Reg1Bit PORT ( valIn: in std_logic; clk: in std_logic; rst: in std_logic; valOut: out std_logic ); end component; Component Reg8Bit PORT ( valIn: in std_logic_vector(7 downto 0); clk: in std_logic; rst: in std_logic; valOut: out std_logic_vector(7 downto 0) ); end component; Component CLGB PORT ( P0, P1, G0, G1, Cin: IN STD_LOGIC; Cout1, Cout2: OUT STD_LOGIC ); end component; BEGIN --registradores-- Reg_CarryIn: Reg1Bit PORT MAP ( valIn=>CarryIn, clk=>clk, rst=>rst, valOut=>Cin_sig ); Reg_A: Reg8Bit PORT MAP ( valIn=>val1, clk=>clk, rst=>rst, valOut=>A_sig ); Reg_B: Reg8Bit PORT MAP ( valIn=>val2, clk=>clk, rst=>rst, valOut=>B_sig ); Reg_CarryOut: Reg1Bit PORT MAP ( valIn=>Cout_sig, clk=>clk, rst=>rst, valOut=>CarryOut ); Reg_Ssoma: Reg8Bit PORT MAP ( valIn=>Out_sig, clk=>clk, rst=>rst, valOut=>SomaResult ); Som1: CLA2bits PORT MAP( val1(1 DOWNTO 0) => A_sig(1 DOWNTO 0), val2(1 DOWNTO 0) => B_sig(1 DOWNTO 0), CarryIn=>Cin_sig, P=>P0_sig, G=>G0_sig, SomaResult=>SomaT1 ); CLGB1: CLGB PORT MAP( P0=>P0_sig, G0=>G0_sig, P1=>P1_sig, G1=>G1_sig, Cin=>Cin_sig, Cout1=>Cout1_temp_sig, Cout2=>Cout2_temp_sig ); Som2: CLA2bits PORT MAP( val1(1 DOWNTO 0) => A_sig(3 DOWNTO 2), val2(1 DOWNTO 0) => B_sig(3 DOWNTO 2), CarryIn=>Cout1_temp_sig, P=>P1_sig, G=>G1_sig, SomaResult=>SomaT2 ); Som3: CLA2bits PORT MAP( val1(1 DOWNTO 0) => A_sig(5 DOWNTO 4), val2(1 DOWNTO 0) => B_sig(5 DOWNTO 4), CarryIn=>Cout2_temp_sig, P=>P2_sig, G=>G2_sig, SomaResult=>SomaT3 ); CLGB2: CLGB PORT MAP( P0=>P2_sig, G0=>G2_sig, P1=>P3_sig, G1=>G3_sig, Cin=>Cout2_temp_sig, Cout1=>Cout3_temp_sig, Cout2=>Cout_sig ); Som4: CLA2bits PORT MAP( val1(1 DOWNTO 0) => A_sig(7 DOWNTO 6), val2(1 DOWNTO 0) => B_sig(7 DOWNTO 6), CarryIn=>Cout3_temp_sig, P=>P3_sig, G=>G3_sig, SomaResult=>SomaT4 ); Out_sig <= SomaT4 & SomaT3 & SomaT2 & SomaT1; END strc_CLAH8bits;
mit
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/pr_region_default/pr_region_default_onchip_memory2_0/pr_region_default_onchip_memory2_0_inst.vhd
1
1597
component pr_region_default_onchip_memory2_0 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset reset_req : in std_logic := 'X'; -- reset_req address : in std_logic_vector(6 downto 0) := (others => 'X'); -- address clken : in std_logic := 'X'; -- clken chipselect : in std_logic := 'X'; -- chipselect write : in std_logic := 'X'; -- write readdata : out std_logic_vector(31 downto 0); -- readdata writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata byteenable : in std_logic_vector(3 downto 0) := (others => 'X') -- byteenable ); end component pr_region_default_onchip_memory2_0; u0 : component pr_region_default_onchip_memory2_0 port map ( clk => CONNECTED_TO_clk, -- clk1.clk reset => CONNECTED_TO_reset, -- reset1.reset reset_req => CONNECTED_TO_reset_req, -- .reset_req address => CONNECTED_TO_address, -- s1.address clken => CONNECTED_TO_clken, -- .clken chipselect => CONNECTED_TO_chipselect, -- .chipselect write => CONNECTED_TO_write, -- .write readdata => CONNECTED_TO_readdata, -- .readdata writedata => CONNECTED_TO_writedata, -- .writedata byteenable => CONNECTED_TO_byteenable -- .byteenable );
mit
hubertokf/VHDL-Fast-Adders
RCA/8bits/RCA/Reg8Bit.vhd
5
534
library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Reg8Bit is port( valIn: in std_logic_vector(7 downto 0); clk: in std_logic; rst: in std_logic; valOut: out std_logic_vector(7 downto 0) ); end Reg8Bit; architecture strc_Reg8Bit of Reg8Bit is signal Temp: std_logic_vector(7 downto 0); begin process(valIn, clk, rst) begin if rst = '1' then Temp <= "00000000"; elsif (clk='1' and clk'event) then Temp <= valIn; end if; end process; valOut <= Temp; end strc_Reg8Bit;
mit
lfmunoz/vhdl
ip_blocks/sip_spi/sim/std_logic_textio.vhd
4
18485
---------------------------------------------------------------------------- -- -- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc. All rights reserved. -- -- This source file may be used and distributed without restriction -- provided that this copyright statement is not removed from the file -- and that any derivative work contains this copyright notice. -- -- Package name: STD_LOGIC_TEXTIO -- -- Purpose: This package overloads the standard TEXTIO procedures -- READ and WRITE. -- -- Author: CRC, TS -- ---------------------------------------------------------------------------- use STD.textio.all; library IEEE; use IEEE.std_logic_1164.all; package STD_LOGIC_TEXTIO is --synopsys synthesis_off -- Read and Write procedures for STD_ULOGIC and STD_ULOGIC_VECTOR procedure READ(L:inout LINE; VALUE:out STD_ULOGIC); procedure READ(L:inout LINE; VALUE:out STD_ULOGIC; GOOD: out BOOLEAN); procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR); procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD: out BOOLEAN); procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0); procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0); -- Read and Write procedures for STD_LOGIC_VECTOR procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR); procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN); procedure WRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0); -- -- Read and Write procedures for Hex and Octal values. -- The values appear in the file as a series of characters -- between 0-F (Hex), or 0-7 (Octal) respectively. -- -- Hex procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR); procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD: out BOOLEAN); procedure HWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0); procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR); procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN); procedure HWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0); -- Octal procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR); procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD: out BOOLEAN); procedure OWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0); procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR); procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN); procedure OWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0); --synopsys synthesis_on end STD_LOGIC_TEXTIO; package body STD_LOGIC_TEXTIO is --synopsys synthesis_off -- Type and constant definitions used to map STD_ULOGIC values -- into/from character values. type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', ERROR); type char_indexed_by_MVL9 is array (STD_ULOGIC) of character; type MVL9_indexed_by_char is array (character) of STD_ULOGIC; type MVL9plus_indexed_by_char is array (character) of MVL9plus; constant MVL9_to_char: char_indexed_by_MVL9 := "UX01ZWLH-"; constant char_to_MVL9: MVL9_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U'); constant char_to_MVL9plus: MVL9plus_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => ERROR); -- Overloaded procedures. procedure READ(L:inout LINE; VALUE:out STD_ULOGIC; GOOD:out BOOLEAN) is variable c: character; variable readOk: BOOLEAN; begin loop -- skip white space read(l,c,readOk); -- but also exit on a bad read exit when ((readOk = FALSE) or ((c /= ' ') and (c /= CR) and (c /= HT))); end loop; if (readOk = FALSE) then good := FALSE; else if (char_to_MVL9plus(c) = ERROR) then value := 'U'; good := FALSE; else value := char_to_MVL9(c); good := TRUE; end if; end if; end READ; procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR; GOOD:out BOOLEAN) is variable m: STD_ULOGIC; variable c: character; variable s: string(1 to value'length-1); variable mv: STD_ULOGIC_VECTOR(0 to value'length-1); constant allU: STD_ULOGIC_VECTOR(0 to value'length-1) := (others => 'U'); variable readOk: BOOLEAN; begin loop -- skip white space read(l,c,readOk); exit when ((readOk = FALSE) or ((c /= ' ') and (c /= CR) and (c /= HT))); end loop; -- Bail out if there was a bad read if (readOk = FALSE) then good := FALSE; return; end if; if (char_to_MVL9plus(c) = ERROR) then value := allU; good := FALSE; return; end if; read(l, s, readOk); -- Bail out if there was a bad read if (readOk = FALSE) then good := FALSE; return; end if; for i in 1 to value'length-1 loop if (char_to_MVL9plus(s(i)) = ERROR) then value := allU; good := FALSE; return; end if; end loop; mv(0) := char_to_MVL9(c); for i in 1 to value'length-1 loop mv(i) := char_to_MVL9(s(i)); end loop; value := mv; good := TRUE; end READ; procedure READ(L:inout LINE; VALUE:out STD_ULOGIC) is variable c: character; begin loop -- skip white space read(l,c); exit when ((c /= ' ') and (c /= CR) and (c /= HT)); end loop; if (char_to_MVL9plus(c) = ERROR) then value := 'U'; assert FALSE report "READ(STD_ULOGIC) Error: Character '" & c & "' read, expected STD_ULOGIC literal."; else value := char_to_MVL9(c); end if; end READ; procedure READ(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR) is variable m: STD_ULOGIC; variable c: character; variable s: string(1 to value'length-1); variable mv: STD_ULOGIC_VECTOR(0 to value'length-1); constant allU: STD_ULOGIC_VECTOR(0 to value'length-1) := (others => 'U'); begin loop -- skip white space read(l,c); exit when ((c /= ' ') and (c /= CR) and (c /= HT)); end loop; if (char_to_MVL9plus(c) = ERROR) then value := allU; assert FALSE report "READ(STD_ULOGIC_VECTOR) Error: Character '" & c & "' read, expected STD_ULOGIC literal."; return; end if; read(l, s); for i in 1 to value'length-1 loop if (char_to_MVL9plus(s(i)) = ERROR) then value := allU; assert FALSE report "READ(STD_ULOGIC_VECTOR) Error: Character '" & s(i) & "' read, expected STD_ULOGIC literal."; return; end if; end loop; mv(0) := char_to_MVL9(c); for i in 1 to value'length-1 loop mv(i) := char_to_MVL9(s(i)); end loop; value := mv; end READ; procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is begin write(l, MVL9_to_char(value), justified, field); end WRITE; procedure WRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is variable s: string(1 to value'length); variable m: STD_ULOGIC_VECTOR(1 to value'length) := value; begin for i in 1 to value'length loop s(i) := MVL9_to_char(m(i)); end loop; write(l, s, justified, field); end WRITE; -- Read and Write procedures for STD_LOGIC_VECTOR procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR) is variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0); begin READ(L, tmp); VALUE := STD_LOGIC_VECTOR(tmp); end READ; procedure READ(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN) is variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0); begin READ(L, tmp, GOOD); VALUE := STD_LOGIC_VECTOR(tmp); end READ; procedure WRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is begin WRITE(L, STD_ULOGIC_VECTOR(VALUE), JUSTIFIED, FIELD); end WRITE; -- -- Hex Read and Write procedures. -- -- -- Hex, and Octal Read and Write procedures for BIT_VECTOR -- (these procedures are not exported, they are only used -- by the STD_ULOGIC hex/octal reads and writes below. -- -- procedure Char2QuadBits(C: Character; RESULT: out Bit_Vector(3 downto 0); GOOD: out Boolean; ISSUE_ERROR: in Boolean) is begin case c is when '0' => result := x"0"; good := TRUE; when '1' => result := x"1"; good := TRUE; when '2' => result := x"2"; good := TRUE; when '3' => result := x"3"; good := TRUE; when '4' => result := x"4"; good := TRUE; when '5' => result := x"5"; good := TRUE; when '6' => result := x"6"; good := TRUE; when '7' => result := x"7"; good := TRUE; when '8' => result := x"8"; good := TRUE; when '9' => result := x"9"; good := TRUE; when 'A' => result := x"A"; good := TRUE; when 'B' => result := x"B"; good := TRUE; when 'C' => result := x"C"; good := TRUE; when 'D' => result := x"D"; good := TRUE; when 'E' => result := x"E"; good := TRUE; when 'F' => result := x"F"; good := TRUE; when 'a' => result := x"A"; good := TRUE; when 'b' => result := x"B"; good := TRUE; when 'c' => result := x"C"; good := TRUE; when 'd' => result := x"D"; good := TRUE; when 'e' => result := x"E"; good := TRUE; when 'f' => result := x"F"; good := TRUE; when others => if ISSUE_ERROR then assert FALSE report "HREAD Error: Read a '" & c & "', expected a Hex character (0-F)."; end if; good := FALSE; end case; end; procedure HREAD(L:inout LINE; VALUE:out BIT_VECTOR) is variable ok: boolean; variable c: character; constant ne: integer := value'length/4; variable bv: bit_vector(0 to value'length-1); variable s: string(1 to ne-1); begin if value'length mod 4 /= 0 then assert FALSE report "HREAD Error: Trying to read vector " & "with an odd (non multiple of 4) length"; return; end if; loop -- skip white space read(l,c); exit when ((c /= ' ') and (c /= CR) and (c /= HT)); end loop; Char2QuadBits(c, bv(0 to 3), ok, TRUE); if not ok then return; end if; read(L, s, ok); if not ok then assert FALSE report "HREAD Error: Failed to read the STRING"; return; end if; for i in 1 to ne-1 loop Char2QuadBits(s(i), bv(4*i to 4*i+3), ok, TRUE); if not ok then return; end if; end loop; value := bv; end HREAD; procedure HREAD(L:inout LINE; VALUE:out BIT_VECTOR;GOOD: out BOOLEAN) is variable ok: boolean; variable c: character; constant ne: integer := value'length/4; variable bv: bit_vector(0 to value'length-1); variable s: string(1 to ne-1); begin if value'length mod 4 /= 0 then good := FALSE; return; end if; loop -- skip white space read(l,c); exit when ((c /= ' ') and (c /= CR) and (c /= HT)); end loop; Char2QuadBits(c, bv(0 to 3), ok, FALSE); if not ok then good := FALSE; return; end if; read(L, s, ok); if not ok then good := FALSE; return; end if; for i in 1 to ne-1 loop Char2QuadBits(s(i), bv(4*i to 4*i+3), ok, FALSE); if not ok then good := FALSE; return; end if; end loop; good := TRUE; value := bv; end HREAD; procedure HWRITE(L:inout LINE; VALUE:in BIT_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is variable quad: bit_vector(0 to 3); constant ne: integer := value'length/4; variable bv: bit_vector(0 to value'length-1) := value; variable s: string(1 to ne); begin if value'length mod 4 /= 0 then assert FALSE report "HWRITE Error: Trying to read vector " & "with an odd (non multiple of 4) length"; return; end if; for i in 0 to ne-1 loop quad := bv(4*i to 4*i+3); case quad is when x"0" => s(i+1) := '0'; when x"1" => s(i+1) := '1'; when x"2" => s(i+1) := '2'; when x"3" => s(i+1) := '3'; when x"4" => s(i+1) := '4'; when x"5" => s(i+1) := '5'; when x"6" => s(i+1) := '6'; when x"7" => s(i+1) := '7'; when x"8" => s(i+1) := '8'; when x"9" => s(i+1) := '9'; when x"A" => s(i+1) := 'A'; when x"B" => s(i+1) := 'B'; when x"C" => s(i+1) := 'C'; when x"D" => s(i+1) := 'D'; when x"E" => s(i+1) := 'E'; when x"F" => s(i+1) := 'F'; end case; end loop; write(L, s, JUSTIFIED, FIELD); end HWRITE; procedure Char2TriBits(C: Character; RESULT: out bit_vector(2 downto 0); GOOD: out Boolean; ISSUE_ERROR: in Boolean) is begin case c is when '0' => result := o"0"; good := TRUE; when '1' => result := o"1"; good := TRUE; when '2' => result := o"2"; good := TRUE; when '3' => result := o"3"; good := TRUE; when '4' => result := o"4"; good := TRUE; when '5' => result := o"5"; good := TRUE; when '6' => result := o"6"; good := TRUE; when '7' => result := o"7"; good := TRUE; when others => if ISSUE_ERROR then assert FALSE report "OREAD Error: Read a '" & c & "', expected an Octal character (0-7)."; end if; good := FALSE; end case; end; procedure OREAD(L:inout LINE; VALUE:out BIT_VECTOR) is variable c: character; variable ok: boolean; constant ne: integer := value'length/3; variable bv: bit_vector(0 to value'length-1); variable s: string(1 to ne-1); begin if value'length mod 3 /= 0 then assert FALSE report "OREAD Error: Trying to read vector " & "with an odd (non multiple of 3) length"; return; end if; loop -- skip white space read(l,c); exit when ((c /= ' ') and (c /= CR) and (c /= HT)); end loop; Char2TriBits(c, bv(0 to 2), ok, TRUE); if not ok then return; end if; read(L, s, ok); if not ok then assert FALSE report "OREAD Error: Failed to read the STRING"; return; end if; for i in 1 to ne-1 loop Char2TriBits(s(i), bv(3*i to 3*i+2), ok, TRUE); if not ok then return; end if; end loop; value := bv; end OREAD; procedure OREAD(L:inout LINE; VALUE:out BIT_VECTOR;GOOD: out BOOLEAN) is variable ok: boolean; variable c: character; constant ne: integer := value'length/3; variable bv: bit_vector(0 to value'length-1); variable s: string(1 to ne-1); begin if value'length mod 3 /= 0 then good := FALSE; return; end if; loop -- skip white space read(l,c); exit when ((c /= ' ') and (c /= CR) and (c /= HT)); end loop; Char2TriBits(c, bv(0 to 2), ok, FALSE); if not ok then good := FALSE; return; end if; read(L, s, ok); if not ok then good := FALSE; return; end if; for i in 1 to ne-1 loop Char2TriBits(s(i), bv(3*i to 3*i+2), ok, FALSE); if not ok then good := FALSE; return; end if; end loop; good := TRUE; value := bv; end OREAD; procedure OWRITE(L:inout LINE; VALUE:in BIT_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is variable tri: bit_vector(0 to 2); constant ne: integer := value'length/3; variable bv: bit_vector(0 to value'length-1) := value; variable s: string(1 to ne); begin if value'length mod 3 /= 0 then assert FALSE report "OWRITE Error: Trying to read vector " & "with an odd (non multiple of 3) length"; return; end if; for i in 0 to ne-1 loop tri := bv(3*i to 3*i+2); case tri is when o"0" => s(i+1) := '0'; when o"1" => s(i+1) := '1'; when o"2" => s(i+1) := '2'; when o"3" => s(i+1) := '3'; when o"4" => s(i+1) := '4'; when o"5" => s(i+1) := '5'; when o"6" => s(i+1) := '6'; when o"7" => s(i+1) := '7'; end case; end loop; write(L, s, JUSTIFIED, FIELD); end OWRITE; -- Hex Read and Write procedures for STD_LOGIC_VECTOR procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR;GOOD:out BOOLEAN) is variable tmp: bit_vector(VALUE'length-1 downto 0); begin HREAD(L, tmp, GOOD); VALUE := To_X01(tmp); end HREAD; procedure HREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR) is variable tmp: bit_vector(VALUE'length-1 downto 0); begin HREAD(L, tmp); VALUE := To_X01(tmp); end HREAD; procedure HWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is begin HWRITE(L, To_bitvector(VALUE),JUSTIFIED, FIELD); end HWRITE; -- Hex Read and Write procedures for STD_LOGIC_VECTOR procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR) is variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0); begin HREAD(L, tmp); VALUE := STD_LOGIC_VECTOR(tmp); end HREAD; procedure HREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN) is variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0); begin HREAD(L, tmp, GOOD); VALUE := STD_LOGIC_VECTOR(tmp); end HREAD; procedure HWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is begin HWRITE(L, To_bitvector(VALUE), JUSTIFIED, FIELD); end HWRITE; -- Octal Read and Write procedures for STD_ULOGIC_VECTOR procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR;GOOD:out BOOLEAN) is variable tmp: bit_vector(VALUE'length-1 downto 0); begin OREAD(L, tmp, GOOD); VALUE := To_X01(tmp); end OREAD; procedure OREAD(L:inout LINE; VALUE:out STD_ULOGIC_VECTOR) is variable tmp: bit_vector(VALUE'length-1 downto 0); begin OREAD(L, tmp); VALUE := To_X01(tmp); end OREAD; procedure OWRITE(L:inout LINE; VALUE:in STD_ULOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is begin OWRITE(L, To_bitvector(VALUE),JUSTIFIED, FIELD); end OWRITE; -- Octal Read and Write procedures for STD_LOGIC_VECTOR procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR) is variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0); begin OREAD(L, tmp); VALUE := STD_LOGIC_VECTOR(tmp); end OREAD; procedure OREAD(L:inout LINE; VALUE:out STD_LOGIC_VECTOR; GOOD: out BOOLEAN) is variable tmp: STD_ULOGIC_VECTOR(VALUE'length-1 downto 0); begin OREAD(L, tmp, GOOD); VALUE := STD_LOGIC_VECTOR(tmp); end OREAD; procedure OWRITE(L:inout LINE; VALUE:in STD_LOGIC_VECTOR; JUSTIFIED:in SIDE := RIGHT; FIELD:in WIDTH := 0) is begin OWRITE(L, STD_ULOGIC_VECTOR(VALUE), JUSTIFIED, FIELD); end OWRITE; --synopsys synthesis_on end STD_LOGIC_TEXTIO;
mit
hubertokf/VHDL-Fast-Adders
CSA/32bits/CSA32bits/Reg1Bit.vhd
14
470
library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Reg1Bit is port( valIn: in std_logic; clk: in std_logic; rst: in std_logic; valOut: out std_logic ); end Reg1Bit; architecture strc_Reg1Bit of Reg1Bit is signal Temp: std_logic; begin process(valIn, clk, rst) begin if rst = '1' then Temp <= '0'; elsif (clk='1' and clk'event) then Temp <= valIn; end if; end process; valOut <= Temp; end strc_Reg1Bit;
mit
lfmunoz/vhdl
ip_blocks/sip_check_data/tb_sip_check_data.vhd
1
11837
------------------------------------------------------------------------------------- -- FILE NAME : tb_sip_capture_x4.vhd -- AUTHOR : Luis -- COMPANY : -- UNITS : Entity - -- Architecture - Behavioral -- LANGUAGE : VHDL -- DATE : Jan 21, 2015 ------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------- -- DESCRIPTION -- =========== -- Testbench for sip_capture_x4.vhd -- ------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------- -- LIBRARIES ------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; Library UNISIM; use UNISIM.vcomponents.all; Library xil_defaultlib; ------------------------------------------------------------------------------------- -- ENTITY ------------------------------------------------------------------------------------- entity tb_sip_check_data is end tb_sip_check_data; ------------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------------- architecture Behavioral of tb_sip_check_data is ------------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------------- component generic_host_emu is generic ( global_start_addr_gen : std_logic_vector(27 downto 0); global_stop_addr_gen : std_logic_vector(27 downto 0); private_start_addr_gen : std_logic_vector(27 downto 0); private_stop_addr_gen : std_logic_vector(27 downto 0) ); port ( --Wormhole 'cmdclk_out' of type 'cmdclk_out': cmdclk_out_cmdclk : out std_logic; --Wormhole 'cmd_in' of type 'cmd_in': cmd_in_cmdin : in std_logic_vector(63 downto 0); cmd_in_cmdin_val : in std_logic; --Wormhole 'cmd_out' of type 'cmd_out': cmd_out_cmdout : out std_logic_vector(63 downto 0); cmd_out_cmdout_val : out std_logic; --Wormhole 'ifpga_rst_out' of type 'ifpga_rst_out': ifpga_rst_out_ifpga_rst : out std_logic; --Wormhole 'clk' of type 'clkin': clk_clkin : in std_logic_vector(31 downto 0); --Wormhole 'rst' of type 'rst_in': rst_rstin : in std_logic_vector(31 downto 0); --Wormhole 'ext_vp680_host_if' of type 'ext_vp680_host_if': sys_clk : in std_logic; sys_reset_n : in std_logic; --Wormhole 'in_data' of type 'wh_in': in_data_in_stop : out std_logic; in_data_in_dval : in std_logic; in_data_in_data : in std_logic_vector(63 downto 0); --Wormhole 'out_data' of type 'wh_out': out_data_out_stop : in std_logic; out_data_out_dval : out std_logic; out_data_out_data : out std_logic_vector(63 downto 0) ); end component generic_host_emu; ------------------------------------------------------------------------------------- -- CONSTANTS ------------------------------------------------------------------------------------- constant CLK_10_MHZ : time := 100 ns; constant CLK_200_MHZ : time := 5 ns; constant CLK_125_MHZ : time := 8 ns; constant CLK_100_MHZ : time := 10 ns; constant CLK_368_MHZ : time := 2.7126 ns; constant CLK_25_MHZ : time := 40 ns; constant CLK_167_MHZ : time := 6 ns; type bus008 is array(natural range <>) of std_logic_vector(7 downto 0); type bus064 is array(natural range <>) of std_logic_vector(63 downto 0); ----------------------------------------------------------------------------------- -- SIGNALS ----------------------------------------------------------------------------------- signal sysclk_p : std_logic := '1'; signal sysclk_n : std_logic := '0'; signal clk : std_logic := '1'; signal clk200 : std_logic := '1'; signal clk100 : std_logic := '1'; signal rst : std_logic := '1'; signal rst_delay : std_logic := '1'; signal rstn : std_logic := '0'; signal rst_rstin : std_logic_vector(31 downto 0); signal clk_clkin : std_logic_vector(31 downto 0); signal in_cmd_val : std_logic; signal in_cmd : std_logic_vector(63 downto 0); signal out_cmd_val : std_logic; signal out_cmd : std_logic_vector(63 downto 0); signal clk_cmd : std_logic; signal adc0_out : std_logic_vector(63 downto 0); signal adc0_val : std_logic; signal adc0_stop : std_logic; signal dac0_out : std_logic_vector(63 downto 0); signal dac0_val : std_logic; signal dac0_stop : std_logic; -- data generation signal lfsr_out : std_logic_vector(2 downto 0); signal allow : std_logic := '0'; signal samples8bit : bus008(7 downto 0) := (others=>(others=>'0')); signal base_cnt : std_logic_vector(7 downto 0); signal data : std_logic_vector(63 downto 0); signal valid : std_logic; signal shift_data : std_logic_vector(63 downto 0); signal shift_valid : std_logic; signal control : std_logic_vector(31 downto 0); signal status : std_logic_vector(31 downto 0); --*********************************************************************************** begin --*********************************************************************************** -- Clock & reset generation sysclk_p <= not sysclk_p after CLK_125_MHZ/2; sysclk_n <= not sysclk_p; clk <= not clk after CLK_125_MHZ / 2; clk200 <= not clk200 after CLK_167_MHZ / 2; clk100 <= not clk100 after CLK_125_MHZ / 2; rst <= '0' after CLK_167_MHZ * 10; rstn <= '1' after CLK_167_MHZ * 10; rst_delay <= '0' after CLK_167_MHZ * 40; rst_rstin <= (0=>rst, 1 => rst, 2=> rst, others =>'0'); clk_clkin <= (13 => clk200, 14 => clk100, others=>clk); ----------------------------------------------------------- -- Host Interface ----------------------------------------------------------- inst0_generic_host: generic_host_emu generic map ( global_start_addr_gen => x"0000000", global_stop_addr_gen => x"00000FF", private_start_addr_gen => x"0000000", private_stop_addr_gen => x"00000FF" ) port map ( cmdclk_out_cmdclk => clk_cmd, -- out std_logic; cmd_in_cmdin => out_cmd , -- in std_logic_vector(63 downto 0); cmd_in_cmdin_val => out_cmd_val, -- in std_logic; cmd_out_cmdout => in_cmd, -- out std_logic_vector(63 downto 0); cmd_out_cmdout_val => in_cmd_val, -- out std_logic; ifpga_rst_out_ifpga_rst => open, -- out std_logic; clk_clkin => (others=>'0'),-- in std_logic_vector(31 downto 0); rst_rstin => (others=>'0'),-- in std_logic_vector(31 downto 0); sys_clk => clk, -- in std_logic; sys_reset_n => rstn, -- in std_logic; in_data_in_stop => adc0_stop, -- out std_logic; in_data_in_dval => adc0_val, -- in std_logic; in_data_in_data => adc0_out, -- in std_logic_vector(63 downto 0); out_data_out_stop => dac0_stop, -- in std_logic; out_data_out_dval => dac0_val, -- out std_logic; out_data_out_data => dac0_out -- out std_logic_vector(63 downto 0) ); IDELAYCTRL_inst : IDELAYCTRL port map ( RDY => open, -- 1-bit output: Ready output REFCLK => clk200, -- 1-bit input: Reference clock input RST => '0' -- 1-bit input: Active high reset input ); ----------------------------------------------------------- -- Unit under test ----------------------------------------------------------- sip_capture_x4_0: entity xil_defaultlib.sip_capture_x4 generic map ( global_start_addr_gen => x"0000000", global_stop_addr_gen => x"0001FFF", private_start_addr_gen => x"0000100", private_stop_addr_gen => x"00001FF" ) port map ( cmdclk_in_cmdclk => clk_cmd, cmd_in_cmdin => in_cmd, cmd_in_cmdin_val => in_cmd_val, cmd_out_cmdout => out_cmd, cmd_out_cmdout_val => out_cmd_val, clk_clkin => clk_clkin, rst_rstin => rst_rstin, in0_in_stop => open, in0_in_dval => shift_valid, in0_in_data => shift_data, in1_in_stop => open, in1_in_dval => shift_valid, in1_in_data => shift_data, in2_in_stop => open, in2_in_dval => shift_valid, in2_in_data => shift_data, in3_in_stop => open, in3_in_dval => shift_valid, in3_in_data => shift_data, out0_out_stop => '0', out0_out_dval => open, out0_out_data => open, out1_out_stop => '0', out1_out_dval => open, out1_out_data => open, out2_out_stop => '0', out2_out_dval => open, out2_out_data => open, out3_out_stop => '0', out3_out_dval => open, out3_out_data => open ); --data_check_0: --entity xil_defaultlib.data_check --port map ( -- clk_in => clk200, -- rst_in => rst, -- data_in => generate_data, -- valid_in => valid, -- ctrl_in => control, -- status_out => status --); ----------------------------------------------------------------------------------- -- Stimulus ----------------------------------------------------------------------------------- process(clk200, rst_delay) begin if rising_edge(clk200) then if rst_delay = '1' then base_cnt <= (others =>'0'); valid <= '0'; allow <= '0'; else allow <= lfsr_out(0); if allow = '1' then base_cnt <= base_cnt + 2; valid <= '1'; else valid <= '0'; end if; end if; end if; end process; samples8bit(0) <= base_cnt + 0; samples8bit(1) <= base_cnt + 0; samples8bit(2) <= base_cnt + 0; samples8bit(3) <= base_cnt + 0; samples8bit(4) <= base_cnt + 1; samples8bit(5) <= base_cnt + 1; samples8bit(6) <= base_cnt + 1; samples8bit(7) <= base_cnt + 1; data <= samples8bit(7) & samples8bit(6) & samples8bit(5) & samples8bit(4) & samples8bit(3) & samples8bit(2) & samples8bit(1) & samples8bit(0); shift_bytes_0: entity work.shift_bytes port map ( clk_in => clk200, rst_in => rst, data_in => data, valid_in => valid, data_out => shift_data, valid_out => shift_valid, shift_amount_in => "010" ); -- generate sporadic data uut: entity work.LFSR_0 generic map ( WIDTH => 3 ) port map ( clk_in => clk200, rst_in => rst, reg_out => lfsr_out ); --*********************************************************************************** end architecture Behavioral; --***********************************************************************************
mit
hubertokf/VHDL-Fast-Adders
RCA/16bits/RCA/RCA.vhd
1
1806
-- Somador 8_bits -- LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY RCA IS PORT ( CarryIn: in std_logic; val1,val2: in std_logic_vector (15 downto 0); SomaResult: out std_logic_vector (15 downto 0); rst:in std_logic; clk:in std_logic; CarryOut: out std_logic ); END RCA ; ARCHITECTURE strc_RCA OF RCA IS signal carry: std_logic_vector (15 downto 1); signal CarryInTemp: std_logic; signal CarryOutTemp0,CarryOutTemp1: std_logic; signal A, B, Ssoma: std_logic_vector(15 downto 0); COMPONENT Soma1 port ( CarryIn,val1,val2: in std_logic ; SomaResult,CarryOut: out std_logic ); END COMPONENT ; COMPONENT Reg1Bit port( valIn: in std_logic; clk: in std_logic; rst: in std_logic; valOut: out std_logic ); END COMPONENT ; COMPONENT Reg16Bit port( valIn: in std_logic_vector(15 downto 0); clk: in std_logic; rst: in std_logic; valOut: out std_logic_vector(15 downto 0) ); END COMPONENT ; BEGIN --registradores-- Reg_CarryIn: Reg1Bit PORT MAP ( valIn=>CarryIn, clk=>clk, rst=>rst, valOut=>CarryInTemp ); Reg_CarryOut: Reg1Bit PORT MAP ( valIn=>CarryOutTemp0, clk=>clk, rst=>rst, valOut=>CarryOut ); Reg_A: Reg16Bit PORT MAP ( valIn=>val1, clk=>clk, rst=>rst, valOut=>A ); Reg_B: Reg16Bit PORT MAP ( valIn=>val2, clk=>clk, rst=>rst, valOut=>B ); Reg_Ssoma: Reg16Bit PORT MAP ( valIn=>Ssoma, clk=>clk, rst=>rst, valOut=>SomaResult ); --somador-- Som0: Soma1 PORT MAP ( CarryInTemp, A(0), B(0), Ssoma(0), carry(1) ); SOM: FOR i IN 1 TO 14 GENERATE Som1: Soma1 PORT MAP ( carry(i), A(i), B(i), Ssoma(i), carry(i+1) ); END GENERATE; Som7: Soma1 PORT MAP ( carry(15), A(15), B(15), Ssoma(15), CarryOutTemp0 ); END strc_RCA ;
mit