content
stringlengths
1
1.04M
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2004, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Testbench for Serial Transmitter: 115200/8N1 ------------------------------------------------------------------------------- -- Author : Gideon Zweijtzer <gideon.zweijtzer@gmail.com> -- Created : Wed Apr 28, 2004 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb_tx is end tb_tx; architecture tb of tb_tx is component tx is port ( clk : in std_logic; reset : in std_logic; dotx : in std_logic; txchar : in std_logic_vector(7 downto 0); txd : out std_logic; done : out std_logic ); end component; signal clk : std_logic; signal reset : std_logic; signal dotx : std_logic; signal txchar : character; signal txd : std_logic; signal done : std_logic; constant teststring : string := "Gideon is gek"; begin ck: process begin clk <= '0'; wait for 10 ns; clk <= '1'; wait for 10 ns; end process; test: process begin reset <= '1'; dotx <= '0'; txchar <= NUL; --StdToChar("00000000"); wait for 80 ns; reset <= '0'; wait until clk='1'; for i in teststring'range loop txchar <= teststring(i); dotx <= '1'; wait until clk='1'; dotx <= '0'; wait until clk='1'; while done='0' loop wait until clk='1'; end loop; end loop; wait; end process; my_tx: tx port map ( clk => clk, reset => reset, dotx => dotx, txchar => txchar, txd => txd, done => done ); end tb;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2004, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Testbench for Serial Transmitter: 115200/8N1 ------------------------------------------------------------------------------- -- Author : Gideon Zweijtzer <gideon.zweijtzer@gmail.com> -- Created : Wed Apr 28, 2004 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb_tx is end tb_tx; architecture tb of tb_tx is component tx is port ( clk : in std_logic; reset : in std_logic; dotx : in std_logic; txchar : in std_logic_vector(7 downto 0); txd : out std_logic; done : out std_logic ); end component; signal clk : std_logic; signal reset : std_logic; signal dotx : std_logic; signal txchar : character; signal txd : std_logic; signal done : std_logic; constant teststring : string := "Gideon is gek"; begin ck: process begin clk <= '0'; wait for 10 ns; clk <= '1'; wait for 10 ns; end process; test: process begin reset <= '1'; dotx <= '0'; txchar <= NUL; --StdToChar("00000000"); wait for 80 ns; reset <= '0'; wait until clk='1'; for i in teststring'range loop txchar <= teststring(i); dotx <= '1'; wait until clk='1'; dotx <= '0'; wait until clk='1'; while done='0' loop wait until clk='1'; end loop; end loop; wait; end process; my_tx: tx port map ( clk => clk, reset => reset, dotx => dotx, txchar => txchar, txd => txd, done => done ); end tb;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2004, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Testbench for Serial Transmitter: 115200/8N1 ------------------------------------------------------------------------------- -- Author : Gideon Zweijtzer <gideon.zweijtzer@gmail.com> -- Created : Wed Apr 28, 2004 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb_tx is end tb_tx; architecture tb of tb_tx is component tx is port ( clk : in std_logic; reset : in std_logic; dotx : in std_logic; txchar : in std_logic_vector(7 downto 0); txd : out std_logic; done : out std_logic ); end component; signal clk : std_logic; signal reset : std_logic; signal dotx : std_logic; signal txchar : character; signal txd : std_logic; signal done : std_logic; constant teststring : string := "Gideon is gek"; begin ck: process begin clk <= '0'; wait for 10 ns; clk <= '1'; wait for 10 ns; end process; test: process begin reset <= '1'; dotx <= '0'; txchar <= NUL; --StdToChar("00000000"); wait for 80 ns; reset <= '0'; wait until clk='1'; for i in teststring'range loop txchar <= teststring(i); dotx <= '1'; wait until clk='1'; dotx <= '0'; wait until clk='1'; while done='0' loop wait until clk='1'; end loop; end loop; wait; end process; my_tx: tx port map ( clk => clk, reset => reset, dotx => dotx, txchar => txchar, txd => txd, done => done ); end tb;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2004, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Testbench for Serial Transmitter: 115200/8N1 ------------------------------------------------------------------------------- -- Author : Gideon Zweijtzer <gideon.zweijtzer@gmail.com> -- Created : Wed Apr 28, 2004 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb_tx is end tb_tx; architecture tb of tb_tx is component tx is port ( clk : in std_logic; reset : in std_logic; dotx : in std_logic; txchar : in std_logic_vector(7 downto 0); txd : out std_logic; done : out std_logic ); end component; signal clk : std_logic; signal reset : std_logic; signal dotx : std_logic; signal txchar : character; signal txd : std_logic; signal done : std_logic; constant teststring : string := "Gideon is gek"; begin ck: process begin clk <= '0'; wait for 10 ns; clk <= '1'; wait for 10 ns; end process; test: process begin reset <= '1'; dotx <= '0'; txchar <= NUL; --StdToChar("00000000"); wait for 80 ns; reset <= '0'; wait until clk='1'; for i in teststring'range loop txchar <= teststring(i); dotx <= '1'; wait until clk='1'; dotx <= '0'; wait until clk='1'; while done='0' loop wait until clk='1'; end loop; end loop; wait; end process; my_tx: tx port map ( clk => clk, reset => reset, dotx => dotx, txchar => txchar, txd => txd, done => done ); end tb;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2004, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Testbench for Serial Transmitter: 115200/8N1 ------------------------------------------------------------------------------- -- Author : Gideon Zweijtzer <gideon.zweijtzer@gmail.com> -- Created : Wed Apr 28, 2004 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb_tx is end tb_tx; architecture tb of tb_tx is component tx is port ( clk : in std_logic; reset : in std_logic; dotx : in std_logic; txchar : in std_logic_vector(7 downto 0); txd : out std_logic; done : out std_logic ); end component; signal clk : std_logic; signal reset : std_logic; signal dotx : std_logic; signal txchar : character; signal txd : std_logic; signal done : std_logic; constant teststring : string := "Gideon is gek"; begin ck: process begin clk <= '0'; wait for 10 ns; clk <= '1'; wait for 10 ns; end process; test: process begin reset <= '1'; dotx <= '0'; txchar <= NUL; --StdToChar("00000000"); wait for 80 ns; reset <= '0'; wait until clk='1'; for i in teststring'range loop txchar <= teststring(i); dotx <= '1'; wait until clk='1'; dotx <= '0'; wait until clk='1'; while done='0' loop wait until clk='1'; end loop; end loop; wait; end process; my_tx: tx port map ( clk => clk, reset => reset, dotx => dotx, txchar => txchar, txd => txd, done => done ); end tb;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 11 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_11; USE proc_sys_reset_v5_0_11.proc_sys_reset; ENTITY zqynq_lab_1_design_rst_ps7_0_100M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END zqynq_lab_1_design_rst_ps7_0_100M_0; ARCHITECTURE zqynq_lab_1_design_rst_ps7_0_100M_0_arch OF zqynq_lab_1_design_rst_ps7_0_100M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zqynq_lab_1_design_rst_ps7_0_100M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END zqynq_lab_1_design_rst_ps7_0_100M_0_arch;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ -- Entity: memctrl -- File: memctrl.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Memory controller package ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; library gaisler; use gaisler.memctrl.all; package memoryctrl is component mctrl generic ( hindex : integer := 0; pindex : integer := 0; romaddr : integer := 16#000#; rommask : integer := 16#E00#; ioaddr : integer := 16#200#; iomask : integer := 16#E00#; ramaddr : integer := 16#400#; rammask : integer := 16#C00#; paddr : integer := 0; pmask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; romasel : integer := 28; sdrasel : integer := 29; srbanks : integer := 4; ram8 : integer := 0; ram16 : integer := 0; sden : integer := 0; sepbus : integer := 0; sdbits : integer := 32; sdlsb : integer := 2; oepol : integer := 0; syncrst : integer := 0; pageburst : integer := 0; scantest : integer := 0; mobile : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; memi : in memory_in_type; memo : out memory_out_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; wpo : in wprot_out_type; sdo : out sdram_out_type ); end component; end;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:59:22 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBSEU.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: SEU -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBSEU IS END TBSEU; ARCHITECTURE behavior OF TBSEU IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT SEU PORT( Instruction : IN std_logic_vector(31 downto 0); OUTSEU : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal Instruction : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal OUTSEU : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: SEU PORT MAP ( Instruction => Instruction, OUTSEU => OUTSEU ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Instruction <= "00000000000000000000000000000111"; wait for 100 ns; Instruction <= "00001000000000000000000000011100"; wait for 100 ns; Instruction <= "00000000100000000011111111111111"; wait for 100 ns; Instruction <= "00001111100000000000000000000000"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:59:22 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBSEU.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: SEU -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBSEU IS END TBSEU; ARCHITECTURE behavior OF TBSEU IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT SEU PORT( Instruction : IN std_logic_vector(31 downto 0); OUTSEU : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal Instruction : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal OUTSEU : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: SEU PORT MAP ( Instruction => Instruction, OUTSEU => OUTSEU ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Instruction <= "00000000000000000000000000000111"; wait for 100 ns; Instruction <= "00001000000000000000000000011100"; wait for 100 ns; Instruction <= "00000000100000000011111111111111"; wait for 100 ns; Instruction <= "00001111100000000000000000000000"; wait for 100 ns; -- insert stimulus here wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:59:22 10/04/2017 -- Design Name: -- Module Name: C:/Users/Kalugy/Documents/xilinx/procesadordefinitivo/TBSEU.vhd -- Project Name: procesadordefinitivo -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: SEU -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TBSEU IS END TBSEU; ARCHITECTURE behavior OF TBSEU IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT SEU PORT( Instruction : IN std_logic_vector(31 downto 0); OUTSEU : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal Instruction : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal OUTSEU : std_logic_vector(31 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: SEU PORT MAP ( Instruction => Instruction, OUTSEU => OUTSEU ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. Instruction <= "00000000000000000000000000000111"; wait for 100 ns; Instruction <= "00001000000000000000000000011100"; wait for 100 ns; Instruction <= "00000000100000000011111111111111"; wait for 100 ns; Instruction <= "00001111100000000000000000000000"; wait for 100 ns; -- insert stimulus here wait; end process; END;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T547rc5zDOerCe1OavV9oe127A64Qyjl9cRjKnbG0Fw1JTeAGcnU5J6hzlKQqmpecO8++i4VsnfS gVbA/wQbbw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JU5iuc/kQwse9wugEIXtUYpy46gpWfwrW6Xc/SIzL+T4zp/mm3kFQzWAA8NgXVOIuH74dz38rRxH rk0+sLcL3R2mN14y0TgKRJVcKLglkvO3ThkTEnkNb1+lJlvBv8dsQNa0SoPxswbR/Mc6tfTVgiCd xmvW8RxkilgDEPPOaOA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UIGc/ouGHCFtVN6eZwi48jJ2YkKhZTWOAP3Df618jKPRyZo2MPV/+QSFUIMXIR0iQFoLIK/XtSOj UN69rhY9879vhc8I9YSJlB7T+HQR/YpZf0fNBHVzQsuGEIWhkZjd63WVcZ+lNYFNrICoryYazb52 SsGFHuHQs2SoDWOcDfx1trW2YuOIySx2GfKv/UwKLExkxQqaqdXKhgM9N/2/EZKpIw0DhXa/EQox i5e/kU7CJjguPTyRG/+JSqfmsGGLhUiHBfCNDVX3fkdEEgl+ZWeLps6M8Y56f+EJVPSmk4ZrkbTs yhSMiA2m9C4/EDr1CXt9wIph7ay21ULCy3Qw8w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CERDAQKkX/PSjjx/erdQvrtLdQF1eIUaq0lCSbAV+ptOcv61bykhlz0NfCudbjFkmgBtk5XHyGai hWxAMNLePyN73NyZSlfnYwY6S4q6d0uuZAf82NdpLJOSH4+IX67nwCnv7CbINNpeN6O+yNtKJBaQ nsTaa5FlupaEiYpmisU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MekCaZ0UiQ+IrfzAZLwnEO2MPam01qIdbcdKmh7CBvtG9P8qT4KPEKiSZUNtXTnvP+q8o5f90fOi eyYiZn1ha/vbUMHQdi8xbnnAdGsahW5iRKceBlK8r+1pnwkZsllKoBOd0ixcXCOzwltVM2KC73DO jC4iIiCbUECE1IW0xa6CTyS9YHNE/LavsSDdKZ/vvROB5iH2CjsqRIwQgSMNmduNX+ldUmtvb8Q5 CJIbhWOzMLa/lIrz4p2B3h0h5MytfqGyya/q/PxUU/WuJbM155ACQlzvqkzkf7JjEK6/1GFE1Sq7 X0X4DGjfDznb515Pv9rLpDjky2mbrGonETlQeg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584) `protect data_block c5SdzVPqMoAcucjj7/J7Ketlx6GKob80Ekt3p6JX0Y+QZBCZhzhEP67xKQxCdt96R849vIrJ9I4j Zvf3IcdEUpNsV+r28FOWg2dxUBo85BO+xdC/3QEsLuPTC8c4mv1LJPttr/6MJXgDVLNMai+1jr7l /VKf3/46hXu6kScBHen2KphEEYY36ORjreT4kvSYGKj4SsIfqQvw8TIzyEZ3MhLsOa+4UtiJ6U7I dmLG3/q9IC2orwIB54jqF01496J3iLOgCZjebHBLyLyIeCrhgNxslWSY93z8hM6Ki5RpIsSiGjNR H6BSe7X9HuF3JTbp2O9NLtm6KPeNEuoqn9s/mYU6JsjF9dRtGV9MRUvgjyYSwnFg7g6egfXPpfKz mwtDs+BYIZgiZ1MmSK+O7NaxvqLXbNPK218zX6Fimkw15QxhCc8Y+/yePz2x7lYvEwQjCdfekZ38 O6lzhzM01IQnO/HnPA9Ug1bZrL0/qNFQWtcoL8WaNj0aZ6J+U+ixBhRX34TwnHmZbWKQgK2VpRyE /Jn+sbBw/jznll8LQRcFAgYYqYfZfmn++Vz9kUMRTTcoirTzMTu4RZ8UPf3at6P+lv3poB7vdZ+w lTfFQeXl5vyaZlkNdWHEZyDUgiB/03rhtPEVY7jEF8PLb4ndxkywlPWe0DS74v4t+CJDF0eINfqI TcSYevtyMIkDTKB6vwPfgUYQEv1DOJRqKftiBSb0xlz/7WFFN7G0cIR6NOGwuNyjCwlLD83io4O0 HHFQ2JZDux2XYuZADliBCnzVS83+AQJLqW9XAYXbpMB6oajiIan8bG2lddWvJkPOR5FxhxqHmA6d QEupVSzfC68n1v619kqWJyHW9R7vwAHct7XrHPOzJ20PSXtxqjtLI3zTi/69hx/k9CxTSj//qym0 JHGZUi1u0gaIFYp+yKAFWPJCOkoJCg/CVUY4B341DHvnMpIklxpFlYO39tBgGEEfFbsdQruQOK0Y FCW36T1oHOqHqieYHSiIg9TVy1J3U4GLDTt9MDanG8AbDaow4FJbvXAAVT46SU/5h7XGvL63m4Gp T/Vj8Sc2Sh/do5gMLFxI50k53huiVGuGi1y5/g2Wqav2dT4wpamHYr+8nJUlOL24wdezueDWpNT+ L83fMuR5NXfiq0ItG0oCH8ERBFH6dMWs7FJjEMS+HO56OzF57n/+A5oV/+5a4f7yNjynnVjAyaFJ giCBsd7Oh22U0VE09po4Z/tBjhtQjWa5ks2vdBZYF/cGyO2vS92c3k69brej1x2aVRqy7jX+hBOr R2bHL+S7sQzvxi5QCQAcLJByaKqNP+ot07aeS3s6a3HvOJREA7ejzxcVoarsslHkVrGDwZeRtURX vyxBDjlcsmBkELYRwcYsh1caKVo1XCm6IkfMnt174IlSSsNHfQlVeqYlcvOjKhluiZDM4XOaezOF S+ORzhdWzVYyCkIL3xd4t/EIgVu8KtF58r9FTqTUSIJK80FoB58HcL5GYl6GG+oCXEIrCygFbUia Jhs6oMdfSufsInKFhuwJ4N2zo9ZLkRIVYFfYdQGRNtmPOFB1LT1vRtTajZh/RaaoX/plhWZrtean UGLn2pBzRWAYI7A/IBjLkMRmfwdxY2Benisv7XHIL2xx5OQKq6vgi+JEcEQtwLtRtMZLIu+SBFnq aGCOzf+4VgcaoRHuwUN3KbdjSPy7NmXNcDtKggIZdS5xTrzSfrWidvQ8IjnkOHftHqiEV0DV6oc4 cPtDm9guOi/KpSzEXTnkERPMZdXRrgBZPrkK++08BTIsYihQW54hhHwjfF91OKn6yYPRPtSnRgi0 C2t12uBTDsurG8fvXYVWvSB7/m/Jp7otE4wKI21FCLIEIBNsTSnYeGaJG+OZPX6pGa0HD/zdohU2 eV4HCrveFXTPP+uWTyOpqCvmUQ5Sbth+RIHrddRpS8vrWG+s1gk0ppO/t3FMGbz/nM9x6V4xQu12 KAAMmmKT5MZYUwaDgyxjRQUebdlo5dYygHK1kYk0UZi21RYcDOZxdsN2NUkfiOGrtralmCuwFdys BWbEw2fFWXBLRYB4Ip2VMP3dBRm/a/zUZHMKiVOA8hk26YDGXXuJppYDb70tvAfAT3AdaP6nc1Ws HreIebePnIrOdAr84neX/I+l439NRrqrU54VPltVdwFpWQFD3UjS1TMHskI8E16faybVtOruUhJ9 TzdFjCHVNtZ5c9ssFv7bfB/gEuV17xbe1OJk9MEFkl8rDSWN2LlklQ6ITSbsB2t9mQqGhKgrixw4 kfygj3eq0JcuRr7Sg2TKjWkxU06G+utz8OLb0Wkn8+tITwOIgc579tRwYFQqmdxm9/N6gRtLvZ1a KNJhpPit5OyHYCkfwHHvNeNosW9sF19om/hutMtSO0oJFyLeKPlxeCS5S7uL76nhlsixza9R7VZO v6qLCtfgut5JNiQUnIpLLNqldn8vKZONhQm9TUNAhKjVKAvsfvmmUR3mY0J/ccVPaKhmlMwpLAI1 6o2oSFj/MKKhCJ+BGDmonvIGc1tDMNVHi/lBMJrGfNlB27V6rnkS3m2IdJmcwjVZjenVMLzy/pFz tspiIOR9uLpBd0B3C0CmH7GrPgTBpHFZkkzob82j01mc7+Q67bED1mwYgQSzPEPCZ/mEKeT/zYZH C3je/nNQ4WXqPEpkBCgR0of/J5MOb8cTY3jH03i9I8uzy2oLdacpmGtfXqAyn71W4cTTwSZuf/DX mrhyvrfYajc9tEaK42U7ZpgAVQhLLAXAOXTHVtMdALB7xsK1GJmdCDkAk9MNpfzssk93UkshlzOA XE2+basHlrSFINyGhvB3e369t5HEaUgDHrS+A5h9J7k5hnySsq8Q5KtKoffs67H/sKb5NayUdgD+ 5pafLfXAdQeJpHz7LATS9LmZE3CwpTEoCLTCsiI/cp4E/RWGP5QnOa0q9lbV7V+c9+IA7DOLt55j eqLdr2O72r9dEI+c01ea38nf5TNNIlOD5c5QOo5UY9Aq8BaGdtELxEoxpbWS2Tq2Rcon/aNO3oxO w497lulkj7DAMn0Z//XGqaaJnc5BNE1nNy2b+CuhQJzyng2FlqU36iqdC+4j4aKXDkEcNO9dGpJl M6szIOJpQF8JPFZaDb9U+9+EyyJMJGw/HEzuQ412Rs4slUAMWOHLPuU6JBsQwRfT+/HYbykb4Jjo ylnkLQgqRxdQY9yh8nH1qwAf8DZ+UaSms26yeXw5bjjgPA6jiVW43rKJH0/8JlVt0c4AzFF69JAZ lGNE9R62DSaS6KAqoOuou5mbJvhPomlk0340nSsVhgAMn8TtFVHGI9DavpVK/Nv2/J4kTA1El2gK Xc7pJlxNQRCx5MsEgfebd1YBYplkfYlOGuy0hEzw9GQS0C3bSDmqEwWgv/xgnI/RTyJosX5zVtUt NXFg2WyIpDcQREbevv/WLrk0iqmGclEb5uYhfNq5uBJNq5QEwd91IjoiTGp9wJlCcwgRcpEig60K 2srXtXpMWonJgP7yK67LPemXyQF86kCYEdp/Jw+x4i6QwwtfJ+iLEiWOlnp0R9t9lBvOLaNHFwqW jieO0BJhBZYkN0H7I7rcR3J+qwQKFwPbUmm0DGZ9jJR66vP7E/dVpscLBc1ci7RAaBdHkVKtLtGY TKaLDwJ3V9H0BBtJxh/N+Xktx4DC8MehUnhzaWDcCkD3avq0gOhjZbv6YFmBxVQSavujAV6CvEPQ vzZOSBTYq1WO85DTI0/i2mZ0GPDdHH/G4O92n4T2jfn+bfy0RTA5g7EHY7berqv0PXNZrFNmM2nF v2Vl9E53hIfvLzmHwB/LZ63AzOWHZ8Ngi0lYLBs12JIsOnAABV5llHxD1coZt67EcfHE8iysAM/5 RyzGhr+BKwvEjIkt/d2XeYqy7Ylp1UBuYeTkuvFpkfnwwRhHZ2DnP5JjC/RVpkGA6fpdqBoQDSjW 6RVRf4JxrZBmVvkTtR7rkGvsAEJAyu0Se3rX2UDrnY048tuT8XtCdRQsaBw8y8ArOjqbhd4/Xxma AccFpWXVZUijTDLIQA8HYYx9DHBjjYOQ4422Wk+syBKRYqEj4yF7TMyyuZC3LVsPp+YXA/4LXSY/ FoIjjT+AGhHPP1uliLbGAiqO0pahCYXEIqX67AAlbmzpIoB4e19nXJ5ApFDs7KHQbfVZAt6NhaDE z+4/OfiAi3RCzxf98ExDwoMTMZOEbPp6WAH2tZtyJNdBuyolmp9WnLdymKaI5ytgBaYfNHMWJ253 9bqa2TOWgIwv2rakGvTCr2VYpU5qWzlLxLdtFlunrSq2vxWz4zl7FN7LNVgvYfx718xr6uJhaFbi FmxyleGsLlsZpCZ5/6PzRD6BKzUFdWShmBQQmZB43R/0OLdcdXihp8zD/B4Cn/Nuqfx+PDUBPCP2 lALuUgeEK7dF5Byn5QQNPXJZ3wkYHI8YQMzmzojwra5O8EZnLvyH9F9HTD3lPkaTDERlPmBO9BDX T8UNBHPFE6DbYM9Ulh2e1bGuoSYMNdx+ytZ8IDGWs0yNdzMdq5dJSLvLzd32LL5AZLVJW/4/JWs9 DBFNWqv/oFmciGO93+vz0Y2RS2IMs7dLlD+xnWWOuz0iZfHt4FWRZMDjz1OTPf6HfZechC8bhYKo xUuI+usGwrstk+QOYqW+FJP8bnBEoZZYx5Ru91H7Smldoi3nel+Ef5YhgCY/PAWwKgrF6b1OQInI wcQg5Te4OU1UAc9K7Y9WeOXVIKDqjZrXxb5xMswyTqRprg3nzlycflBAxTeOrJtH5wGLSaHBDSNY m82qGRlB6BHhOpY/m4CQtfWq3dOSeYfqBniiaCgoJYrPnwgqk20cz9V3xvkEx+7alsYMS7GNQf0t Q/GdAyfC/0vespCUSoBZkd1iafhQMH7d3MvscBCOueodqXBFWYU/I40F71jL5KsxnsUqOCpOjCtu PSjMkMNssIuhjrzm3SLsO3amXeyVes83iCQRf6DqPPWma+Zq50TNRdKWJCDL0v2Pfx2KLJnO8G6J BmF7Cvf0BbDHuBHWEiuyWUj1OFfMUvNc1YEJitiO1UOtqBT+9STQNsbI+kYG5mz6OT30C38F9biZ l+pI1qqsrFXcFESC0QGCmgeR1O5aBhTq9ZAoOEG9U1AnWq4Kxa4v6dCmBv7ViZLgmZ9VJxioqGDS G8lc2P9QZbtSBqgaLtfkHpMNUQLZZQvLE8W/VdiOVECYKPEOH0GMaUxpm37bbDokRgGkKnUST+if k0veKwsb4f7zt46dYLdQ5qJ+Hn8LJJDBhNFxiEK9Q4DqaB7Q+A63fbsoV8ozSNTikv1D4HSyhANx acvRdNhmJ4yzj+U3q3ebVzyctUl8qaX3cBGgSgQ9LXmIHR5HTYx4Rrk+/WWWPLi4JlCSs0DzT1sI vm04UnXqTbsK7HvuHe8LVGsp9Wfof16cWaEUTE5Uk9z3uFz6LsDBTS93VyqSfXBoGuSRKVdGejou YTJJOE3xJuCAWAzTMZwHf0zDVsqwY3Y52HceU4CHZgpD4mhbGL3RNtIg9XKXd6mo2nqe+aU+hF3W cb8cNohcKI2Fy+GpxplfRjRa36WpKOMs8j/SS7SIUMhhu1x5uxXof1O499X0gGjatUYDaevjKqvF zv+zPIBgn8m5x9MiyMb8/fuvxnYEgMe0Pw+GOTzCLEbN602Xh+8kY1pL6SeMBVzhdXYJX6Avdlzr 5L8uSmZuG+cnopGoz3+tT6W6zqQznjPjhKltfP9zonWpFcrPqjrL+TllEK/tAjFcYRR8qIIUg2h0 3QTE9ITiYOVyyDmtZLe6tdBK32umqyQmg2fUHIyKW/c7iOZ1ckvm9xtUu8kIZyQsvHmEfKR1MGy6 EwEKJ+6tzykvRVpPYOX5ZbLBfaC07ojrQkpUIhs5NN3wJJKRrUWlr7YVpsE36KFmlJLIJAH6qJOZ 6NLbdHwXZ4eFiHa4k0Uc2tZG1H/m0ffRdZ9JZKW5XCSOV9QRjBoe+iI+oKYRzlV/OhCtkNzQqlZr QyerAdXVymyCG75o9tBUXizVMCab642IptH6BRx1JJ0uw5x1PLPtSmRyhuvKR7SDfuvqlG6Rzi+Q n741SmzWBeBEHZvvZRxKe908OKM4ZmIUYbfI7TIJE2wAfq3Ej6Uuqc+FF4IPIco/mZ7i/+8eGVXq /PcZKWifWTEpx7AZwE+CTcz/y9SX36prHeqW+gRTtKQcoy456N8QhELaPfMYmaCGQ2MEakcaGfP1 TW+l0WjXBAxQ6SsM+nW9Uvs8PJ+jFoBHKjlvYS8ucHV16uIjl8AeK3Uo9ewriaINxg4yUKtFTJ/5 2mX5ex2SF6PQ9OAmaMebLluYqjpCUCjRbo2K2kVZALFT+LB5ExKXcqgw8pVa0mkZFMyMqnlgW8HP MPA4VJH00vW7PTQkKNWsYptfTV6ImGBnMCWbBsq14Yod9bh/8e+iYBg+D1PjrmSHG0VgsdDtMkbj onRLnjDkCpHH2yEVwTzWQALKAsk93qlnlFqD7ROKdAVrLz4fFNSdrDNeEaOgLCcUXLbsWCcOAm/W HsGB3DsEGe8kBEE0yeNYg0f1I5oa9f0Ou6J3Rw/E0F4pJVS0TnACMoexkLufUgEIp72jCs22A/aN LiYYHQoe6LZOEjEA3PTK5t+IS1MKg264yotQ/Ge2mjfcFgJndNooslu3ElL1+jJh8yDffGCmSvaY YFTbTAXlQQmAR1HnsIRLDvkpHC8U9TEP/NzLZJLnY2qZ9GVAuEQ1UTogTXULjouAwD+R4bgC/QUY aI+kich8rmdMCfV1E8YMo5tlAztz3phZdy/TY2bvAdAbhU5p3Onpo/qbG6p/LZVxrKYYRSTqUnRQ lALrRbz9lPY+p14/TZ4siPwhqar/V23nBsGjK7yufsiNPpPG/0D+/2HAMijNFX35IZx/EZxnCA/Q tabeIpY4zeXMD+V1AGNqCt7EKcJMqvt748FapbQrcJkuVNnJb25KMNWYgdPziTVrsyKJzTX1XwAz WkGK4mKufvWZX2A3QhiB3TyVqMNUN4gtkfSDWQ6IWsxcuRqktScBfWH2VY3VmiVahajt9Ub7+BNu FQVvii8DH1XR7XtIh8AIzb1EoL+YD7+IP/4gJ7D0isZ4cALhGRSqQfxjLTc+7yOGHCqfBR2f1iQV OIkXKCJCJ8c8+p9fgsCuWI/iMVYdlbcROx74islzxSHP5VfjNY/DBDPeL7OMtX0AvNcScC+vqnVN +2NY+j+UnSk+dF/vyy+aHdzCRXvZjrj4bMbsL9+dRW8YtpDRoF3ma3+DMG2h/phtX/ztYXp4iAnq kpU+9WyVHcyF+HjTFbgA3gL9XbrgxlCwAQAIZEL9T1cLKyp/s44LUfmDNAVIDA/YmWkHm+pAwO5/ 2X02MBK9pcLdPU9BE69d44f65COjbAcVUiEGHJB+dDAsTfa5MHMhpGENUg6bnGhBfP/9oZH2EjYH HjsgX35nbjFRNjHkAfwhS2jDi2cm+v0aSrdzFUdGmNympzPil1mx/gRXV0cyww9k5d79hRKzO31R jGv0bFNMn7Hgj92ZEhXzEdgye5bAhhLzHtU/UARcG9n3gGTF0b2IFcC6pt7fGWo0AwvR3+ChoGsz 5k/tl3iE+XEPAnQgKA0ZOX5GiA4ShVVxD9mGWdmdRN9UosHmqVu+nEmpSp1lmfYUEyROWzb1L2QL qvdt1c+BfkKj/CR25vP42AVMQltAGiA7Y9JuFGvRX7/nt/FW8qcmEbWdSPiNfduut1RxIGmE1N0D xSr45dkI6Yk6+1LmUpFSr3DgqwrKtzQJGIfGTDclcKSQenQskSBoz5L+LZU4lqHVVDUhf4OdQXLu bzeR+diBVXqU5aH0hQrYnmQS5er/ofGB7Mv1FSU8plEf9TkJbIceKbw4jAc6MTCAkGxtQOW2i1ZD Hy2n2OxwodPCRZuLTjzDz1ppufVJ9QBaMq3wt/iKE9N1dxxI6TPr+/MiNuXDJdaNR2RIq0g7AVQe /z533zWS/a7/KpWnFKArxa196M2RnOJQEPxacakBWYFKwtft1e2PPGTOu5g3ZfJlODgvHpsvsUK8 cVXkJ9mD9Tx2HFaH3xsUPct+ltpqEedVK40711T/guPXCHB7B/z3+p955Dj2ibWUwhvs2qjd54Sk TEOnIOB9maz1FcVk4+lA309mwsJHgTQFeAKZzCbRUqxw5hkr6j9ESP73oNsk0F+D/X9zZlnS+QaS JjOM4wLHcCMq9A/IIKBmVwII7gxQglwezb+nDpxuKw6OEGoVgBdZyut2xK4ieuC2Ihn5JlI0H66j g5E5RbgPYZG6M9A8LCmemKFUEwRaMTQSSPIzzoZkfvBM5U6GO0uWdRH16epOgYSn0zdQlRBFkP8q juo6dKd1+KCeEw8JCJFaVKI1LurU53ELbgQONJxjwzrrGeCAiBzx5zLkWX1b70tdR/XGglKnlm6F EK/XrIIKWvmyUxbFHqg+P5TuKC8wY2eLkIVMOhWpZbZRdYyEZ8kubrOMZBDoj6LOyPKUsgyl0OVR rDpLWwUiTpjYXSRBhGEXReImY+mzRQnIDZamXD9HDCsHPAb+udov4XEkWGAwqOel2dq3H09ZInc4 85+RpDqrblFLC6Rt0WQqZwdVoeu4y20PyGf2lSY5cHdJWxZHR/xqyr59eh+dZB/OEPy+DMNiucp+ 0KQ90LVKcwHSzSinVwWX8yJQeskOa9o+6BaGYU4vDNkwcX/6sm3i7FuwpVT3MxOlUJZ6NED6mx0I iFPSkuK6Shvbx71Iz6sr+Qp58IDjlqmZCjgl48rVwPG/xgfCp2mL8ZtNV1cG6k4K44ADC+Okuq3L TiZOhEEHrmMX6q9mGi8p3vfle9U5YDoFJK+bBIMw9COZHkRkUrS2I0wOAVMB+El6DyThvuUC69Ez /7GHgjkHdcemV1xnIzWLdF0OX4EYFn7QBl4Yq+ySG8K80PoXNuhdGDb2kHwrkVrjXq0pkypUerlZ 5eaSNeMUSeoWswo78eMLwPIcB/a3F0jX0Zc1q6znHuqhvK8EGSpAuEVTKof64E4mBCcT4eWUq0dS gvJLnHY7F4cZVQLgwfFr6o6G096pJuk8Oi1yAXJIq3y6XNLzFa/XdYTXqX3530ENtFlUSnRA4691 DzD0by/NQfUFUYnIOLvV6Szy6X21BDksSfVCMXNYnmgUAc/6K12vLzkkSe7m3Uh32YdVS/QbgPvb TktXtv/2DWN34PIv3XrPOvoDelPaDr5d1JYQL3HUYT38k6o3oe2hzTgZs5Ac5JqdCmldoJFxwW8l 0B2IqwGYQaVhNBO23Rf6P6rNJbB1DyksLbe408QgK2iORTa5VB/bbYYuS0cyNyfiUXYkv5eZAPyz XczAmk+cjwHPKTfzYETLa41vpckr4oKl1/E86wEIVg1UwaDV+zUqHj6jglR7mkD7OSl761hcCRcS pn4sBIwg+lsofrp8pWHCTmTLTyqaDsdo2t6BawMSUb32CkK8aTb7hsonM24+8aUa86KFum3Z+CzB vUCoH+2KUq3WONpz1k2WDY6pWODEFfHOrx0EmeUy7LqbEcE956BdlNQiFRJscP5UukMC7Aa3G2iy RWTCfQR6Gr2CZKVvQ7764u7sllIZVcbnZcv6xjYvC4W+4N2qPcIgtJigodmDXXMP1+2/wiJAGOxt UONOtxby+Yz0Imu4wKiJHfIKayaaCjrIJsAe4jVwRqMWcaeYnEHYzp5LFwZQY3bWll3/aQnxvifH kuv9T8vJd18K2XwrYEv94Zd00ZamEz3mj7DFSn1y38S7cNb30+VHTeASAFzYLqMW3NBj+Y+KlMcd GANZEQT48OF8KV0hJG3+EwEbcfI3JKmkJqjJkLNnWOJJPcRlTBnSKxhXaZCEX6hv/qLCjnUkM3UZ BBqGRe03RdjYMOF77NdFNQyws6q7bVaxcXBSyH3jiMIOvFfrxOt5gmvF0YnuZCAWWAygwNJYWtF/ 5faBDJXgj4N2Z1Ejh1bJAXEgs/Uem6ABv9/lMxsW8mfhlu96t+IKi69YXxL2sibIY+uZS2Xm7gr7 p9MOgpcswofFWZmAHRUoKO2hBaGBQprQxeqkKv+ykl/c6WPGPal0WP69gwmksG1opivrIiMvSdOr b8yGWqnXc1RGQaLLy4OlIsPKfvVjSK8Ovwhh+7YRcVgCVBA7/4xlaHc0L40bN0yIA31Kjv6Qw592 UuAQRtcLVW1frfrntWdmKhJa/mNn+L+anGQ2YNfKqSrv02vVpFK2GWsGu+8E0kRTCY1Vq8cztp8s fq0xTvmSedjplCip6bMD2Jhz2nXo3sY/bWPz8IhQCokmES0gIMZvmXcJ+d0iSlxxeTYCTnPuqHqU Yn2Q1IRK2FjT4lIzWeHNcfqIER+JFMKnKjsyA94m/GE5NG7scMZ56fCp21RGuyp5JEatT5TqjTOA z4tzVIT/HwayqrLz+GZqfURpn44s8fVTRQayUxtufigwMk9NPhXypPUbbpJAsoO26oERuvpjCemo JZtZMJXHJnNngD+gBls8pdEEcyB6JqvUW3US6jJrPhs5iEuOfVPs1DQlRuZ/XmbNzbJH/OvtnMKZ nsIm+WwLyDSerjxsgGa5KgQVhbWkf3n6h9vRNxWGZ8JumfUXdCGkDHVDaVXtBKfVv/hV4xdQQtx8 Uvqc7KZsI5qRYbEu+lSLeGEzf1h8c1dSUkZn3pAHoOUinZrtqXiwQA5TPkymU9QWhYnb6+fyKPg8 iAUYyYLyxtnLvB5/FnG5xYZSyFInCvWskOaC2OEaJwjkaGMb2zVoYIE1qP+2pQlm1i3SpcSK7Evb Y0ZL/WZtP4iTdmsWmZYKkADh1xKTLJN1eVKXwhzB97NcTfX67cMyT7tHEcDCPHUxAMAGS3YWnW1a 6WfAz0QZZb+MWCcursOM8mDEwjkgjYqqDPnbXIKIvPTqBPANNIEkcuX64l2FqJXMpT807S3xvZRR EvI7NglzpwKoaUMr9lLHNs4jGaBIqXT/u+ZVvNehKDB1dCN/YnFe3Y66gRoxCLf0IyV2HI1FgX3O HORedu/e9FuE8gxwCGN9c/817TV4uzxSJoKnAGLSe3L39EkCpedgRDDahQfC5l3zzDNIHKWiHJhY S9zvZuDvPwWuDY7e8HIxeW1QJVAY3HFCUWAAof2eOq+RdF/Y6XtqgVf4EaaCWzjWZn4Cv96/Jmzv AVyOPbSxBxaLW/WJZI8u//fYDy2LDWcxEa8pbpCyWUF38t0k4FMVWQu4GevUmmQssQxYnWUIajxz v55p8eMHJt52UNW+0Xic4ShB5jemAON84nAA31zPJRhR4G507ifULYzIWi+9tHTRzn000nWPMWGH F5ui9Au1+f3PGZ+4rU6IiLsH3iFA0MVOtwWt5SahCdoSopmEWIslwr0Fj4y3BcXhX3OmcwV57h7U jDIvj1Lx06rSd3GPZn/8+G7iBfMTC4t8v6Iu3qsxAS1byp/YX6AHh1BgTBxbb6YC2wAss13mtDji x7E3PvQcZQewUJWVhiycHj2Hn6fMvSlGu1UwXKvx0OmozAUESJ93NWbxxC5vPEI7xfwikWvAGhQS IvlA2m5HqUDfxzsFUGgcxob+U18qoe6tWRuK9UhXTDUmdLvoloRHIe7aWpbeyFR8/8iyAAuNBAEw vKofR7PYU2/wZBOZ8vd5YdsIoPnP8Zw4x2A5y3tRiFmWYfpMDo1bhShF6kfyVFkcrHPZDzPG2rvj 5PhqSUk+/KbkbeOuFr0Z/ewXOPQml5AaJwWZzgbdKbVkvuHvlf8YY1U6+SsZGnDI+g8mtGzdM+uA GouGssia0c7hGTBNllw0V9WTdG+5iyfQGhlQ0PtIcSjuhP+y0PSRFFg/MR2ySh6hWqoyINVMpUl6 7Q4KvS4rDtBLg1uaJFMR+Cp//OVqK0fBKehwlMj+5+6k88/o85i3Mn24o+NxNceNvSdGQ9lE65nj N7/Md22SNORu7WsCX7ONpydmbzZPfJJvXudL9z9SltMKiCrOyiwK4Z7IuqyPkUAJHvTGECQYzARv CxUdjSjydrGd3fl31p5nL9DS8HzFpUzcT6Ql0iJJJVDLdqbblZ6eCuqvqe43QT7jMyCL74cDyOyx jjsdCwCDJa6z3JryXJy6EVzUF3iZc4FML1bqHpNmWT7s2iOoCBY2hGZ4MDE+2FVB1GcWGKvpLDxt 34dLvUg81PK51QitffNWs8MkQumBeRT7JB72jkkUrbnQYsu65lYZv8PUVyuVfDmNJc/EQ3k1oqgE g53GBCg97O8Pf4Pkt8pmFNxkbz8TnigNuif//1YLIcwQgam2TF1LleX6TL0hNaeNLzNoGA0QkiF3 V7Q/x6hyxUXUIMUGZTbIwbBmYwMF9N3jhq5o0Syqi7GLn9PYrHwqiAY4zMJa/gnqvU/1gv6ijn/f JrF1EPv+U40AukAufRFFMyy+YbjoFTbHL13clB9cKF0mI0+P2r6vFcOhmd+2JygztgJn8w++kL+n wL1Fu9JByu02jSqT985kRXsIjfzc2voc7rv2595i+dtHKbsu3Y9DbXhva9pQUBCxpMJEh5cr96kI W5rNnIip6O/1FIbpODfn+mjkTXFBMpjFy42ywHrLeMFkuDrGBy+nCPA6YJN8f5HvZZqP9Gl9Qtq/ 3IRdKd2nH8phBd9SfQLAU5On8/IWry/ZuwAwCl253/7TAxsjkTJoRRJOu0w9dA8j2a8EQ619sGX6 eCL73okpUZ747jsyfL7y3ulPs+G1xhuPGi6+F4rEcX94bWYhhuKhJTvEe61KT+7vMK6V1DK25jtx nzhzogCbXHarslW8JtwwlXtMgHTc9dMlb5is965ILoRVWAWcnS00CQcp1SqJIrBbnoRay9vwoQ5C Lu3GVFggbmLJMwbr+qPTDT1dtlMcm1KOiGgo8JUOQzTnpoTkwLFxt1RSh81vNO7PDAqt4PaFDz1R Ha+ABlLXqilPaKqTfH/MC0OkEtKGHU0QdM1I3RaaKkrj5eXzVuFJinZ0Ec/Avb5H1jYrkuU+Apal vAtKkcD5p/n4FVYRUSM0AkPHCkuXHjTdyYQ+dKNAU4NfRHgDif9b5aCYlViOkPijoZ53GWMu43+s q+JxUfpwZ21TddXc45jm8cBniJGIywMa40IprLme2kD8rr3y2eglrKkaqlfaQu2M6EbW7oaJLlmD TcE+cweIFcp+nnc3FhBU5Ka7AtvBwFtD/PpMvFXuPXKECKuvrNS64WnUve9h9H1Hw6yJCF0K6aAx JAvO3XSBujvlqu71ucTfaYoMoLW9tbEeW7LTNRo+nFZGWtulapOnOi0yvRDsn81alQrJpw68btLe P/kr45eU86UyVd1dMz3+bfNj2PbT9K4NeEbW49CS+70G2pImzVlFzOSk2Y1P9MZcSmRsb5LEW5P7 JTfcGczMhBSGIbWBb8MY+UOF9F/HiV0tTr+jI5fV14NwWfEKYsmmJVMjo8vPDDP7RZgDoMbjkI0+ qeAkfnVGVGk/6ecI4igJxg6Zx0smsDwtZhQ9orQAltwYVeIix80q+elURCG9OPtRv2/0gxllbCV0 2Ak6tCzBpGiRIy+BiRtbPY02Z6PjrOfA1AL0it8hT0z8NW51Wwbmqt9I3VcRu6aYDAdgjzom7tj5 shaMgncKI84+JdgAFmvPQD6w3xUEC/TmqsV3+fEpg1QVfKvlxDKp8ld7zXix4x0q7oZn+vEgaIbh /ZZpviOVZyiOol+hJLiGc0SK/n9ALpHUjCnrGyssaZqzA/ca/I/ere84fIz068si1FqQlqpBNFaI +g/B/6gL6JDRt2IdYuCTLV9LqTWRQxJyldySC6gM2ZXnZl3sXgrEtfIJRFtSHuW5xCKxDv8JbRVA ePngTjwoGG2DlJarRkg+br1qKILE/RGk+ccuV2TA61WQnIWI79h59JsgxIMIesgfY+M7LXRORBXl RXhffnW8aL2fSvmO+wtReQXu65Ayx9lf+JuRGur7M45kvylqNhXZSdmaiJQGzMuRqGkVuz7/Nhac WbGnxhuNLrEpKekJaAo4cvf142okzc6+jDdMh6O620J+CQJDzvxG98W5nq+uayAvGDrHTIeL2qQe kHW6fwHtBQkxlbQx9GbwQYgREm67SeenV3mE+EvF57FCOdbQb6l29ToktCu663M+Inj1JWK6BdzY JZgy/TVPJBVtmjjMpfJd04z+YyfrXK9J/mI69ht/AuhGufBfwL5l1zSTyXffpRTDUIq8E2QBo8dY soqjfhTObwK8wm8nR8VbxLVz+MLsD3w9Q53axTTLPC/tduuQX0Up1VZVxWPwpHx65qZ5ca7m4d3m VUX1w9DLiPgGm/e6iFlVnyLv9c8PTcGIu0Vez9xvE9boSC7VUv1hAnYsg7fRkFxRWx6BvI5YpVTa WFmYlFB3+Xweb/8fC5w6bKTCq7gX1xXiNqxbG86WNdpeY3DoMxydB/jGqMi5efaW7TalCY/0bvtA ytOzMDyEplISbhGsNTwphWL7xUNtaNzShza9D3Ky/MGZ3swX0QZw6MV0LFpS3A7WqClK2Sdt4aY8 Ay3IHygSHDtFswww348zhcvwriEjb8G+p+cmGy6EnAGO8PTm73vcUW0AqJmir57+Buolu0yo9l6Z 4Q+DcE7pXfiVflXmhzg/uMUGqfe96x9zrAPP9NnsZsnF8mvNZF4wcwvbeFqGjF+tjn0sQ/XjCQhA Gr+r/xVhZhkZlRoEFwwNP5eGKCwTN6HrDyHqlDOmYnePia+/4jAR/UEBGFHDfvW3f0rSgGf+T/NU 6jGCxqThFNJQqbOwrBqaBruWVLnYrHIIRSX3clTn8Tmbfu9DTM+54/VXA8vi9O8Tcit7wR/VEOsF WVIxgVsIfB+n9fcqfnOO7nlHHIR+DKcqfV6ueLChTqq+xIk1Lf7WedAkM9Az3GDFpyHlL4cEhgiB oOvB04moBMxHLIgKh59e1mHIzObQqc4ekYOBIOzps3TwXna3BFUIWZlyC0bra0fI/cbnZ05JmHLu flCC/c893tOkwoFfN64GHsa+Co4HgTJmQTsSW43h5TKfJnBaxzEvo0dwSrbSZKf4z/iYjMUvycvE ncibK5NbjHJHCyw38h7/Ba/GUz/IQOKFyb5l7EbGu022pHREu1zdeCC2xkw17UWZXqMwgX5fpq09 5jNZ7h+R+U7bFYOW0TzyzKs8Ca3gQx05MY2atxMPGotZsAD4gXSNmnrW33ykN+tC7VEdpFFP516B aYlHt4jrgqCLSTSQOoTqwTuXFYcN2TiLBsgeFAarDwGzout4gW+vgc8vEswy+N3aNLVj9rM2tQLl tjSXNMBTDnR0RygM2AIPywnEschGG3+yW3Mh+WLecuLuUtG8SRiqPVdbjSAfYkRqGzZCkTTx91ZM qmG3lKMjb1U758frVWT/hW7w4YjMYYtbGfGuRowbdEbt2kY6NVO94XVO5H1MdVf/FprCUMjPPqO/ jLB8eKWV1tIlOql7GHG4YSMrWfDHvSPIpxa9NvHmSVvqaGmDJPIJQRMfXMfkeqIdYLMhGCdyWj4L 8OiWr9pG8uwfDCyyiYkdKh+w4arLx9kn7jXq53gxah+hRe6xhPuXIOacH/4B6SUQQgrlAHrpfXfQ j6joZFtwNSmOpXswn0cQinMLm89g2R/xTvCkvDoCFq9gMqXT5Kxu2NTJeujGBL/DRijPTBdNOz62 ruTtzDdECC5iV7NKeUH1KE8s3kgy323p43nivhDtTCTaN+uiP9qXneAORVKnh4oXEqNx8xkfita+ aUGn6wl0TWRwbMCFfBwZxF+tdymEkLuaPxwN+5SZGY38Zo2e68tvpzh0R1n8O8gi8qIrwlhg0HQS 2dHk+e8x2uMIKe/KAqzPlHdRS2X3ykmY4pGe19Wg45gj168kaei7H9MvXLKU1HADbVHj24972dPg weVGgTAUivvSnh2bEYJj5ewa+QwBijR+ZoNdRl7SQ5hy6LlFJz0x3BmXUXgmxp1cFkU4YvB5gnZZ l/Rgij+NmCrcNmd7jqrxk3Y9mt65NwKC8NZvzCsZq+Gllg1Y9ptMx0bLZzPPpVeJM/lQCx99Nc1B aIObVODnepLwmDBezK1ZoO0nVUKxSBZqCe+IfCMfegywu5b2YJWHUcq21r6Pl7wCPHWClJTHmPZE qisb1mB98VBPoJQuQRyYomY//YaIACFeWtZxp6FpE2REJ++fkvo/TbqJ0D8Lhhd7DlZA6VkAmwlI 8HSybrbgphGitJ1w5Va9/WC6dNvKT1ca/VbFBr5JUAuCA20gW9SCuxUZ9DZJt+LqfmCEmKPsq+Zs ZUUAzfL8DvD/ZIisN0eFYL+J533nmU2E2tjB9kJMQuVWED6ujDPt1lNl3i6zOrN5Sio0g6J4/pFV NoMrx7YcBPT2/7SHLANMXxLptc/lld7zha/2DJoxTwWxhmhWNLRBDLk1jEABq3xEoBM4Ix+pjtdC jqL6CwCRQpWMaM+MCJeBax1WzTO7Dy4DGPVeFowkWvnLuTtj8RKKokVyiUf2vaWH4Hx58GIHSk4R ZKS4DwDqxg3HuzrPKg1GWIaoBQIz9IPQp+/yVsAk2aQeNYyjFzhibHivsGRGQgjy2gU2KHVm3dJx lqPxbg8dLX3jcVAYdoYTv9OD7WhmDDKd6sf2oM4+7DWkOeP+Md3V6WRxA2oxBQ18jej1y/5iWyQP sOrWI5jFeGvE0n4pN0wJJ/7d1hLjb7IGD/2tq5w1qvGqwP0TNAhkjEdZmSyIfDEnsOxqlYvIadTD nDmADglVznJ7BZVoXtLui5C6833jgCZNq7LlixMm5zYBopm/BkNsazObgwaKh/CveWbN/hJy7k0X 25KBUICaEg8bJ/Z5o3M7Nu/mUWTPq6Z9qWNVRcO0ee4/5gQDHZCg4AgBIV2XsaOl5uFCaQeYtnhV ye2sk2OOcnMDvBUL67Yu3fEOi4wcL7SdpjBExEdEfnI4qIm44y/NQjQNJAgZT5dnoI97Z+Dg72/p 9MrSQmon4C6aCenM7fxP33LIkOjBS/3ywVEkWC1NOXzRM2ppCmGXegtsVa4BHrNNZjjyg67G96Z1 3oSHZbpOWZGbOiVdRd2Lgsjk7tpkLWBPcKohFPRI2n18Fbj2B7JW/SUJF3roZzsPD3m5YPG4QCeg xzcTA95ygJKzch4IgSfWbcSi+NTIvKfK0n71bkClIaZVsW3XdgNVy9v1jSv/9MjEYCTJDG9RFw6s nRC/u89PrWO7wavH3My/freUvo9fLjzr85rreFfKPBTH/zPVIwPpHyHNajQ52YHrnZaOcz7pXcF4 AkG6L8BGAvSgVWxMTPI7eLM+UiKMmPCm1lg5dbPnNSvcUlchNe66zbAOPVAoCbhJZLmabLqI5VkC DgoJZSpZgGiLtynk6dpNBom20uNnCLVAjrroTTA5mNUP8IBDLZI4yxxdj2rvYR06A1gEcmY3fxGz dEiGvm3ze5RjbfrxDSwHP02MP1Yg9lB5C+y7bjLWyAQPoytimgz4g0tZRJiK5KvuIHT6nYOo3Ytt XTlRrYHunojZ0zkcGh/3DvGuJz8fa8xIJfTDCTfiF94g9ZNozJREFE+9CGBTLF9KuaLjOY30F3tk s4Nlupoq6Ote1xDvvR+s927ioupEG4aVZJhzK0TbWQirp+RJXBI4j4tpnAJvvowZOtCkEyVRo5d4 DX/UcexWSpzIy1oxlDOmvzPOdmam+JWBUqNER5nL92te/JeuiauBIyiuL17h/dF5JXbBkp0aKfAo MTkOtAfIOVbKvyQYrJqwqCPeBcFtRMvuhniZDFme5CLCWpE1UrTdhqMx89iZGlc5vQxA1QKft53K 05cwpzvD+6OWPR07hInCawVSQ+l7YL96bwznuzgQeOgENJqNFjii3B6cSna/ad07uL40rPZZBPZA LNlOhfIIozDgfjS13uMWlLAu3m39MpYgjAFRu1WT0VvPJ2KrUG6LIORJGazG3ZfaCbJQiGg9+AUL yFu4l3PO2krWjux7tO4iBJH3aU7RYFBYfbvfbsmblY7YInysWUERf/uJBJhYnoOwNoMtxpDNbTQ7 Z48d8O0rKGvTpF6Xw9IqocPAbExxDSyq+5081oP4NAWnPhwbj1VNO0LxulYFVepkjTUahBVEVEyS QjpCTC6KzIshs65W1gHrx0+vHcX6kHduwZF52AYfEgVlAS3PjbGfuI70T6kTs2x7GWT+Yi43/TBp YejuOIVP4XxXs4UnupwpD0PLDmkbsOipRmMpMS+Kr5J7vPs+dt5ChkthKVHuLuSFnS84+6m+nTWW VxJhFPOatdNsQFD/JN5RGyS+hyIhXabu+aVyPz3wC2WNvWFQ28Pb2pWOtyO/STV8gZSOX7NLOilQ HcKYAlTBXhjg9oMal7bgwGYX29TdwLtWsAVXtzgEez3bxXTAUl6gVAMqraVO8XyOIbGCs5l4wQGn VSd3Gx3GPKMSJhKKGr8DSXAmHczO3RH7xoChwrCoa+fRn4Pb29H2ATkSpM5tFYNH3WcT5u+Pox2z yjoO7PsJsMUgKvs8XGnaCTsIt6TCX9o01N60gTqRwYOVEMUJRnodRsfrjVKd//8emUzxzDF8kAmf BkKrm4TX1dFET5WphJTcpxMKdMiM7luxRA2B344yKMdzfJ6JJd7PZQTsmhor3mtFNG+0nGvlWful Y2yvjM1IyDVvTjoI/coE95iF3wav2cmP3EYPj93V7DipfSgVWW4XuOJg4/m1fBZo6WYp2QvrRsZF LzoWuK8RphCwf98vLzutOIjUQnp7/xZt/XRs2EB1qcdKde//lzDlO0ygV5XXS+M7vDojZudB5nmW OEt/N+YvVhYot277EuYmS626EShJPb35MJe6iGq2QqwRSX4ENRY/6Lq8G5aG8JwdgG+zxxW8WIEg j+RhYh0O1BY1mdPqseJceSpFQPJgNsdkE6Q6RNNv9tdfcSOXzIkM/Urafg3UZ66yAxjDE0VXJGyq aEA1M4Xg6jKB/nyxLj8z9ucL7cHOUTgNPHhlfGP90US4pM7YB7iCOEvaMXGS6wGVJ2p+8wd0rCks 7psCzopb79QLVf2CArIHrxyOwp5TS8Ill3k28ePutqUc1xtwb9X0g6el79fQTrjz8Fes0WIMmbSp dlGabsG5djD/AjFwh1WldIgzjnm0FtlQpD9DoYbvktL/XR17Dpk130mcx/nDmBhIDvF12igR89mP 1IOu9qu2aQA5ihS2zmzeSALH+kIc7zYgZNyGMLJL+yLWxQrLsAdecw+3GZDAnCK7hQym2VIBXWrs Wg6qmgGE3TFGC4OeUHJ6+nfdD4MRP/i2S9bvezX+/fDXPbwCm7UricHax5xD2QnEBhfq35+TRmAl hrnA+h5pqOQAfGFx+SVsh33XMjyyQx1CE92b/bQb3pLyUs1b0zG8tuVryeFCQykYDoK8cvZ6YHWo ozD9tO7N7XLN7HcfFkTc/b3Tr5+n/v6KaztocgLhxdSgktt5AZ5UlRSpEbepL1tnyUiNTxPLQHrD uzY89H3FXHn1A8mPZc0LHFlqI7s7s/IDvAwVmfriBlJTJnZjsN2x7biMDJPgLswUgl1FROCEVftM QP1p5OWhyXQ9Jc9uDYnl9MMcvB2cbHo9KIjCbp6b6SuS5dWetexbVwcmQzePbbhunb5d7y7UkjJH /T75B7pg3qHAsLAhGDiKvS/wW3DzuK0Y+cc/rW+q2FuouMGyezHpPbAT9ABozEixun7w0KMTf7n1 hIe9fDWaT5kiUOOECsdulWDVq9OGDbGC10vzNWkJG4LG/hY4mbbvOAc4s/GsEjgS5WusKklzWNlq 7MGLDKPvy8FDc5WUNE3BgPwJStfYfDR2nLin4imyhGkHI5ACDzzNAJf4M3cRhRQTODAn4TQC7JRM g8IpNUZXPduYOnJ/eloAIc5NvgA/jWLc/RdyaTho68hECzHioNH4KxIRUg2aw98HHGuQWz+jPhm1 Sz1oayGLUG0vkHkk2GLbMdaKKxix/yGo9VEyK29xcQEm59/A7EIwh4PGL2wWBA6ZVq1LRqnvbndO 4DSs+2W8Ui2Y9gM/ks6H8y7AT7He/ebuneXOIibi1LwiQjZz4WNfBfY/gXSY2ilypulSdNoI29hx nQ4kh1FTC63jLAId7mZIiUw8I3qsyIJHfoj5mA+PZUg/lMGLbXGfWS2mh6ZLnyHgSXcSXRlN3P45 cUyK/CM1Lf6Gu7eYAMKKJVTZGsOOnbaWZfWWKjsTJg5IH6EAOTzBmyt3R4vnilHjZzS2pQdf8DGQ TfXrAuLBP6JePifDEiRmleLJAUs9muDQ3p1tAn4NTjq1RYkGkJ46c7BIehjWNUZNSZ09hFtdFJcm lC9khTqu+UBVPWhzFdoTqiodX/Tth+oW+UuEwyd8rV9R/nwx56UruJlKRcjR1ctE1iSCakRJBf7o h3cDCBbSFAQzJaTOI6NB/cN3DsgHHqhydY5QqyFd69dU2cyn8ldRAGFK54CKaq4DTAbJ07c7T0Sh anQiYuf2kAHBl6VqZYC1gH5LebrdqpESBZwNCbROGM7f0bBW8UoJH2NrjS8w1Slb0wLYjvNevaMK rS8TLiaqgb7iCg+q7GLwLuEdAVn7s++oNmTeAMvB4YKVX+LdshLXf4Wf+pluMP7Ad7kAKFHz6JVd DoiDhaOt5tpLg3oDEFMLxuKWZeGD4MzZ9yKXc/Tio2iHlgZxZn0I6oqMsybttUtfTbR2PITwC5HR Z+hM7juT2F67NR7HGZxWJx1HTqzL+DkqWwvOCJkeXJGRtToDnq3QHifP+UUbM+3e5dL0UR6GHQOq 3g3mpPKCFrAQSE4Mc9UUwD6X2DIJpSrmqmtH9Ir35gQR2RKajKfUOmE6mgtemkwKox70XOCZVeWI fg3H1Np5pPH0hrGuNV0LEYU2WDfRSevCcsYx6saHR/yAVNZdufwa0CKLyKkql4kB9UoeiKnW+e6g gNV08mfvDIGrcVzJ58lGagToD2LkHE3Tcdy3/1WqXmxiOMrjthuJG5Mifow1P371LkqPvSQqoCfM UmFkcmNwJYamY6dp/cXmQnlfVW+FZdUFyKpyYxyMU9uvUsbga2lrLvic+Xz3/+R/K+azuZM4aCWc WxYOva5evchuvwZQqF5rqTlT7cKE2gMH4TvUkU7mj0O97jnsCGvfYOqVmoTHwut6xkohkokURZW9 AwVGP6hLRT4fNm/JKiGy1lW6/JdZQoqfbJKujVBMoCD4HSaRPkU2tyStXMliTgTl+ztuy3HpVGMW 8ndprFiungIzpBDwD7+f6e8kDdpUvlCUAp7uUT+oa+/o8KNo6QviTw/kLPjiM4pf4VHnhgoKxY+h YVOthxsAZi+g2ZerOkhNDvh6xem5niLyXSA1LtcG1poyNsBEhLW4uhJ+DglmOMukVluYKZh0iq75 YUc7m4kcI+zHBQs3MZkjuSLb2d+o34BjAQT9XOGUTWq/ZfY5HT4MWV6vGcBDFNlMzXbxQI43nbLu 1PmYRDqTEQtsuClmzhVLlI8T/D4tzYkB0PYgwrH5jSHXDYAjPsGH1TPTaDnCsR5gSy81ZEnkM6qn 69SCe0bNRl+sgVwQoJ/rslB4FRNlsmPHZYsJBs4bLqrJL1dZoCMXzjnAwFEKOGSz6pd28bEJRHYl itNqU3+fhbxtncmea9Rlt4pGG8nFJwdnN2vV4zfMmw0pw3BeqoPMI2yWbwzCORGtTKaJYoSy0Cb9 jxyCT5zZ02PzI6p4j0xg6YsSIdMLiiS4d2HS4q4gCkvXMiCgHR1tjBTXNNPS9BVM9X0PUBsBHJSE hm2iiR06ju8lhdazuVy3kaG/Aaom106BD0gW6tXxa0pycX9cRAMiesGqwg/6O0fqGeXuZvJ3x5vb g1wcMChfJjWVe44/+1Wvo8pI8vW+9WmkSPSmu/S/GRuPqqYET0FVwTahRJin87TlQ+EZXQ19+HEo HmbzuRlX2rzLg3pN5w50pWVhKWK4vTU4nwRt939wksVxGs3dFk7EivOOsTqtixXTe9G39wegTlmv aLNOhCmXCIPfQazsQGBF2SahCz59lRAgIyPUZ9G1youl8qp64WkRCFsp204/40nrjGxnd0tyQ/DP xYt/swguoCwI+QbnyyA6ZU0JgDHcM4xtLipoVjuAPD72SMKbedumNeWHjbi+76jEeU8c9O/OhYdY YT7Jx197D7w59egpDf5YJi7D1J/m8EPHh/ftsou7lWBoFCx0m6hW2jDfFpYzEvJ/GfbpoO7MkJsC JKJtiX05K4C9hqmFXDW5ltDfVkLQh6+y/u7IafyMyY3TZ97vmnkq/Jg4SnPEW+WpxgAlejZgYH4Z 1ST/OKhSBhaPfqvecN7JiiTrH6c+fATYpqLbjowwhk44HiBa3VK3hxI4Bo42NCH6YOroPQlzAGaK F4EBTTakRk7hA23keh4fjgnd6DsbbfaggbxxbXD26Ae1mrGshI7uwIlEnEyd74euP/IVqWsPt4LE B8Na33j3754W7ZLwdSZKxo7PTOb8xFvstkLLI2UaBZ9JPT671OnklhmsVQnMmsJ8cH8Jw4ypAj0i cyvG7kZNCQVrNSAltKrq9Dc6gpzw5tLo93+oFzhBOcghDbf+cAeTeiDHZQoKk4KpSXZCQGi9kjfG bm2JfJ7NSsoQHIyBf0zG9tp5Hdb6Nd9rpBzqofCvm4sSprg5d/udoWoBbZ+Eoc0loQRA/UQarFhR BmbZpx81QKlrup1zuJXXZffiLpo87vliAvg1RfLX88mrayUrm8sUPQcFEw2VSDz/K6aivfnySpi9 o5DftFZLv12KT0g/c3N9kBG4R3vIn1h51uXU9jxXkS2DFnwtdsSvd6cJrtRbrHppFQUj0R77UIzn CVTy6elfFZWOdgsqdbQ8Z9dai0SMXZo2hCqyEtrhd/OSVre6qpQMpqdVGqi6gXpRjICU4r4VfeCI nmCBUz1VGbicusoxy5/p+3dtv3iDYAHQGzdWco5qhbhd7KhyufmpIlpoBZvZYj1U5+iPwpqhEoDa NQaioWJyvKXVLiYo/2/TJsd8pdOkQqoIx5iqYZRfGnxUVXrGFxUvL9RUj94rpUsUOCBgQ7/Y9nuk YndCLInhxmJm+1ERory2/qPnGlBbYKTR4OqXMCpZffXO+90xxlOz5fb5UxZC87nczFHhueFrU8mM i+1dxbiwmKcGYj/2VhbVr+oQ8UZFHNBdiuZFL6va0e4Awl1b2za9FOvKHPS4wPL+PnDoe23Oq5Wf MbLJaMCS10iGXYmDd9D2oKDdf0moZCx6KQyGfI/Dc02MObSZlveZ9qXn6Fz4DzN1VPuXqrQBTgLJ A2xoav7FycpkQ0hlosHzJaEwDrYTFqyL/6HwIeUHBfZVHb4PYTNeMRDFuYdijPqwkPf+iDmUXCxa mc6mTKtPTTXS1oJ1VJT7NoIO/jCI8q+mPJq6eZAG3n5SeIhzlShSJb898i2Niki/VhxYNonQOC2K sq7nDag6LH5CxXQD57UpNvtKu6s3sq8X1IbQ9aAMCvlngMYGbyhKlbItFUDGwlyK0IIZEOFTSCoQ Czliz07FlWOfI+wToY6lVpknq5PuvEZRx2H7W6LW+yMYCCnMNG41t26ZdhjbqMO1VRZxo4zWV/fG 8bodZ7Odpu40g6Mdx/Y0AC97FbZDg0iJVO85EmMp88ptiBsy2aMsjFMksuw6Ug2Crx42u/qehSPs 5yjX2gtDuQBnnt0HtisAZBbAeh3nqAUHpskszkTAEjhdYrZYEp/DqVa/nscl2mu0VYMmV/35PyHj gwmuZabCgEF2T/2KNgeowyvtjuHgHS5BBi951MVS3vzp3Y9Fs6+rJRsEGMX2D/yJ3y7Z0JJhpshN gUXrYXL4vsVpk+lNnH6r0bO6VHaHnM6evqmzahf0h3ZSxB/rUygCHRIBdAg+7Zwl0awbV84rUyqX HcGvE/w+PTnSRz5kFOp9aiddTY0zvtQRI16ciZ8AQB0rJQzfWEPO+V7POHLth96dVZqxTnHk+iAF 7Nt0/nHDv48rpdyz8KR4cV3D6yhn5UHBas3Y/u922i3hpuVFm7v1CPabGhYE2AlENL0ZC63SJNx7 hh/2O4XB3ztLbKY5rVbf1OENsNH85kodVnkfvinwley8CWhuTIA2OPGB42kP69KtvAO2mjysfM/A Ah1zPjRnVHTiOHURqZSxJhwzg+4palwP7Q2gM0Y0JIVjXfAU7B5CcUHOV/54p9Nc7vx/uKvyR/ki 2X8pJAJhdePVFQDHKfFjo8mKqBsde28g3PWNZDx5gCAoMg+CS2Sfa9kEjHXHCRYFJmKSrnuwN2xn fuHTQ2L03+S/DIk+iKn7ShLgu56ZYbI9OpxzLNG73oz3BL3lKVt9t97w7ru21ElyJIFZ/s4GsOMb 1AhASepbqeaET11s7ooe9UYLfx18jKzDkQ82GpFqCPxpkJyesVbevfJHcNXWfq/gKIqOqbUDQqjK i2A8wfznSSLeUF/2G2Aln/0Ww63XpB7KN9yg41Yg0CpNP3xPgMohRPk/oEWt2V2s7F0R+9nkqg48 Pl6geXxBaisUYK//xjA2bviKUef1uP4LuSi94CHkNOr0Ato961Ck9rphooNbgAQHMsytP5PqQ9Px 72cWH2S8mU9Ea34Nfh/TUdud/PZMFpXQ4IF9TogDAV/mjuJF9tp+GSlDRXjwmeMgY9s86S7Hpzs1 IiAHWcK/BjxAXJPedyDZ5mw9RM2i5Sbb+BZD7PcL7xI68lQEGnZzMbvk6piyTOD9cyPRpgCxAvQA 1aUE4auFL+AZLCVGcVRmZVmw6AWZCxptTpZYOQVPGdPBq2bi84CvO1YLkN21kK502izW2BqJj7Zd GWUHkzrN9VU8ufJIHF4pNg64b+WQlGMbPQZL6+Ns1Zm5Gne5xN8N8V7GUKDhGSw3x9FShCzRoQiJ NNd6TbqWJzqCVuuQRDK46vcxXCY6OX/flb+W0QyeVan5JvN724Tg0yiOz/A66uTlKlUCumHQ5PMu CvklpRLdb4bSDjLlR5+KBc7SXuJptBNUxTeX+Wx0WhY7m9BYf51bcmJpWgiiAUIwHpSXNl1oebNe lge2/Fs/0Ggd/m4n0GyMIqWi21OIuQnYy/DRBMTr42Ds4o1AOe6t/HqPyVzo7tK9FoVAhCtjBOpu Tx1+ctMQn1JsePZiT0IDG5/SlkD86wa27u4b6CKksYKNZpmVAgWM2PbLa7iTLrLAuq4U6Z/iF8ON EFi5nms9X8S0h5uxEOVlM9Vb7So5e3SHxnouCX89fp+9ZGyARZHjbNgYJ0IBWXqY8BlPl6vw+Q4a daI49y/qC+QTEfPc8BWEFyB0TOIS+F6DvnTJsSu7czLvwEmyzIy0OEHANkz5H1/a+gvXrym5kHBU dldoZxIKrmNkI8EMJDoBmdqJFaSSMcJ258jFedm6QdUWThy3yNqA5/sZwtjtkCKCppQ/00sKLtDw uOrbSVFcxdmqj+3JzxTv+t5RntxJ6QZlYg10U+sJZPPRGh/5l8+lkOQrofnQ84w/5M+USzvCm7aY rSQfkguO4btfWxejquRqWqqHPYJ/6XkGtpc3SV+q48nNbe4P902qWQfP+yOZBr8WQQR1UMFnafNT evIUJ57EO59o2ne/IR3DAzLrm0gUHEbeeCwNBrJ7sWbx3YF8QpEc+DJeqJMcFnfxTcGrKmnGyZsE fglq0cpwPGEGo8FZWCqjB8pI+kPbRpkRwGXoQrzcuOyiQnD6N+rzccYeD1H/DJ9WmeaAZDYyoQ4S R9KnauaewLPfIyfkSGPKHgxrLYWistgF8pT+QkZqnPx/umtywMsFlFjyQu5WXTiKQcF11ZPQJyM/ n+727crttx2XKUiuJYMzYaCWbLsmbDDnHVqSnBQl8w50YoNkl6Vj8O3+OsyoYJhCdXh0pery/D43 MaxIw9izIDJAMmtX2nLLhCzN7ngLDz2C2/UkZ0Udv4OnM0ArVx+JyZlAgLvXFR5s9JR03nxC75XI RQNL4C8LI2TxrR5yE0RM8Rz0R90b7+LBkU9f6xxO7/8GZTZGYZ/f+igkyZOC/6CMJg1WSKeOIToR a4zt5pjI96vSV3sbEODUh+Ea/XtDvDuzWPRUOoCgCLXK9f8RyJhR0i57K6sI9XoTxZHjvFAsOeJ8 dwu+/i7zNaTdGyATccZTvAjQLzFaSsUFyUaE8a8uHZ4M `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T547rc5zDOerCe1OavV9oe127A64Qyjl9cRjKnbG0Fw1JTeAGcnU5J6hzlKQqmpecO8++i4VsnfS gVbA/wQbbw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JU5iuc/kQwse9wugEIXtUYpy46gpWfwrW6Xc/SIzL+T4zp/mm3kFQzWAA8NgXVOIuH74dz38rRxH rk0+sLcL3R2mN14y0TgKRJVcKLglkvO3ThkTEnkNb1+lJlvBv8dsQNa0SoPxswbR/Mc6tfTVgiCd xmvW8RxkilgDEPPOaOA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UIGc/ouGHCFtVN6eZwi48jJ2YkKhZTWOAP3Df618jKPRyZo2MPV/+QSFUIMXIR0iQFoLIK/XtSOj UN69rhY9879vhc8I9YSJlB7T+HQR/YpZf0fNBHVzQsuGEIWhkZjd63WVcZ+lNYFNrICoryYazb52 SsGFHuHQs2SoDWOcDfx1trW2YuOIySx2GfKv/UwKLExkxQqaqdXKhgM9N/2/EZKpIw0DhXa/EQox i5e/kU7CJjguPTyRG/+JSqfmsGGLhUiHBfCNDVX3fkdEEgl+ZWeLps6M8Y56f+EJVPSmk4ZrkbTs yhSMiA2m9C4/EDr1CXt9wIph7ay21ULCy3Qw8w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CERDAQKkX/PSjjx/erdQvrtLdQF1eIUaq0lCSbAV+ptOcv61bykhlz0NfCudbjFkmgBtk5XHyGai hWxAMNLePyN73NyZSlfnYwY6S4q6d0uuZAf82NdpLJOSH4+IX67nwCnv7CbINNpeN6O+yNtKJBaQ nsTaa5FlupaEiYpmisU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MekCaZ0UiQ+IrfzAZLwnEO2MPam01qIdbcdKmh7CBvtG9P8qT4KPEKiSZUNtXTnvP+q8o5f90fOi eyYiZn1ha/vbUMHQdi8xbnnAdGsahW5iRKceBlK8r+1pnwkZsllKoBOd0ixcXCOzwltVM2KC73DO jC4iIiCbUECE1IW0xa6CTyS9YHNE/LavsSDdKZ/vvROB5iH2CjsqRIwQgSMNmduNX+ldUmtvb8Q5 CJIbhWOzMLa/lIrz4p2B3h0h5MytfqGyya/q/PxUU/WuJbM155ACQlzvqkzkf7JjEK6/1GFE1Sq7 X0X4DGjfDznb515Pv9rLpDjky2mbrGonETlQeg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584) `protect data_block c5SdzVPqMoAcucjj7/J7Ketlx6GKob80Ekt3p6JX0Y+QZBCZhzhEP67xKQxCdt96R849vIrJ9I4j Zvf3IcdEUpNsV+r28FOWg2dxUBo85BO+xdC/3QEsLuPTC8c4mv1LJPttr/6MJXgDVLNMai+1jr7l /VKf3/46hXu6kScBHen2KphEEYY36ORjreT4kvSYGKj4SsIfqQvw8TIzyEZ3MhLsOa+4UtiJ6U7I dmLG3/q9IC2orwIB54jqF01496J3iLOgCZjebHBLyLyIeCrhgNxslWSY93z8hM6Ki5RpIsSiGjNR H6BSe7X9HuF3JTbp2O9NLtm6KPeNEuoqn9s/mYU6JsjF9dRtGV9MRUvgjyYSwnFg7g6egfXPpfKz mwtDs+BYIZgiZ1MmSK+O7NaxvqLXbNPK218zX6Fimkw15QxhCc8Y+/yePz2x7lYvEwQjCdfekZ38 O6lzhzM01IQnO/HnPA9Ug1bZrL0/qNFQWtcoL8WaNj0aZ6J+U+ixBhRX34TwnHmZbWKQgK2VpRyE /Jn+sbBw/jznll8LQRcFAgYYqYfZfmn++Vz9kUMRTTcoirTzMTu4RZ8UPf3at6P+lv3poB7vdZ+w lTfFQeXl5vyaZlkNdWHEZyDUgiB/03rhtPEVY7jEF8PLb4ndxkywlPWe0DS74v4t+CJDF0eINfqI TcSYevtyMIkDTKB6vwPfgUYQEv1DOJRqKftiBSb0xlz/7WFFN7G0cIR6NOGwuNyjCwlLD83io4O0 HHFQ2JZDux2XYuZADliBCnzVS83+AQJLqW9XAYXbpMB6oajiIan8bG2lddWvJkPOR5FxhxqHmA6d QEupVSzfC68n1v619kqWJyHW9R7vwAHct7XrHPOzJ20PSXtxqjtLI3zTi/69hx/k9CxTSj//qym0 JHGZUi1u0gaIFYp+yKAFWPJCOkoJCg/CVUY4B341DHvnMpIklxpFlYO39tBgGEEfFbsdQruQOK0Y FCW36T1oHOqHqieYHSiIg9TVy1J3U4GLDTt9MDanG8AbDaow4FJbvXAAVT46SU/5h7XGvL63m4Gp T/Vj8Sc2Sh/do5gMLFxI50k53huiVGuGi1y5/g2Wqav2dT4wpamHYr+8nJUlOL24wdezueDWpNT+ L83fMuR5NXfiq0ItG0oCH8ERBFH6dMWs7FJjEMS+HO56OzF57n/+A5oV/+5a4f7yNjynnVjAyaFJ giCBsd7Oh22U0VE09po4Z/tBjhtQjWa5ks2vdBZYF/cGyO2vS92c3k69brej1x2aVRqy7jX+hBOr R2bHL+S7sQzvxi5QCQAcLJByaKqNP+ot07aeS3s6a3HvOJREA7ejzxcVoarsslHkVrGDwZeRtURX vyxBDjlcsmBkELYRwcYsh1caKVo1XCm6IkfMnt174IlSSsNHfQlVeqYlcvOjKhluiZDM4XOaezOF S+ORzhdWzVYyCkIL3xd4t/EIgVu8KtF58r9FTqTUSIJK80FoB58HcL5GYl6GG+oCXEIrCygFbUia Jhs6oMdfSufsInKFhuwJ4N2zo9ZLkRIVYFfYdQGRNtmPOFB1LT1vRtTajZh/RaaoX/plhWZrtean UGLn2pBzRWAYI7A/IBjLkMRmfwdxY2Benisv7XHIL2xx5OQKq6vgi+JEcEQtwLtRtMZLIu+SBFnq aGCOzf+4VgcaoRHuwUN3KbdjSPy7NmXNcDtKggIZdS5xTrzSfrWidvQ8IjnkOHftHqiEV0DV6oc4 cPtDm9guOi/KpSzEXTnkERPMZdXRrgBZPrkK++08BTIsYihQW54hhHwjfF91OKn6yYPRPtSnRgi0 C2t12uBTDsurG8fvXYVWvSB7/m/Jp7otE4wKI21FCLIEIBNsTSnYeGaJG+OZPX6pGa0HD/zdohU2 eV4HCrveFXTPP+uWTyOpqCvmUQ5Sbth+RIHrddRpS8vrWG+s1gk0ppO/t3FMGbz/nM9x6V4xQu12 KAAMmmKT5MZYUwaDgyxjRQUebdlo5dYygHK1kYk0UZi21RYcDOZxdsN2NUkfiOGrtralmCuwFdys BWbEw2fFWXBLRYB4Ip2VMP3dBRm/a/zUZHMKiVOA8hk26YDGXXuJppYDb70tvAfAT3AdaP6nc1Ws HreIebePnIrOdAr84neX/I+l439NRrqrU54VPltVdwFpWQFD3UjS1TMHskI8E16faybVtOruUhJ9 TzdFjCHVNtZ5c9ssFv7bfB/gEuV17xbe1OJk9MEFkl8rDSWN2LlklQ6ITSbsB2t9mQqGhKgrixw4 kfygj3eq0JcuRr7Sg2TKjWkxU06G+utz8OLb0Wkn8+tITwOIgc579tRwYFQqmdxm9/N6gRtLvZ1a KNJhpPit5OyHYCkfwHHvNeNosW9sF19om/hutMtSO0oJFyLeKPlxeCS5S7uL76nhlsixza9R7VZO v6qLCtfgut5JNiQUnIpLLNqldn8vKZONhQm9TUNAhKjVKAvsfvmmUR3mY0J/ccVPaKhmlMwpLAI1 6o2oSFj/MKKhCJ+BGDmonvIGc1tDMNVHi/lBMJrGfNlB27V6rnkS3m2IdJmcwjVZjenVMLzy/pFz tspiIOR9uLpBd0B3C0CmH7GrPgTBpHFZkkzob82j01mc7+Q67bED1mwYgQSzPEPCZ/mEKeT/zYZH C3je/nNQ4WXqPEpkBCgR0of/J5MOb8cTY3jH03i9I8uzy2oLdacpmGtfXqAyn71W4cTTwSZuf/DX mrhyvrfYajc9tEaK42U7ZpgAVQhLLAXAOXTHVtMdALB7xsK1GJmdCDkAk9MNpfzssk93UkshlzOA XE2+basHlrSFINyGhvB3e369t5HEaUgDHrS+A5h9J7k5hnySsq8Q5KtKoffs67H/sKb5NayUdgD+ 5pafLfXAdQeJpHz7LATS9LmZE3CwpTEoCLTCsiI/cp4E/RWGP5QnOa0q9lbV7V+c9+IA7DOLt55j eqLdr2O72r9dEI+c01ea38nf5TNNIlOD5c5QOo5UY9Aq8BaGdtELxEoxpbWS2Tq2Rcon/aNO3oxO w497lulkj7DAMn0Z//XGqaaJnc5BNE1nNy2b+CuhQJzyng2FlqU36iqdC+4j4aKXDkEcNO9dGpJl M6szIOJpQF8JPFZaDb9U+9+EyyJMJGw/HEzuQ412Rs4slUAMWOHLPuU6JBsQwRfT+/HYbykb4Jjo ylnkLQgqRxdQY9yh8nH1qwAf8DZ+UaSms26yeXw5bjjgPA6jiVW43rKJH0/8JlVt0c4AzFF69JAZ lGNE9R62DSaS6KAqoOuou5mbJvhPomlk0340nSsVhgAMn8TtFVHGI9DavpVK/Nv2/J4kTA1El2gK Xc7pJlxNQRCx5MsEgfebd1YBYplkfYlOGuy0hEzw9GQS0C3bSDmqEwWgv/xgnI/RTyJosX5zVtUt NXFg2WyIpDcQREbevv/WLrk0iqmGclEb5uYhfNq5uBJNq5QEwd91IjoiTGp9wJlCcwgRcpEig60K 2srXtXpMWonJgP7yK67LPemXyQF86kCYEdp/Jw+x4i6QwwtfJ+iLEiWOlnp0R9t9lBvOLaNHFwqW jieO0BJhBZYkN0H7I7rcR3J+qwQKFwPbUmm0DGZ9jJR66vP7E/dVpscLBc1ci7RAaBdHkVKtLtGY TKaLDwJ3V9H0BBtJxh/N+Xktx4DC8MehUnhzaWDcCkD3avq0gOhjZbv6YFmBxVQSavujAV6CvEPQ vzZOSBTYq1WO85DTI0/i2mZ0GPDdHH/G4O92n4T2jfn+bfy0RTA5g7EHY7berqv0PXNZrFNmM2nF v2Vl9E53hIfvLzmHwB/LZ63AzOWHZ8Ngi0lYLBs12JIsOnAABV5llHxD1coZt67EcfHE8iysAM/5 RyzGhr+BKwvEjIkt/d2XeYqy7Ylp1UBuYeTkuvFpkfnwwRhHZ2DnP5JjC/RVpkGA6fpdqBoQDSjW 6RVRf4JxrZBmVvkTtR7rkGvsAEJAyu0Se3rX2UDrnY048tuT8XtCdRQsaBw8y8ArOjqbhd4/Xxma AccFpWXVZUijTDLIQA8HYYx9DHBjjYOQ4422Wk+syBKRYqEj4yF7TMyyuZC3LVsPp+YXA/4LXSY/ FoIjjT+AGhHPP1uliLbGAiqO0pahCYXEIqX67AAlbmzpIoB4e19nXJ5ApFDs7KHQbfVZAt6NhaDE z+4/OfiAi3RCzxf98ExDwoMTMZOEbPp6WAH2tZtyJNdBuyolmp9WnLdymKaI5ytgBaYfNHMWJ253 9bqa2TOWgIwv2rakGvTCr2VYpU5qWzlLxLdtFlunrSq2vxWz4zl7FN7LNVgvYfx718xr6uJhaFbi FmxyleGsLlsZpCZ5/6PzRD6BKzUFdWShmBQQmZB43R/0OLdcdXihp8zD/B4Cn/Nuqfx+PDUBPCP2 lALuUgeEK7dF5Byn5QQNPXJZ3wkYHI8YQMzmzojwra5O8EZnLvyH9F9HTD3lPkaTDERlPmBO9BDX T8UNBHPFE6DbYM9Ulh2e1bGuoSYMNdx+ytZ8IDGWs0yNdzMdq5dJSLvLzd32LL5AZLVJW/4/JWs9 DBFNWqv/oFmciGO93+vz0Y2RS2IMs7dLlD+xnWWOuz0iZfHt4FWRZMDjz1OTPf6HfZechC8bhYKo xUuI+usGwrstk+QOYqW+FJP8bnBEoZZYx5Ru91H7Smldoi3nel+Ef5YhgCY/PAWwKgrF6b1OQInI wcQg5Te4OU1UAc9K7Y9WeOXVIKDqjZrXxb5xMswyTqRprg3nzlycflBAxTeOrJtH5wGLSaHBDSNY m82qGRlB6BHhOpY/m4CQtfWq3dOSeYfqBniiaCgoJYrPnwgqk20cz9V3xvkEx+7alsYMS7GNQf0t Q/GdAyfC/0vespCUSoBZkd1iafhQMH7d3MvscBCOueodqXBFWYU/I40F71jL5KsxnsUqOCpOjCtu PSjMkMNssIuhjrzm3SLsO3amXeyVes83iCQRf6DqPPWma+Zq50TNRdKWJCDL0v2Pfx2KLJnO8G6J BmF7Cvf0BbDHuBHWEiuyWUj1OFfMUvNc1YEJitiO1UOtqBT+9STQNsbI+kYG5mz6OT30C38F9biZ l+pI1qqsrFXcFESC0QGCmgeR1O5aBhTq9ZAoOEG9U1AnWq4Kxa4v6dCmBv7ViZLgmZ9VJxioqGDS G8lc2P9QZbtSBqgaLtfkHpMNUQLZZQvLE8W/VdiOVECYKPEOH0GMaUxpm37bbDokRgGkKnUST+if k0veKwsb4f7zt46dYLdQ5qJ+Hn8LJJDBhNFxiEK9Q4DqaB7Q+A63fbsoV8ozSNTikv1D4HSyhANx acvRdNhmJ4yzj+U3q3ebVzyctUl8qaX3cBGgSgQ9LXmIHR5HTYx4Rrk+/WWWPLi4JlCSs0DzT1sI vm04UnXqTbsK7HvuHe8LVGsp9Wfof16cWaEUTE5Uk9z3uFz6LsDBTS93VyqSfXBoGuSRKVdGejou YTJJOE3xJuCAWAzTMZwHf0zDVsqwY3Y52HceU4CHZgpD4mhbGL3RNtIg9XKXd6mo2nqe+aU+hF3W cb8cNohcKI2Fy+GpxplfRjRa36WpKOMs8j/SS7SIUMhhu1x5uxXof1O499X0gGjatUYDaevjKqvF zv+zPIBgn8m5x9MiyMb8/fuvxnYEgMe0Pw+GOTzCLEbN602Xh+8kY1pL6SeMBVzhdXYJX6Avdlzr 5L8uSmZuG+cnopGoz3+tT6W6zqQznjPjhKltfP9zonWpFcrPqjrL+TllEK/tAjFcYRR8qIIUg2h0 3QTE9ITiYOVyyDmtZLe6tdBK32umqyQmg2fUHIyKW/c7iOZ1ckvm9xtUu8kIZyQsvHmEfKR1MGy6 EwEKJ+6tzykvRVpPYOX5ZbLBfaC07ojrQkpUIhs5NN3wJJKRrUWlr7YVpsE36KFmlJLIJAH6qJOZ 6NLbdHwXZ4eFiHa4k0Uc2tZG1H/m0ffRdZ9JZKW5XCSOV9QRjBoe+iI+oKYRzlV/OhCtkNzQqlZr QyerAdXVymyCG75o9tBUXizVMCab642IptH6BRx1JJ0uw5x1PLPtSmRyhuvKR7SDfuvqlG6Rzi+Q n741SmzWBeBEHZvvZRxKe908OKM4ZmIUYbfI7TIJE2wAfq3Ej6Uuqc+FF4IPIco/mZ7i/+8eGVXq /PcZKWifWTEpx7AZwE+CTcz/y9SX36prHeqW+gRTtKQcoy456N8QhELaPfMYmaCGQ2MEakcaGfP1 TW+l0WjXBAxQ6SsM+nW9Uvs8PJ+jFoBHKjlvYS8ucHV16uIjl8AeK3Uo9ewriaINxg4yUKtFTJ/5 2mX5ex2SF6PQ9OAmaMebLluYqjpCUCjRbo2K2kVZALFT+LB5ExKXcqgw8pVa0mkZFMyMqnlgW8HP MPA4VJH00vW7PTQkKNWsYptfTV6ImGBnMCWbBsq14Yod9bh/8e+iYBg+D1PjrmSHG0VgsdDtMkbj onRLnjDkCpHH2yEVwTzWQALKAsk93qlnlFqD7ROKdAVrLz4fFNSdrDNeEaOgLCcUXLbsWCcOAm/W HsGB3DsEGe8kBEE0yeNYg0f1I5oa9f0Ou6J3Rw/E0F4pJVS0TnACMoexkLufUgEIp72jCs22A/aN LiYYHQoe6LZOEjEA3PTK5t+IS1MKg264yotQ/Ge2mjfcFgJndNooslu3ElL1+jJh8yDffGCmSvaY YFTbTAXlQQmAR1HnsIRLDvkpHC8U9TEP/NzLZJLnY2qZ9GVAuEQ1UTogTXULjouAwD+R4bgC/QUY aI+kich8rmdMCfV1E8YMo5tlAztz3phZdy/TY2bvAdAbhU5p3Onpo/qbG6p/LZVxrKYYRSTqUnRQ lALrRbz9lPY+p14/TZ4siPwhqar/V23nBsGjK7yufsiNPpPG/0D+/2HAMijNFX35IZx/EZxnCA/Q tabeIpY4zeXMD+V1AGNqCt7EKcJMqvt748FapbQrcJkuVNnJb25KMNWYgdPziTVrsyKJzTX1XwAz WkGK4mKufvWZX2A3QhiB3TyVqMNUN4gtkfSDWQ6IWsxcuRqktScBfWH2VY3VmiVahajt9Ub7+BNu FQVvii8DH1XR7XtIh8AIzb1EoL+YD7+IP/4gJ7D0isZ4cALhGRSqQfxjLTc+7yOGHCqfBR2f1iQV OIkXKCJCJ8c8+p9fgsCuWI/iMVYdlbcROx74islzxSHP5VfjNY/DBDPeL7OMtX0AvNcScC+vqnVN +2NY+j+UnSk+dF/vyy+aHdzCRXvZjrj4bMbsL9+dRW8YtpDRoF3ma3+DMG2h/phtX/ztYXp4iAnq kpU+9WyVHcyF+HjTFbgA3gL9XbrgxlCwAQAIZEL9T1cLKyp/s44LUfmDNAVIDA/YmWkHm+pAwO5/ 2X02MBK9pcLdPU9BE69d44f65COjbAcVUiEGHJB+dDAsTfa5MHMhpGENUg6bnGhBfP/9oZH2EjYH HjsgX35nbjFRNjHkAfwhS2jDi2cm+v0aSrdzFUdGmNympzPil1mx/gRXV0cyww9k5d79hRKzO31R jGv0bFNMn7Hgj92ZEhXzEdgye5bAhhLzHtU/UARcG9n3gGTF0b2IFcC6pt7fGWo0AwvR3+ChoGsz 5k/tl3iE+XEPAnQgKA0ZOX5GiA4ShVVxD9mGWdmdRN9UosHmqVu+nEmpSp1lmfYUEyROWzb1L2QL qvdt1c+BfkKj/CR25vP42AVMQltAGiA7Y9JuFGvRX7/nt/FW8qcmEbWdSPiNfduut1RxIGmE1N0D xSr45dkI6Yk6+1LmUpFSr3DgqwrKtzQJGIfGTDclcKSQenQskSBoz5L+LZU4lqHVVDUhf4OdQXLu bzeR+diBVXqU5aH0hQrYnmQS5er/ofGB7Mv1FSU8plEf9TkJbIceKbw4jAc6MTCAkGxtQOW2i1ZD Hy2n2OxwodPCRZuLTjzDz1ppufVJ9QBaMq3wt/iKE9N1dxxI6TPr+/MiNuXDJdaNR2RIq0g7AVQe /z533zWS/a7/KpWnFKArxa196M2RnOJQEPxacakBWYFKwtft1e2PPGTOu5g3ZfJlODgvHpsvsUK8 cVXkJ9mD9Tx2HFaH3xsUPct+ltpqEedVK40711T/guPXCHB7B/z3+p955Dj2ibWUwhvs2qjd54Sk TEOnIOB9maz1FcVk4+lA309mwsJHgTQFeAKZzCbRUqxw5hkr6j9ESP73oNsk0F+D/X9zZlnS+QaS JjOM4wLHcCMq9A/IIKBmVwII7gxQglwezb+nDpxuKw6OEGoVgBdZyut2xK4ieuC2Ihn5JlI0H66j g5E5RbgPYZG6M9A8LCmemKFUEwRaMTQSSPIzzoZkfvBM5U6GO0uWdRH16epOgYSn0zdQlRBFkP8q juo6dKd1+KCeEw8JCJFaVKI1LurU53ELbgQONJxjwzrrGeCAiBzx5zLkWX1b70tdR/XGglKnlm6F EK/XrIIKWvmyUxbFHqg+P5TuKC8wY2eLkIVMOhWpZbZRdYyEZ8kubrOMZBDoj6LOyPKUsgyl0OVR rDpLWwUiTpjYXSRBhGEXReImY+mzRQnIDZamXD9HDCsHPAb+udov4XEkWGAwqOel2dq3H09ZInc4 85+RpDqrblFLC6Rt0WQqZwdVoeu4y20PyGf2lSY5cHdJWxZHR/xqyr59eh+dZB/OEPy+DMNiucp+ 0KQ90LVKcwHSzSinVwWX8yJQeskOa9o+6BaGYU4vDNkwcX/6sm3i7FuwpVT3MxOlUJZ6NED6mx0I iFPSkuK6Shvbx71Iz6sr+Qp58IDjlqmZCjgl48rVwPG/xgfCp2mL8ZtNV1cG6k4K44ADC+Okuq3L TiZOhEEHrmMX6q9mGi8p3vfle9U5YDoFJK+bBIMw9COZHkRkUrS2I0wOAVMB+El6DyThvuUC69Ez /7GHgjkHdcemV1xnIzWLdF0OX4EYFn7QBl4Yq+ySG8K80PoXNuhdGDb2kHwrkVrjXq0pkypUerlZ 5eaSNeMUSeoWswo78eMLwPIcB/a3F0jX0Zc1q6znHuqhvK8EGSpAuEVTKof64E4mBCcT4eWUq0dS gvJLnHY7F4cZVQLgwfFr6o6G096pJuk8Oi1yAXJIq3y6XNLzFa/XdYTXqX3530ENtFlUSnRA4691 DzD0by/NQfUFUYnIOLvV6Szy6X21BDksSfVCMXNYnmgUAc/6K12vLzkkSe7m3Uh32YdVS/QbgPvb TktXtv/2DWN34PIv3XrPOvoDelPaDr5d1JYQL3HUYT38k6o3oe2hzTgZs5Ac5JqdCmldoJFxwW8l 0B2IqwGYQaVhNBO23Rf6P6rNJbB1DyksLbe408QgK2iORTa5VB/bbYYuS0cyNyfiUXYkv5eZAPyz XczAmk+cjwHPKTfzYETLa41vpckr4oKl1/E86wEIVg1UwaDV+zUqHj6jglR7mkD7OSl761hcCRcS pn4sBIwg+lsofrp8pWHCTmTLTyqaDsdo2t6BawMSUb32CkK8aTb7hsonM24+8aUa86KFum3Z+CzB vUCoH+2KUq3WONpz1k2WDY6pWODEFfHOrx0EmeUy7LqbEcE956BdlNQiFRJscP5UukMC7Aa3G2iy RWTCfQR6Gr2CZKVvQ7764u7sllIZVcbnZcv6xjYvC4W+4N2qPcIgtJigodmDXXMP1+2/wiJAGOxt UONOtxby+Yz0Imu4wKiJHfIKayaaCjrIJsAe4jVwRqMWcaeYnEHYzp5LFwZQY3bWll3/aQnxvifH kuv9T8vJd18K2XwrYEv94Zd00ZamEz3mj7DFSn1y38S7cNb30+VHTeASAFzYLqMW3NBj+Y+KlMcd GANZEQT48OF8KV0hJG3+EwEbcfI3JKmkJqjJkLNnWOJJPcRlTBnSKxhXaZCEX6hv/qLCjnUkM3UZ BBqGRe03RdjYMOF77NdFNQyws6q7bVaxcXBSyH3jiMIOvFfrxOt5gmvF0YnuZCAWWAygwNJYWtF/ 5faBDJXgj4N2Z1Ejh1bJAXEgs/Uem6ABv9/lMxsW8mfhlu96t+IKi69YXxL2sibIY+uZS2Xm7gr7 p9MOgpcswofFWZmAHRUoKO2hBaGBQprQxeqkKv+ykl/c6WPGPal0WP69gwmksG1opivrIiMvSdOr b8yGWqnXc1RGQaLLy4OlIsPKfvVjSK8Ovwhh+7YRcVgCVBA7/4xlaHc0L40bN0yIA31Kjv6Qw592 UuAQRtcLVW1frfrntWdmKhJa/mNn+L+anGQ2YNfKqSrv02vVpFK2GWsGu+8E0kRTCY1Vq8cztp8s fq0xTvmSedjplCip6bMD2Jhz2nXo3sY/bWPz8IhQCokmES0gIMZvmXcJ+d0iSlxxeTYCTnPuqHqU Yn2Q1IRK2FjT4lIzWeHNcfqIER+JFMKnKjsyA94m/GE5NG7scMZ56fCp21RGuyp5JEatT5TqjTOA z4tzVIT/HwayqrLz+GZqfURpn44s8fVTRQayUxtufigwMk9NPhXypPUbbpJAsoO26oERuvpjCemo JZtZMJXHJnNngD+gBls8pdEEcyB6JqvUW3US6jJrPhs5iEuOfVPs1DQlRuZ/XmbNzbJH/OvtnMKZ nsIm+WwLyDSerjxsgGa5KgQVhbWkf3n6h9vRNxWGZ8JumfUXdCGkDHVDaVXtBKfVv/hV4xdQQtx8 Uvqc7KZsI5qRYbEu+lSLeGEzf1h8c1dSUkZn3pAHoOUinZrtqXiwQA5TPkymU9QWhYnb6+fyKPg8 iAUYyYLyxtnLvB5/FnG5xYZSyFInCvWskOaC2OEaJwjkaGMb2zVoYIE1qP+2pQlm1i3SpcSK7Evb Y0ZL/WZtP4iTdmsWmZYKkADh1xKTLJN1eVKXwhzB97NcTfX67cMyT7tHEcDCPHUxAMAGS3YWnW1a 6WfAz0QZZb+MWCcursOM8mDEwjkgjYqqDPnbXIKIvPTqBPANNIEkcuX64l2FqJXMpT807S3xvZRR EvI7NglzpwKoaUMr9lLHNs4jGaBIqXT/u+ZVvNehKDB1dCN/YnFe3Y66gRoxCLf0IyV2HI1FgX3O HORedu/e9FuE8gxwCGN9c/817TV4uzxSJoKnAGLSe3L39EkCpedgRDDahQfC5l3zzDNIHKWiHJhY S9zvZuDvPwWuDY7e8HIxeW1QJVAY3HFCUWAAof2eOq+RdF/Y6XtqgVf4EaaCWzjWZn4Cv96/Jmzv AVyOPbSxBxaLW/WJZI8u//fYDy2LDWcxEa8pbpCyWUF38t0k4FMVWQu4GevUmmQssQxYnWUIajxz v55p8eMHJt52UNW+0Xic4ShB5jemAON84nAA31zPJRhR4G507ifULYzIWi+9tHTRzn000nWPMWGH F5ui9Au1+f3PGZ+4rU6IiLsH3iFA0MVOtwWt5SahCdoSopmEWIslwr0Fj4y3BcXhX3OmcwV57h7U jDIvj1Lx06rSd3GPZn/8+G7iBfMTC4t8v6Iu3qsxAS1byp/YX6AHh1BgTBxbb6YC2wAss13mtDji x7E3PvQcZQewUJWVhiycHj2Hn6fMvSlGu1UwXKvx0OmozAUESJ93NWbxxC5vPEI7xfwikWvAGhQS IvlA2m5HqUDfxzsFUGgcxob+U18qoe6tWRuK9UhXTDUmdLvoloRHIe7aWpbeyFR8/8iyAAuNBAEw vKofR7PYU2/wZBOZ8vd5YdsIoPnP8Zw4x2A5y3tRiFmWYfpMDo1bhShF6kfyVFkcrHPZDzPG2rvj 5PhqSUk+/KbkbeOuFr0Z/ewXOPQml5AaJwWZzgbdKbVkvuHvlf8YY1U6+SsZGnDI+g8mtGzdM+uA GouGssia0c7hGTBNllw0V9WTdG+5iyfQGhlQ0PtIcSjuhP+y0PSRFFg/MR2ySh6hWqoyINVMpUl6 7Q4KvS4rDtBLg1uaJFMR+Cp//OVqK0fBKehwlMj+5+6k88/o85i3Mn24o+NxNceNvSdGQ9lE65nj N7/Md22SNORu7WsCX7ONpydmbzZPfJJvXudL9z9SltMKiCrOyiwK4Z7IuqyPkUAJHvTGECQYzARv CxUdjSjydrGd3fl31p5nL9DS8HzFpUzcT6Ql0iJJJVDLdqbblZ6eCuqvqe43QT7jMyCL74cDyOyx jjsdCwCDJa6z3JryXJy6EVzUF3iZc4FML1bqHpNmWT7s2iOoCBY2hGZ4MDE+2FVB1GcWGKvpLDxt 34dLvUg81PK51QitffNWs8MkQumBeRT7JB72jkkUrbnQYsu65lYZv8PUVyuVfDmNJc/EQ3k1oqgE g53GBCg97O8Pf4Pkt8pmFNxkbz8TnigNuif//1YLIcwQgam2TF1LleX6TL0hNaeNLzNoGA0QkiF3 V7Q/x6hyxUXUIMUGZTbIwbBmYwMF9N3jhq5o0Syqi7GLn9PYrHwqiAY4zMJa/gnqvU/1gv6ijn/f JrF1EPv+U40AukAufRFFMyy+YbjoFTbHL13clB9cKF0mI0+P2r6vFcOhmd+2JygztgJn8w++kL+n wL1Fu9JByu02jSqT985kRXsIjfzc2voc7rv2595i+dtHKbsu3Y9DbXhva9pQUBCxpMJEh5cr96kI W5rNnIip6O/1FIbpODfn+mjkTXFBMpjFy42ywHrLeMFkuDrGBy+nCPA6YJN8f5HvZZqP9Gl9Qtq/ 3IRdKd2nH8phBd9SfQLAU5On8/IWry/ZuwAwCl253/7TAxsjkTJoRRJOu0w9dA8j2a8EQ619sGX6 eCL73okpUZ747jsyfL7y3ulPs+G1xhuPGi6+F4rEcX94bWYhhuKhJTvEe61KT+7vMK6V1DK25jtx nzhzogCbXHarslW8JtwwlXtMgHTc9dMlb5is965ILoRVWAWcnS00CQcp1SqJIrBbnoRay9vwoQ5C Lu3GVFggbmLJMwbr+qPTDT1dtlMcm1KOiGgo8JUOQzTnpoTkwLFxt1RSh81vNO7PDAqt4PaFDz1R Ha+ABlLXqilPaKqTfH/MC0OkEtKGHU0QdM1I3RaaKkrj5eXzVuFJinZ0Ec/Avb5H1jYrkuU+Apal vAtKkcD5p/n4FVYRUSM0AkPHCkuXHjTdyYQ+dKNAU4NfRHgDif9b5aCYlViOkPijoZ53GWMu43+s q+JxUfpwZ21TddXc45jm8cBniJGIywMa40IprLme2kD8rr3y2eglrKkaqlfaQu2M6EbW7oaJLlmD TcE+cweIFcp+nnc3FhBU5Ka7AtvBwFtD/PpMvFXuPXKECKuvrNS64WnUve9h9H1Hw6yJCF0K6aAx JAvO3XSBujvlqu71ucTfaYoMoLW9tbEeW7LTNRo+nFZGWtulapOnOi0yvRDsn81alQrJpw68btLe P/kr45eU86UyVd1dMz3+bfNj2PbT9K4NeEbW49CS+70G2pImzVlFzOSk2Y1P9MZcSmRsb5LEW5P7 JTfcGczMhBSGIbWBb8MY+UOF9F/HiV0tTr+jI5fV14NwWfEKYsmmJVMjo8vPDDP7RZgDoMbjkI0+ qeAkfnVGVGk/6ecI4igJxg6Zx0smsDwtZhQ9orQAltwYVeIix80q+elURCG9OPtRv2/0gxllbCV0 2Ak6tCzBpGiRIy+BiRtbPY02Z6PjrOfA1AL0it8hT0z8NW51Wwbmqt9I3VcRu6aYDAdgjzom7tj5 shaMgncKI84+JdgAFmvPQD6w3xUEC/TmqsV3+fEpg1QVfKvlxDKp8ld7zXix4x0q7oZn+vEgaIbh /ZZpviOVZyiOol+hJLiGc0SK/n9ALpHUjCnrGyssaZqzA/ca/I/ere84fIz068si1FqQlqpBNFaI +g/B/6gL6JDRt2IdYuCTLV9LqTWRQxJyldySC6gM2ZXnZl3sXgrEtfIJRFtSHuW5xCKxDv8JbRVA ePngTjwoGG2DlJarRkg+br1qKILE/RGk+ccuV2TA61WQnIWI79h59JsgxIMIesgfY+M7LXRORBXl RXhffnW8aL2fSvmO+wtReQXu65Ayx9lf+JuRGur7M45kvylqNhXZSdmaiJQGzMuRqGkVuz7/Nhac WbGnxhuNLrEpKekJaAo4cvf142okzc6+jDdMh6O620J+CQJDzvxG98W5nq+uayAvGDrHTIeL2qQe kHW6fwHtBQkxlbQx9GbwQYgREm67SeenV3mE+EvF57FCOdbQb6l29ToktCu663M+Inj1JWK6BdzY JZgy/TVPJBVtmjjMpfJd04z+YyfrXK9J/mI69ht/AuhGufBfwL5l1zSTyXffpRTDUIq8E2QBo8dY soqjfhTObwK8wm8nR8VbxLVz+MLsD3w9Q53axTTLPC/tduuQX0Up1VZVxWPwpHx65qZ5ca7m4d3m VUX1w9DLiPgGm/e6iFlVnyLv9c8PTcGIu0Vez9xvE9boSC7VUv1hAnYsg7fRkFxRWx6BvI5YpVTa WFmYlFB3+Xweb/8fC5w6bKTCq7gX1xXiNqxbG86WNdpeY3DoMxydB/jGqMi5efaW7TalCY/0bvtA ytOzMDyEplISbhGsNTwphWL7xUNtaNzShza9D3Ky/MGZ3swX0QZw6MV0LFpS3A7WqClK2Sdt4aY8 Ay3IHygSHDtFswww348zhcvwriEjb8G+p+cmGy6EnAGO8PTm73vcUW0AqJmir57+Buolu0yo9l6Z 4Q+DcE7pXfiVflXmhzg/uMUGqfe96x9zrAPP9NnsZsnF8mvNZF4wcwvbeFqGjF+tjn0sQ/XjCQhA Gr+r/xVhZhkZlRoEFwwNP5eGKCwTN6HrDyHqlDOmYnePia+/4jAR/UEBGFHDfvW3f0rSgGf+T/NU 6jGCxqThFNJQqbOwrBqaBruWVLnYrHIIRSX3clTn8Tmbfu9DTM+54/VXA8vi9O8Tcit7wR/VEOsF WVIxgVsIfB+n9fcqfnOO7nlHHIR+DKcqfV6ueLChTqq+xIk1Lf7WedAkM9Az3GDFpyHlL4cEhgiB oOvB04moBMxHLIgKh59e1mHIzObQqc4ekYOBIOzps3TwXna3BFUIWZlyC0bra0fI/cbnZ05JmHLu flCC/c893tOkwoFfN64GHsa+Co4HgTJmQTsSW43h5TKfJnBaxzEvo0dwSrbSZKf4z/iYjMUvycvE ncibK5NbjHJHCyw38h7/Ba/GUz/IQOKFyb5l7EbGu022pHREu1zdeCC2xkw17UWZXqMwgX5fpq09 5jNZ7h+R+U7bFYOW0TzyzKs8Ca3gQx05MY2atxMPGotZsAD4gXSNmnrW33ykN+tC7VEdpFFP516B aYlHt4jrgqCLSTSQOoTqwTuXFYcN2TiLBsgeFAarDwGzout4gW+vgc8vEswy+N3aNLVj9rM2tQLl tjSXNMBTDnR0RygM2AIPywnEschGG3+yW3Mh+WLecuLuUtG8SRiqPVdbjSAfYkRqGzZCkTTx91ZM qmG3lKMjb1U758frVWT/hW7w4YjMYYtbGfGuRowbdEbt2kY6NVO94XVO5H1MdVf/FprCUMjPPqO/ jLB8eKWV1tIlOql7GHG4YSMrWfDHvSPIpxa9NvHmSVvqaGmDJPIJQRMfXMfkeqIdYLMhGCdyWj4L 8OiWr9pG8uwfDCyyiYkdKh+w4arLx9kn7jXq53gxah+hRe6xhPuXIOacH/4B6SUQQgrlAHrpfXfQ j6joZFtwNSmOpXswn0cQinMLm89g2R/xTvCkvDoCFq9gMqXT5Kxu2NTJeujGBL/DRijPTBdNOz62 ruTtzDdECC5iV7NKeUH1KE8s3kgy323p43nivhDtTCTaN+uiP9qXneAORVKnh4oXEqNx8xkfita+ aUGn6wl0TWRwbMCFfBwZxF+tdymEkLuaPxwN+5SZGY38Zo2e68tvpzh0R1n8O8gi8qIrwlhg0HQS 2dHk+e8x2uMIKe/KAqzPlHdRS2X3ykmY4pGe19Wg45gj168kaei7H9MvXLKU1HADbVHj24972dPg weVGgTAUivvSnh2bEYJj5ewa+QwBijR+ZoNdRl7SQ5hy6LlFJz0x3BmXUXgmxp1cFkU4YvB5gnZZ l/Rgij+NmCrcNmd7jqrxk3Y9mt65NwKC8NZvzCsZq+Gllg1Y9ptMx0bLZzPPpVeJM/lQCx99Nc1B aIObVODnepLwmDBezK1ZoO0nVUKxSBZqCe+IfCMfegywu5b2YJWHUcq21r6Pl7wCPHWClJTHmPZE qisb1mB98VBPoJQuQRyYomY//YaIACFeWtZxp6FpE2REJ++fkvo/TbqJ0D8Lhhd7DlZA6VkAmwlI 8HSybrbgphGitJ1w5Va9/WC6dNvKT1ca/VbFBr5JUAuCA20gW9SCuxUZ9DZJt+LqfmCEmKPsq+Zs ZUUAzfL8DvD/ZIisN0eFYL+J533nmU2E2tjB9kJMQuVWED6ujDPt1lNl3i6zOrN5Sio0g6J4/pFV NoMrx7YcBPT2/7SHLANMXxLptc/lld7zha/2DJoxTwWxhmhWNLRBDLk1jEABq3xEoBM4Ix+pjtdC jqL6CwCRQpWMaM+MCJeBax1WzTO7Dy4DGPVeFowkWvnLuTtj8RKKokVyiUf2vaWH4Hx58GIHSk4R ZKS4DwDqxg3HuzrPKg1GWIaoBQIz9IPQp+/yVsAk2aQeNYyjFzhibHivsGRGQgjy2gU2KHVm3dJx lqPxbg8dLX3jcVAYdoYTv9OD7WhmDDKd6sf2oM4+7DWkOeP+Md3V6WRxA2oxBQ18jej1y/5iWyQP sOrWI5jFeGvE0n4pN0wJJ/7d1hLjb7IGD/2tq5w1qvGqwP0TNAhkjEdZmSyIfDEnsOxqlYvIadTD nDmADglVznJ7BZVoXtLui5C6833jgCZNq7LlixMm5zYBopm/BkNsazObgwaKh/CveWbN/hJy7k0X 25KBUICaEg8bJ/Z5o3M7Nu/mUWTPq6Z9qWNVRcO0ee4/5gQDHZCg4AgBIV2XsaOl5uFCaQeYtnhV ye2sk2OOcnMDvBUL67Yu3fEOi4wcL7SdpjBExEdEfnI4qIm44y/NQjQNJAgZT5dnoI97Z+Dg72/p 9MrSQmon4C6aCenM7fxP33LIkOjBS/3ywVEkWC1NOXzRM2ppCmGXegtsVa4BHrNNZjjyg67G96Z1 3oSHZbpOWZGbOiVdRd2Lgsjk7tpkLWBPcKohFPRI2n18Fbj2B7JW/SUJF3roZzsPD3m5YPG4QCeg xzcTA95ygJKzch4IgSfWbcSi+NTIvKfK0n71bkClIaZVsW3XdgNVy9v1jSv/9MjEYCTJDG9RFw6s nRC/u89PrWO7wavH3My/freUvo9fLjzr85rreFfKPBTH/zPVIwPpHyHNajQ52YHrnZaOcz7pXcF4 AkG6L8BGAvSgVWxMTPI7eLM+UiKMmPCm1lg5dbPnNSvcUlchNe66zbAOPVAoCbhJZLmabLqI5VkC DgoJZSpZgGiLtynk6dpNBom20uNnCLVAjrroTTA5mNUP8IBDLZI4yxxdj2rvYR06A1gEcmY3fxGz dEiGvm3ze5RjbfrxDSwHP02MP1Yg9lB5C+y7bjLWyAQPoytimgz4g0tZRJiK5KvuIHT6nYOo3Ytt XTlRrYHunojZ0zkcGh/3DvGuJz8fa8xIJfTDCTfiF94g9ZNozJREFE+9CGBTLF9KuaLjOY30F3tk s4Nlupoq6Ote1xDvvR+s927ioupEG4aVZJhzK0TbWQirp+RJXBI4j4tpnAJvvowZOtCkEyVRo5d4 DX/UcexWSpzIy1oxlDOmvzPOdmam+JWBUqNER5nL92te/JeuiauBIyiuL17h/dF5JXbBkp0aKfAo MTkOtAfIOVbKvyQYrJqwqCPeBcFtRMvuhniZDFme5CLCWpE1UrTdhqMx89iZGlc5vQxA1QKft53K 05cwpzvD+6OWPR07hInCawVSQ+l7YL96bwznuzgQeOgENJqNFjii3B6cSna/ad07uL40rPZZBPZA LNlOhfIIozDgfjS13uMWlLAu3m39MpYgjAFRu1WT0VvPJ2KrUG6LIORJGazG3ZfaCbJQiGg9+AUL yFu4l3PO2krWjux7tO4iBJH3aU7RYFBYfbvfbsmblY7YInysWUERf/uJBJhYnoOwNoMtxpDNbTQ7 Z48d8O0rKGvTpF6Xw9IqocPAbExxDSyq+5081oP4NAWnPhwbj1VNO0LxulYFVepkjTUahBVEVEyS QjpCTC6KzIshs65W1gHrx0+vHcX6kHduwZF52AYfEgVlAS3PjbGfuI70T6kTs2x7GWT+Yi43/TBp YejuOIVP4XxXs4UnupwpD0PLDmkbsOipRmMpMS+Kr5J7vPs+dt5ChkthKVHuLuSFnS84+6m+nTWW VxJhFPOatdNsQFD/JN5RGyS+hyIhXabu+aVyPz3wC2WNvWFQ28Pb2pWOtyO/STV8gZSOX7NLOilQ HcKYAlTBXhjg9oMal7bgwGYX29TdwLtWsAVXtzgEez3bxXTAUl6gVAMqraVO8XyOIbGCs5l4wQGn VSd3Gx3GPKMSJhKKGr8DSXAmHczO3RH7xoChwrCoa+fRn4Pb29H2ATkSpM5tFYNH3WcT5u+Pox2z yjoO7PsJsMUgKvs8XGnaCTsIt6TCX9o01N60gTqRwYOVEMUJRnodRsfrjVKd//8emUzxzDF8kAmf BkKrm4TX1dFET5WphJTcpxMKdMiM7luxRA2B344yKMdzfJ6JJd7PZQTsmhor3mtFNG+0nGvlWful Y2yvjM1IyDVvTjoI/coE95iF3wav2cmP3EYPj93V7DipfSgVWW4XuOJg4/m1fBZo6WYp2QvrRsZF LzoWuK8RphCwf98vLzutOIjUQnp7/xZt/XRs2EB1qcdKde//lzDlO0ygV5XXS+M7vDojZudB5nmW OEt/N+YvVhYot277EuYmS626EShJPb35MJe6iGq2QqwRSX4ENRY/6Lq8G5aG8JwdgG+zxxW8WIEg j+RhYh0O1BY1mdPqseJceSpFQPJgNsdkE6Q6RNNv9tdfcSOXzIkM/Urafg3UZ66yAxjDE0VXJGyq aEA1M4Xg6jKB/nyxLj8z9ucL7cHOUTgNPHhlfGP90US4pM7YB7iCOEvaMXGS6wGVJ2p+8wd0rCks 7psCzopb79QLVf2CArIHrxyOwp5TS8Ill3k28ePutqUc1xtwb9X0g6el79fQTrjz8Fes0WIMmbSp dlGabsG5djD/AjFwh1WldIgzjnm0FtlQpD9DoYbvktL/XR17Dpk130mcx/nDmBhIDvF12igR89mP 1IOu9qu2aQA5ihS2zmzeSALH+kIc7zYgZNyGMLJL+yLWxQrLsAdecw+3GZDAnCK7hQym2VIBXWrs Wg6qmgGE3TFGC4OeUHJ6+nfdD4MRP/i2S9bvezX+/fDXPbwCm7UricHax5xD2QnEBhfq35+TRmAl hrnA+h5pqOQAfGFx+SVsh33XMjyyQx1CE92b/bQb3pLyUs1b0zG8tuVryeFCQykYDoK8cvZ6YHWo ozD9tO7N7XLN7HcfFkTc/b3Tr5+n/v6KaztocgLhxdSgktt5AZ5UlRSpEbepL1tnyUiNTxPLQHrD uzY89H3FXHn1A8mPZc0LHFlqI7s7s/IDvAwVmfriBlJTJnZjsN2x7biMDJPgLswUgl1FROCEVftM QP1p5OWhyXQ9Jc9uDYnl9MMcvB2cbHo9KIjCbp6b6SuS5dWetexbVwcmQzePbbhunb5d7y7UkjJH /T75B7pg3qHAsLAhGDiKvS/wW3DzuK0Y+cc/rW+q2FuouMGyezHpPbAT9ABozEixun7w0KMTf7n1 hIe9fDWaT5kiUOOECsdulWDVq9OGDbGC10vzNWkJG4LG/hY4mbbvOAc4s/GsEjgS5WusKklzWNlq 7MGLDKPvy8FDc5WUNE3BgPwJStfYfDR2nLin4imyhGkHI5ACDzzNAJf4M3cRhRQTODAn4TQC7JRM g8IpNUZXPduYOnJ/eloAIc5NvgA/jWLc/RdyaTho68hECzHioNH4KxIRUg2aw98HHGuQWz+jPhm1 Sz1oayGLUG0vkHkk2GLbMdaKKxix/yGo9VEyK29xcQEm59/A7EIwh4PGL2wWBA6ZVq1LRqnvbndO 4DSs+2W8Ui2Y9gM/ks6H8y7AT7He/ebuneXOIibi1LwiQjZz4WNfBfY/gXSY2ilypulSdNoI29hx nQ4kh1FTC63jLAId7mZIiUw8I3qsyIJHfoj5mA+PZUg/lMGLbXGfWS2mh6ZLnyHgSXcSXRlN3P45 cUyK/CM1Lf6Gu7eYAMKKJVTZGsOOnbaWZfWWKjsTJg5IH6EAOTzBmyt3R4vnilHjZzS2pQdf8DGQ TfXrAuLBP6JePifDEiRmleLJAUs9muDQ3p1tAn4NTjq1RYkGkJ46c7BIehjWNUZNSZ09hFtdFJcm lC9khTqu+UBVPWhzFdoTqiodX/Tth+oW+UuEwyd8rV9R/nwx56UruJlKRcjR1ctE1iSCakRJBf7o h3cDCBbSFAQzJaTOI6NB/cN3DsgHHqhydY5QqyFd69dU2cyn8ldRAGFK54CKaq4DTAbJ07c7T0Sh anQiYuf2kAHBl6VqZYC1gH5LebrdqpESBZwNCbROGM7f0bBW8UoJH2NrjS8w1Slb0wLYjvNevaMK rS8TLiaqgb7iCg+q7GLwLuEdAVn7s++oNmTeAMvB4YKVX+LdshLXf4Wf+pluMP7Ad7kAKFHz6JVd DoiDhaOt5tpLg3oDEFMLxuKWZeGD4MzZ9yKXc/Tio2iHlgZxZn0I6oqMsybttUtfTbR2PITwC5HR Z+hM7juT2F67NR7HGZxWJx1HTqzL+DkqWwvOCJkeXJGRtToDnq3QHifP+UUbM+3e5dL0UR6GHQOq 3g3mpPKCFrAQSE4Mc9UUwD6X2DIJpSrmqmtH9Ir35gQR2RKajKfUOmE6mgtemkwKox70XOCZVeWI fg3H1Np5pPH0hrGuNV0LEYU2WDfRSevCcsYx6saHR/yAVNZdufwa0CKLyKkql4kB9UoeiKnW+e6g gNV08mfvDIGrcVzJ58lGagToD2LkHE3Tcdy3/1WqXmxiOMrjthuJG5Mifow1P371LkqPvSQqoCfM UmFkcmNwJYamY6dp/cXmQnlfVW+FZdUFyKpyYxyMU9uvUsbga2lrLvic+Xz3/+R/K+azuZM4aCWc WxYOva5evchuvwZQqF5rqTlT7cKE2gMH4TvUkU7mj0O97jnsCGvfYOqVmoTHwut6xkohkokURZW9 AwVGP6hLRT4fNm/JKiGy1lW6/JdZQoqfbJKujVBMoCD4HSaRPkU2tyStXMliTgTl+ztuy3HpVGMW 8ndprFiungIzpBDwD7+f6e8kDdpUvlCUAp7uUT+oa+/o8KNo6QviTw/kLPjiM4pf4VHnhgoKxY+h YVOthxsAZi+g2ZerOkhNDvh6xem5niLyXSA1LtcG1poyNsBEhLW4uhJ+DglmOMukVluYKZh0iq75 YUc7m4kcI+zHBQs3MZkjuSLb2d+o34BjAQT9XOGUTWq/ZfY5HT4MWV6vGcBDFNlMzXbxQI43nbLu 1PmYRDqTEQtsuClmzhVLlI8T/D4tzYkB0PYgwrH5jSHXDYAjPsGH1TPTaDnCsR5gSy81ZEnkM6qn 69SCe0bNRl+sgVwQoJ/rslB4FRNlsmPHZYsJBs4bLqrJL1dZoCMXzjnAwFEKOGSz6pd28bEJRHYl itNqU3+fhbxtncmea9Rlt4pGG8nFJwdnN2vV4zfMmw0pw3BeqoPMI2yWbwzCORGtTKaJYoSy0Cb9 jxyCT5zZ02PzI6p4j0xg6YsSIdMLiiS4d2HS4q4gCkvXMiCgHR1tjBTXNNPS9BVM9X0PUBsBHJSE hm2iiR06ju8lhdazuVy3kaG/Aaom106BD0gW6tXxa0pycX9cRAMiesGqwg/6O0fqGeXuZvJ3x5vb g1wcMChfJjWVe44/+1Wvo8pI8vW+9WmkSPSmu/S/GRuPqqYET0FVwTahRJin87TlQ+EZXQ19+HEo HmbzuRlX2rzLg3pN5w50pWVhKWK4vTU4nwRt939wksVxGs3dFk7EivOOsTqtixXTe9G39wegTlmv aLNOhCmXCIPfQazsQGBF2SahCz59lRAgIyPUZ9G1youl8qp64WkRCFsp204/40nrjGxnd0tyQ/DP xYt/swguoCwI+QbnyyA6ZU0JgDHcM4xtLipoVjuAPD72SMKbedumNeWHjbi+76jEeU8c9O/OhYdY YT7Jx197D7w59egpDf5YJi7D1J/m8EPHh/ftsou7lWBoFCx0m6hW2jDfFpYzEvJ/GfbpoO7MkJsC JKJtiX05K4C9hqmFXDW5ltDfVkLQh6+y/u7IafyMyY3TZ97vmnkq/Jg4SnPEW+WpxgAlejZgYH4Z 1ST/OKhSBhaPfqvecN7JiiTrH6c+fATYpqLbjowwhk44HiBa3VK3hxI4Bo42NCH6YOroPQlzAGaK F4EBTTakRk7hA23keh4fjgnd6DsbbfaggbxxbXD26Ae1mrGshI7uwIlEnEyd74euP/IVqWsPt4LE B8Na33j3754W7ZLwdSZKxo7PTOb8xFvstkLLI2UaBZ9JPT671OnklhmsVQnMmsJ8cH8Jw4ypAj0i cyvG7kZNCQVrNSAltKrq9Dc6gpzw5tLo93+oFzhBOcghDbf+cAeTeiDHZQoKk4KpSXZCQGi9kjfG bm2JfJ7NSsoQHIyBf0zG9tp5Hdb6Nd9rpBzqofCvm4sSprg5d/udoWoBbZ+Eoc0loQRA/UQarFhR BmbZpx81QKlrup1zuJXXZffiLpo87vliAvg1RfLX88mrayUrm8sUPQcFEw2VSDz/K6aivfnySpi9 o5DftFZLv12KT0g/c3N9kBG4R3vIn1h51uXU9jxXkS2DFnwtdsSvd6cJrtRbrHppFQUj0R77UIzn CVTy6elfFZWOdgsqdbQ8Z9dai0SMXZo2hCqyEtrhd/OSVre6qpQMpqdVGqi6gXpRjICU4r4VfeCI nmCBUz1VGbicusoxy5/p+3dtv3iDYAHQGzdWco5qhbhd7KhyufmpIlpoBZvZYj1U5+iPwpqhEoDa NQaioWJyvKXVLiYo/2/TJsd8pdOkQqoIx5iqYZRfGnxUVXrGFxUvL9RUj94rpUsUOCBgQ7/Y9nuk YndCLInhxmJm+1ERory2/qPnGlBbYKTR4OqXMCpZffXO+90xxlOz5fb5UxZC87nczFHhueFrU8mM i+1dxbiwmKcGYj/2VhbVr+oQ8UZFHNBdiuZFL6va0e4Awl1b2za9FOvKHPS4wPL+PnDoe23Oq5Wf MbLJaMCS10iGXYmDd9D2oKDdf0moZCx6KQyGfI/Dc02MObSZlveZ9qXn6Fz4DzN1VPuXqrQBTgLJ A2xoav7FycpkQ0hlosHzJaEwDrYTFqyL/6HwIeUHBfZVHb4PYTNeMRDFuYdijPqwkPf+iDmUXCxa mc6mTKtPTTXS1oJ1VJT7NoIO/jCI8q+mPJq6eZAG3n5SeIhzlShSJb898i2Niki/VhxYNonQOC2K sq7nDag6LH5CxXQD57UpNvtKu6s3sq8X1IbQ9aAMCvlngMYGbyhKlbItFUDGwlyK0IIZEOFTSCoQ Czliz07FlWOfI+wToY6lVpknq5PuvEZRx2H7W6LW+yMYCCnMNG41t26ZdhjbqMO1VRZxo4zWV/fG 8bodZ7Odpu40g6Mdx/Y0AC97FbZDg0iJVO85EmMp88ptiBsy2aMsjFMksuw6Ug2Crx42u/qehSPs 5yjX2gtDuQBnnt0HtisAZBbAeh3nqAUHpskszkTAEjhdYrZYEp/DqVa/nscl2mu0VYMmV/35PyHj gwmuZabCgEF2T/2KNgeowyvtjuHgHS5BBi951MVS3vzp3Y9Fs6+rJRsEGMX2D/yJ3y7Z0JJhpshN gUXrYXL4vsVpk+lNnH6r0bO6VHaHnM6evqmzahf0h3ZSxB/rUygCHRIBdAg+7Zwl0awbV84rUyqX HcGvE/w+PTnSRz5kFOp9aiddTY0zvtQRI16ciZ8AQB0rJQzfWEPO+V7POHLth96dVZqxTnHk+iAF 7Nt0/nHDv48rpdyz8KR4cV3D6yhn5UHBas3Y/u922i3hpuVFm7v1CPabGhYE2AlENL0ZC63SJNx7 hh/2O4XB3ztLbKY5rVbf1OENsNH85kodVnkfvinwley8CWhuTIA2OPGB42kP69KtvAO2mjysfM/A Ah1zPjRnVHTiOHURqZSxJhwzg+4palwP7Q2gM0Y0JIVjXfAU7B5CcUHOV/54p9Nc7vx/uKvyR/ki 2X8pJAJhdePVFQDHKfFjo8mKqBsde28g3PWNZDx5gCAoMg+CS2Sfa9kEjHXHCRYFJmKSrnuwN2xn fuHTQ2L03+S/DIk+iKn7ShLgu56ZYbI9OpxzLNG73oz3BL3lKVt9t97w7ru21ElyJIFZ/s4GsOMb 1AhASepbqeaET11s7ooe9UYLfx18jKzDkQ82GpFqCPxpkJyesVbevfJHcNXWfq/gKIqOqbUDQqjK i2A8wfznSSLeUF/2G2Aln/0Ww63XpB7KN9yg41Yg0CpNP3xPgMohRPk/oEWt2V2s7F0R+9nkqg48 Pl6geXxBaisUYK//xjA2bviKUef1uP4LuSi94CHkNOr0Ato961Ck9rphooNbgAQHMsytP5PqQ9Px 72cWH2S8mU9Ea34Nfh/TUdud/PZMFpXQ4IF9TogDAV/mjuJF9tp+GSlDRXjwmeMgY9s86S7Hpzs1 IiAHWcK/BjxAXJPedyDZ5mw9RM2i5Sbb+BZD7PcL7xI68lQEGnZzMbvk6piyTOD9cyPRpgCxAvQA 1aUE4auFL+AZLCVGcVRmZVmw6AWZCxptTpZYOQVPGdPBq2bi84CvO1YLkN21kK502izW2BqJj7Zd GWUHkzrN9VU8ufJIHF4pNg64b+WQlGMbPQZL6+Ns1Zm5Gne5xN8N8V7GUKDhGSw3x9FShCzRoQiJ NNd6TbqWJzqCVuuQRDK46vcxXCY6OX/flb+W0QyeVan5JvN724Tg0yiOz/A66uTlKlUCumHQ5PMu CvklpRLdb4bSDjLlR5+KBc7SXuJptBNUxTeX+Wx0WhY7m9BYf51bcmJpWgiiAUIwHpSXNl1oebNe lge2/Fs/0Ggd/m4n0GyMIqWi21OIuQnYy/DRBMTr42Ds4o1AOe6t/HqPyVzo7tK9FoVAhCtjBOpu Tx1+ctMQn1JsePZiT0IDG5/SlkD86wa27u4b6CKksYKNZpmVAgWM2PbLa7iTLrLAuq4U6Z/iF8ON EFi5nms9X8S0h5uxEOVlM9Vb7So5e3SHxnouCX89fp+9ZGyARZHjbNgYJ0IBWXqY8BlPl6vw+Q4a daI49y/qC+QTEfPc8BWEFyB0TOIS+F6DvnTJsSu7czLvwEmyzIy0OEHANkz5H1/a+gvXrym5kHBU dldoZxIKrmNkI8EMJDoBmdqJFaSSMcJ258jFedm6QdUWThy3yNqA5/sZwtjtkCKCppQ/00sKLtDw uOrbSVFcxdmqj+3JzxTv+t5RntxJ6QZlYg10U+sJZPPRGh/5l8+lkOQrofnQ84w/5M+USzvCm7aY rSQfkguO4btfWxejquRqWqqHPYJ/6XkGtpc3SV+q48nNbe4P902qWQfP+yOZBr8WQQR1UMFnafNT evIUJ57EO59o2ne/IR3DAzLrm0gUHEbeeCwNBrJ7sWbx3YF8QpEc+DJeqJMcFnfxTcGrKmnGyZsE fglq0cpwPGEGo8FZWCqjB8pI+kPbRpkRwGXoQrzcuOyiQnD6N+rzccYeD1H/DJ9WmeaAZDYyoQ4S R9KnauaewLPfIyfkSGPKHgxrLYWistgF8pT+QkZqnPx/umtywMsFlFjyQu5WXTiKQcF11ZPQJyM/ n+727crttx2XKUiuJYMzYaCWbLsmbDDnHVqSnBQl8w50YoNkl6Vj8O3+OsyoYJhCdXh0pery/D43 MaxIw9izIDJAMmtX2nLLhCzN7ngLDz2C2/UkZ0Udv4OnM0ArVx+JyZlAgLvXFR5s9JR03nxC75XI RQNL4C8LI2TxrR5yE0RM8Rz0R90b7+LBkU9f6xxO7/8GZTZGYZ/f+igkyZOC/6CMJg1WSKeOIToR a4zt5pjI96vSV3sbEODUh+Ea/XtDvDuzWPRUOoCgCLXK9f8RyJhR0i57K6sI9XoTxZHjvFAsOeJ8 dwu+/i7zNaTdGyATccZTvAjQLzFaSsUFyUaE8a8uHZ4M `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T547rc5zDOerCe1OavV9oe127A64Qyjl9cRjKnbG0Fw1JTeAGcnU5J6hzlKQqmpecO8++i4VsnfS gVbA/wQbbw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JU5iuc/kQwse9wugEIXtUYpy46gpWfwrW6Xc/SIzL+T4zp/mm3kFQzWAA8NgXVOIuH74dz38rRxH rk0+sLcL3R2mN14y0TgKRJVcKLglkvO3ThkTEnkNb1+lJlvBv8dsQNa0SoPxswbR/Mc6tfTVgiCd xmvW8RxkilgDEPPOaOA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UIGc/ouGHCFtVN6eZwi48jJ2YkKhZTWOAP3Df618jKPRyZo2MPV/+QSFUIMXIR0iQFoLIK/XtSOj UN69rhY9879vhc8I9YSJlB7T+HQR/YpZf0fNBHVzQsuGEIWhkZjd63WVcZ+lNYFNrICoryYazb52 SsGFHuHQs2SoDWOcDfx1trW2YuOIySx2GfKv/UwKLExkxQqaqdXKhgM9N/2/EZKpIw0DhXa/EQox i5e/kU7CJjguPTyRG/+JSqfmsGGLhUiHBfCNDVX3fkdEEgl+ZWeLps6M8Y56f+EJVPSmk4ZrkbTs yhSMiA2m9C4/EDr1CXt9wIph7ay21ULCy3Qw8w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CERDAQKkX/PSjjx/erdQvrtLdQF1eIUaq0lCSbAV+ptOcv61bykhlz0NfCudbjFkmgBtk5XHyGai hWxAMNLePyN73NyZSlfnYwY6S4q6d0uuZAf82NdpLJOSH4+IX67nwCnv7CbINNpeN6O+yNtKJBaQ nsTaa5FlupaEiYpmisU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MekCaZ0UiQ+IrfzAZLwnEO2MPam01qIdbcdKmh7CBvtG9P8qT4KPEKiSZUNtXTnvP+q8o5f90fOi eyYiZn1ha/vbUMHQdi8xbnnAdGsahW5iRKceBlK8r+1pnwkZsllKoBOd0ixcXCOzwltVM2KC73DO jC4iIiCbUECE1IW0xa6CTyS9YHNE/LavsSDdKZ/vvROB5iH2CjsqRIwQgSMNmduNX+ldUmtvb8Q5 CJIbhWOzMLa/lIrz4p2B3h0h5MytfqGyya/q/PxUU/WuJbM155ACQlzvqkzkf7JjEK6/1GFE1Sq7 X0X4DGjfDznb515Pv9rLpDjky2mbrGonETlQeg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584) `protect data_block c5SdzVPqMoAcucjj7/J7Ketlx6GKob80Ekt3p6JX0Y+QZBCZhzhEP67xKQxCdt96R849vIrJ9I4j Zvf3IcdEUpNsV+r28FOWg2dxUBo85BO+xdC/3QEsLuPTC8c4mv1LJPttr/6MJXgDVLNMai+1jr7l /VKf3/46hXu6kScBHen2KphEEYY36ORjreT4kvSYGKj4SsIfqQvw8TIzyEZ3MhLsOa+4UtiJ6U7I dmLG3/q9IC2orwIB54jqF01496J3iLOgCZjebHBLyLyIeCrhgNxslWSY93z8hM6Ki5RpIsSiGjNR H6BSe7X9HuF3JTbp2O9NLtm6KPeNEuoqn9s/mYU6JsjF9dRtGV9MRUvgjyYSwnFg7g6egfXPpfKz mwtDs+BYIZgiZ1MmSK+O7NaxvqLXbNPK218zX6Fimkw15QxhCc8Y+/yePz2x7lYvEwQjCdfekZ38 O6lzhzM01IQnO/HnPA9Ug1bZrL0/qNFQWtcoL8WaNj0aZ6J+U+ixBhRX34TwnHmZbWKQgK2VpRyE /Jn+sbBw/jznll8LQRcFAgYYqYfZfmn++Vz9kUMRTTcoirTzMTu4RZ8UPf3at6P+lv3poB7vdZ+w lTfFQeXl5vyaZlkNdWHEZyDUgiB/03rhtPEVY7jEF8PLb4ndxkywlPWe0DS74v4t+CJDF0eINfqI TcSYevtyMIkDTKB6vwPfgUYQEv1DOJRqKftiBSb0xlz/7WFFN7G0cIR6NOGwuNyjCwlLD83io4O0 HHFQ2JZDux2XYuZADliBCnzVS83+AQJLqW9XAYXbpMB6oajiIan8bG2lddWvJkPOR5FxhxqHmA6d QEupVSzfC68n1v619kqWJyHW9R7vwAHct7XrHPOzJ20PSXtxqjtLI3zTi/69hx/k9CxTSj//qym0 JHGZUi1u0gaIFYp+yKAFWPJCOkoJCg/CVUY4B341DHvnMpIklxpFlYO39tBgGEEfFbsdQruQOK0Y FCW36T1oHOqHqieYHSiIg9TVy1J3U4GLDTt9MDanG8AbDaow4FJbvXAAVT46SU/5h7XGvL63m4Gp T/Vj8Sc2Sh/do5gMLFxI50k53huiVGuGi1y5/g2Wqav2dT4wpamHYr+8nJUlOL24wdezueDWpNT+ L83fMuR5NXfiq0ItG0oCH8ERBFH6dMWs7FJjEMS+HO56OzF57n/+A5oV/+5a4f7yNjynnVjAyaFJ giCBsd7Oh22U0VE09po4Z/tBjhtQjWa5ks2vdBZYF/cGyO2vS92c3k69brej1x2aVRqy7jX+hBOr R2bHL+S7sQzvxi5QCQAcLJByaKqNP+ot07aeS3s6a3HvOJREA7ejzxcVoarsslHkVrGDwZeRtURX vyxBDjlcsmBkELYRwcYsh1caKVo1XCm6IkfMnt174IlSSsNHfQlVeqYlcvOjKhluiZDM4XOaezOF S+ORzhdWzVYyCkIL3xd4t/EIgVu8KtF58r9FTqTUSIJK80FoB58HcL5GYl6GG+oCXEIrCygFbUia Jhs6oMdfSufsInKFhuwJ4N2zo9ZLkRIVYFfYdQGRNtmPOFB1LT1vRtTajZh/RaaoX/plhWZrtean UGLn2pBzRWAYI7A/IBjLkMRmfwdxY2Benisv7XHIL2xx5OQKq6vgi+JEcEQtwLtRtMZLIu+SBFnq aGCOzf+4VgcaoRHuwUN3KbdjSPy7NmXNcDtKggIZdS5xTrzSfrWidvQ8IjnkOHftHqiEV0DV6oc4 cPtDm9guOi/KpSzEXTnkERPMZdXRrgBZPrkK++08BTIsYihQW54hhHwjfF91OKn6yYPRPtSnRgi0 C2t12uBTDsurG8fvXYVWvSB7/m/Jp7otE4wKI21FCLIEIBNsTSnYeGaJG+OZPX6pGa0HD/zdohU2 eV4HCrveFXTPP+uWTyOpqCvmUQ5Sbth+RIHrddRpS8vrWG+s1gk0ppO/t3FMGbz/nM9x6V4xQu12 KAAMmmKT5MZYUwaDgyxjRQUebdlo5dYygHK1kYk0UZi21RYcDOZxdsN2NUkfiOGrtralmCuwFdys BWbEw2fFWXBLRYB4Ip2VMP3dBRm/a/zUZHMKiVOA8hk26YDGXXuJppYDb70tvAfAT3AdaP6nc1Ws HreIebePnIrOdAr84neX/I+l439NRrqrU54VPltVdwFpWQFD3UjS1TMHskI8E16faybVtOruUhJ9 TzdFjCHVNtZ5c9ssFv7bfB/gEuV17xbe1OJk9MEFkl8rDSWN2LlklQ6ITSbsB2t9mQqGhKgrixw4 kfygj3eq0JcuRr7Sg2TKjWkxU06G+utz8OLb0Wkn8+tITwOIgc579tRwYFQqmdxm9/N6gRtLvZ1a KNJhpPit5OyHYCkfwHHvNeNosW9sF19om/hutMtSO0oJFyLeKPlxeCS5S7uL76nhlsixza9R7VZO v6qLCtfgut5JNiQUnIpLLNqldn8vKZONhQm9TUNAhKjVKAvsfvmmUR3mY0J/ccVPaKhmlMwpLAI1 6o2oSFj/MKKhCJ+BGDmonvIGc1tDMNVHi/lBMJrGfNlB27V6rnkS3m2IdJmcwjVZjenVMLzy/pFz tspiIOR9uLpBd0B3C0CmH7GrPgTBpHFZkkzob82j01mc7+Q67bED1mwYgQSzPEPCZ/mEKeT/zYZH C3je/nNQ4WXqPEpkBCgR0of/J5MOb8cTY3jH03i9I8uzy2oLdacpmGtfXqAyn71W4cTTwSZuf/DX mrhyvrfYajc9tEaK42U7ZpgAVQhLLAXAOXTHVtMdALB7xsK1GJmdCDkAk9MNpfzssk93UkshlzOA XE2+basHlrSFINyGhvB3e369t5HEaUgDHrS+A5h9J7k5hnySsq8Q5KtKoffs67H/sKb5NayUdgD+ 5pafLfXAdQeJpHz7LATS9LmZE3CwpTEoCLTCsiI/cp4E/RWGP5QnOa0q9lbV7V+c9+IA7DOLt55j eqLdr2O72r9dEI+c01ea38nf5TNNIlOD5c5QOo5UY9Aq8BaGdtELxEoxpbWS2Tq2Rcon/aNO3oxO w497lulkj7DAMn0Z//XGqaaJnc5BNE1nNy2b+CuhQJzyng2FlqU36iqdC+4j4aKXDkEcNO9dGpJl M6szIOJpQF8JPFZaDb9U+9+EyyJMJGw/HEzuQ412Rs4slUAMWOHLPuU6JBsQwRfT+/HYbykb4Jjo ylnkLQgqRxdQY9yh8nH1qwAf8DZ+UaSms26yeXw5bjjgPA6jiVW43rKJH0/8JlVt0c4AzFF69JAZ lGNE9R62DSaS6KAqoOuou5mbJvhPomlk0340nSsVhgAMn8TtFVHGI9DavpVK/Nv2/J4kTA1El2gK Xc7pJlxNQRCx5MsEgfebd1YBYplkfYlOGuy0hEzw9GQS0C3bSDmqEwWgv/xgnI/RTyJosX5zVtUt NXFg2WyIpDcQREbevv/WLrk0iqmGclEb5uYhfNq5uBJNq5QEwd91IjoiTGp9wJlCcwgRcpEig60K 2srXtXpMWonJgP7yK67LPemXyQF86kCYEdp/Jw+x4i6QwwtfJ+iLEiWOlnp0R9t9lBvOLaNHFwqW jieO0BJhBZYkN0H7I7rcR3J+qwQKFwPbUmm0DGZ9jJR66vP7E/dVpscLBc1ci7RAaBdHkVKtLtGY TKaLDwJ3V9H0BBtJxh/N+Xktx4DC8MehUnhzaWDcCkD3avq0gOhjZbv6YFmBxVQSavujAV6CvEPQ vzZOSBTYq1WO85DTI0/i2mZ0GPDdHH/G4O92n4T2jfn+bfy0RTA5g7EHY7berqv0PXNZrFNmM2nF v2Vl9E53hIfvLzmHwB/LZ63AzOWHZ8Ngi0lYLBs12JIsOnAABV5llHxD1coZt67EcfHE8iysAM/5 RyzGhr+BKwvEjIkt/d2XeYqy7Ylp1UBuYeTkuvFpkfnwwRhHZ2DnP5JjC/RVpkGA6fpdqBoQDSjW 6RVRf4JxrZBmVvkTtR7rkGvsAEJAyu0Se3rX2UDrnY048tuT8XtCdRQsaBw8y8ArOjqbhd4/Xxma AccFpWXVZUijTDLIQA8HYYx9DHBjjYOQ4422Wk+syBKRYqEj4yF7TMyyuZC3LVsPp+YXA/4LXSY/ FoIjjT+AGhHPP1uliLbGAiqO0pahCYXEIqX67AAlbmzpIoB4e19nXJ5ApFDs7KHQbfVZAt6NhaDE z+4/OfiAi3RCzxf98ExDwoMTMZOEbPp6WAH2tZtyJNdBuyolmp9WnLdymKaI5ytgBaYfNHMWJ253 9bqa2TOWgIwv2rakGvTCr2VYpU5qWzlLxLdtFlunrSq2vxWz4zl7FN7LNVgvYfx718xr6uJhaFbi FmxyleGsLlsZpCZ5/6PzRD6BKzUFdWShmBQQmZB43R/0OLdcdXihp8zD/B4Cn/Nuqfx+PDUBPCP2 lALuUgeEK7dF5Byn5QQNPXJZ3wkYHI8YQMzmzojwra5O8EZnLvyH9F9HTD3lPkaTDERlPmBO9BDX T8UNBHPFE6DbYM9Ulh2e1bGuoSYMNdx+ytZ8IDGWs0yNdzMdq5dJSLvLzd32LL5AZLVJW/4/JWs9 DBFNWqv/oFmciGO93+vz0Y2RS2IMs7dLlD+xnWWOuz0iZfHt4FWRZMDjz1OTPf6HfZechC8bhYKo xUuI+usGwrstk+QOYqW+FJP8bnBEoZZYx5Ru91H7Smldoi3nel+Ef5YhgCY/PAWwKgrF6b1OQInI wcQg5Te4OU1UAc9K7Y9WeOXVIKDqjZrXxb5xMswyTqRprg3nzlycflBAxTeOrJtH5wGLSaHBDSNY m82qGRlB6BHhOpY/m4CQtfWq3dOSeYfqBniiaCgoJYrPnwgqk20cz9V3xvkEx+7alsYMS7GNQf0t Q/GdAyfC/0vespCUSoBZkd1iafhQMH7d3MvscBCOueodqXBFWYU/I40F71jL5KsxnsUqOCpOjCtu PSjMkMNssIuhjrzm3SLsO3amXeyVes83iCQRf6DqPPWma+Zq50TNRdKWJCDL0v2Pfx2KLJnO8G6J BmF7Cvf0BbDHuBHWEiuyWUj1OFfMUvNc1YEJitiO1UOtqBT+9STQNsbI+kYG5mz6OT30C38F9biZ l+pI1qqsrFXcFESC0QGCmgeR1O5aBhTq9ZAoOEG9U1AnWq4Kxa4v6dCmBv7ViZLgmZ9VJxioqGDS G8lc2P9QZbtSBqgaLtfkHpMNUQLZZQvLE8W/VdiOVECYKPEOH0GMaUxpm37bbDokRgGkKnUST+if k0veKwsb4f7zt46dYLdQ5qJ+Hn8LJJDBhNFxiEK9Q4DqaB7Q+A63fbsoV8ozSNTikv1D4HSyhANx acvRdNhmJ4yzj+U3q3ebVzyctUl8qaX3cBGgSgQ9LXmIHR5HTYx4Rrk+/WWWPLi4JlCSs0DzT1sI vm04UnXqTbsK7HvuHe8LVGsp9Wfof16cWaEUTE5Uk9z3uFz6LsDBTS93VyqSfXBoGuSRKVdGejou YTJJOE3xJuCAWAzTMZwHf0zDVsqwY3Y52HceU4CHZgpD4mhbGL3RNtIg9XKXd6mo2nqe+aU+hF3W cb8cNohcKI2Fy+GpxplfRjRa36WpKOMs8j/SS7SIUMhhu1x5uxXof1O499X0gGjatUYDaevjKqvF zv+zPIBgn8m5x9MiyMb8/fuvxnYEgMe0Pw+GOTzCLEbN602Xh+8kY1pL6SeMBVzhdXYJX6Avdlzr 5L8uSmZuG+cnopGoz3+tT6W6zqQznjPjhKltfP9zonWpFcrPqjrL+TllEK/tAjFcYRR8qIIUg2h0 3QTE9ITiYOVyyDmtZLe6tdBK32umqyQmg2fUHIyKW/c7iOZ1ckvm9xtUu8kIZyQsvHmEfKR1MGy6 EwEKJ+6tzykvRVpPYOX5ZbLBfaC07ojrQkpUIhs5NN3wJJKRrUWlr7YVpsE36KFmlJLIJAH6qJOZ 6NLbdHwXZ4eFiHa4k0Uc2tZG1H/m0ffRdZ9JZKW5XCSOV9QRjBoe+iI+oKYRzlV/OhCtkNzQqlZr QyerAdXVymyCG75o9tBUXizVMCab642IptH6BRx1JJ0uw5x1PLPtSmRyhuvKR7SDfuvqlG6Rzi+Q n741SmzWBeBEHZvvZRxKe908OKM4ZmIUYbfI7TIJE2wAfq3Ej6Uuqc+FF4IPIco/mZ7i/+8eGVXq /PcZKWifWTEpx7AZwE+CTcz/y9SX36prHeqW+gRTtKQcoy456N8QhELaPfMYmaCGQ2MEakcaGfP1 TW+l0WjXBAxQ6SsM+nW9Uvs8PJ+jFoBHKjlvYS8ucHV16uIjl8AeK3Uo9ewriaINxg4yUKtFTJ/5 2mX5ex2SF6PQ9OAmaMebLluYqjpCUCjRbo2K2kVZALFT+LB5ExKXcqgw8pVa0mkZFMyMqnlgW8HP MPA4VJH00vW7PTQkKNWsYptfTV6ImGBnMCWbBsq14Yod9bh/8e+iYBg+D1PjrmSHG0VgsdDtMkbj onRLnjDkCpHH2yEVwTzWQALKAsk93qlnlFqD7ROKdAVrLz4fFNSdrDNeEaOgLCcUXLbsWCcOAm/W HsGB3DsEGe8kBEE0yeNYg0f1I5oa9f0Ou6J3Rw/E0F4pJVS0TnACMoexkLufUgEIp72jCs22A/aN LiYYHQoe6LZOEjEA3PTK5t+IS1MKg264yotQ/Ge2mjfcFgJndNooslu3ElL1+jJh8yDffGCmSvaY YFTbTAXlQQmAR1HnsIRLDvkpHC8U9TEP/NzLZJLnY2qZ9GVAuEQ1UTogTXULjouAwD+R4bgC/QUY aI+kich8rmdMCfV1E8YMo5tlAztz3phZdy/TY2bvAdAbhU5p3Onpo/qbG6p/LZVxrKYYRSTqUnRQ lALrRbz9lPY+p14/TZ4siPwhqar/V23nBsGjK7yufsiNPpPG/0D+/2HAMijNFX35IZx/EZxnCA/Q tabeIpY4zeXMD+V1AGNqCt7EKcJMqvt748FapbQrcJkuVNnJb25KMNWYgdPziTVrsyKJzTX1XwAz WkGK4mKufvWZX2A3QhiB3TyVqMNUN4gtkfSDWQ6IWsxcuRqktScBfWH2VY3VmiVahajt9Ub7+BNu FQVvii8DH1XR7XtIh8AIzb1EoL+YD7+IP/4gJ7D0isZ4cALhGRSqQfxjLTc+7yOGHCqfBR2f1iQV OIkXKCJCJ8c8+p9fgsCuWI/iMVYdlbcROx74islzxSHP5VfjNY/DBDPeL7OMtX0AvNcScC+vqnVN +2NY+j+UnSk+dF/vyy+aHdzCRXvZjrj4bMbsL9+dRW8YtpDRoF3ma3+DMG2h/phtX/ztYXp4iAnq kpU+9WyVHcyF+HjTFbgA3gL9XbrgxlCwAQAIZEL9T1cLKyp/s44LUfmDNAVIDA/YmWkHm+pAwO5/ 2X02MBK9pcLdPU9BE69d44f65COjbAcVUiEGHJB+dDAsTfa5MHMhpGENUg6bnGhBfP/9oZH2EjYH HjsgX35nbjFRNjHkAfwhS2jDi2cm+v0aSrdzFUdGmNympzPil1mx/gRXV0cyww9k5d79hRKzO31R jGv0bFNMn7Hgj92ZEhXzEdgye5bAhhLzHtU/UARcG9n3gGTF0b2IFcC6pt7fGWo0AwvR3+ChoGsz 5k/tl3iE+XEPAnQgKA0ZOX5GiA4ShVVxD9mGWdmdRN9UosHmqVu+nEmpSp1lmfYUEyROWzb1L2QL qvdt1c+BfkKj/CR25vP42AVMQltAGiA7Y9JuFGvRX7/nt/FW8qcmEbWdSPiNfduut1RxIGmE1N0D xSr45dkI6Yk6+1LmUpFSr3DgqwrKtzQJGIfGTDclcKSQenQskSBoz5L+LZU4lqHVVDUhf4OdQXLu bzeR+diBVXqU5aH0hQrYnmQS5er/ofGB7Mv1FSU8plEf9TkJbIceKbw4jAc6MTCAkGxtQOW2i1ZD Hy2n2OxwodPCRZuLTjzDz1ppufVJ9QBaMq3wt/iKE9N1dxxI6TPr+/MiNuXDJdaNR2RIq0g7AVQe /z533zWS/a7/KpWnFKArxa196M2RnOJQEPxacakBWYFKwtft1e2PPGTOu5g3ZfJlODgvHpsvsUK8 cVXkJ9mD9Tx2HFaH3xsUPct+ltpqEedVK40711T/guPXCHB7B/z3+p955Dj2ibWUwhvs2qjd54Sk TEOnIOB9maz1FcVk4+lA309mwsJHgTQFeAKZzCbRUqxw5hkr6j9ESP73oNsk0F+D/X9zZlnS+QaS JjOM4wLHcCMq9A/IIKBmVwII7gxQglwezb+nDpxuKw6OEGoVgBdZyut2xK4ieuC2Ihn5JlI0H66j g5E5RbgPYZG6M9A8LCmemKFUEwRaMTQSSPIzzoZkfvBM5U6GO0uWdRH16epOgYSn0zdQlRBFkP8q juo6dKd1+KCeEw8JCJFaVKI1LurU53ELbgQONJxjwzrrGeCAiBzx5zLkWX1b70tdR/XGglKnlm6F EK/XrIIKWvmyUxbFHqg+P5TuKC8wY2eLkIVMOhWpZbZRdYyEZ8kubrOMZBDoj6LOyPKUsgyl0OVR rDpLWwUiTpjYXSRBhGEXReImY+mzRQnIDZamXD9HDCsHPAb+udov4XEkWGAwqOel2dq3H09ZInc4 85+RpDqrblFLC6Rt0WQqZwdVoeu4y20PyGf2lSY5cHdJWxZHR/xqyr59eh+dZB/OEPy+DMNiucp+ 0KQ90LVKcwHSzSinVwWX8yJQeskOa9o+6BaGYU4vDNkwcX/6sm3i7FuwpVT3MxOlUJZ6NED6mx0I iFPSkuK6Shvbx71Iz6sr+Qp58IDjlqmZCjgl48rVwPG/xgfCp2mL8ZtNV1cG6k4K44ADC+Okuq3L TiZOhEEHrmMX6q9mGi8p3vfle9U5YDoFJK+bBIMw9COZHkRkUrS2I0wOAVMB+El6DyThvuUC69Ez /7GHgjkHdcemV1xnIzWLdF0OX4EYFn7QBl4Yq+ySG8K80PoXNuhdGDb2kHwrkVrjXq0pkypUerlZ 5eaSNeMUSeoWswo78eMLwPIcB/a3F0jX0Zc1q6znHuqhvK8EGSpAuEVTKof64E4mBCcT4eWUq0dS gvJLnHY7F4cZVQLgwfFr6o6G096pJuk8Oi1yAXJIq3y6XNLzFa/XdYTXqX3530ENtFlUSnRA4691 DzD0by/NQfUFUYnIOLvV6Szy6X21BDksSfVCMXNYnmgUAc/6K12vLzkkSe7m3Uh32YdVS/QbgPvb TktXtv/2DWN34PIv3XrPOvoDelPaDr5d1JYQL3HUYT38k6o3oe2hzTgZs5Ac5JqdCmldoJFxwW8l 0B2IqwGYQaVhNBO23Rf6P6rNJbB1DyksLbe408QgK2iORTa5VB/bbYYuS0cyNyfiUXYkv5eZAPyz XczAmk+cjwHPKTfzYETLa41vpckr4oKl1/E86wEIVg1UwaDV+zUqHj6jglR7mkD7OSl761hcCRcS pn4sBIwg+lsofrp8pWHCTmTLTyqaDsdo2t6BawMSUb32CkK8aTb7hsonM24+8aUa86KFum3Z+CzB vUCoH+2KUq3WONpz1k2WDY6pWODEFfHOrx0EmeUy7LqbEcE956BdlNQiFRJscP5UukMC7Aa3G2iy RWTCfQR6Gr2CZKVvQ7764u7sllIZVcbnZcv6xjYvC4W+4N2qPcIgtJigodmDXXMP1+2/wiJAGOxt UONOtxby+Yz0Imu4wKiJHfIKayaaCjrIJsAe4jVwRqMWcaeYnEHYzp5LFwZQY3bWll3/aQnxvifH kuv9T8vJd18K2XwrYEv94Zd00ZamEz3mj7DFSn1y38S7cNb30+VHTeASAFzYLqMW3NBj+Y+KlMcd GANZEQT48OF8KV0hJG3+EwEbcfI3JKmkJqjJkLNnWOJJPcRlTBnSKxhXaZCEX6hv/qLCjnUkM3UZ BBqGRe03RdjYMOF77NdFNQyws6q7bVaxcXBSyH3jiMIOvFfrxOt5gmvF0YnuZCAWWAygwNJYWtF/ 5faBDJXgj4N2Z1Ejh1bJAXEgs/Uem6ABv9/lMxsW8mfhlu96t+IKi69YXxL2sibIY+uZS2Xm7gr7 p9MOgpcswofFWZmAHRUoKO2hBaGBQprQxeqkKv+ykl/c6WPGPal0WP69gwmksG1opivrIiMvSdOr b8yGWqnXc1RGQaLLy4OlIsPKfvVjSK8Ovwhh+7YRcVgCVBA7/4xlaHc0L40bN0yIA31Kjv6Qw592 UuAQRtcLVW1frfrntWdmKhJa/mNn+L+anGQ2YNfKqSrv02vVpFK2GWsGu+8E0kRTCY1Vq8cztp8s fq0xTvmSedjplCip6bMD2Jhz2nXo3sY/bWPz8IhQCokmES0gIMZvmXcJ+d0iSlxxeTYCTnPuqHqU Yn2Q1IRK2FjT4lIzWeHNcfqIER+JFMKnKjsyA94m/GE5NG7scMZ56fCp21RGuyp5JEatT5TqjTOA z4tzVIT/HwayqrLz+GZqfURpn44s8fVTRQayUxtufigwMk9NPhXypPUbbpJAsoO26oERuvpjCemo JZtZMJXHJnNngD+gBls8pdEEcyB6JqvUW3US6jJrPhs5iEuOfVPs1DQlRuZ/XmbNzbJH/OvtnMKZ nsIm+WwLyDSerjxsgGa5KgQVhbWkf3n6h9vRNxWGZ8JumfUXdCGkDHVDaVXtBKfVv/hV4xdQQtx8 Uvqc7KZsI5qRYbEu+lSLeGEzf1h8c1dSUkZn3pAHoOUinZrtqXiwQA5TPkymU9QWhYnb6+fyKPg8 iAUYyYLyxtnLvB5/FnG5xYZSyFInCvWskOaC2OEaJwjkaGMb2zVoYIE1qP+2pQlm1i3SpcSK7Evb Y0ZL/WZtP4iTdmsWmZYKkADh1xKTLJN1eVKXwhzB97NcTfX67cMyT7tHEcDCPHUxAMAGS3YWnW1a 6WfAz0QZZb+MWCcursOM8mDEwjkgjYqqDPnbXIKIvPTqBPANNIEkcuX64l2FqJXMpT807S3xvZRR EvI7NglzpwKoaUMr9lLHNs4jGaBIqXT/u+ZVvNehKDB1dCN/YnFe3Y66gRoxCLf0IyV2HI1FgX3O HORedu/e9FuE8gxwCGN9c/817TV4uzxSJoKnAGLSe3L39EkCpedgRDDahQfC5l3zzDNIHKWiHJhY S9zvZuDvPwWuDY7e8HIxeW1QJVAY3HFCUWAAof2eOq+RdF/Y6XtqgVf4EaaCWzjWZn4Cv96/Jmzv AVyOPbSxBxaLW/WJZI8u//fYDy2LDWcxEa8pbpCyWUF38t0k4FMVWQu4GevUmmQssQxYnWUIajxz v55p8eMHJt52UNW+0Xic4ShB5jemAON84nAA31zPJRhR4G507ifULYzIWi+9tHTRzn000nWPMWGH F5ui9Au1+f3PGZ+4rU6IiLsH3iFA0MVOtwWt5SahCdoSopmEWIslwr0Fj4y3BcXhX3OmcwV57h7U jDIvj1Lx06rSd3GPZn/8+G7iBfMTC4t8v6Iu3qsxAS1byp/YX6AHh1BgTBxbb6YC2wAss13mtDji x7E3PvQcZQewUJWVhiycHj2Hn6fMvSlGu1UwXKvx0OmozAUESJ93NWbxxC5vPEI7xfwikWvAGhQS IvlA2m5HqUDfxzsFUGgcxob+U18qoe6tWRuK9UhXTDUmdLvoloRHIe7aWpbeyFR8/8iyAAuNBAEw vKofR7PYU2/wZBOZ8vd5YdsIoPnP8Zw4x2A5y3tRiFmWYfpMDo1bhShF6kfyVFkcrHPZDzPG2rvj 5PhqSUk+/KbkbeOuFr0Z/ewXOPQml5AaJwWZzgbdKbVkvuHvlf8YY1U6+SsZGnDI+g8mtGzdM+uA GouGssia0c7hGTBNllw0V9WTdG+5iyfQGhlQ0PtIcSjuhP+y0PSRFFg/MR2ySh6hWqoyINVMpUl6 7Q4KvS4rDtBLg1uaJFMR+Cp//OVqK0fBKehwlMj+5+6k88/o85i3Mn24o+NxNceNvSdGQ9lE65nj N7/Md22SNORu7WsCX7ONpydmbzZPfJJvXudL9z9SltMKiCrOyiwK4Z7IuqyPkUAJHvTGECQYzARv CxUdjSjydrGd3fl31p5nL9DS8HzFpUzcT6Ql0iJJJVDLdqbblZ6eCuqvqe43QT7jMyCL74cDyOyx jjsdCwCDJa6z3JryXJy6EVzUF3iZc4FML1bqHpNmWT7s2iOoCBY2hGZ4MDE+2FVB1GcWGKvpLDxt 34dLvUg81PK51QitffNWs8MkQumBeRT7JB72jkkUrbnQYsu65lYZv8PUVyuVfDmNJc/EQ3k1oqgE g53GBCg97O8Pf4Pkt8pmFNxkbz8TnigNuif//1YLIcwQgam2TF1LleX6TL0hNaeNLzNoGA0QkiF3 V7Q/x6hyxUXUIMUGZTbIwbBmYwMF9N3jhq5o0Syqi7GLn9PYrHwqiAY4zMJa/gnqvU/1gv6ijn/f JrF1EPv+U40AukAufRFFMyy+YbjoFTbHL13clB9cKF0mI0+P2r6vFcOhmd+2JygztgJn8w++kL+n wL1Fu9JByu02jSqT985kRXsIjfzc2voc7rv2595i+dtHKbsu3Y9DbXhva9pQUBCxpMJEh5cr96kI W5rNnIip6O/1FIbpODfn+mjkTXFBMpjFy42ywHrLeMFkuDrGBy+nCPA6YJN8f5HvZZqP9Gl9Qtq/ 3IRdKd2nH8phBd9SfQLAU5On8/IWry/ZuwAwCl253/7TAxsjkTJoRRJOu0w9dA8j2a8EQ619sGX6 eCL73okpUZ747jsyfL7y3ulPs+G1xhuPGi6+F4rEcX94bWYhhuKhJTvEe61KT+7vMK6V1DK25jtx nzhzogCbXHarslW8JtwwlXtMgHTc9dMlb5is965ILoRVWAWcnS00CQcp1SqJIrBbnoRay9vwoQ5C Lu3GVFggbmLJMwbr+qPTDT1dtlMcm1KOiGgo8JUOQzTnpoTkwLFxt1RSh81vNO7PDAqt4PaFDz1R Ha+ABlLXqilPaKqTfH/MC0OkEtKGHU0QdM1I3RaaKkrj5eXzVuFJinZ0Ec/Avb5H1jYrkuU+Apal vAtKkcD5p/n4FVYRUSM0AkPHCkuXHjTdyYQ+dKNAU4NfRHgDif9b5aCYlViOkPijoZ53GWMu43+s q+JxUfpwZ21TddXc45jm8cBniJGIywMa40IprLme2kD8rr3y2eglrKkaqlfaQu2M6EbW7oaJLlmD TcE+cweIFcp+nnc3FhBU5Ka7AtvBwFtD/PpMvFXuPXKECKuvrNS64WnUve9h9H1Hw6yJCF0K6aAx JAvO3XSBujvlqu71ucTfaYoMoLW9tbEeW7LTNRo+nFZGWtulapOnOi0yvRDsn81alQrJpw68btLe P/kr45eU86UyVd1dMz3+bfNj2PbT9K4NeEbW49CS+70G2pImzVlFzOSk2Y1P9MZcSmRsb5LEW5P7 JTfcGczMhBSGIbWBb8MY+UOF9F/HiV0tTr+jI5fV14NwWfEKYsmmJVMjo8vPDDP7RZgDoMbjkI0+ qeAkfnVGVGk/6ecI4igJxg6Zx0smsDwtZhQ9orQAltwYVeIix80q+elURCG9OPtRv2/0gxllbCV0 2Ak6tCzBpGiRIy+BiRtbPY02Z6PjrOfA1AL0it8hT0z8NW51Wwbmqt9I3VcRu6aYDAdgjzom7tj5 shaMgncKI84+JdgAFmvPQD6w3xUEC/TmqsV3+fEpg1QVfKvlxDKp8ld7zXix4x0q7oZn+vEgaIbh /ZZpviOVZyiOol+hJLiGc0SK/n9ALpHUjCnrGyssaZqzA/ca/I/ere84fIz068si1FqQlqpBNFaI +g/B/6gL6JDRt2IdYuCTLV9LqTWRQxJyldySC6gM2ZXnZl3sXgrEtfIJRFtSHuW5xCKxDv8JbRVA ePngTjwoGG2DlJarRkg+br1qKILE/RGk+ccuV2TA61WQnIWI79h59JsgxIMIesgfY+M7LXRORBXl RXhffnW8aL2fSvmO+wtReQXu65Ayx9lf+JuRGur7M45kvylqNhXZSdmaiJQGzMuRqGkVuz7/Nhac WbGnxhuNLrEpKekJaAo4cvf142okzc6+jDdMh6O620J+CQJDzvxG98W5nq+uayAvGDrHTIeL2qQe kHW6fwHtBQkxlbQx9GbwQYgREm67SeenV3mE+EvF57FCOdbQb6l29ToktCu663M+Inj1JWK6BdzY JZgy/TVPJBVtmjjMpfJd04z+YyfrXK9J/mI69ht/AuhGufBfwL5l1zSTyXffpRTDUIq8E2QBo8dY soqjfhTObwK8wm8nR8VbxLVz+MLsD3w9Q53axTTLPC/tduuQX0Up1VZVxWPwpHx65qZ5ca7m4d3m VUX1w9DLiPgGm/e6iFlVnyLv9c8PTcGIu0Vez9xvE9boSC7VUv1hAnYsg7fRkFxRWx6BvI5YpVTa WFmYlFB3+Xweb/8fC5w6bKTCq7gX1xXiNqxbG86WNdpeY3DoMxydB/jGqMi5efaW7TalCY/0bvtA ytOzMDyEplISbhGsNTwphWL7xUNtaNzShza9D3Ky/MGZ3swX0QZw6MV0LFpS3A7WqClK2Sdt4aY8 Ay3IHygSHDtFswww348zhcvwriEjb8G+p+cmGy6EnAGO8PTm73vcUW0AqJmir57+Buolu0yo9l6Z 4Q+DcE7pXfiVflXmhzg/uMUGqfe96x9zrAPP9NnsZsnF8mvNZF4wcwvbeFqGjF+tjn0sQ/XjCQhA Gr+r/xVhZhkZlRoEFwwNP5eGKCwTN6HrDyHqlDOmYnePia+/4jAR/UEBGFHDfvW3f0rSgGf+T/NU 6jGCxqThFNJQqbOwrBqaBruWVLnYrHIIRSX3clTn8Tmbfu9DTM+54/VXA8vi9O8Tcit7wR/VEOsF WVIxgVsIfB+n9fcqfnOO7nlHHIR+DKcqfV6ueLChTqq+xIk1Lf7WedAkM9Az3GDFpyHlL4cEhgiB oOvB04moBMxHLIgKh59e1mHIzObQqc4ekYOBIOzps3TwXna3BFUIWZlyC0bra0fI/cbnZ05JmHLu flCC/c893tOkwoFfN64GHsa+Co4HgTJmQTsSW43h5TKfJnBaxzEvo0dwSrbSZKf4z/iYjMUvycvE ncibK5NbjHJHCyw38h7/Ba/GUz/IQOKFyb5l7EbGu022pHREu1zdeCC2xkw17UWZXqMwgX5fpq09 5jNZ7h+R+U7bFYOW0TzyzKs8Ca3gQx05MY2atxMPGotZsAD4gXSNmnrW33ykN+tC7VEdpFFP516B aYlHt4jrgqCLSTSQOoTqwTuXFYcN2TiLBsgeFAarDwGzout4gW+vgc8vEswy+N3aNLVj9rM2tQLl tjSXNMBTDnR0RygM2AIPywnEschGG3+yW3Mh+WLecuLuUtG8SRiqPVdbjSAfYkRqGzZCkTTx91ZM qmG3lKMjb1U758frVWT/hW7w4YjMYYtbGfGuRowbdEbt2kY6NVO94XVO5H1MdVf/FprCUMjPPqO/ jLB8eKWV1tIlOql7GHG4YSMrWfDHvSPIpxa9NvHmSVvqaGmDJPIJQRMfXMfkeqIdYLMhGCdyWj4L 8OiWr9pG8uwfDCyyiYkdKh+w4arLx9kn7jXq53gxah+hRe6xhPuXIOacH/4B6SUQQgrlAHrpfXfQ j6joZFtwNSmOpXswn0cQinMLm89g2R/xTvCkvDoCFq9gMqXT5Kxu2NTJeujGBL/DRijPTBdNOz62 ruTtzDdECC5iV7NKeUH1KE8s3kgy323p43nivhDtTCTaN+uiP9qXneAORVKnh4oXEqNx8xkfita+ aUGn6wl0TWRwbMCFfBwZxF+tdymEkLuaPxwN+5SZGY38Zo2e68tvpzh0R1n8O8gi8qIrwlhg0HQS 2dHk+e8x2uMIKe/KAqzPlHdRS2X3ykmY4pGe19Wg45gj168kaei7H9MvXLKU1HADbVHj24972dPg weVGgTAUivvSnh2bEYJj5ewa+QwBijR+ZoNdRl7SQ5hy6LlFJz0x3BmXUXgmxp1cFkU4YvB5gnZZ l/Rgij+NmCrcNmd7jqrxk3Y9mt65NwKC8NZvzCsZq+Gllg1Y9ptMx0bLZzPPpVeJM/lQCx99Nc1B aIObVODnepLwmDBezK1ZoO0nVUKxSBZqCe+IfCMfegywu5b2YJWHUcq21r6Pl7wCPHWClJTHmPZE qisb1mB98VBPoJQuQRyYomY//YaIACFeWtZxp6FpE2REJ++fkvo/TbqJ0D8Lhhd7DlZA6VkAmwlI 8HSybrbgphGitJ1w5Va9/WC6dNvKT1ca/VbFBr5JUAuCA20gW9SCuxUZ9DZJt+LqfmCEmKPsq+Zs ZUUAzfL8DvD/ZIisN0eFYL+J533nmU2E2tjB9kJMQuVWED6ujDPt1lNl3i6zOrN5Sio0g6J4/pFV NoMrx7YcBPT2/7SHLANMXxLptc/lld7zha/2DJoxTwWxhmhWNLRBDLk1jEABq3xEoBM4Ix+pjtdC jqL6CwCRQpWMaM+MCJeBax1WzTO7Dy4DGPVeFowkWvnLuTtj8RKKokVyiUf2vaWH4Hx58GIHSk4R ZKS4DwDqxg3HuzrPKg1GWIaoBQIz9IPQp+/yVsAk2aQeNYyjFzhibHivsGRGQgjy2gU2KHVm3dJx lqPxbg8dLX3jcVAYdoYTv9OD7WhmDDKd6sf2oM4+7DWkOeP+Md3V6WRxA2oxBQ18jej1y/5iWyQP sOrWI5jFeGvE0n4pN0wJJ/7d1hLjb7IGD/2tq5w1qvGqwP0TNAhkjEdZmSyIfDEnsOxqlYvIadTD nDmADglVznJ7BZVoXtLui5C6833jgCZNq7LlixMm5zYBopm/BkNsazObgwaKh/CveWbN/hJy7k0X 25KBUICaEg8bJ/Z5o3M7Nu/mUWTPq6Z9qWNVRcO0ee4/5gQDHZCg4AgBIV2XsaOl5uFCaQeYtnhV ye2sk2OOcnMDvBUL67Yu3fEOi4wcL7SdpjBExEdEfnI4qIm44y/NQjQNJAgZT5dnoI97Z+Dg72/p 9MrSQmon4C6aCenM7fxP33LIkOjBS/3ywVEkWC1NOXzRM2ppCmGXegtsVa4BHrNNZjjyg67G96Z1 3oSHZbpOWZGbOiVdRd2Lgsjk7tpkLWBPcKohFPRI2n18Fbj2B7JW/SUJF3roZzsPD3m5YPG4QCeg xzcTA95ygJKzch4IgSfWbcSi+NTIvKfK0n71bkClIaZVsW3XdgNVy9v1jSv/9MjEYCTJDG9RFw6s nRC/u89PrWO7wavH3My/freUvo9fLjzr85rreFfKPBTH/zPVIwPpHyHNajQ52YHrnZaOcz7pXcF4 AkG6L8BGAvSgVWxMTPI7eLM+UiKMmPCm1lg5dbPnNSvcUlchNe66zbAOPVAoCbhJZLmabLqI5VkC DgoJZSpZgGiLtynk6dpNBom20uNnCLVAjrroTTA5mNUP8IBDLZI4yxxdj2rvYR06A1gEcmY3fxGz dEiGvm3ze5RjbfrxDSwHP02MP1Yg9lB5C+y7bjLWyAQPoytimgz4g0tZRJiK5KvuIHT6nYOo3Ytt XTlRrYHunojZ0zkcGh/3DvGuJz8fa8xIJfTDCTfiF94g9ZNozJREFE+9CGBTLF9KuaLjOY30F3tk s4Nlupoq6Ote1xDvvR+s927ioupEG4aVZJhzK0TbWQirp+RJXBI4j4tpnAJvvowZOtCkEyVRo5d4 DX/UcexWSpzIy1oxlDOmvzPOdmam+JWBUqNER5nL92te/JeuiauBIyiuL17h/dF5JXbBkp0aKfAo MTkOtAfIOVbKvyQYrJqwqCPeBcFtRMvuhniZDFme5CLCWpE1UrTdhqMx89iZGlc5vQxA1QKft53K 05cwpzvD+6OWPR07hInCawVSQ+l7YL96bwznuzgQeOgENJqNFjii3B6cSna/ad07uL40rPZZBPZA LNlOhfIIozDgfjS13uMWlLAu3m39MpYgjAFRu1WT0VvPJ2KrUG6LIORJGazG3ZfaCbJQiGg9+AUL yFu4l3PO2krWjux7tO4iBJH3aU7RYFBYfbvfbsmblY7YInysWUERf/uJBJhYnoOwNoMtxpDNbTQ7 Z48d8O0rKGvTpF6Xw9IqocPAbExxDSyq+5081oP4NAWnPhwbj1VNO0LxulYFVepkjTUahBVEVEyS QjpCTC6KzIshs65W1gHrx0+vHcX6kHduwZF52AYfEgVlAS3PjbGfuI70T6kTs2x7GWT+Yi43/TBp YejuOIVP4XxXs4UnupwpD0PLDmkbsOipRmMpMS+Kr5J7vPs+dt5ChkthKVHuLuSFnS84+6m+nTWW VxJhFPOatdNsQFD/JN5RGyS+hyIhXabu+aVyPz3wC2WNvWFQ28Pb2pWOtyO/STV8gZSOX7NLOilQ HcKYAlTBXhjg9oMal7bgwGYX29TdwLtWsAVXtzgEez3bxXTAUl6gVAMqraVO8XyOIbGCs5l4wQGn VSd3Gx3GPKMSJhKKGr8DSXAmHczO3RH7xoChwrCoa+fRn4Pb29H2ATkSpM5tFYNH3WcT5u+Pox2z yjoO7PsJsMUgKvs8XGnaCTsIt6TCX9o01N60gTqRwYOVEMUJRnodRsfrjVKd//8emUzxzDF8kAmf BkKrm4TX1dFET5WphJTcpxMKdMiM7luxRA2B344yKMdzfJ6JJd7PZQTsmhor3mtFNG+0nGvlWful Y2yvjM1IyDVvTjoI/coE95iF3wav2cmP3EYPj93V7DipfSgVWW4XuOJg4/m1fBZo6WYp2QvrRsZF LzoWuK8RphCwf98vLzutOIjUQnp7/xZt/XRs2EB1qcdKde//lzDlO0ygV5XXS+M7vDojZudB5nmW OEt/N+YvVhYot277EuYmS626EShJPb35MJe6iGq2QqwRSX4ENRY/6Lq8G5aG8JwdgG+zxxW8WIEg j+RhYh0O1BY1mdPqseJceSpFQPJgNsdkE6Q6RNNv9tdfcSOXzIkM/Urafg3UZ66yAxjDE0VXJGyq aEA1M4Xg6jKB/nyxLj8z9ucL7cHOUTgNPHhlfGP90US4pM7YB7iCOEvaMXGS6wGVJ2p+8wd0rCks 7psCzopb79QLVf2CArIHrxyOwp5TS8Ill3k28ePutqUc1xtwb9X0g6el79fQTrjz8Fes0WIMmbSp dlGabsG5djD/AjFwh1WldIgzjnm0FtlQpD9DoYbvktL/XR17Dpk130mcx/nDmBhIDvF12igR89mP 1IOu9qu2aQA5ihS2zmzeSALH+kIc7zYgZNyGMLJL+yLWxQrLsAdecw+3GZDAnCK7hQym2VIBXWrs Wg6qmgGE3TFGC4OeUHJ6+nfdD4MRP/i2S9bvezX+/fDXPbwCm7UricHax5xD2QnEBhfq35+TRmAl hrnA+h5pqOQAfGFx+SVsh33XMjyyQx1CE92b/bQb3pLyUs1b0zG8tuVryeFCQykYDoK8cvZ6YHWo ozD9tO7N7XLN7HcfFkTc/b3Tr5+n/v6KaztocgLhxdSgktt5AZ5UlRSpEbepL1tnyUiNTxPLQHrD uzY89H3FXHn1A8mPZc0LHFlqI7s7s/IDvAwVmfriBlJTJnZjsN2x7biMDJPgLswUgl1FROCEVftM QP1p5OWhyXQ9Jc9uDYnl9MMcvB2cbHo9KIjCbp6b6SuS5dWetexbVwcmQzePbbhunb5d7y7UkjJH /T75B7pg3qHAsLAhGDiKvS/wW3DzuK0Y+cc/rW+q2FuouMGyezHpPbAT9ABozEixun7w0KMTf7n1 hIe9fDWaT5kiUOOECsdulWDVq9OGDbGC10vzNWkJG4LG/hY4mbbvOAc4s/GsEjgS5WusKklzWNlq 7MGLDKPvy8FDc5WUNE3BgPwJStfYfDR2nLin4imyhGkHI5ACDzzNAJf4M3cRhRQTODAn4TQC7JRM g8IpNUZXPduYOnJ/eloAIc5NvgA/jWLc/RdyaTho68hECzHioNH4KxIRUg2aw98HHGuQWz+jPhm1 Sz1oayGLUG0vkHkk2GLbMdaKKxix/yGo9VEyK29xcQEm59/A7EIwh4PGL2wWBA6ZVq1LRqnvbndO 4DSs+2W8Ui2Y9gM/ks6H8y7AT7He/ebuneXOIibi1LwiQjZz4WNfBfY/gXSY2ilypulSdNoI29hx nQ4kh1FTC63jLAId7mZIiUw8I3qsyIJHfoj5mA+PZUg/lMGLbXGfWS2mh6ZLnyHgSXcSXRlN3P45 cUyK/CM1Lf6Gu7eYAMKKJVTZGsOOnbaWZfWWKjsTJg5IH6EAOTzBmyt3R4vnilHjZzS2pQdf8DGQ TfXrAuLBP6JePifDEiRmleLJAUs9muDQ3p1tAn4NTjq1RYkGkJ46c7BIehjWNUZNSZ09hFtdFJcm lC9khTqu+UBVPWhzFdoTqiodX/Tth+oW+UuEwyd8rV9R/nwx56UruJlKRcjR1ctE1iSCakRJBf7o h3cDCBbSFAQzJaTOI6NB/cN3DsgHHqhydY5QqyFd69dU2cyn8ldRAGFK54CKaq4DTAbJ07c7T0Sh anQiYuf2kAHBl6VqZYC1gH5LebrdqpESBZwNCbROGM7f0bBW8UoJH2NrjS8w1Slb0wLYjvNevaMK rS8TLiaqgb7iCg+q7GLwLuEdAVn7s++oNmTeAMvB4YKVX+LdshLXf4Wf+pluMP7Ad7kAKFHz6JVd DoiDhaOt5tpLg3oDEFMLxuKWZeGD4MzZ9yKXc/Tio2iHlgZxZn0I6oqMsybttUtfTbR2PITwC5HR Z+hM7juT2F67NR7HGZxWJx1HTqzL+DkqWwvOCJkeXJGRtToDnq3QHifP+UUbM+3e5dL0UR6GHQOq 3g3mpPKCFrAQSE4Mc9UUwD6X2DIJpSrmqmtH9Ir35gQR2RKajKfUOmE6mgtemkwKox70XOCZVeWI fg3H1Np5pPH0hrGuNV0LEYU2WDfRSevCcsYx6saHR/yAVNZdufwa0CKLyKkql4kB9UoeiKnW+e6g gNV08mfvDIGrcVzJ58lGagToD2LkHE3Tcdy3/1WqXmxiOMrjthuJG5Mifow1P371LkqPvSQqoCfM UmFkcmNwJYamY6dp/cXmQnlfVW+FZdUFyKpyYxyMU9uvUsbga2lrLvic+Xz3/+R/K+azuZM4aCWc WxYOva5evchuvwZQqF5rqTlT7cKE2gMH4TvUkU7mj0O97jnsCGvfYOqVmoTHwut6xkohkokURZW9 AwVGP6hLRT4fNm/JKiGy1lW6/JdZQoqfbJKujVBMoCD4HSaRPkU2tyStXMliTgTl+ztuy3HpVGMW 8ndprFiungIzpBDwD7+f6e8kDdpUvlCUAp7uUT+oa+/o8KNo6QviTw/kLPjiM4pf4VHnhgoKxY+h YVOthxsAZi+g2ZerOkhNDvh6xem5niLyXSA1LtcG1poyNsBEhLW4uhJ+DglmOMukVluYKZh0iq75 YUc7m4kcI+zHBQs3MZkjuSLb2d+o34BjAQT9XOGUTWq/ZfY5HT4MWV6vGcBDFNlMzXbxQI43nbLu 1PmYRDqTEQtsuClmzhVLlI8T/D4tzYkB0PYgwrH5jSHXDYAjPsGH1TPTaDnCsR5gSy81ZEnkM6qn 69SCe0bNRl+sgVwQoJ/rslB4FRNlsmPHZYsJBs4bLqrJL1dZoCMXzjnAwFEKOGSz6pd28bEJRHYl itNqU3+fhbxtncmea9Rlt4pGG8nFJwdnN2vV4zfMmw0pw3BeqoPMI2yWbwzCORGtTKaJYoSy0Cb9 jxyCT5zZ02PzI6p4j0xg6YsSIdMLiiS4d2HS4q4gCkvXMiCgHR1tjBTXNNPS9BVM9X0PUBsBHJSE hm2iiR06ju8lhdazuVy3kaG/Aaom106BD0gW6tXxa0pycX9cRAMiesGqwg/6O0fqGeXuZvJ3x5vb g1wcMChfJjWVe44/+1Wvo8pI8vW+9WmkSPSmu/S/GRuPqqYET0FVwTahRJin87TlQ+EZXQ19+HEo HmbzuRlX2rzLg3pN5w50pWVhKWK4vTU4nwRt939wksVxGs3dFk7EivOOsTqtixXTe9G39wegTlmv aLNOhCmXCIPfQazsQGBF2SahCz59lRAgIyPUZ9G1youl8qp64WkRCFsp204/40nrjGxnd0tyQ/DP xYt/swguoCwI+QbnyyA6ZU0JgDHcM4xtLipoVjuAPD72SMKbedumNeWHjbi+76jEeU8c9O/OhYdY YT7Jx197D7w59egpDf5YJi7D1J/m8EPHh/ftsou7lWBoFCx0m6hW2jDfFpYzEvJ/GfbpoO7MkJsC JKJtiX05K4C9hqmFXDW5ltDfVkLQh6+y/u7IafyMyY3TZ97vmnkq/Jg4SnPEW+WpxgAlejZgYH4Z 1ST/OKhSBhaPfqvecN7JiiTrH6c+fATYpqLbjowwhk44HiBa3VK3hxI4Bo42NCH6YOroPQlzAGaK F4EBTTakRk7hA23keh4fjgnd6DsbbfaggbxxbXD26Ae1mrGshI7uwIlEnEyd74euP/IVqWsPt4LE B8Na33j3754W7ZLwdSZKxo7PTOb8xFvstkLLI2UaBZ9JPT671OnklhmsVQnMmsJ8cH8Jw4ypAj0i cyvG7kZNCQVrNSAltKrq9Dc6gpzw5tLo93+oFzhBOcghDbf+cAeTeiDHZQoKk4KpSXZCQGi9kjfG bm2JfJ7NSsoQHIyBf0zG9tp5Hdb6Nd9rpBzqofCvm4sSprg5d/udoWoBbZ+Eoc0loQRA/UQarFhR BmbZpx81QKlrup1zuJXXZffiLpo87vliAvg1RfLX88mrayUrm8sUPQcFEw2VSDz/K6aivfnySpi9 o5DftFZLv12KT0g/c3N9kBG4R3vIn1h51uXU9jxXkS2DFnwtdsSvd6cJrtRbrHppFQUj0R77UIzn CVTy6elfFZWOdgsqdbQ8Z9dai0SMXZo2hCqyEtrhd/OSVre6qpQMpqdVGqi6gXpRjICU4r4VfeCI nmCBUz1VGbicusoxy5/p+3dtv3iDYAHQGzdWco5qhbhd7KhyufmpIlpoBZvZYj1U5+iPwpqhEoDa NQaioWJyvKXVLiYo/2/TJsd8pdOkQqoIx5iqYZRfGnxUVXrGFxUvL9RUj94rpUsUOCBgQ7/Y9nuk YndCLInhxmJm+1ERory2/qPnGlBbYKTR4OqXMCpZffXO+90xxlOz5fb5UxZC87nczFHhueFrU8mM i+1dxbiwmKcGYj/2VhbVr+oQ8UZFHNBdiuZFL6va0e4Awl1b2za9FOvKHPS4wPL+PnDoe23Oq5Wf MbLJaMCS10iGXYmDd9D2oKDdf0moZCx6KQyGfI/Dc02MObSZlveZ9qXn6Fz4DzN1VPuXqrQBTgLJ A2xoav7FycpkQ0hlosHzJaEwDrYTFqyL/6HwIeUHBfZVHb4PYTNeMRDFuYdijPqwkPf+iDmUXCxa mc6mTKtPTTXS1oJ1VJT7NoIO/jCI8q+mPJq6eZAG3n5SeIhzlShSJb898i2Niki/VhxYNonQOC2K sq7nDag6LH5CxXQD57UpNvtKu6s3sq8X1IbQ9aAMCvlngMYGbyhKlbItFUDGwlyK0IIZEOFTSCoQ Czliz07FlWOfI+wToY6lVpknq5PuvEZRx2H7W6LW+yMYCCnMNG41t26ZdhjbqMO1VRZxo4zWV/fG 8bodZ7Odpu40g6Mdx/Y0AC97FbZDg0iJVO85EmMp88ptiBsy2aMsjFMksuw6Ug2Crx42u/qehSPs 5yjX2gtDuQBnnt0HtisAZBbAeh3nqAUHpskszkTAEjhdYrZYEp/DqVa/nscl2mu0VYMmV/35PyHj gwmuZabCgEF2T/2KNgeowyvtjuHgHS5BBi951MVS3vzp3Y9Fs6+rJRsEGMX2D/yJ3y7Z0JJhpshN gUXrYXL4vsVpk+lNnH6r0bO6VHaHnM6evqmzahf0h3ZSxB/rUygCHRIBdAg+7Zwl0awbV84rUyqX HcGvE/w+PTnSRz5kFOp9aiddTY0zvtQRI16ciZ8AQB0rJQzfWEPO+V7POHLth96dVZqxTnHk+iAF 7Nt0/nHDv48rpdyz8KR4cV3D6yhn5UHBas3Y/u922i3hpuVFm7v1CPabGhYE2AlENL0ZC63SJNx7 hh/2O4XB3ztLbKY5rVbf1OENsNH85kodVnkfvinwley8CWhuTIA2OPGB42kP69KtvAO2mjysfM/A Ah1zPjRnVHTiOHURqZSxJhwzg+4palwP7Q2gM0Y0JIVjXfAU7B5CcUHOV/54p9Nc7vx/uKvyR/ki 2X8pJAJhdePVFQDHKfFjo8mKqBsde28g3PWNZDx5gCAoMg+CS2Sfa9kEjHXHCRYFJmKSrnuwN2xn fuHTQ2L03+S/DIk+iKn7ShLgu56ZYbI9OpxzLNG73oz3BL3lKVt9t97w7ru21ElyJIFZ/s4GsOMb 1AhASepbqeaET11s7ooe9UYLfx18jKzDkQ82GpFqCPxpkJyesVbevfJHcNXWfq/gKIqOqbUDQqjK i2A8wfznSSLeUF/2G2Aln/0Ww63XpB7KN9yg41Yg0CpNP3xPgMohRPk/oEWt2V2s7F0R+9nkqg48 Pl6geXxBaisUYK//xjA2bviKUef1uP4LuSi94CHkNOr0Ato961Ck9rphooNbgAQHMsytP5PqQ9Px 72cWH2S8mU9Ea34Nfh/TUdud/PZMFpXQ4IF9TogDAV/mjuJF9tp+GSlDRXjwmeMgY9s86S7Hpzs1 IiAHWcK/BjxAXJPedyDZ5mw9RM2i5Sbb+BZD7PcL7xI68lQEGnZzMbvk6piyTOD9cyPRpgCxAvQA 1aUE4auFL+AZLCVGcVRmZVmw6AWZCxptTpZYOQVPGdPBq2bi84CvO1YLkN21kK502izW2BqJj7Zd GWUHkzrN9VU8ufJIHF4pNg64b+WQlGMbPQZL6+Ns1Zm5Gne5xN8N8V7GUKDhGSw3x9FShCzRoQiJ NNd6TbqWJzqCVuuQRDK46vcxXCY6OX/flb+W0QyeVan5JvN724Tg0yiOz/A66uTlKlUCumHQ5PMu CvklpRLdb4bSDjLlR5+KBc7SXuJptBNUxTeX+Wx0WhY7m9BYf51bcmJpWgiiAUIwHpSXNl1oebNe lge2/Fs/0Ggd/m4n0GyMIqWi21OIuQnYy/DRBMTr42Ds4o1AOe6t/HqPyVzo7tK9FoVAhCtjBOpu Tx1+ctMQn1JsePZiT0IDG5/SlkD86wa27u4b6CKksYKNZpmVAgWM2PbLa7iTLrLAuq4U6Z/iF8ON EFi5nms9X8S0h5uxEOVlM9Vb7So5e3SHxnouCX89fp+9ZGyARZHjbNgYJ0IBWXqY8BlPl6vw+Q4a daI49y/qC+QTEfPc8BWEFyB0TOIS+F6DvnTJsSu7czLvwEmyzIy0OEHANkz5H1/a+gvXrym5kHBU dldoZxIKrmNkI8EMJDoBmdqJFaSSMcJ258jFedm6QdUWThy3yNqA5/sZwtjtkCKCppQ/00sKLtDw uOrbSVFcxdmqj+3JzxTv+t5RntxJ6QZlYg10U+sJZPPRGh/5l8+lkOQrofnQ84w/5M+USzvCm7aY rSQfkguO4btfWxejquRqWqqHPYJ/6XkGtpc3SV+q48nNbe4P902qWQfP+yOZBr8WQQR1UMFnafNT evIUJ57EO59o2ne/IR3DAzLrm0gUHEbeeCwNBrJ7sWbx3YF8QpEc+DJeqJMcFnfxTcGrKmnGyZsE fglq0cpwPGEGo8FZWCqjB8pI+kPbRpkRwGXoQrzcuOyiQnD6N+rzccYeD1H/DJ9WmeaAZDYyoQ4S R9KnauaewLPfIyfkSGPKHgxrLYWistgF8pT+QkZqnPx/umtywMsFlFjyQu5WXTiKQcF11ZPQJyM/ n+727crttx2XKUiuJYMzYaCWbLsmbDDnHVqSnBQl8w50YoNkl6Vj8O3+OsyoYJhCdXh0pery/D43 MaxIw9izIDJAMmtX2nLLhCzN7ngLDz2C2/UkZ0Udv4OnM0ArVx+JyZlAgLvXFR5s9JR03nxC75XI RQNL4C8LI2TxrR5yE0RM8Rz0R90b7+LBkU9f6xxO7/8GZTZGYZ/f+igkyZOC/6CMJg1WSKeOIToR a4zt5pjI96vSV3sbEODUh+Ea/XtDvDuzWPRUOoCgCLXK9f8RyJhR0i57K6sI9XoTxZHjvFAsOeJ8 dwu+/i7zNaTdGyATccZTvAjQLzFaSsUFyUaE8a8uHZ4M `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T547rc5zDOerCe1OavV9oe127A64Qyjl9cRjKnbG0Fw1JTeAGcnU5J6hzlKQqmpecO8++i4VsnfS gVbA/wQbbw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JU5iuc/kQwse9wugEIXtUYpy46gpWfwrW6Xc/SIzL+T4zp/mm3kFQzWAA8NgXVOIuH74dz38rRxH rk0+sLcL3R2mN14y0TgKRJVcKLglkvO3ThkTEnkNb1+lJlvBv8dsQNa0SoPxswbR/Mc6tfTVgiCd xmvW8RxkilgDEPPOaOA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UIGc/ouGHCFtVN6eZwi48jJ2YkKhZTWOAP3Df618jKPRyZo2MPV/+QSFUIMXIR0iQFoLIK/XtSOj UN69rhY9879vhc8I9YSJlB7T+HQR/YpZf0fNBHVzQsuGEIWhkZjd63WVcZ+lNYFNrICoryYazb52 SsGFHuHQs2SoDWOcDfx1trW2YuOIySx2GfKv/UwKLExkxQqaqdXKhgM9N/2/EZKpIw0DhXa/EQox i5e/kU7CJjguPTyRG/+JSqfmsGGLhUiHBfCNDVX3fkdEEgl+ZWeLps6M8Y56f+EJVPSmk4ZrkbTs yhSMiA2m9C4/EDr1CXt9wIph7ay21ULCy3Qw8w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CERDAQKkX/PSjjx/erdQvrtLdQF1eIUaq0lCSbAV+ptOcv61bykhlz0NfCudbjFkmgBtk5XHyGai hWxAMNLePyN73NyZSlfnYwY6S4q6d0uuZAf82NdpLJOSH4+IX67nwCnv7CbINNpeN6O+yNtKJBaQ nsTaa5FlupaEiYpmisU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MekCaZ0UiQ+IrfzAZLwnEO2MPam01qIdbcdKmh7CBvtG9P8qT4KPEKiSZUNtXTnvP+q8o5f90fOi eyYiZn1ha/vbUMHQdi8xbnnAdGsahW5iRKceBlK8r+1pnwkZsllKoBOd0ixcXCOzwltVM2KC73DO jC4iIiCbUECE1IW0xa6CTyS9YHNE/LavsSDdKZ/vvROB5iH2CjsqRIwQgSMNmduNX+ldUmtvb8Q5 CJIbhWOzMLa/lIrz4p2B3h0h5MytfqGyya/q/PxUU/WuJbM155ACQlzvqkzkf7JjEK6/1GFE1Sq7 X0X4DGjfDznb515Pv9rLpDjky2mbrGonETlQeg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584) `protect data_block c5SdzVPqMoAcucjj7/J7Ketlx6GKob80Ekt3p6JX0Y+QZBCZhzhEP67xKQxCdt96R849vIrJ9I4j Zvf3IcdEUpNsV+r28FOWg2dxUBo85BO+xdC/3QEsLuPTC8c4mv1LJPttr/6MJXgDVLNMai+1jr7l /VKf3/46hXu6kScBHen2KphEEYY36ORjreT4kvSYGKj4SsIfqQvw8TIzyEZ3MhLsOa+4UtiJ6U7I dmLG3/q9IC2orwIB54jqF01496J3iLOgCZjebHBLyLyIeCrhgNxslWSY93z8hM6Ki5RpIsSiGjNR H6BSe7X9HuF3JTbp2O9NLtm6KPeNEuoqn9s/mYU6JsjF9dRtGV9MRUvgjyYSwnFg7g6egfXPpfKz mwtDs+BYIZgiZ1MmSK+O7NaxvqLXbNPK218zX6Fimkw15QxhCc8Y+/yePz2x7lYvEwQjCdfekZ38 O6lzhzM01IQnO/HnPA9Ug1bZrL0/qNFQWtcoL8WaNj0aZ6J+U+ixBhRX34TwnHmZbWKQgK2VpRyE /Jn+sbBw/jznll8LQRcFAgYYqYfZfmn++Vz9kUMRTTcoirTzMTu4RZ8UPf3at6P+lv3poB7vdZ+w lTfFQeXl5vyaZlkNdWHEZyDUgiB/03rhtPEVY7jEF8PLb4ndxkywlPWe0DS74v4t+CJDF0eINfqI TcSYevtyMIkDTKB6vwPfgUYQEv1DOJRqKftiBSb0xlz/7WFFN7G0cIR6NOGwuNyjCwlLD83io4O0 HHFQ2JZDux2XYuZADliBCnzVS83+AQJLqW9XAYXbpMB6oajiIan8bG2lddWvJkPOR5FxhxqHmA6d QEupVSzfC68n1v619kqWJyHW9R7vwAHct7XrHPOzJ20PSXtxqjtLI3zTi/69hx/k9CxTSj//qym0 JHGZUi1u0gaIFYp+yKAFWPJCOkoJCg/CVUY4B341DHvnMpIklxpFlYO39tBgGEEfFbsdQruQOK0Y FCW36T1oHOqHqieYHSiIg9TVy1J3U4GLDTt9MDanG8AbDaow4FJbvXAAVT46SU/5h7XGvL63m4Gp T/Vj8Sc2Sh/do5gMLFxI50k53huiVGuGi1y5/g2Wqav2dT4wpamHYr+8nJUlOL24wdezueDWpNT+ L83fMuR5NXfiq0ItG0oCH8ERBFH6dMWs7FJjEMS+HO56OzF57n/+A5oV/+5a4f7yNjynnVjAyaFJ giCBsd7Oh22U0VE09po4Z/tBjhtQjWa5ks2vdBZYF/cGyO2vS92c3k69brej1x2aVRqy7jX+hBOr R2bHL+S7sQzvxi5QCQAcLJByaKqNP+ot07aeS3s6a3HvOJREA7ejzxcVoarsslHkVrGDwZeRtURX vyxBDjlcsmBkELYRwcYsh1caKVo1XCm6IkfMnt174IlSSsNHfQlVeqYlcvOjKhluiZDM4XOaezOF S+ORzhdWzVYyCkIL3xd4t/EIgVu8KtF58r9FTqTUSIJK80FoB58HcL5GYl6GG+oCXEIrCygFbUia Jhs6oMdfSufsInKFhuwJ4N2zo9ZLkRIVYFfYdQGRNtmPOFB1LT1vRtTajZh/RaaoX/plhWZrtean UGLn2pBzRWAYI7A/IBjLkMRmfwdxY2Benisv7XHIL2xx5OQKq6vgi+JEcEQtwLtRtMZLIu+SBFnq aGCOzf+4VgcaoRHuwUN3KbdjSPy7NmXNcDtKggIZdS5xTrzSfrWidvQ8IjnkOHftHqiEV0DV6oc4 cPtDm9guOi/KpSzEXTnkERPMZdXRrgBZPrkK++08BTIsYihQW54hhHwjfF91OKn6yYPRPtSnRgi0 C2t12uBTDsurG8fvXYVWvSB7/m/Jp7otE4wKI21FCLIEIBNsTSnYeGaJG+OZPX6pGa0HD/zdohU2 eV4HCrveFXTPP+uWTyOpqCvmUQ5Sbth+RIHrddRpS8vrWG+s1gk0ppO/t3FMGbz/nM9x6V4xQu12 KAAMmmKT5MZYUwaDgyxjRQUebdlo5dYygHK1kYk0UZi21RYcDOZxdsN2NUkfiOGrtralmCuwFdys BWbEw2fFWXBLRYB4Ip2VMP3dBRm/a/zUZHMKiVOA8hk26YDGXXuJppYDb70tvAfAT3AdaP6nc1Ws HreIebePnIrOdAr84neX/I+l439NRrqrU54VPltVdwFpWQFD3UjS1TMHskI8E16faybVtOruUhJ9 TzdFjCHVNtZ5c9ssFv7bfB/gEuV17xbe1OJk9MEFkl8rDSWN2LlklQ6ITSbsB2t9mQqGhKgrixw4 kfygj3eq0JcuRr7Sg2TKjWkxU06G+utz8OLb0Wkn8+tITwOIgc579tRwYFQqmdxm9/N6gRtLvZ1a KNJhpPit5OyHYCkfwHHvNeNosW9sF19om/hutMtSO0oJFyLeKPlxeCS5S7uL76nhlsixza9R7VZO v6qLCtfgut5JNiQUnIpLLNqldn8vKZONhQm9TUNAhKjVKAvsfvmmUR3mY0J/ccVPaKhmlMwpLAI1 6o2oSFj/MKKhCJ+BGDmonvIGc1tDMNVHi/lBMJrGfNlB27V6rnkS3m2IdJmcwjVZjenVMLzy/pFz tspiIOR9uLpBd0B3C0CmH7GrPgTBpHFZkkzob82j01mc7+Q67bED1mwYgQSzPEPCZ/mEKeT/zYZH C3je/nNQ4WXqPEpkBCgR0of/J5MOb8cTY3jH03i9I8uzy2oLdacpmGtfXqAyn71W4cTTwSZuf/DX mrhyvrfYajc9tEaK42U7ZpgAVQhLLAXAOXTHVtMdALB7xsK1GJmdCDkAk9MNpfzssk93UkshlzOA XE2+basHlrSFINyGhvB3e369t5HEaUgDHrS+A5h9J7k5hnySsq8Q5KtKoffs67H/sKb5NayUdgD+ 5pafLfXAdQeJpHz7LATS9LmZE3CwpTEoCLTCsiI/cp4E/RWGP5QnOa0q9lbV7V+c9+IA7DOLt55j eqLdr2O72r9dEI+c01ea38nf5TNNIlOD5c5QOo5UY9Aq8BaGdtELxEoxpbWS2Tq2Rcon/aNO3oxO w497lulkj7DAMn0Z//XGqaaJnc5BNE1nNy2b+CuhQJzyng2FlqU36iqdC+4j4aKXDkEcNO9dGpJl M6szIOJpQF8JPFZaDb9U+9+EyyJMJGw/HEzuQ412Rs4slUAMWOHLPuU6JBsQwRfT+/HYbykb4Jjo ylnkLQgqRxdQY9yh8nH1qwAf8DZ+UaSms26yeXw5bjjgPA6jiVW43rKJH0/8JlVt0c4AzFF69JAZ lGNE9R62DSaS6KAqoOuou5mbJvhPomlk0340nSsVhgAMn8TtFVHGI9DavpVK/Nv2/J4kTA1El2gK Xc7pJlxNQRCx5MsEgfebd1YBYplkfYlOGuy0hEzw9GQS0C3bSDmqEwWgv/xgnI/RTyJosX5zVtUt NXFg2WyIpDcQREbevv/WLrk0iqmGclEb5uYhfNq5uBJNq5QEwd91IjoiTGp9wJlCcwgRcpEig60K 2srXtXpMWonJgP7yK67LPemXyQF86kCYEdp/Jw+x4i6QwwtfJ+iLEiWOlnp0R9t9lBvOLaNHFwqW jieO0BJhBZYkN0H7I7rcR3J+qwQKFwPbUmm0DGZ9jJR66vP7E/dVpscLBc1ci7RAaBdHkVKtLtGY TKaLDwJ3V9H0BBtJxh/N+Xktx4DC8MehUnhzaWDcCkD3avq0gOhjZbv6YFmBxVQSavujAV6CvEPQ vzZOSBTYq1WO85DTI0/i2mZ0GPDdHH/G4O92n4T2jfn+bfy0RTA5g7EHY7berqv0PXNZrFNmM2nF v2Vl9E53hIfvLzmHwB/LZ63AzOWHZ8Ngi0lYLBs12JIsOnAABV5llHxD1coZt67EcfHE8iysAM/5 RyzGhr+BKwvEjIkt/d2XeYqy7Ylp1UBuYeTkuvFpkfnwwRhHZ2DnP5JjC/RVpkGA6fpdqBoQDSjW 6RVRf4JxrZBmVvkTtR7rkGvsAEJAyu0Se3rX2UDrnY048tuT8XtCdRQsaBw8y8ArOjqbhd4/Xxma AccFpWXVZUijTDLIQA8HYYx9DHBjjYOQ4422Wk+syBKRYqEj4yF7TMyyuZC3LVsPp+YXA/4LXSY/ FoIjjT+AGhHPP1uliLbGAiqO0pahCYXEIqX67AAlbmzpIoB4e19nXJ5ApFDs7KHQbfVZAt6NhaDE z+4/OfiAi3RCzxf98ExDwoMTMZOEbPp6WAH2tZtyJNdBuyolmp9WnLdymKaI5ytgBaYfNHMWJ253 9bqa2TOWgIwv2rakGvTCr2VYpU5qWzlLxLdtFlunrSq2vxWz4zl7FN7LNVgvYfx718xr6uJhaFbi FmxyleGsLlsZpCZ5/6PzRD6BKzUFdWShmBQQmZB43R/0OLdcdXihp8zD/B4Cn/Nuqfx+PDUBPCP2 lALuUgeEK7dF5Byn5QQNPXJZ3wkYHI8YQMzmzojwra5O8EZnLvyH9F9HTD3lPkaTDERlPmBO9BDX T8UNBHPFE6DbYM9Ulh2e1bGuoSYMNdx+ytZ8IDGWs0yNdzMdq5dJSLvLzd32LL5AZLVJW/4/JWs9 DBFNWqv/oFmciGO93+vz0Y2RS2IMs7dLlD+xnWWOuz0iZfHt4FWRZMDjz1OTPf6HfZechC8bhYKo xUuI+usGwrstk+QOYqW+FJP8bnBEoZZYx5Ru91H7Smldoi3nel+Ef5YhgCY/PAWwKgrF6b1OQInI wcQg5Te4OU1UAc9K7Y9WeOXVIKDqjZrXxb5xMswyTqRprg3nzlycflBAxTeOrJtH5wGLSaHBDSNY m82qGRlB6BHhOpY/m4CQtfWq3dOSeYfqBniiaCgoJYrPnwgqk20cz9V3xvkEx+7alsYMS7GNQf0t Q/GdAyfC/0vespCUSoBZkd1iafhQMH7d3MvscBCOueodqXBFWYU/I40F71jL5KsxnsUqOCpOjCtu PSjMkMNssIuhjrzm3SLsO3amXeyVes83iCQRf6DqPPWma+Zq50TNRdKWJCDL0v2Pfx2KLJnO8G6J BmF7Cvf0BbDHuBHWEiuyWUj1OFfMUvNc1YEJitiO1UOtqBT+9STQNsbI+kYG5mz6OT30C38F9biZ l+pI1qqsrFXcFESC0QGCmgeR1O5aBhTq9ZAoOEG9U1AnWq4Kxa4v6dCmBv7ViZLgmZ9VJxioqGDS G8lc2P9QZbtSBqgaLtfkHpMNUQLZZQvLE8W/VdiOVECYKPEOH0GMaUxpm37bbDokRgGkKnUST+if k0veKwsb4f7zt46dYLdQ5qJ+Hn8LJJDBhNFxiEK9Q4DqaB7Q+A63fbsoV8ozSNTikv1D4HSyhANx acvRdNhmJ4yzj+U3q3ebVzyctUl8qaX3cBGgSgQ9LXmIHR5HTYx4Rrk+/WWWPLi4JlCSs0DzT1sI vm04UnXqTbsK7HvuHe8LVGsp9Wfof16cWaEUTE5Uk9z3uFz6LsDBTS93VyqSfXBoGuSRKVdGejou YTJJOE3xJuCAWAzTMZwHf0zDVsqwY3Y52HceU4CHZgpD4mhbGL3RNtIg9XKXd6mo2nqe+aU+hF3W cb8cNohcKI2Fy+GpxplfRjRa36WpKOMs8j/SS7SIUMhhu1x5uxXof1O499X0gGjatUYDaevjKqvF zv+zPIBgn8m5x9MiyMb8/fuvxnYEgMe0Pw+GOTzCLEbN602Xh+8kY1pL6SeMBVzhdXYJX6Avdlzr 5L8uSmZuG+cnopGoz3+tT6W6zqQznjPjhKltfP9zonWpFcrPqjrL+TllEK/tAjFcYRR8qIIUg2h0 3QTE9ITiYOVyyDmtZLe6tdBK32umqyQmg2fUHIyKW/c7iOZ1ckvm9xtUu8kIZyQsvHmEfKR1MGy6 EwEKJ+6tzykvRVpPYOX5ZbLBfaC07ojrQkpUIhs5NN3wJJKRrUWlr7YVpsE36KFmlJLIJAH6qJOZ 6NLbdHwXZ4eFiHa4k0Uc2tZG1H/m0ffRdZ9JZKW5XCSOV9QRjBoe+iI+oKYRzlV/OhCtkNzQqlZr QyerAdXVymyCG75o9tBUXizVMCab642IptH6BRx1JJ0uw5x1PLPtSmRyhuvKR7SDfuvqlG6Rzi+Q n741SmzWBeBEHZvvZRxKe908OKM4ZmIUYbfI7TIJE2wAfq3Ej6Uuqc+FF4IPIco/mZ7i/+8eGVXq /PcZKWifWTEpx7AZwE+CTcz/y9SX36prHeqW+gRTtKQcoy456N8QhELaPfMYmaCGQ2MEakcaGfP1 TW+l0WjXBAxQ6SsM+nW9Uvs8PJ+jFoBHKjlvYS8ucHV16uIjl8AeK3Uo9ewriaINxg4yUKtFTJ/5 2mX5ex2SF6PQ9OAmaMebLluYqjpCUCjRbo2K2kVZALFT+LB5ExKXcqgw8pVa0mkZFMyMqnlgW8HP MPA4VJH00vW7PTQkKNWsYptfTV6ImGBnMCWbBsq14Yod9bh/8e+iYBg+D1PjrmSHG0VgsdDtMkbj onRLnjDkCpHH2yEVwTzWQALKAsk93qlnlFqD7ROKdAVrLz4fFNSdrDNeEaOgLCcUXLbsWCcOAm/W HsGB3DsEGe8kBEE0yeNYg0f1I5oa9f0Ou6J3Rw/E0F4pJVS0TnACMoexkLufUgEIp72jCs22A/aN LiYYHQoe6LZOEjEA3PTK5t+IS1MKg264yotQ/Ge2mjfcFgJndNooslu3ElL1+jJh8yDffGCmSvaY YFTbTAXlQQmAR1HnsIRLDvkpHC8U9TEP/NzLZJLnY2qZ9GVAuEQ1UTogTXULjouAwD+R4bgC/QUY aI+kich8rmdMCfV1E8YMo5tlAztz3phZdy/TY2bvAdAbhU5p3Onpo/qbG6p/LZVxrKYYRSTqUnRQ lALrRbz9lPY+p14/TZ4siPwhqar/V23nBsGjK7yufsiNPpPG/0D+/2HAMijNFX35IZx/EZxnCA/Q tabeIpY4zeXMD+V1AGNqCt7EKcJMqvt748FapbQrcJkuVNnJb25KMNWYgdPziTVrsyKJzTX1XwAz WkGK4mKufvWZX2A3QhiB3TyVqMNUN4gtkfSDWQ6IWsxcuRqktScBfWH2VY3VmiVahajt9Ub7+BNu FQVvii8DH1XR7XtIh8AIzb1EoL+YD7+IP/4gJ7D0isZ4cALhGRSqQfxjLTc+7yOGHCqfBR2f1iQV OIkXKCJCJ8c8+p9fgsCuWI/iMVYdlbcROx74islzxSHP5VfjNY/DBDPeL7OMtX0AvNcScC+vqnVN +2NY+j+UnSk+dF/vyy+aHdzCRXvZjrj4bMbsL9+dRW8YtpDRoF3ma3+DMG2h/phtX/ztYXp4iAnq kpU+9WyVHcyF+HjTFbgA3gL9XbrgxlCwAQAIZEL9T1cLKyp/s44LUfmDNAVIDA/YmWkHm+pAwO5/ 2X02MBK9pcLdPU9BE69d44f65COjbAcVUiEGHJB+dDAsTfa5MHMhpGENUg6bnGhBfP/9oZH2EjYH HjsgX35nbjFRNjHkAfwhS2jDi2cm+v0aSrdzFUdGmNympzPil1mx/gRXV0cyww9k5d79hRKzO31R jGv0bFNMn7Hgj92ZEhXzEdgye5bAhhLzHtU/UARcG9n3gGTF0b2IFcC6pt7fGWo0AwvR3+ChoGsz 5k/tl3iE+XEPAnQgKA0ZOX5GiA4ShVVxD9mGWdmdRN9UosHmqVu+nEmpSp1lmfYUEyROWzb1L2QL qvdt1c+BfkKj/CR25vP42AVMQltAGiA7Y9JuFGvRX7/nt/FW8qcmEbWdSPiNfduut1RxIGmE1N0D xSr45dkI6Yk6+1LmUpFSr3DgqwrKtzQJGIfGTDclcKSQenQskSBoz5L+LZU4lqHVVDUhf4OdQXLu bzeR+diBVXqU5aH0hQrYnmQS5er/ofGB7Mv1FSU8plEf9TkJbIceKbw4jAc6MTCAkGxtQOW2i1ZD Hy2n2OxwodPCRZuLTjzDz1ppufVJ9QBaMq3wt/iKE9N1dxxI6TPr+/MiNuXDJdaNR2RIq0g7AVQe /z533zWS/a7/KpWnFKArxa196M2RnOJQEPxacakBWYFKwtft1e2PPGTOu5g3ZfJlODgvHpsvsUK8 cVXkJ9mD9Tx2HFaH3xsUPct+ltpqEedVK40711T/guPXCHB7B/z3+p955Dj2ibWUwhvs2qjd54Sk TEOnIOB9maz1FcVk4+lA309mwsJHgTQFeAKZzCbRUqxw5hkr6j9ESP73oNsk0F+D/X9zZlnS+QaS JjOM4wLHcCMq9A/IIKBmVwII7gxQglwezb+nDpxuKw6OEGoVgBdZyut2xK4ieuC2Ihn5JlI0H66j g5E5RbgPYZG6M9A8LCmemKFUEwRaMTQSSPIzzoZkfvBM5U6GO0uWdRH16epOgYSn0zdQlRBFkP8q juo6dKd1+KCeEw8JCJFaVKI1LurU53ELbgQONJxjwzrrGeCAiBzx5zLkWX1b70tdR/XGglKnlm6F EK/XrIIKWvmyUxbFHqg+P5TuKC8wY2eLkIVMOhWpZbZRdYyEZ8kubrOMZBDoj6LOyPKUsgyl0OVR rDpLWwUiTpjYXSRBhGEXReImY+mzRQnIDZamXD9HDCsHPAb+udov4XEkWGAwqOel2dq3H09ZInc4 85+RpDqrblFLC6Rt0WQqZwdVoeu4y20PyGf2lSY5cHdJWxZHR/xqyr59eh+dZB/OEPy+DMNiucp+ 0KQ90LVKcwHSzSinVwWX8yJQeskOa9o+6BaGYU4vDNkwcX/6sm3i7FuwpVT3MxOlUJZ6NED6mx0I iFPSkuK6Shvbx71Iz6sr+Qp58IDjlqmZCjgl48rVwPG/xgfCp2mL8ZtNV1cG6k4K44ADC+Okuq3L TiZOhEEHrmMX6q9mGi8p3vfle9U5YDoFJK+bBIMw9COZHkRkUrS2I0wOAVMB+El6DyThvuUC69Ez /7GHgjkHdcemV1xnIzWLdF0OX4EYFn7QBl4Yq+ySG8K80PoXNuhdGDb2kHwrkVrjXq0pkypUerlZ 5eaSNeMUSeoWswo78eMLwPIcB/a3F0jX0Zc1q6znHuqhvK8EGSpAuEVTKof64E4mBCcT4eWUq0dS gvJLnHY7F4cZVQLgwfFr6o6G096pJuk8Oi1yAXJIq3y6XNLzFa/XdYTXqX3530ENtFlUSnRA4691 DzD0by/NQfUFUYnIOLvV6Szy6X21BDksSfVCMXNYnmgUAc/6K12vLzkkSe7m3Uh32YdVS/QbgPvb TktXtv/2DWN34PIv3XrPOvoDelPaDr5d1JYQL3HUYT38k6o3oe2hzTgZs5Ac5JqdCmldoJFxwW8l 0B2IqwGYQaVhNBO23Rf6P6rNJbB1DyksLbe408QgK2iORTa5VB/bbYYuS0cyNyfiUXYkv5eZAPyz XczAmk+cjwHPKTfzYETLa41vpckr4oKl1/E86wEIVg1UwaDV+zUqHj6jglR7mkD7OSl761hcCRcS pn4sBIwg+lsofrp8pWHCTmTLTyqaDsdo2t6BawMSUb32CkK8aTb7hsonM24+8aUa86KFum3Z+CzB vUCoH+2KUq3WONpz1k2WDY6pWODEFfHOrx0EmeUy7LqbEcE956BdlNQiFRJscP5UukMC7Aa3G2iy RWTCfQR6Gr2CZKVvQ7764u7sllIZVcbnZcv6xjYvC4W+4N2qPcIgtJigodmDXXMP1+2/wiJAGOxt UONOtxby+Yz0Imu4wKiJHfIKayaaCjrIJsAe4jVwRqMWcaeYnEHYzp5LFwZQY3bWll3/aQnxvifH kuv9T8vJd18K2XwrYEv94Zd00ZamEz3mj7DFSn1y38S7cNb30+VHTeASAFzYLqMW3NBj+Y+KlMcd GANZEQT48OF8KV0hJG3+EwEbcfI3JKmkJqjJkLNnWOJJPcRlTBnSKxhXaZCEX6hv/qLCjnUkM3UZ BBqGRe03RdjYMOF77NdFNQyws6q7bVaxcXBSyH3jiMIOvFfrxOt5gmvF0YnuZCAWWAygwNJYWtF/ 5faBDJXgj4N2Z1Ejh1bJAXEgs/Uem6ABv9/lMxsW8mfhlu96t+IKi69YXxL2sibIY+uZS2Xm7gr7 p9MOgpcswofFWZmAHRUoKO2hBaGBQprQxeqkKv+ykl/c6WPGPal0WP69gwmksG1opivrIiMvSdOr b8yGWqnXc1RGQaLLy4OlIsPKfvVjSK8Ovwhh+7YRcVgCVBA7/4xlaHc0L40bN0yIA31Kjv6Qw592 UuAQRtcLVW1frfrntWdmKhJa/mNn+L+anGQ2YNfKqSrv02vVpFK2GWsGu+8E0kRTCY1Vq8cztp8s fq0xTvmSedjplCip6bMD2Jhz2nXo3sY/bWPz8IhQCokmES0gIMZvmXcJ+d0iSlxxeTYCTnPuqHqU Yn2Q1IRK2FjT4lIzWeHNcfqIER+JFMKnKjsyA94m/GE5NG7scMZ56fCp21RGuyp5JEatT5TqjTOA z4tzVIT/HwayqrLz+GZqfURpn44s8fVTRQayUxtufigwMk9NPhXypPUbbpJAsoO26oERuvpjCemo JZtZMJXHJnNngD+gBls8pdEEcyB6JqvUW3US6jJrPhs5iEuOfVPs1DQlRuZ/XmbNzbJH/OvtnMKZ nsIm+WwLyDSerjxsgGa5KgQVhbWkf3n6h9vRNxWGZ8JumfUXdCGkDHVDaVXtBKfVv/hV4xdQQtx8 Uvqc7KZsI5qRYbEu+lSLeGEzf1h8c1dSUkZn3pAHoOUinZrtqXiwQA5TPkymU9QWhYnb6+fyKPg8 iAUYyYLyxtnLvB5/FnG5xYZSyFInCvWskOaC2OEaJwjkaGMb2zVoYIE1qP+2pQlm1i3SpcSK7Evb Y0ZL/WZtP4iTdmsWmZYKkADh1xKTLJN1eVKXwhzB97NcTfX67cMyT7tHEcDCPHUxAMAGS3YWnW1a 6WfAz0QZZb+MWCcursOM8mDEwjkgjYqqDPnbXIKIvPTqBPANNIEkcuX64l2FqJXMpT807S3xvZRR EvI7NglzpwKoaUMr9lLHNs4jGaBIqXT/u+ZVvNehKDB1dCN/YnFe3Y66gRoxCLf0IyV2HI1FgX3O HORedu/e9FuE8gxwCGN9c/817TV4uzxSJoKnAGLSe3L39EkCpedgRDDahQfC5l3zzDNIHKWiHJhY S9zvZuDvPwWuDY7e8HIxeW1QJVAY3HFCUWAAof2eOq+RdF/Y6XtqgVf4EaaCWzjWZn4Cv96/Jmzv AVyOPbSxBxaLW/WJZI8u//fYDy2LDWcxEa8pbpCyWUF38t0k4FMVWQu4GevUmmQssQxYnWUIajxz v55p8eMHJt52UNW+0Xic4ShB5jemAON84nAA31zPJRhR4G507ifULYzIWi+9tHTRzn000nWPMWGH F5ui9Au1+f3PGZ+4rU6IiLsH3iFA0MVOtwWt5SahCdoSopmEWIslwr0Fj4y3BcXhX3OmcwV57h7U jDIvj1Lx06rSd3GPZn/8+G7iBfMTC4t8v6Iu3qsxAS1byp/YX6AHh1BgTBxbb6YC2wAss13mtDji x7E3PvQcZQewUJWVhiycHj2Hn6fMvSlGu1UwXKvx0OmozAUESJ93NWbxxC5vPEI7xfwikWvAGhQS IvlA2m5HqUDfxzsFUGgcxob+U18qoe6tWRuK9UhXTDUmdLvoloRHIe7aWpbeyFR8/8iyAAuNBAEw vKofR7PYU2/wZBOZ8vd5YdsIoPnP8Zw4x2A5y3tRiFmWYfpMDo1bhShF6kfyVFkcrHPZDzPG2rvj 5PhqSUk+/KbkbeOuFr0Z/ewXOPQml5AaJwWZzgbdKbVkvuHvlf8YY1U6+SsZGnDI+g8mtGzdM+uA GouGssia0c7hGTBNllw0V9WTdG+5iyfQGhlQ0PtIcSjuhP+y0PSRFFg/MR2ySh6hWqoyINVMpUl6 7Q4KvS4rDtBLg1uaJFMR+Cp//OVqK0fBKehwlMj+5+6k88/o85i3Mn24o+NxNceNvSdGQ9lE65nj N7/Md22SNORu7WsCX7ONpydmbzZPfJJvXudL9z9SltMKiCrOyiwK4Z7IuqyPkUAJHvTGECQYzARv CxUdjSjydrGd3fl31p5nL9DS8HzFpUzcT6Ql0iJJJVDLdqbblZ6eCuqvqe43QT7jMyCL74cDyOyx jjsdCwCDJa6z3JryXJy6EVzUF3iZc4FML1bqHpNmWT7s2iOoCBY2hGZ4MDE+2FVB1GcWGKvpLDxt 34dLvUg81PK51QitffNWs8MkQumBeRT7JB72jkkUrbnQYsu65lYZv8PUVyuVfDmNJc/EQ3k1oqgE g53GBCg97O8Pf4Pkt8pmFNxkbz8TnigNuif//1YLIcwQgam2TF1LleX6TL0hNaeNLzNoGA0QkiF3 V7Q/x6hyxUXUIMUGZTbIwbBmYwMF9N3jhq5o0Syqi7GLn9PYrHwqiAY4zMJa/gnqvU/1gv6ijn/f JrF1EPv+U40AukAufRFFMyy+YbjoFTbHL13clB9cKF0mI0+P2r6vFcOhmd+2JygztgJn8w++kL+n wL1Fu9JByu02jSqT985kRXsIjfzc2voc7rv2595i+dtHKbsu3Y9DbXhva9pQUBCxpMJEh5cr96kI W5rNnIip6O/1FIbpODfn+mjkTXFBMpjFy42ywHrLeMFkuDrGBy+nCPA6YJN8f5HvZZqP9Gl9Qtq/ 3IRdKd2nH8phBd9SfQLAU5On8/IWry/ZuwAwCl253/7TAxsjkTJoRRJOu0w9dA8j2a8EQ619sGX6 eCL73okpUZ747jsyfL7y3ulPs+G1xhuPGi6+F4rEcX94bWYhhuKhJTvEe61KT+7vMK6V1DK25jtx nzhzogCbXHarslW8JtwwlXtMgHTc9dMlb5is965ILoRVWAWcnS00CQcp1SqJIrBbnoRay9vwoQ5C Lu3GVFggbmLJMwbr+qPTDT1dtlMcm1KOiGgo8JUOQzTnpoTkwLFxt1RSh81vNO7PDAqt4PaFDz1R Ha+ABlLXqilPaKqTfH/MC0OkEtKGHU0QdM1I3RaaKkrj5eXzVuFJinZ0Ec/Avb5H1jYrkuU+Apal vAtKkcD5p/n4FVYRUSM0AkPHCkuXHjTdyYQ+dKNAU4NfRHgDif9b5aCYlViOkPijoZ53GWMu43+s q+JxUfpwZ21TddXc45jm8cBniJGIywMa40IprLme2kD8rr3y2eglrKkaqlfaQu2M6EbW7oaJLlmD TcE+cweIFcp+nnc3FhBU5Ka7AtvBwFtD/PpMvFXuPXKECKuvrNS64WnUve9h9H1Hw6yJCF0K6aAx JAvO3XSBujvlqu71ucTfaYoMoLW9tbEeW7LTNRo+nFZGWtulapOnOi0yvRDsn81alQrJpw68btLe P/kr45eU86UyVd1dMz3+bfNj2PbT9K4NeEbW49CS+70G2pImzVlFzOSk2Y1P9MZcSmRsb5LEW5P7 JTfcGczMhBSGIbWBb8MY+UOF9F/HiV0tTr+jI5fV14NwWfEKYsmmJVMjo8vPDDP7RZgDoMbjkI0+ qeAkfnVGVGk/6ecI4igJxg6Zx0smsDwtZhQ9orQAltwYVeIix80q+elURCG9OPtRv2/0gxllbCV0 2Ak6tCzBpGiRIy+BiRtbPY02Z6PjrOfA1AL0it8hT0z8NW51Wwbmqt9I3VcRu6aYDAdgjzom7tj5 shaMgncKI84+JdgAFmvPQD6w3xUEC/TmqsV3+fEpg1QVfKvlxDKp8ld7zXix4x0q7oZn+vEgaIbh /ZZpviOVZyiOol+hJLiGc0SK/n9ALpHUjCnrGyssaZqzA/ca/I/ere84fIz068si1FqQlqpBNFaI +g/B/6gL6JDRt2IdYuCTLV9LqTWRQxJyldySC6gM2ZXnZl3sXgrEtfIJRFtSHuW5xCKxDv8JbRVA ePngTjwoGG2DlJarRkg+br1qKILE/RGk+ccuV2TA61WQnIWI79h59JsgxIMIesgfY+M7LXRORBXl RXhffnW8aL2fSvmO+wtReQXu65Ayx9lf+JuRGur7M45kvylqNhXZSdmaiJQGzMuRqGkVuz7/Nhac WbGnxhuNLrEpKekJaAo4cvf142okzc6+jDdMh6O620J+CQJDzvxG98W5nq+uayAvGDrHTIeL2qQe kHW6fwHtBQkxlbQx9GbwQYgREm67SeenV3mE+EvF57FCOdbQb6l29ToktCu663M+Inj1JWK6BdzY JZgy/TVPJBVtmjjMpfJd04z+YyfrXK9J/mI69ht/AuhGufBfwL5l1zSTyXffpRTDUIq8E2QBo8dY soqjfhTObwK8wm8nR8VbxLVz+MLsD3w9Q53axTTLPC/tduuQX0Up1VZVxWPwpHx65qZ5ca7m4d3m VUX1w9DLiPgGm/e6iFlVnyLv9c8PTcGIu0Vez9xvE9boSC7VUv1hAnYsg7fRkFxRWx6BvI5YpVTa WFmYlFB3+Xweb/8fC5w6bKTCq7gX1xXiNqxbG86WNdpeY3DoMxydB/jGqMi5efaW7TalCY/0bvtA ytOzMDyEplISbhGsNTwphWL7xUNtaNzShza9D3Ky/MGZ3swX0QZw6MV0LFpS3A7WqClK2Sdt4aY8 Ay3IHygSHDtFswww348zhcvwriEjb8G+p+cmGy6EnAGO8PTm73vcUW0AqJmir57+Buolu0yo9l6Z 4Q+DcE7pXfiVflXmhzg/uMUGqfe96x9zrAPP9NnsZsnF8mvNZF4wcwvbeFqGjF+tjn0sQ/XjCQhA Gr+r/xVhZhkZlRoEFwwNP5eGKCwTN6HrDyHqlDOmYnePia+/4jAR/UEBGFHDfvW3f0rSgGf+T/NU 6jGCxqThFNJQqbOwrBqaBruWVLnYrHIIRSX3clTn8Tmbfu9DTM+54/VXA8vi9O8Tcit7wR/VEOsF WVIxgVsIfB+n9fcqfnOO7nlHHIR+DKcqfV6ueLChTqq+xIk1Lf7WedAkM9Az3GDFpyHlL4cEhgiB oOvB04moBMxHLIgKh59e1mHIzObQqc4ekYOBIOzps3TwXna3BFUIWZlyC0bra0fI/cbnZ05JmHLu flCC/c893tOkwoFfN64GHsa+Co4HgTJmQTsSW43h5TKfJnBaxzEvo0dwSrbSZKf4z/iYjMUvycvE ncibK5NbjHJHCyw38h7/Ba/GUz/IQOKFyb5l7EbGu022pHREu1zdeCC2xkw17UWZXqMwgX5fpq09 5jNZ7h+R+U7bFYOW0TzyzKs8Ca3gQx05MY2atxMPGotZsAD4gXSNmnrW33ykN+tC7VEdpFFP516B aYlHt4jrgqCLSTSQOoTqwTuXFYcN2TiLBsgeFAarDwGzout4gW+vgc8vEswy+N3aNLVj9rM2tQLl tjSXNMBTDnR0RygM2AIPywnEschGG3+yW3Mh+WLecuLuUtG8SRiqPVdbjSAfYkRqGzZCkTTx91ZM qmG3lKMjb1U758frVWT/hW7w4YjMYYtbGfGuRowbdEbt2kY6NVO94XVO5H1MdVf/FprCUMjPPqO/ jLB8eKWV1tIlOql7GHG4YSMrWfDHvSPIpxa9NvHmSVvqaGmDJPIJQRMfXMfkeqIdYLMhGCdyWj4L 8OiWr9pG8uwfDCyyiYkdKh+w4arLx9kn7jXq53gxah+hRe6xhPuXIOacH/4B6SUQQgrlAHrpfXfQ j6joZFtwNSmOpXswn0cQinMLm89g2R/xTvCkvDoCFq9gMqXT5Kxu2NTJeujGBL/DRijPTBdNOz62 ruTtzDdECC5iV7NKeUH1KE8s3kgy323p43nivhDtTCTaN+uiP9qXneAORVKnh4oXEqNx8xkfita+ aUGn6wl0TWRwbMCFfBwZxF+tdymEkLuaPxwN+5SZGY38Zo2e68tvpzh0R1n8O8gi8qIrwlhg0HQS 2dHk+e8x2uMIKe/KAqzPlHdRS2X3ykmY4pGe19Wg45gj168kaei7H9MvXLKU1HADbVHj24972dPg weVGgTAUivvSnh2bEYJj5ewa+QwBijR+ZoNdRl7SQ5hy6LlFJz0x3BmXUXgmxp1cFkU4YvB5gnZZ l/Rgij+NmCrcNmd7jqrxk3Y9mt65NwKC8NZvzCsZq+Gllg1Y9ptMx0bLZzPPpVeJM/lQCx99Nc1B aIObVODnepLwmDBezK1ZoO0nVUKxSBZqCe+IfCMfegywu5b2YJWHUcq21r6Pl7wCPHWClJTHmPZE qisb1mB98VBPoJQuQRyYomY//YaIACFeWtZxp6FpE2REJ++fkvo/TbqJ0D8Lhhd7DlZA6VkAmwlI 8HSybrbgphGitJ1w5Va9/WC6dNvKT1ca/VbFBr5JUAuCA20gW9SCuxUZ9DZJt+LqfmCEmKPsq+Zs ZUUAzfL8DvD/ZIisN0eFYL+J533nmU2E2tjB9kJMQuVWED6ujDPt1lNl3i6zOrN5Sio0g6J4/pFV NoMrx7YcBPT2/7SHLANMXxLptc/lld7zha/2DJoxTwWxhmhWNLRBDLk1jEABq3xEoBM4Ix+pjtdC jqL6CwCRQpWMaM+MCJeBax1WzTO7Dy4DGPVeFowkWvnLuTtj8RKKokVyiUf2vaWH4Hx58GIHSk4R ZKS4DwDqxg3HuzrPKg1GWIaoBQIz9IPQp+/yVsAk2aQeNYyjFzhibHivsGRGQgjy2gU2KHVm3dJx lqPxbg8dLX3jcVAYdoYTv9OD7WhmDDKd6sf2oM4+7DWkOeP+Md3V6WRxA2oxBQ18jej1y/5iWyQP sOrWI5jFeGvE0n4pN0wJJ/7d1hLjb7IGD/2tq5w1qvGqwP0TNAhkjEdZmSyIfDEnsOxqlYvIadTD nDmADglVznJ7BZVoXtLui5C6833jgCZNq7LlixMm5zYBopm/BkNsazObgwaKh/CveWbN/hJy7k0X 25KBUICaEg8bJ/Z5o3M7Nu/mUWTPq6Z9qWNVRcO0ee4/5gQDHZCg4AgBIV2XsaOl5uFCaQeYtnhV ye2sk2OOcnMDvBUL67Yu3fEOi4wcL7SdpjBExEdEfnI4qIm44y/NQjQNJAgZT5dnoI97Z+Dg72/p 9MrSQmon4C6aCenM7fxP33LIkOjBS/3ywVEkWC1NOXzRM2ppCmGXegtsVa4BHrNNZjjyg67G96Z1 3oSHZbpOWZGbOiVdRd2Lgsjk7tpkLWBPcKohFPRI2n18Fbj2B7JW/SUJF3roZzsPD3m5YPG4QCeg xzcTA95ygJKzch4IgSfWbcSi+NTIvKfK0n71bkClIaZVsW3XdgNVy9v1jSv/9MjEYCTJDG9RFw6s nRC/u89PrWO7wavH3My/freUvo9fLjzr85rreFfKPBTH/zPVIwPpHyHNajQ52YHrnZaOcz7pXcF4 AkG6L8BGAvSgVWxMTPI7eLM+UiKMmPCm1lg5dbPnNSvcUlchNe66zbAOPVAoCbhJZLmabLqI5VkC DgoJZSpZgGiLtynk6dpNBom20uNnCLVAjrroTTA5mNUP8IBDLZI4yxxdj2rvYR06A1gEcmY3fxGz dEiGvm3ze5RjbfrxDSwHP02MP1Yg9lB5C+y7bjLWyAQPoytimgz4g0tZRJiK5KvuIHT6nYOo3Ytt XTlRrYHunojZ0zkcGh/3DvGuJz8fa8xIJfTDCTfiF94g9ZNozJREFE+9CGBTLF9KuaLjOY30F3tk s4Nlupoq6Ote1xDvvR+s927ioupEG4aVZJhzK0TbWQirp+RJXBI4j4tpnAJvvowZOtCkEyVRo5d4 DX/UcexWSpzIy1oxlDOmvzPOdmam+JWBUqNER5nL92te/JeuiauBIyiuL17h/dF5JXbBkp0aKfAo MTkOtAfIOVbKvyQYrJqwqCPeBcFtRMvuhniZDFme5CLCWpE1UrTdhqMx89iZGlc5vQxA1QKft53K 05cwpzvD+6OWPR07hInCawVSQ+l7YL96bwznuzgQeOgENJqNFjii3B6cSna/ad07uL40rPZZBPZA LNlOhfIIozDgfjS13uMWlLAu3m39MpYgjAFRu1WT0VvPJ2KrUG6LIORJGazG3ZfaCbJQiGg9+AUL yFu4l3PO2krWjux7tO4iBJH3aU7RYFBYfbvfbsmblY7YInysWUERf/uJBJhYnoOwNoMtxpDNbTQ7 Z48d8O0rKGvTpF6Xw9IqocPAbExxDSyq+5081oP4NAWnPhwbj1VNO0LxulYFVepkjTUahBVEVEyS QjpCTC6KzIshs65W1gHrx0+vHcX6kHduwZF52AYfEgVlAS3PjbGfuI70T6kTs2x7GWT+Yi43/TBp YejuOIVP4XxXs4UnupwpD0PLDmkbsOipRmMpMS+Kr5J7vPs+dt5ChkthKVHuLuSFnS84+6m+nTWW VxJhFPOatdNsQFD/JN5RGyS+hyIhXabu+aVyPz3wC2WNvWFQ28Pb2pWOtyO/STV8gZSOX7NLOilQ HcKYAlTBXhjg9oMal7bgwGYX29TdwLtWsAVXtzgEez3bxXTAUl6gVAMqraVO8XyOIbGCs5l4wQGn VSd3Gx3GPKMSJhKKGr8DSXAmHczO3RH7xoChwrCoa+fRn4Pb29H2ATkSpM5tFYNH3WcT5u+Pox2z yjoO7PsJsMUgKvs8XGnaCTsIt6TCX9o01N60gTqRwYOVEMUJRnodRsfrjVKd//8emUzxzDF8kAmf BkKrm4TX1dFET5WphJTcpxMKdMiM7luxRA2B344yKMdzfJ6JJd7PZQTsmhor3mtFNG+0nGvlWful Y2yvjM1IyDVvTjoI/coE95iF3wav2cmP3EYPj93V7DipfSgVWW4XuOJg4/m1fBZo6WYp2QvrRsZF LzoWuK8RphCwf98vLzutOIjUQnp7/xZt/XRs2EB1qcdKde//lzDlO0ygV5XXS+M7vDojZudB5nmW OEt/N+YvVhYot277EuYmS626EShJPb35MJe6iGq2QqwRSX4ENRY/6Lq8G5aG8JwdgG+zxxW8WIEg j+RhYh0O1BY1mdPqseJceSpFQPJgNsdkE6Q6RNNv9tdfcSOXzIkM/Urafg3UZ66yAxjDE0VXJGyq aEA1M4Xg6jKB/nyxLj8z9ucL7cHOUTgNPHhlfGP90US4pM7YB7iCOEvaMXGS6wGVJ2p+8wd0rCks 7psCzopb79QLVf2CArIHrxyOwp5TS8Ill3k28ePutqUc1xtwb9X0g6el79fQTrjz8Fes0WIMmbSp dlGabsG5djD/AjFwh1WldIgzjnm0FtlQpD9DoYbvktL/XR17Dpk130mcx/nDmBhIDvF12igR89mP 1IOu9qu2aQA5ihS2zmzeSALH+kIc7zYgZNyGMLJL+yLWxQrLsAdecw+3GZDAnCK7hQym2VIBXWrs Wg6qmgGE3TFGC4OeUHJ6+nfdD4MRP/i2S9bvezX+/fDXPbwCm7UricHax5xD2QnEBhfq35+TRmAl hrnA+h5pqOQAfGFx+SVsh33XMjyyQx1CE92b/bQb3pLyUs1b0zG8tuVryeFCQykYDoK8cvZ6YHWo ozD9tO7N7XLN7HcfFkTc/b3Tr5+n/v6KaztocgLhxdSgktt5AZ5UlRSpEbepL1tnyUiNTxPLQHrD uzY89H3FXHn1A8mPZc0LHFlqI7s7s/IDvAwVmfriBlJTJnZjsN2x7biMDJPgLswUgl1FROCEVftM QP1p5OWhyXQ9Jc9uDYnl9MMcvB2cbHo9KIjCbp6b6SuS5dWetexbVwcmQzePbbhunb5d7y7UkjJH /T75B7pg3qHAsLAhGDiKvS/wW3DzuK0Y+cc/rW+q2FuouMGyezHpPbAT9ABozEixun7w0KMTf7n1 hIe9fDWaT5kiUOOECsdulWDVq9OGDbGC10vzNWkJG4LG/hY4mbbvOAc4s/GsEjgS5WusKklzWNlq 7MGLDKPvy8FDc5WUNE3BgPwJStfYfDR2nLin4imyhGkHI5ACDzzNAJf4M3cRhRQTODAn4TQC7JRM g8IpNUZXPduYOnJ/eloAIc5NvgA/jWLc/RdyaTho68hECzHioNH4KxIRUg2aw98HHGuQWz+jPhm1 Sz1oayGLUG0vkHkk2GLbMdaKKxix/yGo9VEyK29xcQEm59/A7EIwh4PGL2wWBA6ZVq1LRqnvbndO 4DSs+2W8Ui2Y9gM/ks6H8y7AT7He/ebuneXOIibi1LwiQjZz4WNfBfY/gXSY2ilypulSdNoI29hx nQ4kh1FTC63jLAId7mZIiUw8I3qsyIJHfoj5mA+PZUg/lMGLbXGfWS2mh6ZLnyHgSXcSXRlN3P45 cUyK/CM1Lf6Gu7eYAMKKJVTZGsOOnbaWZfWWKjsTJg5IH6EAOTzBmyt3R4vnilHjZzS2pQdf8DGQ TfXrAuLBP6JePifDEiRmleLJAUs9muDQ3p1tAn4NTjq1RYkGkJ46c7BIehjWNUZNSZ09hFtdFJcm lC9khTqu+UBVPWhzFdoTqiodX/Tth+oW+UuEwyd8rV9R/nwx56UruJlKRcjR1ctE1iSCakRJBf7o h3cDCBbSFAQzJaTOI6NB/cN3DsgHHqhydY5QqyFd69dU2cyn8ldRAGFK54CKaq4DTAbJ07c7T0Sh anQiYuf2kAHBl6VqZYC1gH5LebrdqpESBZwNCbROGM7f0bBW8UoJH2NrjS8w1Slb0wLYjvNevaMK rS8TLiaqgb7iCg+q7GLwLuEdAVn7s++oNmTeAMvB4YKVX+LdshLXf4Wf+pluMP7Ad7kAKFHz6JVd DoiDhaOt5tpLg3oDEFMLxuKWZeGD4MzZ9yKXc/Tio2iHlgZxZn0I6oqMsybttUtfTbR2PITwC5HR Z+hM7juT2F67NR7HGZxWJx1HTqzL+DkqWwvOCJkeXJGRtToDnq3QHifP+UUbM+3e5dL0UR6GHQOq 3g3mpPKCFrAQSE4Mc9UUwD6X2DIJpSrmqmtH9Ir35gQR2RKajKfUOmE6mgtemkwKox70XOCZVeWI fg3H1Np5pPH0hrGuNV0LEYU2WDfRSevCcsYx6saHR/yAVNZdufwa0CKLyKkql4kB9UoeiKnW+e6g gNV08mfvDIGrcVzJ58lGagToD2LkHE3Tcdy3/1WqXmxiOMrjthuJG5Mifow1P371LkqPvSQqoCfM UmFkcmNwJYamY6dp/cXmQnlfVW+FZdUFyKpyYxyMU9uvUsbga2lrLvic+Xz3/+R/K+azuZM4aCWc WxYOva5evchuvwZQqF5rqTlT7cKE2gMH4TvUkU7mj0O97jnsCGvfYOqVmoTHwut6xkohkokURZW9 AwVGP6hLRT4fNm/JKiGy1lW6/JdZQoqfbJKujVBMoCD4HSaRPkU2tyStXMliTgTl+ztuy3HpVGMW 8ndprFiungIzpBDwD7+f6e8kDdpUvlCUAp7uUT+oa+/o8KNo6QviTw/kLPjiM4pf4VHnhgoKxY+h YVOthxsAZi+g2ZerOkhNDvh6xem5niLyXSA1LtcG1poyNsBEhLW4uhJ+DglmOMukVluYKZh0iq75 YUc7m4kcI+zHBQs3MZkjuSLb2d+o34BjAQT9XOGUTWq/ZfY5HT4MWV6vGcBDFNlMzXbxQI43nbLu 1PmYRDqTEQtsuClmzhVLlI8T/D4tzYkB0PYgwrH5jSHXDYAjPsGH1TPTaDnCsR5gSy81ZEnkM6qn 69SCe0bNRl+sgVwQoJ/rslB4FRNlsmPHZYsJBs4bLqrJL1dZoCMXzjnAwFEKOGSz6pd28bEJRHYl itNqU3+fhbxtncmea9Rlt4pGG8nFJwdnN2vV4zfMmw0pw3BeqoPMI2yWbwzCORGtTKaJYoSy0Cb9 jxyCT5zZ02PzI6p4j0xg6YsSIdMLiiS4d2HS4q4gCkvXMiCgHR1tjBTXNNPS9BVM9X0PUBsBHJSE hm2iiR06ju8lhdazuVy3kaG/Aaom106BD0gW6tXxa0pycX9cRAMiesGqwg/6O0fqGeXuZvJ3x5vb g1wcMChfJjWVe44/+1Wvo8pI8vW+9WmkSPSmu/S/GRuPqqYET0FVwTahRJin87TlQ+EZXQ19+HEo HmbzuRlX2rzLg3pN5w50pWVhKWK4vTU4nwRt939wksVxGs3dFk7EivOOsTqtixXTe9G39wegTlmv aLNOhCmXCIPfQazsQGBF2SahCz59lRAgIyPUZ9G1youl8qp64WkRCFsp204/40nrjGxnd0tyQ/DP xYt/swguoCwI+QbnyyA6ZU0JgDHcM4xtLipoVjuAPD72SMKbedumNeWHjbi+76jEeU8c9O/OhYdY YT7Jx197D7w59egpDf5YJi7D1J/m8EPHh/ftsou7lWBoFCx0m6hW2jDfFpYzEvJ/GfbpoO7MkJsC JKJtiX05K4C9hqmFXDW5ltDfVkLQh6+y/u7IafyMyY3TZ97vmnkq/Jg4SnPEW+WpxgAlejZgYH4Z 1ST/OKhSBhaPfqvecN7JiiTrH6c+fATYpqLbjowwhk44HiBa3VK3hxI4Bo42NCH6YOroPQlzAGaK F4EBTTakRk7hA23keh4fjgnd6DsbbfaggbxxbXD26Ae1mrGshI7uwIlEnEyd74euP/IVqWsPt4LE B8Na33j3754W7ZLwdSZKxo7PTOb8xFvstkLLI2UaBZ9JPT671OnklhmsVQnMmsJ8cH8Jw4ypAj0i cyvG7kZNCQVrNSAltKrq9Dc6gpzw5tLo93+oFzhBOcghDbf+cAeTeiDHZQoKk4KpSXZCQGi9kjfG bm2JfJ7NSsoQHIyBf0zG9tp5Hdb6Nd9rpBzqofCvm4sSprg5d/udoWoBbZ+Eoc0loQRA/UQarFhR BmbZpx81QKlrup1zuJXXZffiLpo87vliAvg1RfLX88mrayUrm8sUPQcFEw2VSDz/K6aivfnySpi9 o5DftFZLv12KT0g/c3N9kBG4R3vIn1h51uXU9jxXkS2DFnwtdsSvd6cJrtRbrHppFQUj0R77UIzn CVTy6elfFZWOdgsqdbQ8Z9dai0SMXZo2hCqyEtrhd/OSVre6qpQMpqdVGqi6gXpRjICU4r4VfeCI nmCBUz1VGbicusoxy5/p+3dtv3iDYAHQGzdWco5qhbhd7KhyufmpIlpoBZvZYj1U5+iPwpqhEoDa NQaioWJyvKXVLiYo/2/TJsd8pdOkQqoIx5iqYZRfGnxUVXrGFxUvL9RUj94rpUsUOCBgQ7/Y9nuk YndCLInhxmJm+1ERory2/qPnGlBbYKTR4OqXMCpZffXO+90xxlOz5fb5UxZC87nczFHhueFrU8mM i+1dxbiwmKcGYj/2VhbVr+oQ8UZFHNBdiuZFL6va0e4Awl1b2za9FOvKHPS4wPL+PnDoe23Oq5Wf MbLJaMCS10iGXYmDd9D2oKDdf0moZCx6KQyGfI/Dc02MObSZlveZ9qXn6Fz4DzN1VPuXqrQBTgLJ A2xoav7FycpkQ0hlosHzJaEwDrYTFqyL/6HwIeUHBfZVHb4PYTNeMRDFuYdijPqwkPf+iDmUXCxa mc6mTKtPTTXS1oJ1VJT7NoIO/jCI8q+mPJq6eZAG3n5SeIhzlShSJb898i2Niki/VhxYNonQOC2K sq7nDag6LH5CxXQD57UpNvtKu6s3sq8X1IbQ9aAMCvlngMYGbyhKlbItFUDGwlyK0IIZEOFTSCoQ Czliz07FlWOfI+wToY6lVpknq5PuvEZRx2H7W6LW+yMYCCnMNG41t26ZdhjbqMO1VRZxo4zWV/fG 8bodZ7Odpu40g6Mdx/Y0AC97FbZDg0iJVO85EmMp88ptiBsy2aMsjFMksuw6Ug2Crx42u/qehSPs 5yjX2gtDuQBnnt0HtisAZBbAeh3nqAUHpskszkTAEjhdYrZYEp/DqVa/nscl2mu0VYMmV/35PyHj gwmuZabCgEF2T/2KNgeowyvtjuHgHS5BBi951MVS3vzp3Y9Fs6+rJRsEGMX2D/yJ3y7Z0JJhpshN gUXrYXL4vsVpk+lNnH6r0bO6VHaHnM6evqmzahf0h3ZSxB/rUygCHRIBdAg+7Zwl0awbV84rUyqX HcGvE/w+PTnSRz5kFOp9aiddTY0zvtQRI16ciZ8AQB0rJQzfWEPO+V7POHLth96dVZqxTnHk+iAF 7Nt0/nHDv48rpdyz8KR4cV3D6yhn5UHBas3Y/u922i3hpuVFm7v1CPabGhYE2AlENL0ZC63SJNx7 hh/2O4XB3ztLbKY5rVbf1OENsNH85kodVnkfvinwley8CWhuTIA2OPGB42kP69KtvAO2mjysfM/A Ah1zPjRnVHTiOHURqZSxJhwzg+4palwP7Q2gM0Y0JIVjXfAU7B5CcUHOV/54p9Nc7vx/uKvyR/ki 2X8pJAJhdePVFQDHKfFjo8mKqBsde28g3PWNZDx5gCAoMg+CS2Sfa9kEjHXHCRYFJmKSrnuwN2xn fuHTQ2L03+S/DIk+iKn7ShLgu56ZYbI9OpxzLNG73oz3BL3lKVt9t97w7ru21ElyJIFZ/s4GsOMb 1AhASepbqeaET11s7ooe9UYLfx18jKzDkQ82GpFqCPxpkJyesVbevfJHcNXWfq/gKIqOqbUDQqjK i2A8wfznSSLeUF/2G2Aln/0Ww63XpB7KN9yg41Yg0CpNP3xPgMohRPk/oEWt2V2s7F0R+9nkqg48 Pl6geXxBaisUYK//xjA2bviKUef1uP4LuSi94CHkNOr0Ato961Ck9rphooNbgAQHMsytP5PqQ9Px 72cWH2S8mU9Ea34Nfh/TUdud/PZMFpXQ4IF9TogDAV/mjuJF9tp+GSlDRXjwmeMgY9s86S7Hpzs1 IiAHWcK/BjxAXJPedyDZ5mw9RM2i5Sbb+BZD7PcL7xI68lQEGnZzMbvk6piyTOD9cyPRpgCxAvQA 1aUE4auFL+AZLCVGcVRmZVmw6AWZCxptTpZYOQVPGdPBq2bi84CvO1YLkN21kK502izW2BqJj7Zd GWUHkzrN9VU8ufJIHF4pNg64b+WQlGMbPQZL6+Ns1Zm5Gne5xN8N8V7GUKDhGSw3x9FShCzRoQiJ NNd6TbqWJzqCVuuQRDK46vcxXCY6OX/flb+W0QyeVan5JvN724Tg0yiOz/A66uTlKlUCumHQ5PMu CvklpRLdb4bSDjLlR5+KBc7SXuJptBNUxTeX+Wx0WhY7m9BYf51bcmJpWgiiAUIwHpSXNl1oebNe lge2/Fs/0Ggd/m4n0GyMIqWi21OIuQnYy/DRBMTr42Ds4o1AOe6t/HqPyVzo7tK9FoVAhCtjBOpu Tx1+ctMQn1JsePZiT0IDG5/SlkD86wa27u4b6CKksYKNZpmVAgWM2PbLa7iTLrLAuq4U6Z/iF8ON EFi5nms9X8S0h5uxEOVlM9Vb7So5e3SHxnouCX89fp+9ZGyARZHjbNgYJ0IBWXqY8BlPl6vw+Q4a daI49y/qC+QTEfPc8BWEFyB0TOIS+F6DvnTJsSu7czLvwEmyzIy0OEHANkz5H1/a+gvXrym5kHBU dldoZxIKrmNkI8EMJDoBmdqJFaSSMcJ258jFedm6QdUWThy3yNqA5/sZwtjtkCKCppQ/00sKLtDw uOrbSVFcxdmqj+3JzxTv+t5RntxJ6QZlYg10U+sJZPPRGh/5l8+lkOQrofnQ84w/5M+USzvCm7aY rSQfkguO4btfWxejquRqWqqHPYJ/6XkGtpc3SV+q48nNbe4P902qWQfP+yOZBr8WQQR1UMFnafNT evIUJ57EO59o2ne/IR3DAzLrm0gUHEbeeCwNBrJ7sWbx3YF8QpEc+DJeqJMcFnfxTcGrKmnGyZsE fglq0cpwPGEGo8FZWCqjB8pI+kPbRpkRwGXoQrzcuOyiQnD6N+rzccYeD1H/DJ9WmeaAZDYyoQ4S R9KnauaewLPfIyfkSGPKHgxrLYWistgF8pT+QkZqnPx/umtywMsFlFjyQu5WXTiKQcF11ZPQJyM/ n+727crttx2XKUiuJYMzYaCWbLsmbDDnHVqSnBQl8w50YoNkl6Vj8O3+OsyoYJhCdXh0pery/D43 MaxIw9izIDJAMmtX2nLLhCzN7ngLDz2C2/UkZ0Udv4OnM0ArVx+JyZlAgLvXFR5s9JR03nxC75XI RQNL4C8LI2TxrR5yE0RM8Rz0R90b7+LBkU9f6xxO7/8GZTZGYZ/f+igkyZOC/6CMJg1WSKeOIToR a4zt5pjI96vSV3sbEODUh+Ea/XtDvDuzWPRUOoCgCLXK9f8RyJhR0i57K6sI9XoTxZHjvFAsOeJ8 dwu+/i7zNaTdGyATccZTvAjQLzFaSsUFyUaE8a8uHZ4M `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T547rc5zDOerCe1OavV9oe127A64Qyjl9cRjKnbG0Fw1JTeAGcnU5J6hzlKQqmpecO8++i4VsnfS gVbA/wQbbw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JU5iuc/kQwse9wugEIXtUYpy46gpWfwrW6Xc/SIzL+T4zp/mm3kFQzWAA8NgXVOIuH74dz38rRxH rk0+sLcL3R2mN14y0TgKRJVcKLglkvO3ThkTEnkNb1+lJlvBv8dsQNa0SoPxswbR/Mc6tfTVgiCd xmvW8RxkilgDEPPOaOA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UIGc/ouGHCFtVN6eZwi48jJ2YkKhZTWOAP3Df618jKPRyZo2MPV/+QSFUIMXIR0iQFoLIK/XtSOj UN69rhY9879vhc8I9YSJlB7T+HQR/YpZf0fNBHVzQsuGEIWhkZjd63WVcZ+lNYFNrICoryYazb52 SsGFHuHQs2SoDWOcDfx1trW2YuOIySx2GfKv/UwKLExkxQqaqdXKhgM9N/2/EZKpIw0DhXa/EQox i5e/kU7CJjguPTyRG/+JSqfmsGGLhUiHBfCNDVX3fkdEEgl+ZWeLps6M8Y56f+EJVPSmk4ZrkbTs yhSMiA2m9C4/EDr1CXt9wIph7ay21ULCy3Qw8w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CERDAQKkX/PSjjx/erdQvrtLdQF1eIUaq0lCSbAV+ptOcv61bykhlz0NfCudbjFkmgBtk5XHyGai hWxAMNLePyN73NyZSlfnYwY6S4q6d0uuZAf82NdpLJOSH4+IX67nwCnv7CbINNpeN6O+yNtKJBaQ nsTaa5FlupaEiYpmisU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MekCaZ0UiQ+IrfzAZLwnEO2MPam01qIdbcdKmh7CBvtG9P8qT4KPEKiSZUNtXTnvP+q8o5f90fOi eyYiZn1ha/vbUMHQdi8xbnnAdGsahW5iRKceBlK8r+1pnwkZsllKoBOd0ixcXCOzwltVM2KC73DO jC4iIiCbUECE1IW0xa6CTyS9YHNE/LavsSDdKZ/vvROB5iH2CjsqRIwQgSMNmduNX+ldUmtvb8Q5 CJIbhWOzMLa/lIrz4p2B3h0h5MytfqGyya/q/PxUU/WuJbM155ACQlzvqkzkf7JjEK6/1GFE1Sq7 X0X4DGjfDznb515Pv9rLpDjky2mbrGonETlQeg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584) `protect data_block c5SdzVPqMoAcucjj7/J7Ketlx6GKob80Ekt3p6JX0Y+QZBCZhzhEP67xKQxCdt96R849vIrJ9I4j Zvf3IcdEUpNsV+r28FOWg2dxUBo85BO+xdC/3QEsLuPTC8c4mv1LJPttr/6MJXgDVLNMai+1jr7l /VKf3/46hXu6kScBHen2KphEEYY36ORjreT4kvSYGKj4SsIfqQvw8TIzyEZ3MhLsOa+4UtiJ6U7I dmLG3/q9IC2orwIB54jqF01496J3iLOgCZjebHBLyLyIeCrhgNxslWSY93z8hM6Ki5RpIsSiGjNR H6BSe7X9HuF3JTbp2O9NLtm6KPeNEuoqn9s/mYU6JsjF9dRtGV9MRUvgjyYSwnFg7g6egfXPpfKz mwtDs+BYIZgiZ1MmSK+O7NaxvqLXbNPK218zX6Fimkw15QxhCc8Y+/yePz2x7lYvEwQjCdfekZ38 O6lzhzM01IQnO/HnPA9Ug1bZrL0/qNFQWtcoL8WaNj0aZ6J+U+ixBhRX34TwnHmZbWKQgK2VpRyE /Jn+sbBw/jznll8LQRcFAgYYqYfZfmn++Vz9kUMRTTcoirTzMTu4RZ8UPf3at6P+lv3poB7vdZ+w lTfFQeXl5vyaZlkNdWHEZyDUgiB/03rhtPEVY7jEF8PLb4ndxkywlPWe0DS74v4t+CJDF0eINfqI TcSYevtyMIkDTKB6vwPfgUYQEv1DOJRqKftiBSb0xlz/7WFFN7G0cIR6NOGwuNyjCwlLD83io4O0 HHFQ2JZDux2XYuZADliBCnzVS83+AQJLqW9XAYXbpMB6oajiIan8bG2lddWvJkPOR5FxhxqHmA6d QEupVSzfC68n1v619kqWJyHW9R7vwAHct7XrHPOzJ20PSXtxqjtLI3zTi/69hx/k9CxTSj//qym0 JHGZUi1u0gaIFYp+yKAFWPJCOkoJCg/CVUY4B341DHvnMpIklxpFlYO39tBgGEEfFbsdQruQOK0Y FCW36T1oHOqHqieYHSiIg9TVy1J3U4GLDTt9MDanG8AbDaow4FJbvXAAVT46SU/5h7XGvL63m4Gp T/Vj8Sc2Sh/do5gMLFxI50k53huiVGuGi1y5/g2Wqav2dT4wpamHYr+8nJUlOL24wdezueDWpNT+ L83fMuR5NXfiq0ItG0oCH8ERBFH6dMWs7FJjEMS+HO56OzF57n/+A5oV/+5a4f7yNjynnVjAyaFJ giCBsd7Oh22U0VE09po4Z/tBjhtQjWa5ks2vdBZYF/cGyO2vS92c3k69brej1x2aVRqy7jX+hBOr R2bHL+S7sQzvxi5QCQAcLJByaKqNP+ot07aeS3s6a3HvOJREA7ejzxcVoarsslHkVrGDwZeRtURX vyxBDjlcsmBkELYRwcYsh1caKVo1XCm6IkfMnt174IlSSsNHfQlVeqYlcvOjKhluiZDM4XOaezOF S+ORzhdWzVYyCkIL3xd4t/EIgVu8KtF58r9FTqTUSIJK80FoB58HcL5GYl6GG+oCXEIrCygFbUia Jhs6oMdfSufsInKFhuwJ4N2zo9ZLkRIVYFfYdQGRNtmPOFB1LT1vRtTajZh/RaaoX/plhWZrtean UGLn2pBzRWAYI7A/IBjLkMRmfwdxY2Benisv7XHIL2xx5OQKq6vgi+JEcEQtwLtRtMZLIu+SBFnq aGCOzf+4VgcaoRHuwUN3KbdjSPy7NmXNcDtKggIZdS5xTrzSfrWidvQ8IjnkOHftHqiEV0DV6oc4 cPtDm9guOi/KpSzEXTnkERPMZdXRrgBZPrkK++08BTIsYihQW54hhHwjfF91OKn6yYPRPtSnRgi0 C2t12uBTDsurG8fvXYVWvSB7/m/Jp7otE4wKI21FCLIEIBNsTSnYeGaJG+OZPX6pGa0HD/zdohU2 eV4HCrveFXTPP+uWTyOpqCvmUQ5Sbth+RIHrddRpS8vrWG+s1gk0ppO/t3FMGbz/nM9x6V4xQu12 KAAMmmKT5MZYUwaDgyxjRQUebdlo5dYygHK1kYk0UZi21RYcDOZxdsN2NUkfiOGrtralmCuwFdys BWbEw2fFWXBLRYB4Ip2VMP3dBRm/a/zUZHMKiVOA8hk26YDGXXuJppYDb70tvAfAT3AdaP6nc1Ws HreIebePnIrOdAr84neX/I+l439NRrqrU54VPltVdwFpWQFD3UjS1TMHskI8E16faybVtOruUhJ9 TzdFjCHVNtZ5c9ssFv7bfB/gEuV17xbe1OJk9MEFkl8rDSWN2LlklQ6ITSbsB2t9mQqGhKgrixw4 kfygj3eq0JcuRr7Sg2TKjWkxU06G+utz8OLb0Wkn8+tITwOIgc579tRwYFQqmdxm9/N6gRtLvZ1a KNJhpPit5OyHYCkfwHHvNeNosW9sF19om/hutMtSO0oJFyLeKPlxeCS5S7uL76nhlsixza9R7VZO v6qLCtfgut5JNiQUnIpLLNqldn8vKZONhQm9TUNAhKjVKAvsfvmmUR3mY0J/ccVPaKhmlMwpLAI1 6o2oSFj/MKKhCJ+BGDmonvIGc1tDMNVHi/lBMJrGfNlB27V6rnkS3m2IdJmcwjVZjenVMLzy/pFz tspiIOR9uLpBd0B3C0CmH7GrPgTBpHFZkkzob82j01mc7+Q67bED1mwYgQSzPEPCZ/mEKeT/zYZH C3je/nNQ4WXqPEpkBCgR0of/J5MOb8cTY3jH03i9I8uzy2oLdacpmGtfXqAyn71W4cTTwSZuf/DX mrhyvrfYajc9tEaK42U7ZpgAVQhLLAXAOXTHVtMdALB7xsK1GJmdCDkAk9MNpfzssk93UkshlzOA XE2+basHlrSFINyGhvB3e369t5HEaUgDHrS+A5h9J7k5hnySsq8Q5KtKoffs67H/sKb5NayUdgD+ 5pafLfXAdQeJpHz7LATS9LmZE3CwpTEoCLTCsiI/cp4E/RWGP5QnOa0q9lbV7V+c9+IA7DOLt55j eqLdr2O72r9dEI+c01ea38nf5TNNIlOD5c5QOo5UY9Aq8BaGdtELxEoxpbWS2Tq2Rcon/aNO3oxO w497lulkj7DAMn0Z//XGqaaJnc5BNE1nNy2b+CuhQJzyng2FlqU36iqdC+4j4aKXDkEcNO9dGpJl M6szIOJpQF8JPFZaDb9U+9+EyyJMJGw/HEzuQ412Rs4slUAMWOHLPuU6JBsQwRfT+/HYbykb4Jjo ylnkLQgqRxdQY9yh8nH1qwAf8DZ+UaSms26yeXw5bjjgPA6jiVW43rKJH0/8JlVt0c4AzFF69JAZ lGNE9R62DSaS6KAqoOuou5mbJvhPomlk0340nSsVhgAMn8TtFVHGI9DavpVK/Nv2/J4kTA1El2gK Xc7pJlxNQRCx5MsEgfebd1YBYplkfYlOGuy0hEzw9GQS0C3bSDmqEwWgv/xgnI/RTyJosX5zVtUt NXFg2WyIpDcQREbevv/WLrk0iqmGclEb5uYhfNq5uBJNq5QEwd91IjoiTGp9wJlCcwgRcpEig60K 2srXtXpMWonJgP7yK67LPemXyQF86kCYEdp/Jw+x4i6QwwtfJ+iLEiWOlnp0R9t9lBvOLaNHFwqW jieO0BJhBZYkN0H7I7rcR3J+qwQKFwPbUmm0DGZ9jJR66vP7E/dVpscLBc1ci7RAaBdHkVKtLtGY TKaLDwJ3V9H0BBtJxh/N+Xktx4DC8MehUnhzaWDcCkD3avq0gOhjZbv6YFmBxVQSavujAV6CvEPQ vzZOSBTYq1WO85DTI0/i2mZ0GPDdHH/G4O92n4T2jfn+bfy0RTA5g7EHY7berqv0PXNZrFNmM2nF v2Vl9E53hIfvLzmHwB/LZ63AzOWHZ8Ngi0lYLBs12JIsOnAABV5llHxD1coZt67EcfHE8iysAM/5 RyzGhr+BKwvEjIkt/d2XeYqy7Ylp1UBuYeTkuvFpkfnwwRhHZ2DnP5JjC/RVpkGA6fpdqBoQDSjW 6RVRf4JxrZBmVvkTtR7rkGvsAEJAyu0Se3rX2UDrnY048tuT8XtCdRQsaBw8y8ArOjqbhd4/Xxma AccFpWXVZUijTDLIQA8HYYx9DHBjjYOQ4422Wk+syBKRYqEj4yF7TMyyuZC3LVsPp+YXA/4LXSY/ FoIjjT+AGhHPP1uliLbGAiqO0pahCYXEIqX67AAlbmzpIoB4e19nXJ5ApFDs7KHQbfVZAt6NhaDE z+4/OfiAi3RCzxf98ExDwoMTMZOEbPp6WAH2tZtyJNdBuyolmp9WnLdymKaI5ytgBaYfNHMWJ253 9bqa2TOWgIwv2rakGvTCr2VYpU5qWzlLxLdtFlunrSq2vxWz4zl7FN7LNVgvYfx718xr6uJhaFbi FmxyleGsLlsZpCZ5/6PzRD6BKzUFdWShmBQQmZB43R/0OLdcdXihp8zD/B4Cn/Nuqfx+PDUBPCP2 lALuUgeEK7dF5Byn5QQNPXJZ3wkYHI8YQMzmzojwra5O8EZnLvyH9F9HTD3lPkaTDERlPmBO9BDX T8UNBHPFE6DbYM9Ulh2e1bGuoSYMNdx+ytZ8IDGWs0yNdzMdq5dJSLvLzd32LL5AZLVJW/4/JWs9 DBFNWqv/oFmciGO93+vz0Y2RS2IMs7dLlD+xnWWOuz0iZfHt4FWRZMDjz1OTPf6HfZechC8bhYKo xUuI+usGwrstk+QOYqW+FJP8bnBEoZZYx5Ru91H7Smldoi3nel+Ef5YhgCY/PAWwKgrF6b1OQInI wcQg5Te4OU1UAc9K7Y9WeOXVIKDqjZrXxb5xMswyTqRprg3nzlycflBAxTeOrJtH5wGLSaHBDSNY m82qGRlB6BHhOpY/m4CQtfWq3dOSeYfqBniiaCgoJYrPnwgqk20cz9V3xvkEx+7alsYMS7GNQf0t Q/GdAyfC/0vespCUSoBZkd1iafhQMH7d3MvscBCOueodqXBFWYU/I40F71jL5KsxnsUqOCpOjCtu PSjMkMNssIuhjrzm3SLsO3amXeyVes83iCQRf6DqPPWma+Zq50TNRdKWJCDL0v2Pfx2KLJnO8G6J BmF7Cvf0BbDHuBHWEiuyWUj1OFfMUvNc1YEJitiO1UOtqBT+9STQNsbI+kYG5mz6OT30C38F9biZ l+pI1qqsrFXcFESC0QGCmgeR1O5aBhTq9ZAoOEG9U1AnWq4Kxa4v6dCmBv7ViZLgmZ9VJxioqGDS G8lc2P9QZbtSBqgaLtfkHpMNUQLZZQvLE8W/VdiOVECYKPEOH0GMaUxpm37bbDokRgGkKnUST+if k0veKwsb4f7zt46dYLdQ5qJ+Hn8LJJDBhNFxiEK9Q4DqaB7Q+A63fbsoV8ozSNTikv1D4HSyhANx acvRdNhmJ4yzj+U3q3ebVzyctUl8qaX3cBGgSgQ9LXmIHR5HTYx4Rrk+/WWWPLi4JlCSs0DzT1sI vm04UnXqTbsK7HvuHe8LVGsp9Wfof16cWaEUTE5Uk9z3uFz6LsDBTS93VyqSfXBoGuSRKVdGejou YTJJOE3xJuCAWAzTMZwHf0zDVsqwY3Y52HceU4CHZgpD4mhbGL3RNtIg9XKXd6mo2nqe+aU+hF3W cb8cNohcKI2Fy+GpxplfRjRa36WpKOMs8j/SS7SIUMhhu1x5uxXof1O499X0gGjatUYDaevjKqvF zv+zPIBgn8m5x9MiyMb8/fuvxnYEgMe0Pw+GOTzCLEbN602Xh+8kY1pL6SeMBVzhdXYJX6Avdlzr 5L8uSmZuG+cnopGoz3+tT6W6zqQznjPjhKltfP9zonWpFcrPqjrL+TllEK/tAjFcYRR8qIIUg2h0 3QTE9ITiYOVyyDmtZLe6tdBK32umqyQmg2fUHIyKW/c7iOZ1ckvm9xtUu8kIZyQsvHmEfKR1MGy6 EwEKJ+6tzykvRVpPYOX5ZbLBfaC07ojrQkpUIhs5NN3wJJKRrUWlr7YVpsE36KFmlJLIJAH6qJOZ 6NLbdHwXZ4eFiHa4k0Uc2tZG1H/m0ffRdZ9JZKW5XCSOV9QRjBoe+iI+oKYRzlV/OhCtkNzQqlZr QyerAdXVymyCG75o9tBUXizVMCab642IptH6BRx1JJ0uw5x1PLPtSmRyhuvKR7SDfuvqlG6Rzi+Q n741SmzWBeBEHZvvZRxKe908OKM4ZmIUYbfI7TIJE2wAfq3Ej6Uuqc+FF4IPIco/mZ7i/+8eGVXq /PcZKWifWTEpx7AZwE+CTcz/y9SX36prHeqW+gRTtKQcoy456N8QhELaPfMYmaCGQ2MEakcaGfP1 TW+l0WjXBAxQ6SsM+nW9Uvs8PJ+jFoBHKjlvYS8ucHV16uIjl8AeK3Uo9ewriaINxg4yUKtFTJ/5 2mX5ex2SF6PQ9OAmaMebLluYqjpCUCjRbo2K2kVZALFT+LB5ExKXcqgw8pVa0mkZFMyMqnlgW8HP MPA4VJH00vW7PTQkKNWsYptfTV6ImGBnMCWbBsq14Yod9bh/8e+iYBg+D1PjrmSHG0VgsdDtMkbj onRLnjDkCpHH2yEVwTzWQALKAsk93qlnlFqD7ROKdAVrLz4fFNSdrDNeEaOgLCcUXLbsWCcOAm/W HsGB3DsEGe8kBEE0yeNYg0f1I5oa9f0Ou6J3Rw/E0F4pJVS0TnACMoexkLufUgEIp72jCs22A/aN LiYYHQoe6LZOEjEA3PTK5t+IS1MKg264yotQ/Ge2mjfcFgJndNooslu3ElL1+jJh8yDffGCmSvaY YFTbTAXlQQmAR1HnsIRLDvkpHC8U9TEP/NzLZJLnY2qZ9GVAuEQ1UTogTXULjouAwD+R4bgC/QUY aI+kich8rmdMCfV1E8YMo5tlAztz3phZdy/TY2bvAdAbhU5p3Onpo/qbG6p/LZVxrKYYRSTqUnRQ lALrRbz9lPY+p14/TZ4siPwhqar/V23nBsGjK7yufsiNPpPG/0D+/2HAMijNFX35IZx/EZxnCA/Q tabeIpY4zeXMD+V1AGNqCt7EKcJMqvt748FapbQrcJkuVNnJb25KMNWYgdPziTVrsyKJzTX1XwAz WkGK4mKufvWZX2A3QhiB3TyVqMNUN4gtkfSDWQ6IWsxcuRqktScBfWH2VY3VmiVahajt9Ub7+BNu FQVvii8DH1XR7XtIh8AIzb1EoL+YD7+IP/4gJ7D0isZ4cALhGRSqQfxjLTc+7yOGHCqfBR2f1iQV OIkXKCJCJ8c8+p9fgsCuWI/iMVYdlbcROx74islzxSHP5VfjNY/DBDPeL7OMtX0AvNcScC+vqnVN +2NY+j+UnSk+dF/vyy+aHdzCRXvZjrj4bMbsL9+dRW8YtpDRoF3ma3+DMG2h/phtX/ztYXp4iAnq kpU+9WyVHcyF+HjTFbgA3gL9XbrgxlCwAQAIZEL9T1cLKyp/s44LUfmDNAVIDA/YmWkHm+pAwO5/ 2X02MBK9pcLdPU9BE69d44f65COjbAcVUiEGHJB+dDAsTfa5MHMhpGENUg6bnGhBfP/9oZH2EjYH HjsgX35nbjFRNjHkAfwhS2jDi2cm+v0aSrdzFUdGmNympzPil1mx/gRXV0cyww9k5d79hRKzO31R jGv0bFNMn7Hgj92ZEhXzEdgye5bAhhLzHtU/UARcG9n3gGTF0b2IFcC6pt7fGWo0AwvR3+ChoGsz 5k/tl3iE+XEPAnQgKA0ZOX5GiA4ShVVxD9mGWdmdRN9UosHmqVu+nEmpSp1lmfYUEyROWzb1L2QL qvdt1c+BfkKj/CR25vP42AVMQltAGiA7Y9JuFGvRX7/nt/FW8qcmEbWdSPiNfduut1RxIGmE1N0D xSr45dkI6Yk6+1LmUpFSr3DgqwrKtzQJGIfGTDclcKSQenQskSBoz5L+LZU4lqHVVDUhf4OdQXLu bzeR+diBVXqU5aH0hQrYnmQS5er/ofGB7Mv1FSU8plEf9TkJbIceKbw4jAc6MTCAkGxtQOW2i1ZD Hy2n2OxwodPCRZuLTjzDz1ppufVJ9QBaMq3wt/iKE9N1dxxI6TPr+/MiNuXDJdaNR2RIq0g7AVQe /z533zWS/a7/KpWnFKArxa196M2RnOJQEPxacakBWYFKwtft1e2PPGTOu5g3ZfJlODgvHpsvsUK8 cVXkJ9mD9Tx2HFaH3xsUPct+ltpqEedVK40711T/guPXCHB7B/z3+p955Dj2ibWUwhvs2qjd54Sk TEOnIOB9maz1FcVk4+lA309mwsJHgTQFeAKZzCbRUqxw5hkr6j9ESP73oNsk0F+D/X9zZlnS+QaS JjOM4wLHcCMq9A/IIKBmVwII7gxQglwezb+nDpxuKw6OEGoVgBdZyut2xK4ieuC2Ihn5JlI0H66j g5E5RbgPYZG6M9A8LCmemKFUEwRaMTQSSPIzzoZkfvBM5U6GO0uWdRH16epOgYSn0zdQlRBFkP8q juo6dKd1+KCeEw8JCJFaVKI1LurU53ELbgQONJxjwzrrGeCAiBzx5zLkWX1b70tdR/XGglKnlm6F EK/XrIIKWvmyUxbFHqg+P5TuKC8wY2eLkIVMOhWpZbZRdYyEZ8kubrOMZBDoj6LOyPKUsgyl0OVR rDpLWwUiTpjYXSRBhGEXReImY+mzRQnIDZamXD9HDCsHPAb+udov4XEkWGAwqOel2dq3H09ZInc4 85+RpDqrblFLC6Rt0WQqZwdVoeu4y20PyGf2lSY5cHdJWxZHR/xqyr59eh+dZB/OEPy+DMNiucp+ 0KQ90LVKcwHSzSinVwWX8yJQeskOa9o+6BaGYU4vDNkwcX/6sm3i7FuwpVT3MxOlUJZ6NED6mx0I iFPSkuK6Shvbx71Iz6sr+Qp58IDjlqmZCjgl48rVwPG/xgfCp2mL8ZtNV1cG6k4K44ADC+Okuq3L TiZOhEEHrmMX6q9mGi8p3vfle9U5YDoFJK+bBIMw9COZHkRkUrS2I0wOAVMB+El6DyThvuUC69Ez /7GHgjkHdcemV1xnIzWLdF0OX4EYFn7QBl4Yq+ySG8K80PoXNuhdGDb2kHwrkVrjXq0pkypUerlZ 5eaSNeMUSeoWswo78eMLwPIcB/a3F0jX0Zc1q6znHuqhvK8EGSpAuEVTKof64E4mBCcT4eWUq0dS gvJLnHY7F4cZVQLgwfFr6o6G096pJuk8Oi1yAXJIq3y6XNLzFa/XdYTXqX3530ENtFlUSnRA4691 DzD0by/NQfUFUYnIOLvV6Szy6X21BDksSfVCMXNYnmgUAc/6K12vLzkkSe7m3Uh32YdVS/QbgPvb TktXtv/2DWN34PIv3XrPOvoDelPaDr5d1JYQL3HUYT38k6o3oe2hzTgZs5Ac5JqdCmldoJFxwW8l 0B2IqwGYQaVhNBO23Rf6P6rNJbB1DyksLbe408QgK2iORTa5VB/bbYYuS0cyNyfiUXYkv5eZAPyz XczAmk+cjwHPKTfzYETLa41vpckr4oKl1/E86wEIVg1UwaDV+zUqHj6jglR7mkD7OSl761hcCRcS pn4sBIwg+lsofrp8pWHCTmTLTyqaDsdo2t6BawMSUb32CkK8aTb7hsonM24+8aUa86KFum3Z+CzB vUCoH+2KUq3WONpz1k2WDY6pWODEFfHOrx0EmeUy7LqbEcE956BdlNQiFRJscP5UukMC7Aa3G2iy RWTCfQR6Gr2CZKVvQ7764u7sllIZVcbnZcv6xjYvC4W+4N2qPcIgtJigodmDXXMP1+2/wiJAGOxt UONOtxby+Yz0Imu4wKiJHfIKayaaCjrIJsAe4jVwRqMWcaeYnEHYzp5LFwZQY3bWll3/aQnxvifH kuv9T8vJd18K2XwrYEv94Zd00ZamEz3mj7DFSn1y38S7cNb30+VHTeASAFzYLqMW3NBj+Y+KlMcd GANZEQT48OF8KV0hJG3+EwEbcfI3JKmkJqjJkLNnWOJJPcRlTBnSKxhXaZCEX6hv/qLCjnUkM3UZ BBqGRe03RdjYMOF77NdFNQyws6q7bVaxcXBSyH3jiMIOvFfrxOt5gmvF0YnuZCAWWAygwNJYWtF/ 5faBDJXgj4N2Z1Ejh1bJAXEgs/Uem6ABv9/lMxsW8mfhlu96t+IKi69YXxL2sibIY+uZS2Xm7gr7 p9MOgpcswofFWZmAHRUoKO2hBaGBQprQxeqkKv+ykl/c6WPGPal0WP69gwmksG1opivrIiMvSdOr b8yGWqnXc1RGQaLLy4OlIsPKfvVjSK8Ovwhh+7YRcVgCVBA7/4xlaHc0L40bN0yIA31Kjv6Qw592 UuAQRtcLVW1frfrntWdmKhJa/mNn+L+anGQ2YNfKqSrv02vVpFK2GWsGu+8E0kRTCY1Vq8cztp8s fq0xTvmSedjplCip6bMD2Jhz2nXo3sY/bWPz8IhQCokmES0gIMZvmXcJ+d0iSlxxeTYCTnPuqHqU Yn2Q1IRK2FjT4lIzWeHNcfqIER+JFMKnKjsyA94m/GE5NG7scMZ56fCp21RGuyp5JEatT5TqjTOA z4tzVIT/HwayqrLz+GZqfURpn44s8fVTRQayUxtufigwMk9NPhXypPUbbpJAsoO26oERuvpjCemo JZtZMJXHJnNngD+gBls8pdEEcyB6JqvUW3US6jJrPhs5iEuOfVPs1DQlRuZ/XmbNzbJH/OvtnMKZ nsIm+WwLyDSerjxsgGa5KgQVhbWkf3n6h9vRNxWGZ8JumfUXdCGkDHVDaVXtBKfVv/hV4xdQQtx8 Uvqc7KZsI5qRYbEu+lSLeGEzf1h8c1dSUkZn3pAHoOUinZrtqXiwQA5TPkymU9QWhYnb6+fyKPg8 iAUYyYLyxtnLvB5/FnG5xYZSyFInCvWskOaC2OEaJwjkaGMb2zVoYIE1qP+2pQlm1i3SpcSK7Evb Y0ZL/WZtP4iTdmsWmZYKkADh1xKTLJN1eVKXwhzB97NcTfX67cMyT7tHEcDCPHUxAMAGS3YWnW1a 6WfAz0QZZb+MWCcursOM8mDEwjkgjYqqDPnbXIKIvPTqBPANNIEkcuX64l2FqJXMpT807S3xvZRR EvI7NglzpwKoaUMr9lLHNs4jGaBIqXT/u+ZVvNehKDB1dCN/YnFe3Y66gRoxCLf0IyV2HI1FgX3O HORedu/e9FuE8gxwCGN9c/817TV4uzxSJoKnAGLSe3L39EkCpedgRDDahQfC5l3zzDNIHKWiHJhY S9zvZuDvPwWuDY7e8HIxeW1QJVAY3HFCUWAAof2eOq+RdF/Y6XtqgVf4EaaCWzjWZn4Cv96/Jmzv AVyOPbSxBxaLW/WJZI8u//fYDy2LDWcxEa8pbpCyWUF38t0k4FMVWQu4GevUmmQssQxYnWUIajxz v55p8eMHJt52UNW+0Xic4ShB5jemAON84nAA31zPJRhR4G507ifULYzIWi+9tHTRzn000nWPMWGH F5ui9Au1+f3PGZ+4rU6IiLsH3iFA0MVOtwWt5SahCdoSopmEWIslwr0Fj4y3BcXhX3OmcwV57h7U jDIvj1Lx06rSd3GPZn/8+G7iBfMTC4t8v6Iu3qsxAS1byp/YX6AHh1BgTBxbb6YC2wAss13mtDji x7E3PvQcZQewUJWVhiycHj2Hn6fMvSlGu1UwXKvx0OmozAUESJ93NWbxxC5vPEI7xfwikWvAGhQS IvlA2m5HqUDfxzsFUGgcxob+U18qoe6tWRuK9UhXTDUmdLvoloRHIe7aWpbeyFR8/8iyAAuNBAEw vKofR7PYU2/wZBOZ8vd5YdsIoPnP8Zw4x2A5y3tRiFmWYfpMDo1bhShF6kfyVFkcrHPZDzPG2rvj 5PhqSUk+/KbkbeOuFr0Z/ewXOPQml5AaJwWZzgbdKbVkvuHvlf8YY1U6+SsZGnDI+g8mtGzdM+uA GouGssia0c7hGTBNllw0V9WTdG+5iyfQGhlQ0PtIcSjuhP+y0PSRFFg/MR2ySh6hWqoyINVMpUl6 7Q4KvS4rDtBLg1uaJFMR+Cp//OVqK0fBKehwlMj+5+6k88/o85i3Mn24o+NxNceNvSdGQ9lE65nj N7/Md22SNORu7WsCX7ONpydmbzZPfJJvXudL9z9SltMKiCrOyiwK4Z7IuqyPkUAJHvTGECQYzARv CxUdjSjydrGd3fl31p5nL9DS8HzFpUzcT6Ql0iJJJVDLdqbblZ6eCuqvqe43QT7jMyCL74cDyOyx jjsdCwCDJa6z3JryXJy6EVzUF3iZc4FML1bqHpNmWT7s2iOoCBY2hGZ4MDE+2FVB1GcWGKvpLDxt 34dLvUg81PK51QitffNWs8MkQumBeRT7JB72jkkUrbnQYsu65lYZv8PUVyuVfDmNJc/EQ3k1oqgE g53GBCg97O8Pf4Pkt8pmFNxkbz8TnigNuif//1YLIcwQgam2TF1LleX6TL0hNaeNLzNoGA0QkiF3 V7Q/x6hyxUXUIMUGZTbIwbBmYwMF9N3jhq5o0Syqi7GLn9PYrHwqiAY4zMJa/gnqvU/1gv6ijn/f JrF1EPv+U40AukAufRFFMyy+YbjoFTbHL13clB9cKF0mI0+P2r6vFcOhmd+2JygztgJn8w++kL+n wL1Fu9JByu02jSqT985kRXsIjfzc2voc7rv2595i+dtHKbsu3Y9DbXhva9pQUBCxpMJEh5cr96kI W5rNnIip6O/1FIbpODfn+mjkTXFBMpjFy42ywHrLeMFkuDrGBy+nCPA6YJN8f5HvZZqP9Gl9Qtq/ 3IRdKd2nH8phBd9SfQLAU5On8/IWry/ZuwAwCl253/7TAxsjkTJoRRJOu0w9dA8j2a8EQ619sGX6 eCL73okpUZ747jsyfL7y3ulPs+G1xhuPGi6+F4rEcX94bWYhhuKhJTvEe61KT+7vMK6V1DK25jtx nzhzogCbXHarslW8JtwwlXtMgHTc9dMlb5is965ILoRVWAWcnS00CQcp1SqJIrBbnoRay9vwoQ5C Lu3GVFggbmLJMwbr+qPTDT1dtlMcm1KOiGgo8JUOQzTnpoTkwLFxt1RSh81vNO7PDAqt4PaFDz1R Ha+ABlLXqilPaKqTfH/MC0OkEtKGHU0QdM1I3RaaKkrj5eXzVuFJinZ0Ec/Avb5H1jYrkuU+Apal vAtKkcD5p/n4FVYRUSM0AkPHCkuXHjTdyYQ+dKNAU4NfRHgDif9b5aCYlViOkPijoZ53GWMu43+s q+JxUfpwZ21TddXc45jm8cBniJGIywMa40IprLme2kD8rr3y2eglrKkaqlfaQu2M6EbW7oaJLlmD TcE+cweIFcp+nnc3FhBU5Ka7AtvBwFtD/PpMvFXuPXKECKuvrNS64WnUve9h9H1Hw6yJCF0K6aAx JAvO3XSBujvlqu71ucTfaYoMoLW9tbEeW7LTNRo+nFZGWtulapOnOi0yvRDsn81alQrJpw68btLe P/kr45eU86UyVd1dMz3+bfNj2PbT9K4NeEbW49CS+70G2pImzVlFzOSk2Y1P9MZcSmRsb5LEW5P7 JTfcGczMhBSGIbWBb8MY+UOF9F/HiV0tTr+jI5fV14NwWfEKYsmmJVMjo8vPDDP7RZgDoMbjkI0+ qeAkfnVGVGk/6ecI4igJxg6Zx0smsDwtZhQ9orQAltwYVeIix80q+elURCG9OPtRv2/0gxllbCV0 2Ak6tCzBpGiRIy+BiRtbPY02Z6PjrOfA1AL0it8hT0z8NW51Wwbmqt9I3VcRu6aYDAdgjzom7tj5 shaMgncKI84+JdgAFmvPQD6w3xUEC/TmqsV3+fEpg1QVfKvlxDKp8ld7zXix4x0q7oZn+vEgaIbh /ZZpviOVZyiOol+hJLiGc0SK/n9ALpHUjCnrGyssaZqzA/ca/I/ere84fIz068si1FqQlqpBNFaI +g/B/6gL6JDRt2IdYuCTLV9LqTWRQxJyldySC6gM2ZXnZl3sXgrEtfIJRFtSHuW5xCKxDv8JbRVA ePngTjwoGG2DlJarRkg+br1qKILE/RGk+ccuV2TA61WQnIWI79h59JsgxIMIesgfY+M7LXRORBXl RXhffnW8aL2fSvmO+wtReQXu65Ayx9lf+JuRGur7M45kvylqNhXZSdmaiJQGzMuRqGkVuz7/Nhac WbGnxhuNLrEpKekJaAo4cvf142okzc6+jDdMh6O620J+CQJDzvxG98W5nq+uayAvGDrHTIeL2qQe kHW6fwHtBQkxlbQx9GbwQYgREm67SeenV3mE+EvF57FCOdbQb6l29ToktCu663M+Inj1JWK6BdzY JZgy/TVPJBVtmjjMpfJd04z+YyfrXK9J/mI69ht/AuhGufBfwL5l1zSTyXffpRTDUIq8E2QBo8dY soqjfhTObwK8wm8nR8VbxLVz+MLsD3w9Q53axTTLPC/tduuQX0Up1VZVxWPwpHx65qZ5ca7m4d3m VUX1w9DLiPgGm/e6iFlVnyLv9c8PTcGIu0Vez9xvE9boSC7VUv1hAnYsg7fRkFxRWx6BvI5YpVTa WFmYlFB3+Xweb/8fC5w6bKTCq7gX1xXiNqxbG86WNdpeY3DoMxydB/jGqMi5efaW7TalCY/0bvtA ytOzMDyEplISbhGsNTwphWL7xUNtaNzShza9D3Ky/MGZ3swX0QZw6MV0LFpS3A7WqClK2Sdt4aY8 Ay3IHygSHDtFswww348zhcvwriEjb8G+p+cmGy6EnAGO8PTm73vcUW0AqJmir57+Buolu0yo9l6Z 4Q+DcE7pXfiVflXmhzg/uMUGqfe96x9zrAPP9NnsZsnF8mvNZF4wcwvbeFqGjF+tjn0sQ/XjCQhA Gr+r/xVhZhkZlRoEFwwNP5eGKCwTN6HrDyHqlDOmYnePia+/4jAR/UEBGFHDfvW3f0rSgGf+T/NU 6jGCxqThFNJQqbOwrBqaBruWVLnYrHIIRSX3clTn8Tmbfu9DTM+54/VXA8vi9O8Tcit7wR/VEOsF WVIxgVsIfB+n9fcqfnOO7nlHHIR+DKcqfV6ueLChTqq+xIk1Lf7WedAkM9Az3GDFpyHlL4cEhgiB oOvB04moBMxHLIgKh59e1mHIzObQqc4ekYOBIOzps3TwXna3BFUIWZlyC0bra0fI/cbnZ05JmHLu flCC/c893tOkwoFfN64GHsa+Co4HgTJmQTsSW43h5TKfJnBaxzEvo0dwSrbSZKf4z/iYjMUvycvE ncibK5NbjHJHCyw38h7/Ba/GUz/IQOKFyb5l7EbGu022pHREu1zdeCC2xkw17UWZXqMwgX5fpq09 5jNZ7h+R+U7bFYOW0TzyzKs8Ca3gQx05MY2atxMPGotZsAD4gXSNmnrW33ykN+tC7VEdpFFP516B aYlHt4jrgqCLSTSQOoTqwTuXFYcN2TiLBsgeFAarDwGzout4gW+vgc8vEswy+N3aNLVj9rM2tQLl tjSXNMBTDnR0RygM2AIPywnEschGG3+yW3Mh+WLecuLuUtG8SRiqPVdbjSAfYkRqGzZCkTTx91ZM qmG3lKMjb1U758frVWT/hW7w4YjMYYtbGfGuRowbdEbt2kY6NVO94XVO5H1MdVf/FprCUMjPPqO/ jLB8eKWV1tIlOql7GHG4YSMrWfDHvSPIpxa9NvHmSVvqaGmDJPIJQRMfXMfkeqIdYLMhGCdyWj4L 8OiWr9pG8uwfDCyyiYkdKh+w4arLx9kn7jXq53gxah+hRe6xhPuXIOacH/4B6SUQQgrlAHrpfXfQ j6joZFtwNSmOpXswn0cQinMLm89g2R/xTvCkvDoCFq9gMqXT5Kxu2NTJeujGBL/DRijPTBdNOz62 ruTtzDdECC5iV7NKeUH1KE8s3kgy323p43nivhDtTCTaN+uiP9qXneAORVKnh4oXEqNx8xkfita+ aUGn6wl0TWRwbMCFfBwZxF+tdymEkLuaPxwN+5SZGY38Zo2e68tvpzh0R1n8O8gi8qIrwlhg0HQS 2dHk+e8x2uMIKe/KAqzPlHdRS2X3ykmY4pGe19Wg45gj168kaei7H9MvXLKU1HADbVHj24972dPg weVGgTAUivvSnh2bEYJj5ewa+QwBijR+ZoNdRl7SQ5hy6LlFJz0x3BmXUXgmxp1cFkU4YvB5gnZZ l/Rgij+NmCrcNmd7jqrxk3Y9mt65NwKC8NZvzCsZq+Gllg1Y9ptMx0bLZzPPpVeJM/lQCx99Nc1B aIObVODnepLwmDBezK1ZoO0nVUKxSBZqCe+IfCMfegywu5b2YJWHUcq21r6Pl7wCPHWClJTHmPZE qisb1mB98VBPoJQuQRyYomY//YaIACFeWtZxp6FpE2REJ++fkvo/TbqJ0D8Lhhd7DlZA6VkAmwlI 8HSybrbgphGitJ1w5Va9/WC6dNvKT1ca/VbFBr5JUAuCA20gW9SCuxUZ9DZJt+LqfmCEmKPsq+Zs ZUUAzfL8DvD/ZIisN0eFYL+J533nmU2E2tjB9kJMQuVWED6ujDPt1lNl3i6zOrN5Sio0g6J4/pFV NoMrx7YcBPT2/7SHLANMXxLptc/lld7zha/2DJoxTwWxhmhWNLRBDLk1jEABq3xEoBM4Ix+pjtdC jqL6CwCRQpWMaM+MCJeBax1WzTO7Dy4DGPVeFowkWvnLuTtj8RKKokVyiUf2vaWH4Hx58GIHSk4R ZKS4DwDqxg3HuzrPKg1GWIaoBQIz9IPQp+/yVsAk2aQeNYyjFzhibHivsGRGQgjy2gU2KHVm3dJx lqPxbg8dLX3jcVAYdoYTv9OD7WhmDDKd6sf2oM4+7DWkOeP+Md3V6WRxA2oxBQ18jej1y/5iWyQP sOrWI5jFeGvE0n4pN0wJJ/7d1hLjb7IGD/2tq5w1qvGqwP0TNAhkjEdZmSyIfDEnsOxqlYvIadTD nDmADglVznJ7BZVoXtLui5C6833jgCZNq7LlixMm5zYBopm/BkNsazObgwaKh/CveWbN/hJy7k0X 25KBUICaEg8bJ/Z5o3M7Nu/mUWTPq6Z9qWNVRcO0ee4/5gQDHZCg4AgBIV2XsaOl5uFCaQeYtnhV ye2sk2OOcnMDvBUL67Yu3fEOi4wcL7SdpjBExEdEfnI4qIm44y/NQjQNJAgZT5dnoI97Z+Dg72/p 9MrSQmon4C6aCenM7fxP33LIkOjBS/3ywVEkWC1NOXzRM2ppCmGXegtsVa4BHrNNZjjyg67G96Z1 3oSHZbpOWZGbOiVdRd2Lgsjk7tpkLWBPcKohFPRI2n18Fbj2B7JW/SUJF3roZzsPD3m5YPG4QCeg xzcTA95ygJKzch4IgSfWbcSi+NTIvKfK0n71bkClIaZVsW3XdgNVy9v1jSv/9MjEYCTJDG9RFw6s nRC/u89PrWO7wavH3My/freUvo9fLjzr85rreFfKPBTH/zPVIwPpHyHNajQ52YHrnZaOcz7pXcF4 AkG6L8BGAvSgVWxMTPI7eLM+UiKMmPCm1lg5dbPnNSvcUlchNe66zbAOPVAoCbhJZLmabLqI5VkC DgoJZSpZgGiLtynk6dpNBom20uNnCLVAjrroTTA5mNUP8IBDLZI4yxxdj2rvYR06A1gEcmY3fxGz dEiGvm3ze5RjbfrxDSwHP02MP1Yg9lB5C+y7bjLWyAQPoytimgz4g0tZRJiK5KvuIHT6nYOo3Ytt XTlRrYHunojZ0zkcGh/3DvGuJz8fa8xIJfTDCTfiF94g9ZNozJREFE+9CGBTLF9KuaLjOY30F3tk s4Nlupoq6Ote1xDvvR+s927ioupEG4aVZJhzK0TbWQirp+RJXBI4j4tpnAJvvowZOtCkEyVRo5d4 DX/UcexWSpzIy1oxlDOmvzPOdmam+JWBUqNER5nL92te/JeuiauBIyiuL17h/dF5JXbBkp0aKfAo MTkOtAfIOVbKvyQYrJqwqCPeBcFtRMvuhniZDFme5CLCWpE1UrTdhqMx89iZGlc5vQxA1QKft53K 05cwpzvD+6OWPR07hInCawVSQ+l7YL96bwznuzgQeOgENJqNFjii3B6cSna/ad07uL40rPZZBPZA LNlOhfIIozDgfjS13uMWlLAu3m39MpYgjAFRu1WT0VvPJ2KrUG6LIORJGazG3ZfaCbJQiGg9+AUL yFu4l3PO2krWjux7tO4iBJH3aU7RYFBYfbvfbsmblY7YInysWUERf/uJBJhYnoOwNoMtxpDNbTQ7 Z48d8O0rKGvTpF6Xw9IqocPAbExxDSyq+5081oP4NAWnPhwbj1VNO0LxulYFVepkjTUahBVEVEyS QjpCTC6KzIshs65W1gHrx0+vHcX6kHduwZF52AYfEgVlAS3PjbGfuI70T6kTs2x7GWT+Yi43/TBp YejuOIVP4XxXs4UnupwpD0PLDmkbsOipRmMpMS+Kr5J7vPs+dt5ChkthKVHuLuSFnS84+6m+nTWW VxJhFPOatdNsQFD/JN5RGyS+hyIhXabu+aVyPz3wC2WNvWFQ28Pb2pWOtyO/STV8gZSOX7NLOilQ HcKYAlTBXhjg9oMal7bgwGYX29TdwLtWsAVXtzgEez3bxXTAUl6gVAMqraVO8XyOIbGCs5l4wQGn VSd3Gx3GPKMSJhKKGr8DSXAmHczO3RH7xoChwrCoa+fRn4Pb29H2ATkSpM5tFYNH3WcT5u+Pox2z yjoO7PsJsMUgKvs8XGnaCTsIt6TCX9o01N60gTqRwYOVEMUJRnodRsfrjVKd//8emUzxzDF8kAmf BkKrm4TX1dFET5WphJTcpxMKdMiM7luxRA2B344yKMdzfJ6JJd7PZQTsmhor3mtFNG+0nGvlWful Y2yvjM1IyDVvTjoI/coE95iF3wav2cmP3EYPj93V7DipfSgVWW4XuOJg4/m1fBZo6WYp2QvrRsZF LzoWuK8RphCwf98vLzutOIjUQnp7/xZt/XRs2EB1qcdKde//lzDlO0ygV5XXS+M7vDojZudB5nmW OEt/N+YvVhYot277EuYmS626EShJPb35MJe6iGq2QqwRSX4ENRY/6Lq8G5aG8JwdgG+zxxW8WIEg j+RhYh0O1BY1mdPqseJceSpFQPJgNsdkE6Q6RNNv9tdfcSOXzIkM/Urafg3UZ66yAxjDE0VXJGyq aEA1M4Xg6jKB/nyxLj8z9ucL7cHOUTgNPHhlfGP90US4pM7YB7iCOEvaMXGS6wGVJ2p+8wd0rCks 7psCzopb79QLVf2CArIHrxyOwp5TS8Ill3k28ePutqUc1xtwb9X0g6el79fQTrjz8Fes0WIMmbSp dlGabsG5djD/AjFwh1WldIgzjnm0FtlQpD9DoYbvktL/XR17Dpk130mcx/nDmBhIDvF12igR89mP 1IOu9qu2aQA5ihS2zmzeSALH+kIc7zYgZNyGMLJL+yLWxQrLsAdecw+3GZDAnCK7hQym2VIBXWrs Wg6qmgGE3TFGC4OeUHJ6+nfdD4MRP/i2S9bvezX+/fDXPbwCm7UricHax5xD2QnEBhfq35+TRmAl hrnA+h5pqOQAfGFx+SVsh33XMjyyQx1CE92b/bQb3pLyUs1b0zG8tuVryeFCQykYDoK8cvZ6YHWo ozD9tO7N7XLN7HcfFkTc/b3Tr5+n/v6KaztocgLhxdSgktt5AZ5UlRSpEbepL1tnyUiNTxPLQHrD uzY89H3FXHn1A8mPZc0LHFlqI7s7s/IDvAwVmfriBlJTJnZjsN2x7biMDJPgLswUgl1FROCEVftM QP1p5OWhyXQ9Jc9uDYnl9MMcvB2cbHo9KIjCbp6b6SuS5dWetexbVwcmQzePbbhunb5d7y7UkjJH /T75B7pg3qHAsLAhGDiKvS/wW3DzuK0Y+cc/rW+q2FuouMGyezHpPbAT9ABozEixun7w0KMTf7n1 hIe9fDWaT5kiUOOECsdulWDVq9OGDbGC10vzNWkJG4LG/hY4mbbvOAc4s/GsEjgS5WusKklzWNlq 7MGLDKPvy8FDc5WUNE3BgPwJStfYfDR2nLin4imyhGkHI5ACDzzNAJf4M3cRhRQTODAn4TQC7JRM g8IpNUZXPduYOnJ/eloAIc5NvgA/jWLc/RdyaTho68hECzHioNH4KxIRUg2aw98HHGuQWz+jPhm1 Sz1oayGLUG0vkHkk2GLbMdaKKxix/yGo9VEyK29xcQEm59/A7EIwh4PGL2wWBA6ZVq1LRqnvbndO 4DSs+2W8Ui2Y9gM/ks6H8y7AT7He/ebuneXOIibi1LwiQjZz4WNfBfY/gXSY2ilypulSdNoI29hx nQ4kh1FTC63jLAId7mZIiUw8I3qsyIJHfoj5mA+PZUg/lMGLbXGfWS2mh6ZLnyHgSXcSXRlN3P45 cUyK/CM1Lf6Gu7eYAMKKJVTZGsOOnbaWZfWWKjsTJg5IH6EAOTzBmyt3R4vnilHjZzS2pQdf8DGQ TfXrAuLBP6JePifDEiRmleLJAUs9muDQ3p1tAn4NTjq1RYkGkJ46c7BIehjWNUZNSZ09hFtdFJcm lC9khTqu+UBVPWhzFdoTqiodX/Tth+oW+UuEwyd8rV9R/nwx56UruJlKRcjR1ctE1iSCakRJBf7o h3cDCBbSFAQzJaTOI6NB/cN3DsgHHqhydY5QqyFd69dU2cyn8ldRAGFK54CKaq4DTAbJ07c7T0Sh anQiYuf2kAHBl6VqZYC1gH5LebrdqpESBZwNCbROGM7f0bBW8UoJH2NrjS8w1Slb0wLYjvNevaMK rS8TLiaqgb7iCg+q7GLwLuEdAVn7s++oNmTeAMvB4YKVX+LdshLXf4Wf+pluMP7Ad7kAKFHz6JVd DoiDhaOt5tpLg3oDEFMLxuKWZeGD4MzZ9yKXc/Tio2iHlgZxZn0I6oqMsybttUtfTbR2PITwC5HR Z+hM7juT2F67NR7HGZxWJx1HTqzL+DkqWwvOCJkeXJGRtToDnq3QHifP+UUbM+3e5dL0UR6GHQOq 3g3mpPKCFrAQSE4Mc9UUwD6X2DIJpSrmqmtH9Ir35gQR2RKajKfUOmE6mgtemkwKox70XOCZVeWI fg3H1Np5pPH0hrGuNV0LEYU2WDfRSevCcsYx6saHR/yAVNZdufwa0CKLyKkql4kB9UoeiKnW+e6g gNV08mfvDIGrcVzJ58lGagToD2LkHE3Tcdy3/1WqXmxiOMrjthuJG5Mifow1P371LkqPvSQqoCfM UmFkcmNwJYamY6dp/cXmQnlfVW+FZdUFyKpyYxyMU9uvUsbga2lrLvic+Xz3/+R/K+azuZM4aCWc WxYOva5evchuvwZQqF5rqTlT7cKE2gMH4TvUkU7mj0O97jnsCGvfYOqVmoTHwut6xkohkokURZW9 AwVGP6hLRT4fNm/JKiGy1lW6/JdZQoqfbJKujVBMoCD4HSaRPkU2tyStXMliTgTl+ztuy3HpVGMW 8ndprFiungIzpBDwD7+f6e8kDdpUvlCUAp7uUT+oa+/o8KNo6QviTw/kLPjiM4pf4VHnhgoKxY+h YVOthxsAZi+g2ZerOkhNDvh6xem5niLyXSA1LtcG1poyNsBEhLW4uhJ+DglmOMukVluYKZh0iq75 YUc7m4kcI+zHBQs3MZkjuSLb2d+o34BjAQT9XOGUTWq/ZfY5HT4MWV6vGcBDFNlMzXbxQI43nbLu 1PmYRDqTEQtsuClmzhVLlI8T/D4tzYkB0PYgwrH5jSHXDYAjPsGH1TPTaDnCsR5gSy81ZEnkM6qn 69SCe0bNRl+sgVwQoJ/rslB4FRNlsmPHZYsJBs4bLqrJL1dZoCMXzjnAwFEKOGSz6pd28bEJRHYl itNqU3+fhbxtncmea9Rlt4pGG8nFJwdnN2vV4zfMmw0pw3BeqoPMI2yWbwzCORGtTKaJYoSy0Cb9 jxyCT5zZ02PzI6p4j0xg6YsSIdMLiiS4d2HS4q4gCkvXMiCgHR1tjBTXNNPS9BVM9X0PUBsBHJSE hm2iiR06ju8lhdazuVy3kaG/Aaom106BD0gW6tXxa0pycX9cRAMiesGqwg/6O0fqGeXuZvJ3x5vb g1wcMChfJjWVe44/+1Wvo8pI8vW+9WmkSPSmu/S/GRuPqqYET0FVwTahRJin87TlQ+EZXQ19+HEo HmbzuRlX2rzLg3pN5w50pWVhKWK4vTU4nwRt939wksVxGs3dFk7EivOOsTqtixXTe9G39wegTlmv aLNOhCmXCIPfQazsQGBF2SahCz59lRAgIyPUZ9G1youl8qp64WkRCFsp204/40nrjGxnd0tyQ/DP xYt/swguoCwI+QbnyyA6ZU0JgDHcM4xtLipoVjuAPD72SMKbedumNeWHjbi+76jEeU8c9O/OhYdY YT7Jx197D7w59egpDf5YJi7D1J/m8EPHh/ftsou7lWBoFCx0m6hW2jDfFpYzEvJ/GfbpoO7MkJsC JKJtiX05K4C9hqmFXDW5ltDfVkLQh6+y/u7IafyMyY3TZ97vmnkq/Jg4SnPEW+WpxgAlejZgYH4Z 1ST/OKhSBhaPfqvecN7JiiTrH6c+fATYpqLbjowwhk44HiBa3VK3hxI4Bo42NCH6YOroPQlzAGaK F4EBTTakRk7hA23keh4fjgnd6DsbbfaggbxxbXD26Ae1mrGshI7uwIlEnEyd74euP/IVqWsPt4LE B8Na33j3754W7ZLwdSZKxo7PTOb8xFvstkLLI2UaBZ9JPT671OnklhmsVQnMmsJ8cH8Jw4ypAj0i cyvG7kZNCQVrNSAltKrq9Dc6gpzw5tLo93+oFzhBOcghDbf+cAeTeiDHZQoKk4KpSXZCQGi9kjfG bm2JfJ7NSsoQHIyBf0zG9tp5Hdb6Nd9rpBzqofCvm4sSprg5d/udoWoBbZ+Eoc0loQRA/UQarFhR BmbZpx81QKlrup1zuJXXZffiLpo87vliAvg1RfLX88mrayUrm8sUPQcFEw2VSDz/K6aivfnySpi9 o5DftFZLv12KT0g/c3N9kBG4R3vIn1h51uXU9jxXkS2DFnwtdsSvd6cJrtRbrHppFQUj0R77UIzn CVTy6elfFZWOdgsqdbQ8Z9dai0SMXZo2hCqyEtrhd/OSVre6qpQMpqdVGqi6gXpRjICU4r4VfeCI nmCBUz1VGbicusoxy5/p+3dtv3iDYAHQGzdWco5qhbhd7KhyufmpIlpoBZvZYj1U5+iPwpqhEoDa NQaioWJyvKXVLiYo/2/TJsd8pdOkQqoIx5iqYZRfGnxUVXrGFxUvL9RUj94rpUsUOCBgQ7/Y9nuk YndCLInhxmJm+1ERory2/qPnGlBbYKTR4OqXMCpZffXO+90xxlOz5fb5UxZC87nczFHhueFrU8mM i+1dxbiwmKcGYj/2VhbVr+oQ8UZFHNBdiuZFL6va0e4Awl1b2za9FOvKHPS4wPL+PnDoe23Oq5Wf MbLJaMCS10iGXYmDd9D2oKDdf0moZCx6KQyGfI/Dc02MObSZlveZ9qXn6Fz4DzN1VPuXqrQBTgLJ A2xoav7FycpkQ0hlosHzJaEwDrYTFqyL/6HwIeUHBfZVHb4PYTNeMRDFuYdijPqwkPf+iDmUXCxa mc6mTKtPTTXS1oJ1VJT7NoIO/jCI8q+mPJq6eZAG3n5SeIhzlShSJb898i2Niki/VhxYNonQOC2K sq7nDag6LH5CxXQD57UpNvtKu6s3sq8X1IbQ9aAMCvlngMYGbyhKlbItFUDGwlyK0IIZEOFTSCoQ Czliz07FlWOfI+wToY6lVpknq5PuvEZRx2H7W6LW+yMYCCnMNG41t26ZdhjbqMO1VRZxo4zWV/fG 8bodZ7Odpu40g6Mdx/Y0AC97FbZDg0iJVO85EmMp88ptiBsy2aMsjFMksuw6Ug2Crx42u/qehSPs 5yjX2gtDuQBnnt0HtisAZBbAeh3nqAUHpskszkTAEjhdYrZYEp/DqVa/nscl2mu0VYMmV/35PyHj gwmuZabCgEF2T/2KNgeowyvtjuHgHS5BBi951MVS3vzp3Y9Fs6+rJRsEGMX2D/yJ3y7Z0JJhpshN gUXrYXL4vsVpk+lNnH6r0bO6VHaHnM6evqmzahf0h3ZSxB/rUygCHRIBdAg+7Zwl0awbV84rUyqX HcGvE/w+PTnSRz5kFOp9aiddTY0zvtQRI16ciZ8AQB0rJQzfWEPO+V7POHLth96dVZqxTnHk+iAF 7Nt0/nHDv48rpdyz8KR4cV3D6yhn5UHBas3Y/u922i3hpuVFm7v1CPabGhYE2AlENL0ZC63SJNx7 hh/2O4XB3ztLbKY5rVbf1OENsNH85kodVnkfvinwley8CWhuTIA2OPGB42kP69KtvAO2mjysfM/A Ah1zPjRnVHTiOHURqZSxJhwzg+4palwP7Q2gM0Y0JIVjXfAU7B5CcUHOV/54p9Nc7vx/uKvyR/ki 2X8pJAJhdePVFQDHKfFjo8mKqBsde28g3PWNZDx5gCAoMg+CS2Sfa9kEjHXHCRYFJmKSrnuwN2xn fuHTQ2L03+S/DIk+iKn7ShLgu56ZYbI9OpxzLNG73oz3BL3lKVt9t97w7ru21ElyJIFZ/s4GsOMb 1AhASepbqeaET11s7ooe9UYLfx18jKzDkQ82GpFqCPxpkJyesVbevfJHcNXWfq/gKIqOqbUDQqjK i2A8wfznSSLeUF/2G2Aln/0Ww63XpB7KN9yg41Yg0CpNP3xPgMohRPk/oEWt2V2s7F0R+9nkqg48 Pl6geXxBaisUYK//xjA2bviKUef1uP4LuSi94CHkNOr0Ato961Ck9rphooNbgAQHMsytP5PqQ9Px 72cWH2S8mU9Ea34Nfh/TUdud/PZMFpXQ4IF9TogDAV/mjuJF9tp+GSlDRXjwmeMgY9s86S7Hpzs1 IiAHWcK/BjxAXJPedyDZ5mw9RM2i5Sbb+BZD7PcL7xI68lQEGnZzMbvk6piyTOD9cyPRpgCxAvQA 1aUE4auFL+AZLCVGcVRmZVmw6AWZCxptTpZYOQVPGdPBq2bi84CvO1YLkN21kK502izW2BqJj7Zd GWUHkzrN9VU8ufJIHF4pNg64b+WQlGMbPQZL6+Ns1Zm5Gne5xN8N8V7GUKDhGSw3x9FShCzRoQiJ NNd6TbqWJzqCVuuQRDK46vcxXCY6OX/flb+W0QyeVan5JvN724Tg0yiOz/A66uTlKlUCumHQ5PMu CvklpRLdb4bSDjLlR5+KBc7SXuJptBNUxTeX+Wx0WhY7m9BYf51bcmJpWgiiAUIwHpSXNl1oebNe lge2/Fs/0Ggd/m4n0GyMIqWi21OIuQnYy/DRBMTr42Ds4o1AOe6t/HqPyVzo7tK9FoVAhCtjBOpu Tx1+ctMQn1JsePZiT0IDG5/SlkD86wa27u4b6CKksYKNZpmVAgWM2PbLa7iTLrLAuq4U6Z/iF8ON EFi5nms9X8S0h5uxEOVlM9Vb7So5e3SHxnouCX89fp+9ZGyARZHjbNgYJ0IBWXqY8BlPl6vw+Q4a daI49y/qC+QTEfPc8BWEFyB0TOIS+F6DvnTJsSu7czLvwEmyzIy0OEHANkz5H1/a+gvXrym5kHBU dldoZxIKrmNkI8EMJDoBmdqJFaSSMcJ258jFedm6QdUWThy3yNqA5/sZwtjtkCKCppQ/00sKLtDw uOrbSVFcxdmqj+3JzxTv+t5RntxJ6QZlYg10U+sJZPPRGh/5l8+lkOQrofnQ84w/5M+USzvCm7aY rSQfkguO4btfWxejquRqWqqHPYJ/6XkGtpc3SV+q48nNbe4P902qWQfP+yOZBr8WQQR1UMFnafNT evIUJ57EO59o2ne/IR3DAzLrm0gUHEbeeCwNBrJ7sWbx3YF8QpEc+DJeqJMcFnfxTcGrKmnGyZsE fglq0cpwPGEGo8FZWCqjB8pI+kPbRpkRwGXoQrzcuOyiQnD6N+rzccYeD1H/DJ9WmeaAZDYyoQ4S R9KnauaewLPfIyfkSGPKHgxrLYWistgF8pT+QkZqnPx/umtywMsFlFjyQu5WXTiKQcF11ZPQJyM/ n+727crttx2XKUiuJYMzYaCWbLsmbDDnHVqSnBQl8w50YoNkl6Vj8O3+OsyoYJhCdXh0pery/D43 MaxIw9izIDJAMmtX2nLLhCzN7ngLDz2C2/UkZ0Udv4OnM0ArVx+JyZlAgLvXFR5s9JR03nxC75XI RQNL4C8LI2TxrR5yE0RM8Rz0R90b7+LBkU9f6xxO7/8GZTZGYZ/f+igkyZOC/6CMJg1WSKeOIToR a4zt5pjI96vSV3sbEODUh+Ea/XtDvDuzWPRUOoCgCLXK9f8RyJhR0i57K6sI9XoTxZHjvFAsOeJ8 dwu+/i7zNaTdGyATccZTvAjQLzFaSsUFyUaE8a8uHZ4M `protect end_protected
entity sub is port ( x : in bit_vector(7 downto 0); y : out bit_vector(7 downto 0) ); end entity; architecture test of sub is begin y <= x; end architecture; ------------------------------------------------------------------------------- entity issue16 is end entity; architecture test of issue16 is signal y : bit_vector(7 downto 0); begin sub_i: entity work.sub port map ( X"ab", y ); process is begin wait for 1 ns; assert y = X"ab"; wait; end process; end architecture;
entity sub is port ( x : in bit_vector(7 downto 0); y : out bit_vector(7 downto 0) ); end entity; architecture test of sub is begin y <= x; end architecture; ------------------------------------------------------------------------------- entity issue16 is end entity; architecture test of issue16 is signal y : bit_vector(7 downto 0); begin sub_i: entity work.sub port map ( X"ab", y ); process is begin wait for 1 ns; assert y = X"ab"; wait; end process; end architecture;
entity sub is port ( x : in bit_vector(7 downto 0); y : out bit_vector(7 downto 0) ); end entity; architecture test of sub is begin y <= x; end architecture; ------------------------------------------------------------------------------- entity issue16 is end entity; architecture test of issue16 is signal y : bit_vector(7 downto 0); begin sub_i: entity work.sub port map ( X"ab", y ); process is begin wait for 1 ns; assert y = X"ab"; wait; end process; end architecture;
entity sub is port ( x : in bit_vector(7 downto 0); y : out bit_vector(7 downto 0) ); end entity; architecture test of sub is begin y <= x; end architecture; ------------------------------------------------------------------------------- entity issue16 is end entity; architecture test of issue16 is signal y : bit_vector(7 downto 0); begin sub_i: entity work.sub port map ( X"ab", y ); process is begin wait for 1 ns; assert y = X"ab"; wait; end process; end architecture;
entity sub is port ( x : in bit_vector(7 downto 0); y : out bit_vector(7 downto 0) ); end entity; architecture test of sub is begin y <= x; end architecture; ------------------------------------------------------------------------------- entity issue16 is end entity; architecture test of issue16 is signal y : bit_vector(7 downto 0); begin sub_i: entity work.sub port map ( X"ab", y ); process is begin wait for 1 ns; assert y = X"ab"; wait; end process; end architecture;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block j1ZD26PIbIus97QyQUAaKF0jTRo2PZbonpeBmufioojp8Lau+N9sjP7XerYtuOSKNFKtn0KR6PRR eZWlxktlXA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LOGcakx6/aOMzuak8roC8LHUAyWUa7oCL38ifGEkrL9GmMXrDL6AgqXKpRuEV9BnaXmlhHGZA3iP 3SLzldWGMFTst2tHD3PazS7dhnWxYA64TTKzcC135i74aPzppn61KumG5pFjfFRUPAe1q0ApMu62 LdJnlCN1MZtnvg71V68= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JxoFmJiUxLAJETpNvQGkDooidaSl7AZjro5P3B+iOtPdGvh9WpdFCzJ4D57G9r58N2B0Ens9lE57 /en2E0EobdIS3JkrEnxA43jXB7DA4xIY77mj9yYq+qxcawRTmbjQe+MupHwNXE2DD2q+s6F3P1w1 KTqS9YVd4cD8456J1TdGWOO1IDfd0ZCsGLYmcJwB+4a0QHQaUlDRcz/rzXgYXIwh3i862yE6gmG/ tAUXIbIPSWVMe1FPJG+dzvMwrjReg91D5a1QxMahHM2fnGwQ4FVxrh//Ex2lPSV4+gz03zuy5CPC PzIhLAaG4l+kCb4+muzt90bS1UrGmncVVNX6Ag== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2xngIQUpnn+/V5pnL7f27JL7HWLASv7JWnHBR9QvwXQaXFzhtcEAHzDDZK27Oe2hDZyn7BevVAea yVOAhi2Zgd2CfxzvXYcWnJAvLKn9GwgXhDWpB+0Fb8as1YReco1MweGEFYbDxHttrlPbxlkbYywN 5SIALFBpjADo4zuSai8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZmiTdD3V8OLNRYOMjA12zbZZ1DCJSvU/3T1trD0nSlSwwXNmvofe4UTQBWQwwUWiUrYywmF2mVZ0 8LRHoUMvEcGw/ChfhPaExgvUVMpF8/kW1mhUqRqP/j9rti5QsN4BuWIa5PTnWgAWlzw5o1xft05F 7VRQ69FJfwSSdAbLTaa3jG1lkN9O76S/ZmNsl85MtqW3S53j37RO90SGqIpQqrQ+rE0vCDdS6nVJ etMj1FKToDmgmoxWudMML/xqG0+KPaKeMQc2bDqE94Hm0/H6DkTJ2EIeh5f37BD4vm7tt1fye3v8 eVOMkJVooyLVBkP/xQWWxRAei1EdpIyBygNurw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5488) `protect data_block jEhXavsYK6BzbFK8rtFq/DHXXX3vahhs0Q2gtgkBt0H1Bo4DlSyvDAKmzQiiKXrKk0NzEDhQzGJR lFswzDLVuBPQjQtgz2QP6ro0Lz2ZMpRYYVyxd+H3Lt4fsxu3VuMq0ilVEOUayVpv6Av8b8aWW0Nh Sd5WKk+zC2tOlriq0Rtb0M8L6ypCGK9KyzywZ+b6mnsW1YphiRbSWOwzJJ5xP8oagkfjnhPnMvQP o8zGLGHQFLyshzEq08vlTnTc0dRdJl/iQpLqqIM+fqz0bdsWsxMxxrOX7pv7yrFpN7P4541Ufy5F DhdQXeRpjPD9/cQq4SZtGGY5qoUV1zY61c4AmTURUyPO9PlBxSzzCoE87uDuFzbJ9L8xR1EnTuhK bxygrqu9KMBMlWWaMyL0RtrN/IT8WQyzMJZm/vnaRUDc57mgqqWj/ayq/lDVHHtmnXv5I11ATFhO 1Qg2KF9DoHMWx8tuqtwqGuncUOGtwagVkw0Vbmg+UsI7pX/kXNcCWaSWMaL1HXMrx4YBIUZCu5Xc yJse6WlnRRa0aejPa+o9n3w6DRt2fT4DVyHvvLSQ/w+Wx1bCGKxQYKSxgH0opbPtu97GaJbULJY6 q9NEuDv/qJ6Fp2hJ2sURBXl3wqU7cFBkwX+dkI5jIEX9STvvU1afsAfaaVZGX7wEeG8BUjj3pvul XpeNHM8KHZZ2doVmPtaks37YfDKwdnWV2hheQjZXroNlauLz9XyaSjNVYlKLjT7FNNjjhr+N8UeP 4MKYcns361u+Bcu07/40PAl+8CPLrZoV6WVW9TIAlh9bovCHd4masdQT6fiRfnuVnOXk0+WrvOis is0wOJ+VRvhqeCWwG9fn3PKOi4mwyWVOr/nQJICqoFPC20pdbgAQmnyt3s287FtipncrPBkypSL0 ongkIJuMK8IJ2TZrwl2skiS2+VLtyl2rEdsgdsp/ooxu7937MT1LkCIWkTJksy2UjhF5xqwVSwtv Xuxs0gSuBNU4VoJRHMNC8u6uymcTrL/7Ewal0uZsvT/718JMgGravdqGRIWnM2JeKy+fSdpTu6xv HHrGIeCTjpDq4jCy8iypbop6E2EIzB29uiI/PaMt+dUzcvMGw1VPFvzppVjLjRIEx3fI0MErY7rb Zq2gBxO788M+q8x2TXsGheIcPuFjXsgXPy2bDH+iy19aGWX3gQwbR7gj0lpxHBkfFCgIzLUoMIMk t36XadDHl/d08fBucNOxNNNRwFUl0VTloFPTQB+GnTsxPVWmTlqD/ln2WiE1BMA2iqW1SyiPP/bg KkQ/RPjXxHY9rvvkLPnxyQ+KVMD4lNYfaFSmw/5reqtyCq9or3aPyY87sAbI1sFq71qLVJ68Owgf i9KifoednDH0IWzAZLqRRMrRH7GJhIUT7ibR557gj2Cib67rYLoflVe16aDHcE0LuzJARNcPFJ+5 sVbHjO/5XMA0e/Mh7u3gyqzLftxlzPS67vip79mkgcFyaagFHFy/OKM/SsKThZgbtMnaqPYmtp4n uxlQYbP6C1i6BwJNE45/LyKTh6qw/03fbUfo+74pFr+9KiY2AjoxfLOWVYyP5JLMLyKCmFy4jH+E aYZNjNCL6XZ01lCAoOaBZ2500JkisktPEylzZnhJyKK4AiSpSaYGkVq/9rf/53g8cqUAGv152Fsg Do8voKXU7H6tPXV9FLnGXa3F/A9BzrUaHjRtyaP1mAoG1h+e5IJmtVGGubmIQP+nRQr63vcGa7F7 3Jr+nn7vU0osikQEescW/OS4LEE24yPxYyyZEre5ndAAqYj8ASkcMc+YprLumU80E83zc253Hc9l yHhs7SzIfStUKGO12vbceuDL/39SdeOTrpf1VuXfQhMdK94V3zdyB/K4OfHIBGdl84OQng8cpxWa GGfM+KrVoNqi1C/DtNo6O6KNNeSUCPKnLDF7ExLelggYBo+9isDu/O2vZ4LAlgLgAhjKbRlg0f9e XAfWpvuWbwe23RmQg84Uyb40H33sOcTt1IfiokEKsYtiq8Er6exkQxKeNJd+Rw9BBhXqKIR09DUZ n2od4x8rkXkALy7m8QsHO5t1RZs8QmBdvWiulZTJ3xIFbWAAE60X5ASRR8+87TLLS5ykCIO7Hks+ fUOJyVy33RErpt8ChRoPQHr9RPd7VArDcQKeWnvOG2w06QJNvKOIfClIlvJQW1oIq16PQyczwZKU d9vVMW4zbNg/9+VC2GpUn0ez9gm3UHl0JDIlK7DJJyCah1qyMV9ZdnkvQe1Fejf0v+M8jA3LJpTb 5bbH5EFJcnydlMPijx9i4pyuvCbVAKLWfYttRm/Dzx/mTe19D4kYcC44FrI/YqG60Xq4veDiNRkQ pQkRLe8sJnnxbgTWw9fvtWxB0GPlvyis8Y5YY1uiI57RNftr0hrYQRsJxt6xlQR8BNh0OBTIEk06 6QiWRMPKYZV2RW2MXCiEmrHmvj4WxSzF9mwE+ERequB+bcUqr0rEtxryIy/4gWf1ivGiv/LWdSp6 F2g5HHyNSPJMyt+KdY08uNZJJ3VnZPtWCwwkPo/BQC7HAPoIOGerAg5LrlOlYFEp7AEwCJFEB6fK a++jJqLft5bn2YvT5nuKlg5yXin+jM7dmiDxTClB0E8bY3dppRSo9L95G3a+/9x8jNp0VsebmltF uC4g9M3lrqsuXBkW/Mwm8hqwy5vbkza0vNvhQhpZiUpK5pQ9KY+NAPBEOAl0lGi4t3q5vh5+0LbM P6z61nNFciGoT/Wp/E4CSiMEqD5rB2cYx5gs0Z9gLKJA+ykznYkT1c9nWnHpHkYnXFIquExl1us1 3f+LUaECJ6Mr8KvrM6UIccFCXp5qF37HzuEcrONTbKXLuJVst6KJJHx0BuwfTdi9YLPq1lLJjVfS 2XTdo7A6Ay8DjOTgQS93jNrlZbdGtGVe6u3vcB5sALPaOt1VchdPJN0/tZpJf8pvgaowTKd6MFzG pr2cRT3+jaGEwvQhTmCC7a8Jyqkx3p2gXiVz1nljSfbPA76jPPxIQ0ylwMapfQ33/8nr14Emi2rc r1tsMxmWz0nzJHuITEqeZnkpCIHKUULW9Lri/vJ7KlvvfGx7Fjj/NP5792i3iSv6RsnIzwPTp2r7 14GHFyiJ5y0GW+Y1pf4Swkh8PNZbVEXCNnmtNwgE0WdJZyxIDbXElv/HX7ObFETG4MawOnqlfdya aK5Jj0T2vzfuP/xOfkdh5LjZD/4rmrz/R8OhGHltbJDKPAdlR94khxyH/oTGo9jPyTbDhbbf3n/m uWDYCUjwzZgOOLrx6GqYe+CBTUOPhMbXwppkChimSp+t5tY/BaBrNN4jpHDEwj87FU/oogfozKOi 5+Dw9WUfyQ6z/0d5UUdiVKc3/1VOw/QhgSiied3sOgR3ZK0IPyNKvI9eaIA1hN2ApeA/yD4l+bNZ WRNPy0muQdDmA0Tu2JX8cbVhXyWAxQjwdokueSGFYOywvOjlkMZL5q+SiuneZjh7lYDemH7P7cb1 wMV9pI7bem9TaxLhyxTdQrz6c6r9h8utkjmngFtFXbILmOQR9yw3s5LItAUGGjNYcP4B13IDzGCY SS9grRNU5pUV4LH0dBsReUvrFEvtL2M12xOafs/6ae0wN428UrUKONfR00CgA5KOA4nqx3OZB+aO iWgKHzDHHgjtbqbRQEva5GRD6++qmcFLV7LC4yoVYiSeLH6d8JfJR8fJ3Gism6DfitfsL+RN/Xsg +T/7M0AK/426W4t8q58qkiBgLqBnZp/0AhS/QdmDWReIMpiCxsgA+A9VXzcd2uGlZf+13n12nXMq 0vbEGw696l2jFXzOdVbtVcvHeu0Gt3cjhMEzif/sR9LzV+lKiAwB35rSzrhUI/f/+gHu+zbHDjPt QTwLDnQc92+Yxd4togxd1f+ZX3xNqd2Yb4csyetdytgMC+xP7dCpKB5N3njnsvPnv2TgT+/J2Tgm ppi89XbcO+tPQ+3fjqxOTUkKgyEiPc4a3Q5b0RdO+fAwFo2nlKHyr9NxLBA9G9y+KzKzb3ZErjUm IS8BYvacneHSKCV86dpe2YUCjUE6G4ZtzeMSeBpqW/nmp5e9/oAU13Nkdk8CG9Kd5J1jVD6KErlk w40J2vTlAWHwTHky81s9fKdYbJthxZPMxqQWu7jshCI7ZLYNTBGPAQeGf3g+ryILYWXB0g9JHWHk 9G7zOY8sZXG6savkBQ79q4520hxrJL06AyaLIn56+PY6kSodXb04VnSqr1GOySEryXWudIPE/el3 JxsHBvhuus5zoZcQgC1piB/1CAvhktl6ZkqaQk9HPTrdz0weFzAUs16koZhlHCJNbrjhayBYMcA0 5PkJ4U3Owh/6pT0RlNBvX8OIxLV9CvstvC1o5IcFz6ybXsxiaFHUR3E0klvZGTqJanzOL5tOEndW sjdir6dVdIqmHvHbSNgzN3YjCv4ZJaeYEjm2fmw1PTkVOX91shMg5i+cXA5bz+dO9IMt3RkDJWH7 1o3DNSUCbJRyhtWlVwJff1Dg//P+T0H4UJcMz/n897adSAIhTsqNIGDZeWGTgmHxTsx+w4BCpkJl Z/ZDTt7SOBuyk401mx4wpqxzFSgryqFI0lQhdi4q/qT+TTpmLGceD5dkJ88f81T3mBrdRW2U/iCx IkQsJyLF8vDDbct6go6wt0BYuHYCwzUBBpyIt+gqNvH4pCbqZ4zOjEMdPfzQGT/WslOx45xxJ9qJ vPR6olwZKroo0ovfzJH+yoye4K6j7sk8QrqlY6sacK5KBsuiMm5MX44azjVdHKrM5lry15bfDzlC o5UQ2NoVe5BOzQ0JgeyVcgL5blpRF+HrZuOKG5RJh3ttrey2tWeiT2P/eV6GgvBK2gPSuE0TruHD 6L0wmHLvvCvlwk6aKhN563q/JDpFqXviWWkRFOMSr3+cgQSnxBd5iG7Z2OlkvADfllNF7d5nbNIz Bamk6CksEWA5wtymSBPEPSSj9K4mhiqZ8zCK74NYweuR2wtG2E4GH96S72yLtBqkyX+Gh3/gJLdX ZrVWEUDyecPNLB+L7wTvb4SGtwzwyd3ClS2NFNODoN+XQQGSOQba4E6QrdSHUX5WCfc9+p4vksKH skPrGY+/yQuGXB4fq+lq6gqD5jTvCiOYsXo94XPAKJZx/Xqzc35oXYleRvV7gL1oA/ciGW40pkZf AE/aeC2Fg+nGtuT7Kn6DAEJ/Npaja7IMJOMD2mCO0mT+eW84QP0sPuZ0dbeBU04qyun54fzAMMG5 iCkn16BxDGieOqJBaCpo7cm9QBmLvGPGnSDRpXKJcabYjyTNM4HhYjL6OTjPz9af6IWyOXhmJEnj 9vlALcJlkWHjE43Yo5UZ7soIfqbbiPw7UvLmlw5UxLw+DVlcgrwNGzkKsm0r8UFeJV3NfsoloNzn lUtjzoI4GjcNBS0KI0mXrxAp1KTQV88P58eEE2AVLQVtfMU/m9afgRYoIaj5IUegyNsIcNHUnZuR hji/A1K9XRsj7usxkF67B2+fLc/VqZK3h2B7VFsPYnyASszI6OdQ3Z+uocc5dP9zhcwgWB1wWpOk l0n0fh2Xf8C07SFFFDzAfpi8VFCOT97aBCm3qNIrJqwH4QPqdUTngFR0gGHZJOvMJWGD4n6CBHGi UwvIjb4ooTvrE8KlbLIqrX8iUzI14ZdZzHK3uVboqzlZceVV2rdshLjg0xJkU5YaqqQBnfzWxlW0 OlmVRy7CuuDECg6B3yg4Lg+GwK17TipKhuDgb4iH3SMmoNnFx6jFcRLJVs/p9pmWe5Ni6qcCbCpX W3j5D2jEa4nJlC2gfKyqOkw+E+R+HPY1KpbqVyxYZ+Mdu7we4N5m8DTQFKnlKWBFe+/gNEkbdS7L Ek3790GYhr0tNtCsRxy8VkpnL2j12nAMXK0wB7bq0nFemV8vPiGa5SX7PUYeQ7wSmuHErHP++MyI yDsDA1jeFGSs1HS4HxNKrOCOuQxEokqF+ZK1TL+yjkuDAIaijoMzczupzwtiK/eBkeUA560IxQMY J2BS9DWa5dc4pUsHkL4HLnMMJjO2pYWFHb9K0qQTzHoU8IwS5s9VcMkQ6HMUsmjKTsls7k8Cs70G Su83+ftLbi7lkoTJ60NMaIXRZPLIdhLbLZJhk0Nmzd4g+Xjf1O0DvE8T24uj86tmuJwmojYrcqOg IutOdV0ME+R4iH0M6pGO5+3jcmW4QuJoouN6Iv/EiIXysW4eE9+Z4xmpwXv6+PwUwy5FFWvs5A1i P90zwentFKMJRAL5GjjO9q6oxhENv6cc4KKP/+GEaxeoeX5u+pkQFl6Dl41xSAbqHavlivtGkrIY 0YH4pYSGVESzz27zre/hrpwzCKub8OHM/XzXATyoBsvU2SfECVrjEqtt2gkwSzmkb4I00UUycoOU JGpivQaCNfsD4t/NV8loV0J79n8l0Sisnm/LjFIuzLaiS6xDUW8Qehe/QRruiODG4isTyb7Mxnvl lrIfOWBQ3UVestkjCcd95daXHWelt8E3CD9XVfzYHAozi9l6Ei+3du4ZMSyk29jw8RogyxCXUi+1 m4T53B1UcN4f4SkDvDqWeoaiBnoiw4C/0L1Uu72RH1fOGfJEAzHgKettI994AY/PiYTAptu0kRaI YDnoBM8qByAXr92Myp22+nmWEesySepagVhzrk072aggivlPc7OkP+jhTYQFOliSfSnhujUvKLXf TWt1b5Y3f1YYJn3SBqbZ1NhmfZYMz/qHtEdGYo4btQW4PKB4MHUZ7ppWnIKk+gU7m91Fxx8hs4t2 qnUNvHr8jD4iJEv4tXI56Y0zgDW6kAUVHY9/OK7iZEsKIfnFJUfT6rZH8hNqnd8thO4cLSyFKRph yWYxF2+j4kdrGvYngQG3M8yKJvNN2s4IJukqC8rl61m7AFuFeRWzMBHMqOIo1u8gXpBs4YipnbnB p/ol+Z5pN4XpVxzTCx+/frbJIrCRhKpk3jZEc6iGWzkOXEq/08wUGcpGvuHS5JgjpubRY2DTBwjH 7KihuAsl18MxI2QUncHposvB9QdrC+p5szzD9OBr8CO5d0RaFmyWo24Ksuxdyy2vPwXFirx3Sj0q e5u9BWjX/w+VuxMzXTvEhQR5pnnd7SetVSyZuqDasDaw2pifimOhxmEYHlh3rjgD2TvpnaJjL503 yb4S+04BldFmEfIlghfDJKcf52JZPNSJdCLnanox8pg6Jv0UasKmTbgHlNdtTle9zkZxjbYJ8UJI 3gXOSaUMVDoZMJkQUieAjX7T7PipEbnHEvRyLjovyLXnXD3KB7yiZ02NtHAwMRXtIG0CpbyOKIrs cBgeGxfxDawhTo45fGMchw== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block j1ZD26PIbIus97QyQUAaKF0jTRo2PZbonpeBmufioojp8Lau+N9sjP7XerYtuOSKNFKtn0KR6PRR eZWlxktlXA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LOGcakx6/aOMzuak8roC8LHUAyWUa7oCL38ifGEkrL9GmMXrDL6AgqXKpRuEV9BnaXmlhHGZA3iP 3SLzldWGMFTst2tHD3PazS7dhnWxYA64TTKzcC135i74aPzppn61KumG5pFjfFRUPAe1q0ApMu62 LdJnlCN1MZtnvg71V68= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JxoFmJiUxLAJETpNvQGkDooidaSl7AZjro5P3B+iOtPdGvh9WpdFCzJ4D57G9r58N2B0Ens9lE57 /en2E0EobdIS3JkrEnxA43jXB7DA4xIY77mj9yYq+qxcawRTmbjQe+MupHwNXE2DD2q+s6F3P1w1 KTqS9YVd4cD8456J1TdGWOO1IDfd0ZCsGLYmcJwB+4a0QHQaUlDRcz/rzXgYXIwh3i862yE6gmG/ tAUXIbIPSWVMe1FPJG+dzvMwrjReg91D5a1QxMahHM2fnGwQ4FVxrh//Ex2lPSV4+gz03zuy5CPC PzIhLAaG4l+kCb4+muzt90bS1UrGmncVVNX6Ag== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2xngIQUpnn+/V5pnL7f27JL7HWLASv7JWnHBR9QvwXQaXFzhtcEAHzDDZK27Oe2hDZyn7BevVAea yVOAhi2Zgd2CfxzvXYcWnJAvLKn9GwgXhDWpB+0Fb8as1YReco1MweGEFYbDxHttrlPbxlkbYywN 5SIALFBpjADo4zuSai8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZmiTdD3V8OLNRYOMjA12zbZZ1DCJSvU/3T1trD0nSlSwwXNmvofe4UTQBWQwwUWiUrYywmF2mVZ0 8LRHoUMvEcGw/ChfhPaExgvUVMpF8/kW1mhUqRqP/j9rti5QsN4BuWIa5PTnWgAWlzw5o1xft05F 7VRQ69FJfwSSdAbLTaa3jG1lkN9O76S/ZmNsl85MtqW3S53j37RO90SGqIpQqrQ+rE0vCDdS6nVJ etMj1FKToDmgmoxWudMML/xqG0+KPaKeMQc2bDqE94Hm0/H6DkTJ2EIeh5f37BD4vm7tt1fye3v8 eVOMkJVooyLVBkP/xQWWxRAei1EdpIyBygNurw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5488) `protect data_block jEhXavsYK6BzbFK8rtFq/DHXXX3vahhs0Q2gtgkBt0H1Bo4DlSyvDAKmzQiiKXrKk0NzEDhQzGJR lFswzDLVuBPQjQtgz2QP6ro0Lz2ZMpRYYVyxd+H3Lt4fsxu3VuMq0ilVEOUayVpv6Av8b8aWW0Nh Sd5WKk+zC2tOlriq0Rtb0M8L6ypCGK9KyzywZ+b6mnsW1YphiRbSWOwzJJ5xP8oagkfjnhPnMvQP o8zGLGHQFLyshzEq08vlTnTc0dRdJl/iQpLqqIM+fqz0bdsWsxMxxrOX7pv7yrFpN7P4541Ufy5F DhdQXeRpjPD9/cQq4SZtGGY5qoUV1zY61c4AmTURUyPO9PlBxSzzCoE87uDuFzbJ9L8xR1EnTuhK bxygrqu9KMBMlWWaMyL0RtrN/IT8WQyzMJZm/vnaRUDc57mgqqWj/ayq/lDVHHtmnXv5I11ATFhO 1Qg2KF9DoHMWx8tuqtwqGuncUOGtwagVkw0Vbmg+UsI7pX/kXNcCWaSWMaL1HXMrx4YBIUZCu5Xc yJse6WlnRRa0aejPa+o9n3w6DRt2fT4DVyHvvLSQ/w+Wx1bCGKxQYKSxgH0opbPtu97GaJbULJY6 q9NEuDv/qJ6Fp2hJ2sURBXl3wqU7cFBkwX+dkI5jIEX9STvvU1afsAfaaVZGX7wEeG8BUjj3pvul XpeNHM8KHZZ2doVmPtaks37YfDKwdnWV2hheQjZXroNlauLz9XyaSjNVYlKLjT7FNNjjhr+N8UeP 4MKYcns361u+Bcu07/40PAl+8CPLrZoV6WVW9TIAlh9bovCHd4masdQT6fiRfnuVnOXk0+WrvOis is0wOJ+VRvhqeCWwG9fn3PKOi4mwyWVOr/nQJICqoFPC20pdbgAQmnyt3s287FtipncrPBkypSL0 ongkIJuMK8IJ2TZrwl2skiS2+VLtyl2rEdsgdsp/ooxu7937MT1LkCIWkTJksy2UjhF5xqwVSwtv Xuxs0gSuBNU4VoJRHMNC8u6uymcTrL/7Ewal0uZsvT/718JMgGravdqGRIWnM2JeKy+fSdpTu6xv HHrGIeCTjpDq4jCy8iypbop6E2EIzB29uiI/PaMt+dUzcvMGw1VPFvzppVjLjRIEx3fI0MErY7rb Zq2gBxO788M+q8x2TXsGheIcPuFjXsgXPy2bDH+iy19aGWX3gQwbR7gj0lpxHBkfFCgIzLUoMIMk t36XadDHl/d08fBucNOxNNNRwFUl0VTloFPTQB+GnTsxPVWmTlqD/ln2WiE1BMA2iqW1SyiPP/bg KkQ/RPjXxHY9rvvkLPnxyQ+KVMD4lNYfaFSmw/5reqtyCq9or3aPyY87sAbI1sFq71qLVJ68Owgf i9KifoednDH0IWzAZLqRRMrRH7GJhIUT7ibR557gj2Cib67rYLoflVe16aDHcE0LuzJARNcPFJ+5 sVbHjO/5XMA0e/Mh7u3gyqzLftxlzPS67vip79mkgcFyaagFHFy/OKM/SsKThZgbtMnaqPYmtp4n uxlQYbP6C1i6BwJNE45/LyKTh6qw/03fbUfo+74pFr+9KiY2AjoxfLOWVYyP5JLMLyKCmFy4jH+E aYZNjNCL6XZ01lCAoOaBZ2500JkisktPEylzZnhJyKK4AiSpSaYGkVq/9rf/53g8cqUAGv152Fsg Do8voKXU7H6tPXV9FLnGXa3F/A9BzrUaHjRtyaP1mAoG1h+e5IJmtVGGubmIQP+nRQr63vcGa7F7 3Jr+nn7vU0osikQEescW/OS4LEE24yPxYyyZEre5ndAAqYj8ASkcMc+YprLumU80E83zc253Hc9l yHhs7SzIfStUKGO12vbceuDL/39SdeOTrpf1VuXfQhMdK94V3zdyB/K4OfHIBGdl84OQng8cpxWa GGfM+KrVoNqi1C/DtNo6O6KNNeSUCPKnLDF7ExLelggYBo+9isDu/O2vZ4LAlgLgAhjKbRlg0f9e XAfWpvuWbwe23RmQg84Uyb40H33sOcTt1IfiokEKsYtiq8Er6exkQxKeNJd+Rw9BBhXqKIR09DUZ n2od4x8rkXkALy7m8QsHO5t1RZs8QmBdvWiulZTJ3xIFbWAAE60X5ASRR8+87TLLS5ykCIO7Hks+ fUOJyVy33RErpt8ChRoPQHr9RPd7VArDcQKeWnvOG2w06QJNvKOIfClIlvJQW1oIq16PQyczwZKU d9vVMW4zbNg/9+VC2GpUn0ez9gm3UHl0JDIlK7DJJyCah1qyMV9ZdnkvQe1Fejf0v+M8jA3LJpTb 5bbH5EFJcnydlMPijx9i4pyuvCbVAKLWfYttRm/Dzx/mTe19D4kYcC44FrI/YqG60Xq4veDiNRkQ pQkRLe8sJnnxbgTWw9fvtWxB0GPlvyis8Y5YY1uiI57RNftr0hrYQRsJxt6xlQR8BNh0OBTIEk06 6QiWRMPKYZV2RW2MXCiEmrHmvj4WxSzF9mwE+ERequB+bcUqr0rEtxryIy/4gWf1ivGiv/LWdSp6 F2g5HHyNSPJMyt+KdY08uNZJJ3VnZPtWCwwkPo/BQC7HAPoIOGerAg5LrlOlYFEp7AEwCJFEB6fK a++jJqLft5bn2YvT5nuKlg5yXin+jM7dmiDxTClB0E8bY3dppRSo9L95G3a+/9x8jNp0VsebmltF uC4g9M3lrqsuXBkW/Mwm8hqwy5vbkza0vNvhQhpZiUpK5pQ9KY+NAPBEOAl0lGi4t3q5vh5+0LbM P6z61nNFciGoT/Wp/E4CSiMEqD5rB2cYx5gs0Z9gLKJA+ykznYkT1c9nWnHpHkYnXFIquExl1us1 3f+LUaECJ6Mr8KvrM6UIccFCXp5qF37HzuEcrONTbKXLuJVst6KJJHx0BuwfTdi9YLPq1lLJjVfS 2XTdo7A6Ay8DjOTgQS93jNrlZbdGtGVe6u3vcB5sALPaOt1VchdPJN0/tZpJf8pvgaowTKd6MFzG pr2cRT3+jaGEwvQhTmCC7a8Jyqkx3p2gXiVz1nljSfbPA76jPPxIQ0ylwMapfQ33/8nr14Emi2rc r1tsMxmWz0nzJHuITEqeZnkpCIHKUULW9Lri/vJ7KlvvfGx7Fjj/NP5792i3iSv6RsnIzwPTp2r7 14GHFyiJ5y0GW+Y1pf4Swkh8PNZbVEXCNnmtNwgE0WdJZyxIDbXElv/HX7ObFETG4MawOnqlfdya aK5Jj0T2vzfuP/xOfkdh5LjZD/4rmrz/R8OhGHltbJDKPAdlR94khxyH/oTGo9jPyTbDhbbf3n/m uWDYCUjwzZgOOLrx6GqYe+CBTUOPhMbXwppkChimSp+t5tY/BaBrNN4jpHDEwj87FU/oogfozKOi 5+Dw9WUfyQ6z/0d5UUdiVKc3/1VOw/QhgSiied3sOgR3ZK0IPyNKvI9eaIA1hN2ApeA/yD4l+bNZ WRNPy0muQdDmA0Tu2JX8cbVhXyWAxQjwdokueSGFYOywvOjlkMZL5q+SiuneZjh7lYDemH7P7cb1 wMV9pI7bem9TaxLhyxTdQrz6c6r9h8utkjmngFtFXbILmOQR9yw3s5LItAUGGjNYcP4B13IDzGCY SS9grRNU5pUV4LH0dBsReUvrFEvtL2M12xOafs/6ae0wN428UrUKONfR00CgA5KOA4nqx3OZB+aO iWgKHzDHHgjtbqbRQEva5GRD6++qmcFLV7LC4yoVYiSeLH6d8JfJR8fJ3Gism6DfitfsL+RN/Xsg +T/7M0AK/426W4t8q58qkiBgLqBnZp/0AhS/QdmDWReIMpiCxsgA+A9VXzcd2uGlZf+13n12nXMq 0vbEGw696l2jFXzOdVbtVcvHeu0Gt3cjhMEzif/sR9LzV+lKiAwB35rSzrhUI/f/+gHu+zbHDjPt QTwLDnQc92+Yxd4togxd1f+ZX3xNqd2Yb4csyetdytgMC+xP7dCpKB5N3njnsvPnv2TgT+/J2Tgm ppi89XbcO+tPQ+3fjqxOTUkKgyEiPc4a3Q5b0RdO+fAwFo2nlKHyr9NxLBA9G9y+KzKzb3ZErjUm IS8BYvacneHSKCV86dpe2YUCjUE6G4ZtzeMSeBpqW/nmp5e9/oAU13Nkdk8CG9Kd5J1jVD6KErlk w40J2vTlAWHwTHky81s9fKdYbJthxZPMxqQWu7jshCI7ZLYNTBGPAQeGf3g+ryILYWXB0g9JHWHk 9G7zOY8sZXG6savkBQ79q4520hxrJL06AyaLIn56+PY6kSodXb04VnSqr1GOySEryXWudIPE/el3 JxsHBvhuus5zoZcQgC1piB/1CAvhktl6ZkqaQk9HPTrdz0weFzAUs16koZhlHCJNbrjhayBYMcA0 5PkJ4U3Owh/6pT0RlNBvX8OIxLV9CvstvC1o5IcFz6ybXsxiaFHUR3E0klvZGTqJanzOL5tOEndW sjdir6dVdIqmHvHbSNgzN3YjCv4ZJaeYEjm2fmw1PTkVOX91shMg5i+cXA5bz+dO9IMt3RkDJWH7 1o3DNSUCbJRyhtWlVwJff1Dg//P+T0H4UJcMz/n897adSAIhTsqNIGDZeWGTgmHxTsx+w4BCpkJl Z/ZDTt7SOBuyk401mx4wpqxzFSgryqFI0lQhdi4q/qT+TTpmLGceD5dkJ88f81T3mBrdRW2U/iCx IkQsJyLF8vDDbct6go6wt0BYuHYCwzUBBpyIt+gqNvH4pCbqZ4zOjEMdPfzQGT/WslOx45xxJ9qJ vPR6olwZKroo0ovfzJH+yoye4K6j7sk8QrqlY6sacK5KBsuiMm5MX44azjVdHKrM5lry15bfDzlC o5UQ2NoVe5BOzQ0JgeyVcgL5blpRF+HrZuOKG5RJh3ttrey2tWeiT2P/eV6GgvBK2gPSuE0TruHD 6L0wmHLvvCvlwk6aKhN563q/JDpFqXviWWkRFOMSr3+cgQSnxBd5iG7Z2OlkvADfllNF7d5nbNIz Bamk6CksEWA5wtymSBPEPSSj9K4mhiqZ8zCK74NYweuR2wtG2E4GH96S72yLtBqkyX+Gh3/gJLdX ZrVWEUDyecPNLB+L7wTvb4SGtwzwyd3ClS2NFNODoN+XQQGSOQba4E6QrdSHUX5WCfc9+p4vksKH skPrGY+/yQuGXB4fq+lq6gqD5jTvCiOYsXo94XPAKJZx/Xqzc35oXYleRvV7gL1oA/ciGW40pkZf AE/aeC2Fg+nGtuT7Kn6DAEJ/Npaja7IMJOMD2mCO0mT+eW84QP0sPuZ0dbeBU04qyun54fzAMMG5 iCkn16BxDGieOqJBaCpo7cm9QBmLvGPGnSDRpXKJcabYjyTNM4HhYjL6OTjPz9af6IWyOXhmJEnj 9vlALcJlkWHjE43Yo5UZ7soIfqbbiPw7UvLmlw5UxLw+DVlcgrwNGzkKsm0r8UFeJV3NfsoloNzn lUtjzoI4GjcNBS0KI0mXrxAp1KTQV88P58eEE2AVLQVtfMU/m9afgRYoIaj5IUegyNsIcNHUnZuR hji/A1K9XRsj7usxkF67B2+fLc/VqZK3h2B7VFsPYnyASszI6OdQ3Z+uocc5dP9zhcwgWB1wWpOk l0n0fh2Xf8C07SFFFDzAfpi8VFCOT97aBCm3qNIrJqwH4QPqdUTngFR0gGHZJOvMJWGD4n6CBHGi UwvIjb4ooTvrE8KlbLIqrX8iUzI14ZdZzHK3uVboqzlZceVV2rdshLjg0xJkU5YaqqQBnfzWxlW0 OlmVRy7CuuDECg6B3yg4Lg+GwK17TipKhuDgb4iH3SMmoNnFx6jFcRLJVs/p9pmWe5Ni6qcCbCpX W3j5D2jEa4nJlC2gfKyqOkw+E+R+HPY1KpbqVyxYZ+Mdu7we4N5m8DTQFKnlKWBFe+/gNEkbdS7L Ek3790GYhr0tNtCsRxy8VkpnL2j12nAMXK0wB7bq0nFemV8vPiGa5SX7PUYeQ7wSmuHErHP++MyI yDsDA1jeFGSs1HS4HxNKrOCOuQxEokqF+ZK1TL+yjkuDAIaijoMzczupzwtiK/eBkeUA560IxQMY J2BS9DWa5dc4pUsHkL4HLnMMJjO2pYWFHb9K0qQTzHoU8IwS5s9VcMkQ6HMUsmjKTsls7k8Cs70G Su83+ftLbi7lkoTJ60NMaIXRZPLIdhLbLZJhk0Nmzd4g+Xjf1O0DvE8T24uj86tmuJwmojYrcqOg IutOdV0ME+R4iH0M6pGO5+3jcmW4QuJoouN6Iv/EiIXysW4eE9+Z4xmpwXv6+PwUwy5FFWvs5A1i P90zwentFKMJRAL5GjjO9q6oxhENv6cc4KKP/+GEaxeoeX5u+pkQFl6Dl41xSAbqHavlivtGkrIY 0YH4pYSGVESzz27zre/hrpwzCKub8OHM/XzXATyoBsvU2SfECVrjEqtt2gkwSzmkb4I00UUycoOU JGpivQaCNfsD4t/NV8loV0J79n8l0Sisnm/LjFIuzLaiS6xDUW8Qehe/QRruiODG4isTyb7Mxnvl lrIfOWBQ3UVestkjCcd95daXHWelt8E3CD9XVfzYHAozi9l6Ei+3du4ZMSyk29jw8RogyxCXUi+1 m4T53B1UcN4f4SkDvDqWeoaiBnoiw4C/0L1Uu72RH1fOGfJEAzHgKettI994AY/PiYTAptu0kRaI YDnoBM8qByAXr92Myp22+nmWEesySepagVhzrk072aggivlPc7OkP+jhTYQFOliSfSnhujUvKLXf TWt1b5Y3f1YYJn3SBqbZ1NhmfZYMz/qHtEdGYo4btQW4PKB4MHUZ7ppWnIKk+gU7m91Fxx8hs4t2 qnUNvHr8jD4iJEv4tXI56Y0zgDW6kAUVHY9/OK7iZEsKIfnFJUfT6rZH8hNqnd8thO4cLSyFKRph yWYxF2+j4kdrGvYngQG3M8yKJvNN2s4IJukqC8rl61m7AFuFeRWzMBHMqOIo1u8gXpBs4YipnbnB p/ol+Z5pN4XpVxzTCx+/frbJIrCRhKpk3jZEc6iGWzkOXEq/08wUGcpGvuHS5JgjpubRY2DTBwjH 7KihuAsl18MxI2QUncHposvB9QdrC+p5szzD9OBr8CO5d0RaFmyWo24Ksuxdyy2vPwXFirx3Sj0q e5u9BWjX/w+VuxMzXTvEhQR5pnnd7SetVSyZuqDasDaw2pifimOhxmEYHlh3rjgD2TvpnaJjL503 yb4S+04BldFmEfIlghfDJKcf52JZPNSJdCLnanox8pg6Jv0UasKmTbgHlNdtTle9zkZxjbYJ8UJI 3gXOSaUMVDoZMJkQUieAjX7T7PipEbnHEvRyLjovyLXnXD3KB7yiZ02NtHAwMRXtIG0CpbyOKIrs cBgeGxfxDawhTo45fGMchw== `protect end_protected
-- ------------------------------------------------------------- -- -- Entity Declaration for vgca_top -- -- Generated -- by: wig -- on: Wed Aug 18 12:40:14 2004 -- cmd: H:/work/mix_new/MIX/mix_0.pl -strip -nodelta ../../bugver.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: vgca_top-e.vhd,v 1.2 2004/08/18 10:46:55 wig Exp $ -- $Date: 2004/08/18 10:46:55 $ -- $Log: vgca_top-e.vhd,v $ -- Revision 1.2 2004/08/18 10:46:55 wig -- reworked some testcases -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.45 2004/08/09 15:48:14 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.32 , wilfried.gaensheimer@micronas.com -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- Generated use statements library analog_hw; use analog_hw.analog_pack.all; library vgca_adclib; use vgca_adclib.vgca_adclib_components.all; library vgca_cadclib; use vgca_cadclib.vgca_cadclib_components.all; library vgca_daclib; use vgca_daclib.vgca_daclib_components.all; -- -- -- Start of Generated Entity vgca_top -- entity vgca_top is -- Generics: -- No Generated Generics for Entity vgca_top -- Generated Port Declaration: -- No Generated Port for Entity vgca_top end vgca_top; -- -- End of Generated Entity vgca_top -- -- --!End of Entity/ies -- --------------------------------------------------------------
-- Copyright (C) 2014 Roland Dobai -- -- This file is part of ZyEHW. -- -- ZyEHW is free software: you can redistribute it and/or modify it under the -- terms of the GNU General Public License as published by the Free Software -- Foundation, either version 3 of the License, or (at your option) any later -- version. -- -- ZyEHW is distributed in the hope that it will be useful, but WITHOUT ANY -- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS -- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more -- details. -- -- You should have received a copy of the GNU General Public License along -- with ZyEHW. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.zyehw_pkg.all; entity pe_mux is port ( clk: in std_logic; sel: in mux_sel_t; input: in mux_input_t; o: out cgp_t ); end pe_mux; architecture behav_pe_mux of pe_mux is component mux1b_16_to_1 is port ( clk: in std_logic; sel: in std_logic_vector(3 downto 0); input: in std_logic_vector(15 downto 0); o: out std_logic ); end component; subtype mux16_input_t is std_logic_vector(15 downto 0); type input_arr_t is array (cgp_t'range) of mux16_input_t; signal input_arr: input_arr_t; begin input_arr_row: for i in cgp_t'range generate input_arr_col: for j in 0 to mux_input_t'high generate input_arr(i)(j) <= input(j)(i); end generate; input_arr_zero_col: for j in mux_input_t'high+1 to mux16_input_t'high generate input_arr(i)(j) <= '0'; end generate; end generate; mux_gen: for i in cgp_t'range generate mux_i: mux1b_16_to_1 port map ( clk => clk, sel => sel, input => input_arr(i), o => o(i) ); end generate; end behav_pe_mux;
-- L2 Cache constant CFG_L2_SIZE : integer := CFG_L2_SZ; constant CFG_L2_WAYS : integer := CFG_L2_ASSO; constant CFG_L2_HPROT : integer := CONFIG_L2_HPROT; constant CFG_L2_PEN : integer := CONFIG_L2_PEN; constant CFG_L2_WT : integer := CONFIG_L2_WT; constant CFG_L2_RAN : integer := CONFIG_L2_RAN; constant CFG_L2_SHARE : integer := CONFIG_L2_SHARE; constant CFG_L2_LSZ : integer := CFG_L2_LINE; constant CFG_L2_MAP : integer := 16#CONFIG_L2_MAP#; constant CFG_L2_MTRR : integer := CONFIG_L2_MTRR; constant CFG_L2_EDAC : integer := CONFIG_L2_EDAC;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_dma_0_wrapper_fifo_generator_v9_3_1_dverif.vhd -- -- Description: -- Used for FIFO read interface stimulus generation and data checking -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_dma_0_wrapper_fifo_generator_v9_3_1_pkg.ALL; ENTITY system_axi_dma_0_wrapper_fifo_generator_v9_3_1_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE fg_dv_arch OF system_axi_dma_0_wrapper_fifo_generator_v9_3_1_dverif IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL data_chk : STD_LOGIC := '1'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL pr_r_en : STD_LOGIC := '0'; SIGNAL rd_en_d1 : STD_LOGIC := '1'; BEGIN DOUT_CHK <= data_chk; RD_EN <= rd_en_i; rd_en_i <= PRC_RD_EN; rd_en_d1 <= '1'; data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE ------------------------------------------------------- -- Expected data generation and checking for data_fifo ------------------------------------------------------- pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst2:system_axi_dma_0_wrapper_fifo_generator_v9_3_1_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => RD_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_r_en ); END GENERATE; PROCESS (RD_CLK,RESET) BEGIN IF(RESET = '1') THEN data_chk <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF(EMPTY = '0') THEN IF(DATA_OUT = expected_dout) THEN data_chk <= '0'; ELSE data_chk <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE data_fifo_chk; END ARCHITECTURE;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity indices_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end entity; architecture rtl of indices_if_ap_fifo_uw is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype; signal mInPtr, mNextInPtr, mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0); signal internal_empty_n, internal_full_n : STD_LOGIC; signal internal_use_word : STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0); begin mNextInPtr <= mInPtr + 1; if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; use_word <= internal_use_word; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); internal_use_word <= (others => '0'); else if clk'event and clk = '1' then if if_read = '1' and internal_empty_n = '1' then mOutPtr <= mOutPtr + 1; end if; if if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; mInPtr <= mNextInPtr; end if; if (if_read = '1' and if_write = '0') then internal_use_word <= internal_use_word - '1'; elsif (if_read = '0' and if_write = '1') then internal_use_word <= internal_use_word + '1'; end if; end if; end if; end process; process (mInPtr, mOutPtr, mNextInPtr) begin if mInPtr = mOutPtr then internal_empty_n <= '0'; else internal_empty_n <= '1'; end if; if mNextInPtr = mOutPtr then internal_full_n <= '0'; else internal_full_n <= '1'; end if; end process; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity indices_if_plb_master_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3 ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete PLB_Clk : in std_logic; PLB_Rst : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_busLock : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to 1); M_priority : out std_logic_vector(0 to 1); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); PLB_MBusy : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); -- signals from user logic BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data BUS_address : in std_logic_vector(31 downto 0); -- physical address BUS_size : in std_logic_vector(31 downto 0); -- burst size of word BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8-1 downto 0); -- Bus write data byte enable BUS_req_full_n : out std_logic; -- req Fifo full BUS_req_push : in std_logic; -- req Fifo push (new request in) BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type BUS_rsp_empty_n: out std_logic; -- return data FIFO empty BUS_rsp_pop : in std_logic -- return data FIFO pop ); attribute SIGIS : string; attribute SIGIS of PLB_Clk : signal is "Clk"; attribute SIGIS of PLB_Rst : signal is "Rst"; end entity; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of indices_if_plb_master_if is component indices_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end component; component indices_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end component; constant PLB_DW : integer := C_PLB_DWIDTH; constant PLB_BYTE_COUNT : integer := PLB_DW/8; constant REQ_FIFO_WIDTH : integer := 1 + PLB_BYTE_COUNT + 32 + 32; --nRW + BE + 32 bits phy addr + size constant FIFO_ADDR_WIDTH : integer := 5; constant FIFO_DEPTH : integer := 32; -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); -- burst write counter (only push burst data in and ignore all burst write request except the first one) signal req_burst_write: STD_LOGIC; -- whether last request is a burst write signal req_burst_write_counter: STD_LOGIC_VECTOR(31 downto 0); -- write data FIFO (for bus write data) signal wd_fifo_empty_n : STD_LOGIC; signal wd_fifo_pop : STD_LOGIC; signal wd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_dout_mirror : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_full_n : STD_LOGIC; signal wd_fifo_push : STD_LOGIC; signal wd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); -- read data FIFO (for bus read returned data) signal rd_fifo_empty_n : STD_LOGIC; signal rd_fifo_pop : STD_LOGIC; signal rd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_full_n : STD_LOGIC; signal rd_fifo_push : STD_LOGIC; signal rd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); signal req_address : std_logic_vector(0 to C_PLB_AWIDTH -1);-- bus request word address signal req_fifo_dout_req_size : std_logic_vector(31 downto 0); -- req_size -1 signal req_size : std_logic_vector(0 to 27); -- burst size of 16 word block signal request, req_nRW: std_logic; signal req_BE : std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal pending_rd_req_burst_mode: std_logic; signal pending_rd_req_burst_size: std_logic_vector(3 downto 0); signal pending_wr_req_burst_mode: std_logic; signal pending_wr_req_burst_size: std_logic_vector(3 downto 0); signal pending_read, pending_write: std_logic; signal burst_mode, burst_last : std_logic; signal burst_size : std_logic_vector(3 downto 0); -- maximum burst 16 words --signals for write data mirror signal conv_mode_comb : std_logic_vector(1 downto 0); -- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal conv_counter_comb: std_logic_vector(1 downto 0); signal wr_data_phase : std_logic; signal dataConv_last: std_logic; signal dp_dataConv_last: std_logic; signal dp_dataConv_word_addr: std_logic_vector(1 downto 0); signal dp_dataConv_wd_conv_mode : std_logic_vector(1 downto 0); -- 00:NO conv, 01:128/32, 10:64/32, 11:128/64 signal dp_dataConv_wd_burst_counter: std_logic_vector(1 downto 0); signal dp_dataConv_wd_BE: std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal dp_PLB_MSSize : std_logic_vector(1 downto 0); --signals for read data mirror signal PLB_MRdDAck_reg : std_logic; signal dp_dataConv_rd_conv_mode : std_logic_vector(1 downto 0);-- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal dp_dataConv_rd_burst_counter, dp_dataConv_rd_burst_counter_reg: std_logic_vector(1 downto 0); signal PLB_MRdDBus_reverse : std_logic_vector(PLB_DW-1 downto 0); -- signals with dp_ prefix stand for data phase signals -- signals with req_ prefix stand for request phase signals begin -- interface to user logic BUS_RdData <= rd_fifo_dout; BUS_req_full_n <= req_fifo_full_n and wd_fifo_full_n; BUS_rsp_nRW <= '0'; BUS_rsp_empty_n <= rd_fifo_empty_n; -- interface to PLB M_abort <= '0'; M_busLock <= '0'; M_lockErr <= '0'; M_MSize <= "01"; -- 00:32b dev, 01:64b, 10:128b, 11:256b M_size <= "0000" when (burst_mode = '0' or burst_size = "0000") else "1011"; -- single rw or 64 bits burst M_type <= "000"; -- memory trans M_priority <= "00"; M_RNW <= not req_nRW; M_rdBurst <= '1' when pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /="00") else '0'; process (PLB_MSSize) begin M_wrBurst <= '0'; if (pending_wr_req_burst_mode = '1' and (pending_wr_req_burst_size /= "0000" or dp_dataConv_wd_burst_counter /="00")) then M_wrBurst <= '1'; elsif (request = '1' and req_nRW = '1' and pending_write = '0' and burst_mode = '1' and burst_size /="0000" and wd_fifo_use_word > burst_size) then M_wrBurst <= '1'; end if; end process; -- write data mirror section process (PLB_MSSize) begin if (C_PLB_DWIDTH = 64 and PLB_MSSize = "00") then conv_mode_comb <= "10"; -- conv 64:32 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "01") then conv_mode_comb <= "11"; -- conv 128:64 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "00") then conv_mode_comb <= "01"; -- conv 128:32 conv_counter_comb <= "11"; else conv_mode_comb <= "00"; -- do not need conv conv_counter_comb <= "00"; end if; end process; process (burst_mode, burst_size, conv_mode_comb, req_address, req_BE) begin dataConv_last <= '0'; if (burst_mode = '0' or burst_size = "0000") then if (conv_mode_comb = "00") then -- no conv dataConv_last <= '1'; elsif (conv_mode_comb = "10") then -- 64:32 conv if (req_address(29)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "11") then -- 128:64 conv if (req_address(28)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "01") then -- 128:32 conv if (req_address(28 to 29) = "00" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT*3/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "01" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "10" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT*3/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "11") then dataConv_last <= '1'; end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_word_addr <= (others => '0'); dp_dataConv_wd_conv_mode <= (others =>'0'); dp_dataConv_wd_burst_counter <= (others => '0'); dp_dataConv_wd_BE <= (others => '0'); dp_dataConv_last <= '0'; wr_data_phase <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then dp_dataConv_wd_BE <= req_BE; dp_dataConv_last <= dataConv_last; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and (PLB_MWrDAck = '0' or (burst_mode = '1' and burst_size /= "0000"))) then wr_data_phase <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if ((pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0')) then wr_data_phase <= '0'; end if; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and dp_dataConv_wd_conv_mode = "00") then if (PLB_MWrDAck = '0') then -- only AddrAck asserted dp_dataConv_wd_conv_mode <= conv_mode_comb; dp_dataConv_word_addr <= req_address(28 to 29); dp_dataConv_wd_burst_counter <= conv_counter_comb; else -- Xilinx PLB v4.6 support assert addrAck & wrDAck at the same cycle if (dataConv_last = '0') then dp_dataConv_wd_conv_mode <= conv_mode_comb; end if; if (PLB_MSSize = "00") then -- 32 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +1; elsif (PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +2; end if; if (conv_mode_comb /= "00") then -- need conv dp_dataConv_wd_burst_counter <= conv_counter_comb -1; end if; end if; end if; if (wr_data_phase = '1' and PLB_MWrDAck = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0' and dp_dataConv_last = '1'))) then dp_dataConv_wd_conv_mode <= "00"; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if (dp_PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= dp_dataConv_word_addr +2; else dp_dataConv_word_addr <= dp_dataConv_word_addr +1; end if; if ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size /= "0000") or dp_dataConv_wd_burst_counter /= "00") then if (dp_dataConv_wd_burst_counter = "00") then if (dp_dataConv_wd_conv_mode = "01") then -- 128/32 dp_dataConv_wd_burst_counter <= "11"; elsif (dp_dataConv_wd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_wd_burst_counter <= "01"; end if; else dp_dataConv_wd_burst_counter <= dp_dataConv_wd_burst_counter -1; end if; end if; end if; end if; end process; process(PLB_MWrDAck, wr_data_phase, dp_dataConv_wd_burst_counter, burst_mode, conv_counter_comb, conv_mode_comb, req_BE) begin wd_fifo_pop <= '0'; if (PLB_MWrDAck = '1') then if (wr_data_phase = '1') then if ((pending_wr_req_burst_mode = '1' and dp_dataConv_wd_burst_counter = "00") or (dp_dataConv_wd_conv_mode /= "00" and dp_dataConv_last = '1') or dp_dataConv_wd_conv_mode = "00" )then wd_fifo_pop <= '1'; end if; else -- got addrAck and wrDAck at the same cycle if (burst_mode = '1' and burst_size /= "0000" and conv_counter_comb = "00") then wd_fifo_pop <= '1'; elsif ((burst_mode = '0' or burst_size = "0000") and dataConv_last = '1') then wd_fifo_pop <= '1'; end if; end if; end if; end process; process(wd_fifo_dout, wr_data_phase, req_address, dp_dataConv_wd_conv_mode, dp_dataConv_word_addr) begin wd_fifo_dout_mirror <= wd_fifo_dout; if (wr_data_phase = '0') then -- we do not know slave bus width, perform default convert if (C_PLB_DWIDTH = 32) then wd_fifo_dout_mirror <= wd_fifo_dout; elsif (C_PLB_DWIDTH = 64) then if (req_address(29) = '0') then wd_fifo_dout_mirror <= wd_fifo_dout; else wd_fifo_dout_mirror(PLB_DW/2-1 downto 0) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); wd_fifo_dout_mirror(PLB_DW-1 downto PLB_DW/2) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); end if; elsif (C_PLB_DWIDTH = 128) then case req_address(28 to 29) is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; else -- in data phase wd_fifo_dout_mirror <= wd_fifo_dout; if ((dp_dataConv_wd_conv_mode = "10" and dp_dataConv_word_addr(0) = '1') or (dp_dataConv_wd_conv_mode = "11" and dp_dataConv_word_addr(1) = '1')) then -- conv 64:32 or 128:64 wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); elsif (dp_dataConv_wd_conv_mode = "01") then -- conv 128:32 case dp_dataConv_word_addr is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; end if; end process; process(wd_fifo_dout_mirror) variable i: integer; begin for i in 0 to C_PLB_DWIDTH-1 loop M_wrDBus(i) <= wd_fifo_dout_mirror(i); end loop; end process; process (request, req_nRW, pending_read, burst_mode, rd_fifo_full_n, rd_fifo_use_word, pending_write, wd_fifo_empty_n, wd_fifo_use_word, burst_size) begin M_request <= '0'; if (request = '1') then if (req_nRW = '0' and pending_read = '0') then -- read request if ((burst_mode = '0' or burst_size = "0000") and rd_fifo_full_n = '1') then M_request <= '1'; elsif (rd_fifo_use_word(4) = '0') then -- 16 words slots available M_request <= '1'; end if; elsif (req_nRW = '1' and pending_write = '0') then -- write request if ((burst_mode = '0' or burst_size = "0000") and wd_fifo_empty_n = '1') then M_request <= '1'; elsif (wd_fifo_use_word > burst_size) then M_request <= '1'; end if; end if; end if; end process; M_ABus(0 to C_PLB_AWIDTH - 1) <= req_address; process(req_nRW, burst_mode, burst_size, req_BE) variable i:integer; begin M_BE <= (others => '0'); if (burst_mode = '1') then if (burst_size = "0000") then M_BE <= (others => '1'); -- first single,then burst 16 else M_BE(0 to 3) <= burst_size; -- fixed length burst end if; elsif (req_nRW = '0') then M_BE <= (others => '1'); else for i in 0 to PLB_BYTE_COUNT-1 loop M_BE(i) <= req_BE(i); end loop; end if; end process; -- user req FIFO, for both read request and write request U_req_indices_if_fifo: component indices_if_ap_fifo generic map( DATA_WIDTH => REQ_FIFO_WIDTH, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_push <= BUS_req_push and not req_burst_write; req_fifo_din <= BUS_req_nRW & BUS_req_BE & BUS_address & BUS_size; req_fifo_dout_req_size <= req_fifo_dout(31 downto 0) -1; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then req_burst_write <= '0'; req_burst_write_counter <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (req_fifo_push = '1' and BUS_req_nRW = '1' and BUS_size(31 downto 1) /= "0000000000000000000000000000000") then req_burst_write <= '1'; req_burst_write_counter <= BUS_size - 1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write = '1') then req_burst_write_counter <= req_burst_write_counter -1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write_counter = X"00000001") then-- last burst write data req_burst_write <= '0'; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then request <= '0'; req_size <= (others => '0'); req_nRW <= '0'; req_address(0 to C_PLB_AWIDTH - 1) <= (others => '0'); burst_mode <= '0'; burst_size <= (others => '0'); req_fifo_pop <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then req_fifo_pop <= '0'; if ((request = '0' and req_fifo_empty_n = '1') or PLB_MAddrAck = '1') then if (PLB_MAddrAck = '1' and (burst_mode = '0' or burst_size ="0000") and dataConv_last = '0') then request <= '1'; if (conv_mode_comb(1) = '1') then -- 2:1 conv req_BE(PLB_BYTE_COUNT/2-1 downto 0) <= (others => '0'); else -- 128:32 if (req_address(28 to 29) = "00") then req_BE(PLB_BYTE_COUNT/4-1 downto 0) <= (others => '0'); elsif (req_address(28 to 29) = "01") then req_BE(PLB_BYTE_COUNT/2-1 downto PLB_BYTE_COUNT/4) <= (others => '0'); elsif (req_address(28 to 29) = "10") then req_BE(PLB_BYTE_COUNT*3/4-1 downto PLB_BYTE_COUNT/2) <= (others => '0'); end if; end if; if (PLB_MSSize = "00") then -- 32 bits slave req_address <= req_address + 4; elsif (PLB_MSSize = "01") then -- 64 slave req_address <= req_address + 8; end if;-- 128 bits slave does not need conversion cycle elsif (PLB_MAddrAck = '1' and burst_mode = '1' and burst_last = '0') then request <= '1'; -- req next burst section, this will be pending until previous burst finished req_size(0 to 27) <= req_size(0 to 27) - 1; req_address(0 to C_PLB_AWIDTH - PLB_ADDR_SHIFT - 1) <= req_address(0 to C_PLB_AWIDTH -PLB_ADDR_SHIFT -1) + burst_size +1; req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); -- low bits of addr must be reset for possible data_conv modifications of 10 lines above burst_mode <= '1'; burst_size <= "1111"; -- burst 16 words else if (req_fifo_empty_n = '1') then req_fifo_pop <= '1'; end if; request <= req_fifo_empty_n; -- fetch next user_req, may be a vaild req or a null req req_size(0 to 27) <= req_fifo_dout_req_size(31 downto 4); --remaining burst transfer except current one req_nRW <= req_fifo_dout(REQ_FIFO_WIDTH-1); req_BE <= req_fifo_dout(REQ_FIFO_WIDTH-2 downto 64); req_address <= req_fifo_dout(63 downto 32); if (req_fifo_dout(REQ_FIFO_WIDTH-1) = '0') then -- read request req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); end if; -- long burst request will be split to 1stReq: 1-16 words, all next req: 16 words if (req_fifo_dout_req_size /= X"00000000") then -- more than 1 word, burst burst_mode <= req_fifo_empty_n; -- fetched req may be null req -- req of burst 17 will be single + burst 16, please check burst_size also else burst_mode <= '0'; end if; burst_size(3 downto 0) <= req_fifo_dout_req_size(3 downto 0);-- 0:single, 1-15: burst 2-16words end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_read <= '0'; pending_write <= '0'; dp_PLB_MSSize <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00") or (pending_rd_req_burst_mode = '0'))) then pending_read <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='0') then pending_read <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or pending_wr_req_burst_mode = '0')) then pending_write <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='1' and (PLB_MWrDAck = '0' or burst_size /= "0000")) then pending_write <= '1'; end if; if (PLB_MAddrAck = '1') then dp_PLB_MSSize <= PLB_MSSize; end if; end if; end process; process(req_size) begin if (req_size(0 to 27) = "000000000000000000000000000") then burst_last <= '1'; -- one request is ok else burst_last <= '0'; end if; end process; -- user write data FIFO, for data of bus write request U_wd_indices_if_fifo: component indices_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => wd_fifo_empty_n, if_read => wd_fifo_pop, if_dout => wd_fifo_dout, if_full_n => wd_fifo_full_n, if_write => wd_fifo_push, if_din => wd_fifo_din, use_word => wd_fifo_use_word ); wd_fifo_push <= BUS_req_push and BUS_req_nRW; wd_fifo_din <= BUS_WrData; -- returned bus read data fifo U_rd_indices_if_fifo: component indices_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => rd_fifo_empty_n, if_read => rd_fifo_pop, if_dout => rd_fifo_dout, if_full_n => rd_fifo_full_n, if_write => rd_fifo_push, if_din => rd_fifo_din, use_word => rd_fifo_use_word ); process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_rd_conv_mode <= (others =>'0'); dp_dataConv_rd_burst_counter <= (others => '0'); dp_dataConv_rd_burst_counter_reg <= (others => '0'); PLB_MRdDAck_reg <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0' and dp_dataConv_rd_conv_mode = "00") then dp_dataConv_rd_conv_mode <= conv_mode_comb; dp_dataConv_rd_burst_counter <= conv_counter_comb; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter = "00")))then dp_dataConv_rd_conv_mode <= "00"; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /= "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter /= "00")))then if (dp_dataConv_rd_burst_counter = "00") then if (dp_dataConv_rd_conv_mode = "01") then -- 128/32 dp_dataConv_rd_burst_counter <= "11"; elsif (dp_dataConv_rd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_rd_burst_counter <= "01"; end if; else dp_dataConv_rd_burst_counter <= dp_dataConv_rd_burst_counter -1; end if; end if; dp_dataConv_rd_burst_counter_reg <= dp_dataConv_rd_burst_counter; PLB_MRdDAck_reg <= PLB_MRdDAck; end if; end process; rd_fifo_push <= '1' when PLB_MRdDAck_reg = '1' and dp_dataConv_rd_burst_counter_reg = "00" else '0'; process(PLB_MRdDBus) variable i: integer; begin -- change to little endian for i in 0 to C_PLB_DWIDTH-1 loop PLB_MRdDBus_reverse(i) <= PLB_MRdDBus(i); end loop; end process; process(PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then rd_fifo_din <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1') then case dp_dataConv_rd_conv_mode is when "00" => rd_fifo_din <= PLB_MRdDBus_reverse; when "10" | "11" => if (dp_dataConv_rd_burst_counter = "00") then rd_fifo_din(PLB_DW-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); else rd_fifo_din(PLB_DW/2-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); end if; when "01" => case dp_dataConv_rd_burst_counter is when "00" => rd_fifo_din(PLB_DW-1 downto PLB_DW*3/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "01" => rd_fifo_din(PLB_DW*3/4-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "10" => rd_fifo_din(PLB_DW/2-1 downto PLB_DW/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "11" => rd_fifo_din(PLB_DW/4-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when others => null; end case; when others => null; end case; end if; end if; end process; rd_fifo_pop <= BUS_rsp_pop; pending_read_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_rd_req_burst_mode <= '0'; pending_rd_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0') then if (burst_mode = '1' and burst_size /= "0000") then pending_rd_req_burst_mode <= burst_mode; end if; pending_rd_req_burst_size <= burst_size; elsif (PLB_MRdDAck = '1' and pending_rd_req_burst_mode = '1') then if (dp_dataConv_rd_burst_counter = "00") then pending_rd_req_burst_size <= pending_rd_req_burst_size - 1; if (pending_rd_req_burst_size = "0000") then pending_rd_req_burst_mode <= '0'; end if; end if; end if; end if; end process; pending_write_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_wr_req_burst_mode <= '0'; pending_wr_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then if (burst_mode = '1' and burst_size /= "0000") then pending_wr_req_burst_mode <= '1'; end if; pending_wr_req_burst_size <= burst_size; if (PLB_MWrDAck = '1') then if (conv_counter_comb = "00") then pending_wr_req_burst_size <= burst_size -1; else pending_wr_req_burst_size <= burst_size; end if; end if; elsif (PLB_MWrDAck = '1' and pending_wr_req_burst_mode = '1') then if (dp_dataConv_wd_burst_counter = "00") then pending_wr_req_burst_size <= pending_wr_req_burst_size - 1; if (pending_wr_req_burst_size = "0000") then pending_wr_req_burst_mode <= '0'; end if; end if; end if; end if; end process; end IMP;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity indices_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end entity; architecture rtl of indices_if_ap_fifo_uw is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype; signal mInPtr, mNextInPtr, mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0); signal internal_empty_n, internal_full_n : STD_LOGIC; signal internal_use_word : STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0); begin mNextInPtr <= mInPtr + 1; if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; use_word <= internal_use_word; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); internal_use_word <= (others => '0'); else if clk'event and clk = '1' then if if_read = '1' and internal_empty_n = '1' then mOutPtr <= mOutPtr + 1; end if; if if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; mInPtr <= mNextInPtr; end if; if (if_read = '1' and if_write = '0') then internal_use_word <= internal_use_word - '1'; elsif (if_read = '0' and if_write = '1') then internal_use_word <= internal_use_word + '1'; end if; end if; end if; end process; process (mInPtr, mOutPtr, mNextInPtr) begin if mInPtr = mOutPtr then internal_empty_n <= '0'; else internal_empty_n <= '1'; end if; if mNextInPtr = mOutPtr then internal_full_n <= '0'; else internal_full_n <= '1'; end if; end process; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity indices_if_plb_master_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3 ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete PLB_Clk : in std_logic; PLB_Rst : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_busLock : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to 1); M_priority : out std_logic_vector(0 to 1); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); PLB_MBusy : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); -- signals from user logic BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data BUS_address : in std_logic_vector(31 downto 0); -- physical address BUS_size : in std_logic_vector(31 downto 0); -- burst size of word BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8-1 downto 0); -- Bus write data byte enable BUS_req_full_n : out std_logic; -- req Fifo full BUS_req_push : in std_logic; -- req Fifo push (new request in) BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type BUS_rsp_empty_n: out std_logic; -- return data FIFO empty BUS_rsp_pop : in std_logic -- return data FIFO pop ); attribute SIGIS : string; attribute SIGIS of PLB_Clk : signal is "Clk"; attribute SIGIS of PLB_Rst : signal is "Rst"; end entity; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of indices_if_plb_master_if is component indices_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end component; component indices_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end component; constant PLB_DW : integer := C_PLB_DWIDTH; constant PLB_BYTE_COUNT : integer := PLB_DW/8; constant REQ_FIFO_WIDTH : integer := 1 + PLB_BYTE_COUNT + 32 + 32; --nRW + BE + 32 bits phy addr + size constant FIFO_ADDR_WIDTH : integer := 5; constant FIFO_DEPTH : integer := 32; -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); -- burst write counter (only push burst data in and ignore all burst write request except the first one) signal req_burst_write: STD_LOGIC; -- whether last request is a burst write signal req_burst_write_counter: STD_LOGIC_VECTOR(31 downto 0); -- write data FIFO (for bus write data) signal wd_fifo_empty_n : STD_LOGIC; signal wd_fifo_pop : STD_LOGIC; signal wd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_dout_mirror : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_full_n : STD_LOGIC; signal wd_fifo_push : STD_LOGIC; signal wd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); -- read data FIFO (for bus read returned data) signal rd_fifo_empty_n : STD_LOGIC; signal rd_fifo_pop : STD_LOGIC; signal rd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_full_n : STD_LOGIC; signal rd_fifo_push : STD_LOGIC; signal rd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); signal req_address : std_logic_vector(0 to C_PLB_AWIDTH -1);-- bus request word address signal req_fifo_dout_req_size : std_logic_vector(31 downto 0); -- req_size -1 signal req_size : std_logic_vector(0 to 27); -- burst size of 16 word block signal request, req_nRW: std_logic; signal req_BE : std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal pending_rd_req_burst_mode: std_logic; signal pending_rd_req_burst_size: std_logic_vector(3 downto 0); signal pending_wr_req_burst_mode: std_logic; signal pending_wr_req_burst_size: std_logic_vector(3 downto 0); signal pending_read, pending_write: std_logic; signal burst_mode, burst_last : std_logic; signal burst_size : std_logic_vector(3 downto 0); -- maximum burst 16 words --signals for write data mirror signal conv_mode_comb : std_logic_vector(1 downto 0); -- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal conv_counter_comb: std_logic_vector(1 downto 0); signal wr_data_phase : std_logic; signal dataConv_last: std_logic; signal dp_dataConv_last: std_logic; signal dp_dataConv_word_addr: std_logic_vector(1 downto 0); signal dp_dataConv_wd_conv_mode : std_logic_vector(1 downto 0); -- 00:NO conv, 01:128/32, 10:64/32, 11:128/64 signal dp_dataConv_wd_burst_counter: std_logic_vector(1 downto 0); signal dp_dataConv_wd_BE: std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal dp_PLB_MSSize : std_logic_vector(1 downto 0); --signals for read data mirror signal PLB_MRdDAck_reg : std_logic; signal dp_dataConv_rd_conv_mode : std_logic_vector(1 downto 0);-- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal dp_dataConv_rd_burst_counter, dp_dataConv_rd_burst_counter_reg: std_logic_vector(1 downto 0); signal PLB_MRdDBus_reverse : std_logic_vector(PLB_DW-1 downto 0); -- signals with dp_ prefix stand for data phase signals -- signals with req_ prefix stand for request phase signals begin -- interface to user logic BUS_RdData <= rd_fifo_dout; BUS_req_full_n <= req_fifo_full_n and wd_fifo_full_n; BUS_rsp_nRW <= '0'; BUS_rsp_empty_n <= rd_fifo_empty_n; -- interface to PLB M_abort <= '0'; M_busLock <= '0'; M_lockErr <= '0'; M_MSize <= "01"; -- 00:32b dev, 01:64b, 10:128b, 11:256b M_size <= "0000" when (burst_mode = '0' or burst_size = "0000") else "1011"; -- single rw or 64 bits burst M_type <= "000"; -- memory trans M_priority <= "00"; M_RNW <= not req_nRW; M_rdBurst <= '1' when pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /="00") else '0'; process (PLB_MSSize) begin M_wrBurst <= '0'; if (pending_wr_req_burst_mode = '1' and (pending_wr_req_burst_size /= "0000" or dp_dataConv_wd_burst_counter /="00")) then M_wrBurst <= '1'; elsif (request = '1' and req_nRW = '1' and pending_write = '0' and burst_mode = '1' and burst_size /="0000" and wd_fifo_use_word > burst_size) then M_wrBurst <= '1'; end if; end process; -- write data mirror section process (PLB_MSSize) begin if (C_PLB_DWIDTH = 64 and PLB_MSSize = "00") then conv_mode_comb <= "10"; -- conv 64:32 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "01") then conv_mode_comb <= "11"; -- conv 128:64 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "00") then conv_mode_comb <= "01"; -- conv 128:32 conv_counter_comb <= "11"; else conv_mode_comb <= "00"; -- do not need conv conv_counter_comb <= "00"; end if; end process; process (burst_mode, burst_size, conv_mode_comb, req_address, req_BE) begin dataConv_last <= '0'; if (burst_mode = '0' or burst_size = "0000") then if (conv_mode_comb = "00") then -- no conv dataConv_last <= '1'; elsif (conv_mode_comb = "10") then -- 64:32 conv if (req_address(29)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "11") then -- 128:64 conv if (req_address(28)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "01") then -- 128:32 conv if (req_address(28 to 29) = "00" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT*3/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "01" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "10" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT*3/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "11") then dataConv_last <= '1'; end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_word_addr <= (others => '0'); dp_dataConv_wd_conv_mode <= (others =>'0'); dp_dataConv_wd_burst_counter <= (others => '0'); dp_dataConv_wd_BE <= (others => '0'); dp_dataConv_last <= '0'; wr_data_phase <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then dp_dataConv_wd_BE <= req_BE; dp_dataConv_last <= dataConv_last; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and (PLB_MWrDAck = '0' or (burst_mode = '1' and burst_size /= "0000"))) then wr_data_phase <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if ((pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0')) then wr_data_phase <= '0'; end if; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and dp_dataConv_wd_conv_mode = "00") then if (PLB_MWrDAck = '0') then -- only AddrAck asserted dp_dataConv_wd_conv_mode <= conv_mode_comb; dp_dataConv_word_addr <= req_address(28 to 29); dp_dataConv_wd_burst_counter <= conv_counter_comb; else -- Xilinx PLB v4.6 support assert addrAck & wrDAck at the same cycle if (dataConv_last = '0') then dp_dataConv_wd_conv_mode <= conv_mode_comb; end if; if (PLB_MSSize = "00") then -- 32 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +1; elsif (PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +2; end if; if (conv_mode_comb /= "00") then -- need conv dp_dataConv_wd_burst_counter <= conv_counter_comb -1; end if; end if; end if; if (wr_data_phase = '1' and PLB_MWrDAck = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0' and dp_dataConv_last = '1'))) then dp_dataConv_wd_conv_mode <= "00"; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if (dp_PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= dp_dataConv_word_addr +2; else dp_dataConv_word_addr <= dp_dataConv_word_addr +1; end if; if ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size /= "0000") or dp_dataConv_wd_burst_counter /= "00") then if (dp_dataConv_wd_burst_counter = "00") then if (dp_dataConv_wd_conv_mode = "01") then -- 128/32 dp_dataConv_wd_burst_counter <= "11"; elsif (dp_dataConv_wd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_wd_burst_counter <= "01"; end if; else dp_dataConv_wd_burst_counter <= dp_dataConv_wd_burst_counter -1; end if; end if; end if; end if; end process; process(PLB_MWrDAck, wr_data_phase, dp_dataConv_wd_burst_counter, burst_mode, conv_counter_comb, conv_mode_comb, req_BE) begin wd_fifo_pop <= '0'; if (PLB_MWrDAck = '1') then if (wr_data_phase = '1') then if ((pending_wr_req_burst_mode = '1' and dp_dataConv_wd_burst_counter = "00") or (dp_dataConv_wd_conv_mode /= "00" and dp_dataConv_last = '1') or dp_dataConv_wd_conv_mode = "00" )then wd_fifo_pop <= '1'; end if; else -- got addrAck and wrDAck at the same cycle if (burst_mode = '1' and burst_size /= "0000" and conv_counter_comb = "00") then wd_fifo_pop <= '1'; elsif ((burst_mode = '0' or burst_size = "0000") and dataConv_last = '1') then wd_fifo_pop <= '1'; end if; end if; end if; end process; process(wd_fifo_dout, wr_data_phase, req_address, dp_dataConv_wd_conv_mode, dp_dataConv_word_addr) begin wd_fifo_dout_mirror <= wd_fifo_dout; if (wr_data_phase = '0') then -- we do not know slave bus width, perform default convert if (C_PLB_DWIDTH = 32) then wd_fifo_dout_mirror <= wd_fifo_dout; elsif (C_PLB_DWIDTH = 64) then if (req_address(29) = '0') then wd_fifo_dout_mirror <= wd_fifo_dout; else wd_fifo_dout_mirror(PLB_DW/2-1 downto 0) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); wd_fifo_dout_mirror(PLB_DW-1 downto PLB_DW/2) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); end if; elsif (C_PLB_DWIDTH = 128) then case req_address(28 to 29) is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; else -- in data phase wd_fifo_dout_mirror <= wd_fifo_dout; if ((dp_dataConv_wd_conv_mode = "10" and dp_dataConv_word_addr(0) = '1') or (dp_dataConv_wd_conv_mode = "11" and dp_dataConv_word_addr(1) = '1')) then -- conv 64:32 or 128:64 wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); elsif (dp_dataConv_wd_conv_mode = "01") then -- conv 128:32 case dp_dataConv_word_addr is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; end if; end process; process(wd_fifo_dout_mirror) variable i: integer; begin for i in 0 to C_PLB_DWIDTH-1 loop M_wrDBus(i) <= wd_fifo_dout_mirror(i); end loop; end process; process (request, req_nRW, pending_read, burst_mode, rd_fifo_full_n, rd_fifo_use_word, pending_write, wd_fifo_empty_n, wd_fifo_use_word, burst_size) begin M_request <= '0'; if (request = '1') then if (req_nRW = '0' and pending_read = '0') then -- read request if ((burst_mode = '0' or burst_size = "0000") and rd_fifo_full_n = '1') then M_request <= '1'; elsif (rd_fifo_use_word(4) = '0') then -- 16 words slots available M_request <= '1'; end if; elsif (req_nRW = '1' and pending_write = '0') then -- write request if ((burst_mode = '0' or burst_size = "0000") and wd_fifo_empty_n = '1') then M_request <= '1'; elsif (wd_fifo_use_word > burst_size) then M_request <= '1'; end if; end if; end if; end process; M_ABus(0 to C_PLB_AWIDTH - 1) <= req_address; process(req_nRW, burst_mode, burst_size, req_BE) variable i:integer; begin M_BE <= (others => '0'); if (burst_mode = '1') then if (burst_size = "0000") then M_BE <= (others => '1'); -- first single,then burst 16 else M_BE(0 to 3) <= burst_size; -- fixed length burst end if; elsif (req_nRW = '0') then M_BE <= (others => '1'); else for i in 0 to PLB_BYTE_COUNT-1 loop M_BE(i) <= req_BE(i); end loop; end if; end process; -- user req FIFO, for both read request and write request U_req_indices_if_fifo: component indices_if_ap_fifo generic map( DATA_WIDTH => REQ_FIFO_WIDTH, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_push <= BUS_req_push and not req_burst_write; req_fifo_din <= BUS_req_nRW & BUS_req_BE & BUS_address & BUS_size; req_fifo_dout_req_size <= req_fifo_dout(31 downto 0) -1; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then req_burst_write <= '0'; req_burst_write_counter <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (req_fifo_push = '1' and BUS_req_nRW = '1' and BUS_size(31 downto 1) /= "0000000000000000000000000000000") then req_burst_write <= '1'; req_burst_write_counter <= BUS_size - 1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write = '1') then req_burst_write_counter <= req_burst_write_counter -1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write_counter = X"00000001") then-- last burst write data req_burst_write <= '0'; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then request <= '0'; req_size <= (others => '0'); req_nRW <= '0'; req_address(0 to C_PLB_AWIDTH - 1) <= (others => '0'); burst_mode <= '0'; burst_size <= (others => '0'); req_fifo_pop <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then req_fifo_pop <= '0'; if ((request = '0' and req_fifo_empty_n = '1') or PLB_MAddrAck = '1') then if (PLB_MAddrAck = '1' and (burst_mode = '0' or burst_size ="0000") and dataConv_last = '0') then request <= '1'; if (conv_mode_comb(1) = '1') then -- 2:1 conv req_BE(PLB_BYTE_COUNT/2-1 downto 0) <= (others => '0'); else -- 128:32 if (req_address(28 to 29) = "00") then req_BE(PLB_BYTE_COUNT/4-1 downto 0) <= (others => '0'); elsif (req_address(28 to 29) = "01") then req_BE(PLB_BYTE_COUNT/2-1 downto PLB_BYTE_COUNT/4) <= (others => '0'); elsif (req_address(28 to 29) = "10") then req_BE(PLB_BYTE_COUNT*3/4-1 downto PLB_BYTE_COUNT/2) <= (others => '0'); end if; end if; if (PLB_MSSize = "00") then -- 32 bits slave req_address <= req_address + 4; elsif (PLB_MSSize = "01") then -- 64 slave req_address <= req_address + 8; end if;-- 128 bits slave does not need conversion cycle elsif (PLB_MAddrAck = '1' and burst_mode = '1' and burst_last = '0') then request <= '1'; -- req next burst section, this will be pending until previous burst finished req_size(0 to 27) <= req_size(0 to 27) - 1; req_address(0 to C_PLB_AWIDTH - PLB_ADDR_SHIFT - 1) <= req_address(0 to C_PLB_AWIDTH -PLB_ADDR_SHIFT -1) + burst_size +1; req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); -- low bits of addr must be reset for possible data_conv modifications of 10 lines above burst_mode <= '1'; burst_size <= "1111"; -- burst 16 words else if (req_fifo_empty_n = '1') then req_fifo_pop <= '1'; end if; request <= req_fifo_empty_n; -- fetch next user_req, may be a vaild req or a null req req_size(0 to 27) <= req_fifo_dout_req_size(31 downto 4); --remaining burst transfer except current one req_nRW <= req_fifo_dout(REQ_FIFO_WIDTH-1); req_BE <= req_fifo_dout(REQ_FIFO_WIDTH-2 downto 64); req_address <= req_fifo_dout(63 downto 32); if (req_fifo_dout(REQ_FIFO_WIDTH-1) = '0') then -- read request req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); end if; -- long burst request will be split to 1stReq: 1-16 words, all next req: 16 words if (req_fifo_dout_req_size /= X"00000000") then -- more than 1 word, burst burst_mode <= req_fifo_empty_n; -- fetched req may be null req -- req of burst 17 will be single + burst 16, please check burst_size also else burst_mode <= '0'; end if; burst_size(3 downto 0) <= req_fifo_dout_req_size(3 downto 0);-- 0:single, 1-15: burst 2-16words end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_read <= '0'; pending_write <= '0'; dp_PLB_MSSize <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00") or (pending_rd_req_burst_mode = '0'))) then pending_read <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='0') then pending_read <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or pending_wr_req_burst_mode = '0')) then pending_write <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='1' and (PLB_MWrDAck = '0' or burst_size /= "0000")) then pending_write <= '1'; end if; if (PLB_MAddrAck = '1') then dp_PLB_MSSize <= PLB_MSSize; end if; end if; end process; process(req_size) begin if (req_size(0 to 27) = "000000000000000000000000000") then burst_last <= '1'; -- one request is ok else burst_last <= '0'; end if; end process; -- user write data FIFO, for data of bus write request U_wd_indices_if_fifo: component indices_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => wd_fifo_empty_n, if_read => wd_fifo_pop, if_dout => wd_fifo_dout, if_full_n => wd_fifo_full_n, if_write => wd_fifo_push, if_din => wd_fifo_din, use_word => wd_fifo_use_word ); wd_fifo_push <= BUS_req_push and BUS_req_nRW; wd_fifo_din <= BUS_WrData; -- returned bus read data fifo U_rd_indices_if_fifo: component indices_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => rd_fifo_empty_n, if_read => rd_fifo_pop, if_dout => rd_fifo_dout, if_full_n => rd_fifo_full_n, if_write => rd_fifo_push, if_din => rd_fifo_din, use_word => rd_fifo_use_word ); process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_rd_conv_mode <= (others =>'0'); dp_dataConv_rd_burst_counter <= (others => '0'); dp_dataConv_rd_burst_counter_reg <= (others => '0'); PLB_MRdDAck_reg <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0' and dp_dataConv_rd_conv_mode = "00") then dp_dataConv_rd_conv_mode <= conv_mode_comb; dp_dataConv_rd_burst_counter <= conv_counter_comb; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter = "00")))then dp_dataConv_rd_conv_mode <= "00"; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /= "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter /= "00")))then if (dp_dataConv_rd_burst_counter = "00") then if (dp_dataConv_rd_conv_mode = "01") then -- 128/32 dp_dataConv_rd_burst_counter <= "11"; elsif (dp_dataConv_rd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_rd_burst_counter <= "01"; end if; else dp_dataConv_rd_burst_counter <= dp_dataConv_rd_burst_counter -1; end if; end if; dp_dataConv_rd_burst_counter_reg <= dp_dataConv_rd_burst_counter; PLB_MRdDAck_reg <= PLB_MRdDAck; end if; end process; rd_fifo_push <= '1' when PLB_MRdDAck_reg = '1' and dp_dataConv_rd_burst_counter_reg = "00" else '0'; process(PLB_MRdDBus) variable i: integer; begin -- change to little endian for i in 0 to C_PLB_DWIDTH-1 loop PLB_MRdDBus_reverse(i) <= PLB_MRdDBus(i); end loop; end process; process(PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then rd_fifo_din <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1') then case dp_dataConv_rd_conv_mode is when "00" => rd_fifo_din <= PLB_MRdDBus_reverse; when "10" | "11" => if (dp_dataConv_rd_burst_counter = "00") then rd_fifo_din(PLB_DW-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); else rd_fifo_din(PLB_DW/2-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); end if; when "01" => case dp_dataConv_rd_burst_counter is when "00" => rd_fifo_din(PLB_DW-1 downto PLB_DW*3/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "01" => rd_fifo_din(PLB_DW*3/4-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "10" => rd_fifo_din(PLB_DW/2-1 downto PLB_DW/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "11" => rd_fifo_din(PLB_DW/4-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when others => null; end case; when others => null; end case; end if; end if; end process; rd_fifo_pop <= BUS_rsp_pop; pending_read_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_rd_req_burst_mode <= '0'; pending_rd_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0') then if (burst_mode = '1' and burst_size /= "0000") then pending_rd_req_burst_mode <= burst_mode; end if; pending_rd_req_burst_size <= burst_size; elsif (PLB_MRdDAck = '1' and pending_rd_req_burst_mode = '1') then if (dp_dataConv_rd_burst_counter = "00") then pending_rd_req_burst_size <= pending_rd_req_burst_size - 1; if (pending_rd_req_burst_size = "0000") then pending_rd_req_burst_mode <= '0'; end if; end if; end if; end if; end process; pending_write_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_wr_req_burst_mode <= '0'; pending_wr_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then if (burst_mode = '1' and burst_size /= "0000") then pending_wr_req_burst_mode <= '1'; end if; pending_wr_req_burst_size <= burst_size; if (PLB_MWrDAck = '1') then if (conv_counter_comb = "00") then pending_wr_req_burst_size <= burst_size -1; else pending_wr_req_burst_size <= burst_size; end if; end if; elsif (PLB_MWrDAck = '1' and pending_wr_req_burst_mode = '1') then if (dp_dataConv_wd_burst_counter = "00") then pending_wr_req_burst_size <= pending_wr_req_burst_size - 1; if (pending_wr_req_burst_size = "0000") then pending_wr_req_burst_mode <= '0'; end if; end if; end if; end if; end process; end IMP;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity indices_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end entity; architecture rtl of indices_if_ap_fifo_uw is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype; signal mInPtr, mNextInPtr, mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0); signal internal_empty_n, internal_full_n : STD_LOGIC; signal internal_use_word : STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0); begin mNextInPtr <= mInPtr + 1; if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; use_word <= internal_use_word; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); internal_use_word <= (others => '0'); else if clk'event and clk = '1' then if if_read = '1' and internal_empty_n = '1' then mOutPtr <= mOutPtr + 1; end if; if if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; mInPtr <= mNextInPtr; end if; if (if_read = '1' and if_write = '0') then internal_use_word <= internal_use_word - '1'; elsif (if_read = '0' and if_write = '1') then internal_use_word <= internal_use_word + '1'; end if; end if; end if; end process; process (mInPtr, mOutPtr, mNextInPtr) begin if mInPtr = mOutPtr then internal_empty_n <= '0'; else internal_empty_n <= '1'; end if; if mNextInPtr = mOutPtr then internal_full_n <= '0'; else internal_full_n <= '1'; end if; end process; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity indices_if_plb_master_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3 ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete PLB_Clk : in std_logic; PLB_Rst : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_busLock : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to 1); M_priority : out std_logic_vector(0 to 1); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); PLB_MBusy : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); -- signals from user logic BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data BUS_address : in std_logic_vector(31 downto 0); -- physical address BUS_size : in std_logic_vector(31 downto 0); -- burst size of word BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8-1 downto 0); -- Bus write data byte enable BUS_req_full_n : out std_logic; -- req Fifo full BUS_req_push : in std_logic; -- req Fifo push (new request in) BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type BUS_rsp_empty_n: out std_logic; -- return data FIFO empty BUS_rsp_pop : in std_logic -- return data FIFO pop ); attribute SIGIS : string; attribute SIGIS of PLB_Clk : signal is "Clk"; attribute SIGIS of PLB_Rst : signal is "Rst"; end entity; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of indices_if_plb_master_if is component indices_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end component; component indices_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end component; constant PLB_DW : integer := C_PLB_DWIDTH; constant PLB_BYTE_COUNT : integer := PLB_DW/8; constant REQ_FIFO_WIDTH : integer := 1 + PLB_BYTE_COUNT + 32 + 32; --nRW + BE + 32 bits phy addr + size constant FIFO_ADDR_WIDTH : integer := 5; constant FIFO_DEPTH : integer := 32; -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); -- burst write counter (only push burst data in and ignore all burst write request except the first one) signal req_burst_write: STD_LOGIC; -- whether last request is a burst write signal req_burst_write_counter: STD_LOGIC_VECTOR(31 downto 0); -- write data FIFO (for bus write data) signal wd_fifo_empty_n : STD_LOGIC; signal wd_fifo_pop : STD_LOGIC; signal wd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_dout_mirror : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_full_n : STD_LOGIC; signal wd_fifo_push : STD_LOGIC; signal wd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); -- read data FIFO (for bus read returned data) signal rd_fifo_empty_n : STD_LOGIC; signal rd_fifo_pop : STD_LOGIC; signal rd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_full_n : STD_LOGIC; signal rd_fifo_push : STD_LOGIC; signal rd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); signal req_address : std_logic_vector(0 to C_PLB_AWIDTH -1);-- bus request word address signal req_fifo_dout_req_size : std_logic_vector(31 downto 0); -- req_size -1 signal req_size : std_logic_vector(0 to 27); -- burst size of 16 word block signal request, req_nRW: std_logic; signal req_BE : std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal pending_rd_req_burst_mode: std_logic; signal pending_rd_req_burst_size: std_logic_vector(3 downto 0); signal pending_wr_req_burst_mode: std_logic; signal pending_wr_req_burst_size: std_logic_vector(3 downto 0); signal pending_read, pending_write: std_logic; signal burst_mode, burst_last : std_logic; signal burst_size : std_logic_vector(3 downto 0); -- maximum burst 16 words --signals for write data mirror signal conv_mode_comb : std_logic_vector(1 downto 0); -- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal conv_counter_comb: std_logic_vector(1 downto 0); signal wr_data_phase : std_logic; signal dataConv_last: std_logic; signal dp_dataConv_last: std_logic; signal dp_dataConv_word_addr: std_logic_vector(1 downto 0); signal dp_dataConv_wd_conv_mode : std_logic_vector(1 downto 0); -- 00:NO conv, 01:128/32, 10:64/32, 11:128/64 signal dp_dataConv_wd_burst_counter: std_logic_vector(1 downto 0); signal dp_dataConv_wd_BE: std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal dp_PLB_MSSize : std_logic_vector(1 downto 0); --signals for read data mirror signal PLB_MRdDAck_reg : std_logic; signal dp_dataConv_rd_conv_mode : std_logic_vector(1 downto 0);-- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal dp_dataConv_rd_burst_counter, dp_dataConv_rd_burst_counter_reg: std_logic_vector(1 downto 0); signal PLB_MRdDBus_reverse : std_logic_vector(PLB_DW-1 downto 0); -- signals with dp_ prefix stand for data phase signals -- signals with req_ prefix stand for request phase signals begin -- interface to user logic BUS_RdData <= rd_fifo_dout; BUS_req_full_n <= req_fifo_full_n and wd_fifo_full_n; BUS_rsp_nRW <= '0'; BUS_rsp_empty_n <= rd_fifo_empty_n; -- interface to PLB M_abort <= '0'; M_busLock <= '0'; M_lockErr <= '0'; M_MSize <= "01"; -- 00:32b dev, 01:64b, 10:128b, 11:256b M_size <= "0000" when (burst_mode = '0' or burst_size = "0000") else "1011"; -- single rw or 64 bits burst M_type <= "000"; -- memory trans M_priority <= "00"; M_RNW <= not req_nRW; M_rdBurst <= '1' when pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /="00") else '0'; process (PLB_MSSize) begin M_wrBurst <= '0'; if (pending_wr_req_burst_mode = '1' and (pending_wr_req_burst_size /= "0000" or dp_dataConv_wd_burst_counter /="00")) then M_wrBurst <= '1'; elsif (request = '1' and req_nRW = '1' and pending_write = '0' and burst_mode = '1' and burst_size /="0000" and wd_fifo_use_word > burst_size) then M_wrBurst <= '1'; end if; end process; -- write data mirror section process (PLB_MSSize) begin if (C_PLB_DWIDTH = 64 and PLB_MSSize = "00") then conv_mode_comb <= "10"; -- conv 64:32 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "01") then conv_mode_comb <= "11"; -- conv 128:64 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "00") then conv_mode_comb <= "01"; -- conv 128:32 conv_counter_comb <= "11"; else conv_mode_comb <= "00"; -- do not need conv conv_counter_comb <= "00"; end if; end process; process (burst_mode, burst_size, conv_mode_comb, req_address, req_BE) begin dataConv_last <= '0'; if (burst_mode = '0' or burst_size = "0000") then if (conv_mode_comb = "00") then -- no conv dataConv_last <= '1'; elsif (conv_mode_comb = "10") then -- 64:32 conv if (req_address(29)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "11") then -- 128:64 conv if (req_address(28)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "01") then -- 128:32 conv if (req_address(28 to 29) = "00" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT*3/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "01" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "10" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT*3/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "11") then dataConv_last <= '1'; end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_word_addr <= (others => '0'); dp_dataConv_wd_conv_mode <= (others =>'0'); dp_dataConv_wd_burst_counter <= (others => '0'); dp_dataConv_wd_BE <= (others => '0'); dp_dataConv_last <= '0'; wr_data_phase <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then dp_dataConv_wd_BE <= req_BE; dp_dataConv_last <= dataConv_last; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and (PLB_MWrDAck = '0' or (burst_mode = '1' and burst_size /= "0000"))) then wr_data_phase <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if ((pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0')) then wr_data_phase <= '0'; end if; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and dp_dataConv_wd_conv_mode = "00") then if (PLB_MWrDAck = '0') then -- only AddrAck asserted dp_dataConv_wd_conv_mode <= conv_mode_comb; dp_dataConv_word_addr <= req_address(28 to 29); dp_dataConv_wd_burst_counter <= conv_counter_comb; else -- Xilinx PLB v4.6 support assert addrAck & wrDAck at the same cycle if (dataConv_last = '0') then dp_dataConv_wd_conv_mode <= conv_mode_comb; end if; if (PLB_MSSize = "00") then -- 32 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +1; elsif (PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +2; end if; if (conv_mode_comb /= "00") then -- need conv dp_dataConv_wd_burst_counter <= conv_counter_comb -1; end if; end if; end if; if (wr_data_phase = '1' and PLB_MWrDAck = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0' and dp_dataConv_last = '1'))) then dp_dataConv_wd_conv_mode <= "00"; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if (dp_PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= dp_dataConv_word_addr +2; else dp_dataConv_word_addr <= dp_dataConv_word_addr +1; end if; if ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size /= "0000") or dp_dataConv_wd_burst_counter /= "00") then if (dp_dataConv_wd_burst_counter = "00") then if (dp_dataConv_wd_conv_mode = "01") then -- 128/32 dp_dataConv_wd_burst_counter <= "11"; elsif (dp_dataConv_wd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_wd_burst_counter <= "01"; end if; else dp_dataConv_wd_burst_counter <= dp_dataConv_wd_burst_counter -1; end if; end if; end if; end if; end process; process(PLB_MWrDAck, wr_data_phase, dp_dataConv_wd_burst_counter, burst_mode, conv_counter_comb, conv_mode_comb, req_BE) begin wd_fifo_pop <= '0'; if (PLB_MWrDAck = '1') then if (wr_data_phase = '1') then if ((pending_wr_req_burst_mode = '1' and dp_dataConv_wd_burst_counter = "00") or (dp_dataConv_wd_conv_mode /= "00" and dp_dataConv_last = '1') or dp_dataConv_wd_conv_mode = "00" )then wd_fifo_pop <= '1'; end if; else -- got addrAck and wrDAck at the same cycle if (burst_mode = '1' and burst_size /= "0000" and conv_counter_comb = "00") then wd_fifo_pop <= '1'; elsif ((burst_mode = '0' or burst_size = "0000") and dataConv_last = '1') then wd_fifo_pop <= '1'; end if; end if; end if; end process; process(wd_fifo_dout, wr_data_phase, req_address, dp_dataConv_wd_conv_mode, dp_dataConv_word_addr) begin wd_fifo_dout_mirror <= wd_fifo_dout; if (wr_data_phase = '0') then -- we do not know slave bus width, perform default convert if (C_PLB_DWIDTH = 32) then wd_fifo_dout_mirror <= wd_fifo_dout; elsif (C_PLB_DWIDTH = 64) then if (req_address(29) = '0') then wd_fifo_dout_mirror <= wd_fifo_dout; else wd_fifo_dout_mirror(PLB_DW/2-1 downto 0) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); wd_fifo_dout_mirror(PLB_DW-1 downto PLB_DW/2) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); end if; elsif (C_PLB_DWIDTH = 128) then case req_address(28 to 29) is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; else -- in data phase wd_fifo_dout_mirror <= wd_fifo_dout; if ((dp_dataConv_wd_conv_mode = "10" and dp_dataConv_word_addr(0) = '1') or (dp_dataConv_wd_conv_mode = "11" and dp_dataConv_word_addr(1) = '1')) then -- conv 64:32 or 128:64 wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); elsif (dp_dataConv_wd_conv_mode = "01") then -- conv 128:32 case dp_dataConv_word_addr is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; end if; end process; process(wd_fifo_dout_mirror) variable i: integer; begin for i in 0 to C_PLB_DWIDTH-1 loop M_wrDBus(i) <= wd_fifo_dout_mirror(i); end loop; end process; process (request, req_nRW, pending_read, burst_mode, rd_fifo_full_n, rd_fifo_use_word, pending_write, wd_fifo_empty_n, wd_fifo_use_word, burst_size) begin M_request <= '0'; if (request = '1') then if (req_nRW = '0' and pending_read = '0') then -- read request if ((burst_mode = '0' or burst_size = "0000") and rd_fifo_full_n = '1') then M_request <= '1'; elsif (rd_fifo_use_word(4) = '0') then -- 16 words slots available M_request <= '1'; end if; elsif (req_nRW = '1' and pending_write = '0') then -- write request if ((burst_mode = '0' or burst_size = "0000") and wd_fifo_empty_n = '1') then M_request <= '1'; elsif (wd_fifo_use_word > burst_size) then M_request <= '1'; end if; end if; end if; end process; M_ABus(0 to C_PLB_AWIDTH - 1) <= req_address; process(req_nRW, burst_mode, burst_size, req_BE) variable i:integer; begin M_BE <= (others => '0'); if (burst_mode = '1') then if (burst_size = "0000") then M_BE <= (others => '1'); -- first single,then burst 16 else M_BE(0 to 3) <= burst_size; -- fixed length burst end if; elsif (req_nRW = '0') then M_BE <= (others => '1'); else for i in 0 to PLB_BYTE_COUNT-1 loop M_BE(i) <= req_BE(i); end loop; end if; end process; -- user req FIFO, for both read request and write request U_req_indices_if_fifo: component indices_if_ap_fifo generic map( DATA_WIDTH => REQ_FIFO_WIDTH, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_push <= BUS_req_push and not req_burst_write; req_fifo_din <= BUS_req_nRW & BUS_req_BE & BUS_address & BUS_size; req_fifo_dout_req_size <= req_fifo_dout(31 downto 0) -1; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then req_burst_write <= '0'; req_burst_write_counter <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (req_fifo_push = '1' and BUS_req_nRW = '1' and BUS_size(31 downto 1) /= "0000000000000000000000000000000") then req_burst_write <= '1'; req_burst_write_counter <= BUS_size - 1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write = '1') then req_burst_write_counter <= req_burst_write_counter -1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write_counter = X"00000001") then-- last burst write data req_burst_write <= '0'; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then request <= '0'; req_size <= (others => '0'); req_nRW <= '0'; req_address(0 to C_PLB_AWIDTH - 1) <= (others => '0'); burst_mode <= '0'; burst_size <= (others => '0'); req_fifo_pop <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then req_fifo_pop <= '0'; if ((request = '0' and req_fifo_empty_n = '1') or PLB_MAddrAck = '1') then if (PLB_MAddrAck = '1' and (burst_mode = '0' or burst_size ="0000") and dataConv_last = '0') then request <= '1'; if (conv_mode_comb(1) = '1') then -- 2:1 conv req_BE(PLB_BYTE_COUNT/2-1 downto 0) <= (others => '0'); else -- 128:32 if (req_address(28 to 29) = "00") then req_BE(PLB_BYTE_COUNT/4-1 downto 0) <= (others => '0'); elsif (req_address(28 to 29) = "01") then req_BE(PLB_BYTE_COUNT/2-1 downto PLB_BYTE_COUNT/4) <= (others => '0'); elsif (req_address(28 to 29) = "10") then req_BE(PLB_BYTE_COUNT*3/4-1 downto PLB_BYTE_COUNT/2) <= (others => '0'); end if; end if; if (PLB_MSSize = "00") then -- 32 bits slave req_address <= req_address + 4; elsif (PLB_MSSize = "01") then -- 64 slave req_address <= req_address + 8; end if;-- 128 bits slave does not need conversion cycle elsif (PLB_MAddrAck = '1' and burst_mode = '1' and burst_last = '0') then request <= '1'; -- req next burst section, this will be pending until previous burst finished req_size(0 to 27) <= req_size(0 to 27) - 1; req_address(0 to C_PLB_AWIDTH - PLB_ADDR_SHIFT - 1) <= req_address(0 to C_PLB_AWIDTH -PLB_ADDR_SHIFT -1) + burst_size +1; req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); -- low bits of addr must be reset for possible data_conv modifications of 10 lines above burst_mode <= '1'; burst_size <= "1111"; -- burst 16 words else if (req_fifo_empty_n = '1') then req_fifo_pop <= '1'; end if; request <= req_fifo_empty_n; -- fetch next user_req, may be a vaild req or a null req req_size(0 to 27) <= req_fifo_dout_req_size(31 downto 4); --remaining burst transfer except current one req_nRW <= req_fifo_dout(REQ_FIFO_WIDTH-1); req_BE <= req_fifo_dout(REQ_FIFO_WIDTH-2 downto 64); req_address <= req_fifo_dout(63 downto 32); if (req_fifo_dout(REQ_FIFO_WIDTH-1) = '0') then -- read request req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); end if; -- long burst request will be split to 1stReq: 1-16 words, all next req: 16 words if (req_fifo_dout_req_size /= X"00000000") then -- more than 1 word, burst burst_mode <= req_fifo_empty_n; -- fetched req may be null req -- req of burst 17 will be single + burst 16, please check burst_size also else burst_mode <= '0'; end if; burst_size(3 downto 0) <= req_fifo_dout_req_size(3 downto 0);-- 0:single, 1-15: burst 2-16words end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_read <= '0'; pending_write <= '0'; dp_PLB_MSSize <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00") or (pending_rd_req_burst_mode = '0'))) then pending_read <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='0') then pending_read <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or pending_wr_req_burst_mode = '0')) then pending_write <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='1' and (PLB_MWrDAck = '0' or burst_size /= "0000")) then pending_write <= '1'; end if; if (PLB_MAddrAck = '1') then dp_PLB_MSSize <= PLB_MSSize; end if; end if; end process; process(req_size) begin if (req_size(0 to 27) = "000000000000000000000000000") then burst_last <= '1'; -- one request is ok else burst_last <= '0'; end if; end process; -- user write data FIFO, for data of bus write request U_wd_indices_if_fifo: component indices_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => wd_fifo_empty_n, if_read => wd_fifo_pop, if_dout => wd_fifo_dout, if_full_n => wd_fifo_full_n, if_write => wd_fifo_push, if_din => wd_fifo_din, use_word => wd_fifo_use_word ); wd_fifo_push <= BUS_req_push and BUS_req_nRW; wd_fifo_din <= BUS_WrData; -- returned bus read data fifo U_rd_indices_if_fifo: component indices_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => rd_fifo_empty_n, if_read => rd_fifo_pop, if_dout => rd_fifo_dout, if_full_n => rd_fifo_full_n, if_write => rd_fifo_push, if_din => rd_fifo_din, use_word => rd_fifo_use_word ); process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_rd_conv_mode <= (others =>'0'); dp_dataConv_rd_burst_counter <= (others => '0'); dp_dataConv_rd_burst_counter_reg <= (others => '0'); PLB_MRdDAck_reg <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0' and dp_dataConv_rd_conv_mode = "00") then dp_dataConv_rd_conv_mode <= conv_mode_comb; dp_dataConv_rd_burst_counter <= conv_counter_comb; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter = "00")))then dp_dataConv_rd_conv_mode <= "00"; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /= "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter /= "00")))then if (dp_dataConv_rd_burst_counter = "00") then if (dp_dataConv_rd_conv_mode = "01") then -- 128/32 dp_dataConv_rd_burst_counter <= "11"; elsif (dp_dataConv_rd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_rd_burst_counter <= "01"; end if; else dp_dataConv_rd_burst_counter <= dp_dataConv_rd_burst_counter -1; end if; end if; dp_dataConv_rd_burst_counter_reg <= dp_dataConv_rd_burst_counter; PLB_MRdDAck_reg <= PLB_MRdDAck; end if; end process; rd_fifo_push <= '1' when PLB_MRdDAck_reg = '1' and dp_dataConv_rd_burst_counter_reg = "00" else '0'; process(PLB_MRdDBus) variable i: integer; begin -- change to little endian for i in 0 to C_PLB_DWIDTH-1 loop PLB_MRdDBus_reverse(i) <= PLB_MRdDBus(i); end loop; end process; process(PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then rd_fifo_din <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1') then case dp_dataConv_rd_conv_mode is when "00" => rd_fifo_din <= PLB_MRdDBus_reverse; when "10" | "11" => if (dp_dataConv_rd_burst_counter = "00") then rd_fifo_din(PLB_DW-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); else rd_fifo_din(PLB_DW/2-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); end if; when "01" => case dp_dataConv_rd_burst_counter is when "00" => rd_fifo_din(PLB_DW-1 downto PLB_DW*3/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "01" => rd_fifo_din(PLB_DW*3/4-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "10" => rd_fifo_din(PLB_DW/2-1 downto PLB_DW/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "11" => rd_fifo_din(PLB_DW/4-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when others => null; end case; when others => null; end case; end if; end if; end process; rd_fifo_pop <= BUS_rsp_pop; pending_read_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_rd_req_burst_mode <= '0'; pending_rd_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0') then if (burst_mode = '1' and burst_size /= "0000") then pending_rd_req_burst_mode <= burst_mode; end if; pending_rd_req_burst_size <= burst_size; elsif (PLB_MRdDAck = '1' and pending_rd_req_burst_mode = '1') then if (dp_dataConv_rd_burst_counter = "00") then pending_rd_req_burst_size <= pending_rd_req_burst_size - 1; if (pending_rd_req_burst_size = "0000") then pending_rd_req_burst_mode <= '0'; end if; end if; end if; end if; end process; pending_write_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_wr_req_burst_mode <= '0'; pending_wr_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then if (burst_mode = '1' and burst_size /= "0000") then pending_wr_req_burst_mode <= '1'; end if; pending_wr_req_burst_size <= burst_size; if (PLB_MWrDAck = '1') then if (conv_counter_comb = "00") then pending_wr_req_burst_size <= burst_size -1; else pending_wr_req_burst_size <= burst_size; end if; end if; elsif (PLB_MWrDAck = '1' and pending_wr_req_burst_mode = '1') then if (dp_dataConv_wd_burst_counter = "00") then pending_wr_req_burst_size <= pending_wr_req_burst_size - 1; if (pending_wr_req_burst_size = "0000") then pending_wr_req_burst_mode <= '0'; end if; end if; end if; end if; end process; end IMP;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity indices_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end entity; architecture rtl of indices_if_ap_fifo_uw is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype; signal mInPtr, mNextInPtr, mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0); signal internal_empty_n, internal_full_n : STD_LOGIC; signal internal_use_word : STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0); begin mNextInPtr <= mInPtr + 1; if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; use_word <= internal_use_word; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); internal_use_word <= (others => '0'); else if clk'event and clk = '1' then if if_read = '1' and internal_empty_n = '1' then mOutPtr <= mOutPtr + 1; end if; if if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; mInPtr <= mNextInPtr; end if; if (if_read = '1' and if_write = '0') then internal_use_word <= internal_use_word - '1'; elsif (if_read = '0' and if_write = '1') then internal_use_word <= internal_use_word + '1'; end if; end if; end if; end process; process (mInPtr, mOutPtr, mNextInPtr) begin if mInPtr = mOutPtr then internal_empty_n <= '0'; else internal_empty_n <= '1'; end if; if mNextInPtr = mOutPtr then internal_full_n <= '0'; else internal_full_n <= '1'; end if; end process; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity indices_if_plb_master_if is generic ( C_PLB_AWIDTH : integer := 32; C_PLB_DWIDTH : integer := 64; PLB_ADDR_SHIFT : integer := 3 ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete PLB_Clk : in std_logic; PLB_Rst : in std_logic; M_abort : out std_logic; M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1); M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1); M_busLock : out std_logic; M_lockErr : out std_logic; M_MSize : out std_logic_vector(0 to 1); M_priority : out std_logic_vector(0 to 1); M_rdBurst : out std_logic; M_request : out std_logic; M_RNW : out std_logic; M_size : out std_logic_vector(0 to 3); M_type : out std_logic_vector(0 to 2); M_wrBurst : out std_logic; M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1); PLB_MBusy : in std_logic; PLB_MWrBTerm : in std_logic; PLB_MWrDAck : in std_logic; PLB_MAddrAck : in std_logic; PLB_MRdBTerm : in std_logic; PLB_MRdDAck : in std_logic; PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1)); PLB_MRdWdAddr : in std_logic_vector(0 to 3); PLB_MRearbitrate : in std_logic; PLB_MSSize : in std_logic_vector(0 to 1); -- signals from user logic BUS_RdData : out std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus read return data to user_logic BUS_WrData : in std_logic_vector(C_PLB_DWIDTH-1 downto 0); -- Bus write data BUS_address : in std_logic_vector(31 downto 0); -- physical address BUS_size : in std_logic_vector(31 downto 0); -- burst size of word BUS_req_nRW : in std_logic; -- req type 0: Read, 1: write BUS_req_BE : in std_logic_vector(C_PLB_DWIDTH/8-1 downto 0); -- Bus write data byte enable BUS_req_full_n : out std_logic; -- req Fifo full BUS_req_push : in std_logic; -- req Fifo push (new request in) BUS_rsp_nRW : out std_logic; -- return data FIFO rsp type BUS_rsp_empty_n: out std_logic; -- return data FIFO empty BUS_rsp_pop : in std_logic -- return data FIFO pop ); attribute SIGIS : string; attribute SIGIS of PLB_Clk : signal is "Clk"; attribute SIGIS of PLB_Rst : signal is "Rst"; end entity; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of indices_if_plb_master_if is component indices_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end component; component indices_if_ap_fifo_uw is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 4; DEPTH : integer := 16); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); use_word: OUT STD_LOGIC_VECTOR(ADDR_WIDTH -1 downto 0)); end component; constant PLB_DW : integer := C_PLB_DWIDTH; constant PLB_BYTE_COUNT : integer := PLB_DW/8; constant REQ_FIFO_WIDTH : integer := 1 + PLB_BYTE_COUNT + 32 + 32; --nRW + BE + 32 bits phy addr + size constant FIFO_ADDR_WIDTH : integer := 5; constant FIFO_DEPTH : integer := 32; -- request FIFO signal req_fifo_empty_n : STD_LOGIC; signal req_fifo_pop : STD_LOGIC; signal req_fifo_dout : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); signal req_fifo_full_n : STD_LOGIC; signal req_fifo_push : STD_LOGIC; signal req_fifo_din : STD_LOGIC_VECTOR(REQ_FIFO_WIDTH - 1 downto 0); -- burst write counter (only push burst data in and ignore all burst write request except the first one) signal req_burst_write: STD_LOGIC; -- whether last request is a burst write signal req_burst_write_counter: STD_LOGIC_VECTOR(31 downto 0); -- write data FIFO (for bus write data) signal wd_fifo_empty_n : STD_LOGIC; signal wd_fifo_pop : STD_LOGIC; signal wd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_dout_mirror : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_full_n : STD_LOGIC; signal wd_fifo_push : STD_LOGIC; signal wd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal wd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); -- read data FIFO (for bus read returned data) signal rd_fifo_empty_n : STD_LOGIC; signal rd_fifo_pop : STD_LOGIC; signal rd_fifo_dout : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_full_n : STD_LOGIC; signal rd_fifo_push : STD_LOGIC; signal rd_fifo_din : STD_LOGIC_VECTOR(PLB_DW - 1 downto 0); signal rd_fifo_use_word: STD_LOGIC_VECTOR(FIFO_ADDR_WIDTH -1 downto 0); signal req_address : std_logic_vector(0 to C_PLB_AWIDTH -1);-- bus request word address signal req_fifo_dout_req_size : std_logic_vector(31 downto 0); -- req_size -1 signal req_size : std_logic_vector(0 to 27); -- burst size of 16 word block signal request, req_nRW: std_logic; signal req_BE : std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal pending_rd_req_burst_mode: std_logic; signal pending_rd_req_burst_size: std_logic_vector(3 downto 0); signal pending_wr_req_burst_mode: std_logic; signal pending_wr_req_burst_size: std_logic_vector(3 downto 0); signal pending_read, pending_write: std_logic; signal burst_mode, burst_last : std_logic; signal burst_size : std_logic_vector(3 downto 0); -- maximum burst 16 words --signals for write data mirror signal conv_mode_comb : std_logic_vector(1 downto 0); -- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal conv_counter_comb: std_logic_vector(1 downto 0); signal wr_data_phase : std_logic; signal dataConv_last: std_logic; signal dp_dataConv_last: std_logic; signal dp_dataConv_word_addr: std_logic_vector(1 downto 0); signal dp_dataConv_wd_conv_mode : std_logic_vector(1 downto 0); -- 00:NO conv, 01:128/32, 10:64/32, 11:128/64 signal dp_dataConv_wd_burst_counter: std_logic_vector(1 downto 0); signal dp_dataConv_wd_BE: std_logic_vector(PLB_BYTE_COUNT-1 downto 0); signal dp_PLB_MSSize : std_logic_vector(1 downto 0); --signals for read data mirror signal PLB_MRdDAck_reg : std_logic; signal dp_dataConv_rd_conv_mode : std_logic_vector(1 downto 0);-- 00: NO conv, 01: 128/32, 10: 64/32, 11: 128/64 signal dp_dataConv_rd_burst_counter, dp_dataConv_rd_burst_counter_reg: std_logic_vector(1 downto 0); signal PLB_MRdDBus_reverse : std_logic_vector(PLB_DW-1 downto 0); -- signals with dp_ prefix stand for data phase signals -- signals with req_ prefix stand for request phase signals begin -- interface to user logic BUS_RdData <= rd_fifo_dout; BUS_req_full_n <= req_fifo_full_n and wd_fifo_full_n; BUS_rsp_nRW <= '0'; BUS_rsp_empty_n <= rd_fifo_empty_n; -- interface to PLB M_abort <= '0'; M_busLock <= '0'; M_lockErr <= '0'; M_MSize <= "01"; -- 00:32b dev, 01:64b, 10:128b, 11:256b M_size <= "0000" when (burst_mode = '0' or burst_size = "0000") else "1011"; -- single rw or 64 bits burst M_type <= "000"; -- memory trans M_priority <= "00"; M_RNW <= not req_nRW; M_rdBurst <= '1' when pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /="00") else '0'; process (PLB_MSSize) begin M_wrBurst <= '0'; if (pending_wr_req_burst_mode = '1' and (pending_wr_req_burst_size /= "0000" or dp_dataConv_wd_burst_counter /="00")) then M_wrBurst <= '1'; elsif (request = '1' and req_nRW = '1' and pending_write = '0' and burst_mode = '1' and burst_size /="0000" and wd_fifo_use_word > burst_size) then M_wrBurst <= '1'; end if; end process; -- write data mirror section process (PLB_MSSize) begin if (C_PLB_DWIDTH = 64 and PLB_MSSize = "00") then conv_mode_comb <= "10"; -- conv 64:32 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "01") then conv_mode_comb <= "11"; -- conv 128:64 conv_counter_comb <= "01"; elsif (C_PLB_DWIDTH = 128 and PLB_MSSize = "00") then conv_mode_comb <= "01"; -- conv 128:32 conv_counter_comb <= "11"; else conv_mode_comb <= "00"; -- do not need conv conv_counter_comb <= "00"; end if; end process; process (burst_mode, burst_size, conv_mode_comb, req_address, req_BE) begin dataConv_last <= '0'; if (burst_mode = '0' or burst_size = "0000") then if (conv_mode_comb = "00") then -- no conv dataConv_last <= '1'; elsif (conv_mode_comb = "10") then -- 64:32 conv if (req_address(29)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "11") then -- 128:64 conv if (req_address(28)='1' or req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; end if; elsif (conv_mode_comb = "01") then -- 128:32 conv if (req_address(28 to 29) = "00" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT*3/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "01" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT/2)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/2)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "10" and req_BE(PLB_BYTE_COUNT-1 downto PLB_BYTE_COUNT*3/4)=CONV_STD_LOGIC_VECTOR(0,PLB_BYTE_COUNT/4)) then dataConv_last <= '1'; elsif (req_address(28 to 29) = "11") then dataConv_last <= '1'; end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_word_addr <= (others => '0'); dp_dataConv_wd_conv_mode <= (others =>'0'); dp_dataConv_wd_burst_counter <= (others => '0'); dp_dataConv_wd_BE <= (others => '0'); dp_dataConv_last <= '0'; wr_data_phase <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then dp_dataConv_wd_BE <= req_BE; dp_dataConv_last <= dataConv_last; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and (PLB_MWrDAck = '0' or (burst_mode = '1' and burst_size /= "0000"))) then wr_data_phase <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if ((pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0')) then wr_data_phase <= '0'; end if; end if; if (PLB_MAddrAck = '1' and req_nRW = '1' and dp_dataConv_wd_conv_mode = "00") then if (PLB_MWrDAck = '0') then -- only AddrAck asserted dp_dataConv_wd_conv_mode <= conv_mode_comb; dp_dataConv_word_addr <= req_address(28 to 29); dp_dataConv_wd_burst_counter <= conv_counter_comb; else -- Xilinx PLB v4.6 support assert addrAck & wrDAck at the same cycle if (dataConv_last = '0') then dp_dataConv_wd_conv_mode <= conv_mode_comb; end if; if (PLB_MSSize = "00") then -- 32 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +1; elsif (PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= req_address(28 to 29) +2; end if; if (conv_mode_comb /= "00") then -- need conv dp_dataConv_wd_burst_counter <= conv_counter_comb -1; end if; end if; end if; if (wr_data_phase = '1' and PLB_MWrDAck = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or (pending_wr_req_burst_mode = '0' and dp_dataConv_last = '1'))) then dp_dataConv_wd_conv_mode <= "00"; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1') then if (dp_PLB_MSSize = "01") then -- 64 bits slave dp_dataConv_word_addr <= dp_dataConv_word_addr +2; else dp_dataConv_word_addr <= dp_dataConv_word_addr +1; end if; if ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size /= "0000") or dp_dataConv_wd_burst_counter /= "00") then if (dp_dataConv_wd_burst_counter = "00") then if (dp_dataConv_wd_conv_mode = "01") then -- 128/32 dp_dataConv_wd_burst_counter <= "11"; elsif (dp_dataConv_wd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_wd_burst_counter <= "01"; end if; else dp_dataConv_wd_burst_counter <= dp_dataConv_wd_burst_counter -1; end if; end if; end if; end if; end process; process(PLB_MWrDAck, wr_data_phase, dp_dataConv_wd_burst_counter, burst_mode, conv_counter_comb, conv_mode_comb, req_BE) begin wd_fifo_pop <= '0'; if (PLB_MWrDAck = '1') then if (wr_data_phase = '1') then if ((pending_wr_req_burst_mode = '1' and dp_dataConv_wd_burst_counter = "00") or (dp_dataConv_wd_conv_mode /= "00" and dp_dataConv_last = '1') or dp_dataConv_wd_conv_mode = "00" )then wd_fifo_pop <= '1'; end if; else -- got addrAck and wrDAck at the same cycle if (burst_mode = '1' and burst_size /= "0000" and conv_counter_comb = "00") then wd_fifo_pop <= '1'; elsif ((burst_mode = '0' or burst_size = "0000") and dataConv_last = '1') then wd_fifo_pop <= '1'; end if; end if; end if; end process; process(wd_fifo_dout, wr_data_phase, req_address, dp_dataConv_wd_conv_mode, dp_dataConv_word_addr) begin wd_fifo_dout_mirror <= wd_fifo_dout; if (wr_data_phase = '0') then -- we do not know slave bus width, perform default convert if (C_PLB_DWIDTH = 32) then wd_fifo_dout_mirror <= wd_fifo_dout; elsif (C_PLB_DWIDTH = 64) then if (req_address(29) = '0') then wd_fifo_dout_mirror <= wd_fifo_dout; else wd_fifo_dout_mirror(PLB_DW/2-1 downto 0) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); wd_fifo_dout_mirror(PLB_DW-1 downto PLB_DW/2) <= wd_fifo_dout(PLB_DW-1 downto PLB_DW/2); end if; elsif (C_PLB_DWIDTH = 128) then case req_address(28 to 29) is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; else -- in data phase wd_fifo_dout_mirror <= wd_fifo_dout; if ((dp_dataConv_wd_conv_mode = "10" and dp_dataConv_word_addr(0) = '1') or (dp_dataConv_wd_conv_mode = "11" and dp_dataConv_word_addr(1) = '1')) then -- conv 64:32 or 128:64 wd_fifo_dout_mirror(C_PLB_DWIDTH/2-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); wd_fifo_dout_mirror(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH/2); elsif (dp_dataConv_wd_conv_mode = "01") then -- conv 128:32 case dp_dataConv_word_addr is when "00" => wd_fifo_dout_mirror <= wd_fifo_dout; when "01" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH/2-1 downto C_PLB_DWIDTH/4); when "10" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH*3/4-1 downto C_PLB_DWIDTH/2); when "11" => wd_fifo_dout_mirror(C_PLB_DWIDTH/4-1 downto 0) <= wd_fifo_dout(C_PLB_DWIDTH-1 downto C_PLB_DWIDTH*3/4); when others => null; end case; end if; end if; end process; process(wd_fifo_dout_mirror) variable i: integer; begin for i in 0 to C_PLB_DWIDTH-1 loop M_wrDBus(i) <= wd_fifo_dout_mirror(i); end loop; end process; process (request, req_nRW, pending_read, burst_mode, rd_fifo_full_n, rd_fifo_use_word, pending_write, wd_fifo_empty_n, wd_fifo_use_word, burst_size) begin M_request <= '0'; if (request = '1') then if (req_nRW = '0' and pending_read = '0') then -- read request if ((burst_mode = '0' or burst_size = "0000") and rd_fifo_full_n = '1') then M_request <= '1'; elsif (rd_fifo_use_word(4) = '0') then -- 16 words slots available M_request <= '1'; end if; elsif (req_nRW = '1' and pending_write = '0') then -- write request if ((burst_mode = '0' or burst_size = "0000") and wd_fifo_empty_n = '1') then M_request <= '1'; elsif (wd_fifo_use_word > burst_size) then M_request <= '1'; end if; end if; end if; end process; M_ABus(0 to C_PLB_AWIDTH - 1) <= req_address; process(req_nRW, burst_mode, burst_size, req_BE) variable i:integer; begin M_BE <= (others => '0'); if (burst_mode = '1') then if (burst_size = "0000") then M_BE <= (others => '1'); -- first single,then burst 16 else M_BE(0 to 3) <= burst_size; -- fixed length burst end if; elsif (req_nRW = '0') then M_BE <= (others => '1'); else for i in 0 to PLB_BYTE_COUNT-1 loop M_BE(i) <= req_BE(i); end loop; end if; end process; -- user req FIFO, for both read request and write request U_req_indices_if_fifo: component indices_if_ap_fifo generic map( DATA_WIDTH => REQ_FIFO_WIDTH, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => req_fifo_empty_n, if_read => req_fifo_pop, if_dout => req_fifo_dout, if_full_n => req_fifo_full_n, if_write => req_fifo_push, if_din => req_fifo_din ); req_fifo_push <= BUS_req_push and not req_burst_write; req_fifo_din <= BUS_req_nRW & BUS_req_BE & BUS_address & BUS_size; req_fifo_dout_req_size <= req_fifo_dout(31 downto 0) -1; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then req_burst_write <= '0'; req_burst_write_counter <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (req_fifo_push = '1' and BUS_req_nRW = '1' and BUS_size(31 downto 1) /= "0000000000000000000000000000000") then req_burst_write <= '1'; req_burst_write_counter <= BUS_size - 1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write = '1') then req_burst_write_counter <= req_burst_write_counter -1; end if; if (BUS_req_push = '1' and BUS_req_nRW = '1' and req_burst_write_counter = X"00000001") then-- last burst write data req_burst_write <= '0'; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then request <= '0'; req_size <= (others => '0'); req_nRW <= '0'; req_address(0 to C_PLB_AWIDTH - 1) <= (others => '0'); burst_mode <= '0'; burst_size <= (others => '0'); req_fifo_pop <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then req_fifo_pop <= '0'; if ((request = '0' and req_fifo_empty_n = '1') or PLB_MAddrAck = '1') then if (PLB_MAddrAck = '1' and (burst_mode = '0' or burst_size ="0000") and dataConv_last = '0') then request <= '1'; if (conv_mode_comb(1) = '1') then -- 2:1 conv req_BE(PLB_BYTE_COUNT/2-1 downto 0) <= (others => '0'); else -- 128:32 if (req_address(28 to 29) = "00") then req_BE(PLB_BYTE_COUNT/4-1 downto 0) <= (others => '0'); elsif (req_address(28 to 29) = "01") then req_BE(PLB_BYTE_COUNT/2-1 downto PLB_BYTE_COUNT/4) <= (others => '0'); elsif (req_address(28 to 29) = "10") then req_BE(PLB_BYTE_COUNT*3/4-1 downto PLB_BYTE_COUNT/2) <= (others => '0'); end if; end if; if (PLB_MSSize = "00") then -- 32 bits slave req_address <= req_address + 4; elsif (PLB_MSSize = "01") then -- 64 slave req_address <= req_address + 8; end if;-- 128 bits slave does not need conversion cycle elsif (PLB_MAddrAck = '1' and burst_mode = '1' and burst_last = '0') then request <= '1'; -- req next burst section, this will be pending until previous burst finished req_size(0 to 27) <= req_size(0 to 27) - 1; req_address(0 to C_PLB_AWIDTH - PLB_ADDR_SHIFT - 1) <= req_address(0 to C_PLB_AWIDTH -PLB_ADDR_SHIFT -1) + burst_size +1; req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); -- low bits of addr must be reset for possible data_conv modifications of 10 lines above burst_mode <= '1'; burst_size <= "1111"; -- burst 16 words else if (req_fifo_empty_n = '1') then req_fifo_pop <= '1'; end if; request <= req_fifo_empty_n; -- fetch next user_req, may be a vaild req or a null req req_size(0 to 27) <= req_fifo_dout_req_size(31 downto 4); --remaining burst transfer except current one req_nRW <= req_fifo_dout(REQ_FIFO_WIDTH-1); req_BE <= req_fifo_dout(REQ_FIFO_WIDTH-2 downto 64); req_address <= req_fifo_dout(63 downto 32); if (req_fifo_dout(REQ_FIFO_WIDTH-1) = '0') then -- read request req_address(C_PLB_AWIDTH-PLB_ADDR_SHIFT to C_PLB_AWIDTH-1) <= (others => '0'); end if; -- long burst request will be split to 1stReq: 1-16 words, all next req: 16 words if (req_fifo_dout_req_size /= X"00000000") then -- more than 1 word, burst burst_mode <= req_fifo_empty_n; -- fetched req may be null req -- req of burst 17 will be single + burst 16, please check burst_size also else burst_mode <= '0'; end if; burst_size(3 downto 0) <= req_fifo_dout_req_size(3 downto 0);-- 0:single, 1-15: burst 2-16words end if; end if; end if; end process; process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_read <= '0'; pending_write <= '0'; dp_PLB_MSSize <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00") or (pending_rd_req_burst_mode = '0'))) then pending_read <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='0') then pending_read <= '1'; end if; if (PLB_MWrDAck = '1' and wr_data_phase = '1' and ((pending_wr_req_burst_mode = '1' and pending_wr_req_burst_size = "0000" and dp_dataConv_wd_burst_counter = "00") or pending_wr_req_burst_mode = '0')) then pending_write <= '0'; elsif (PLB_MAddrAck = '1' and req_nRW='1' and (PLB_MWrDAck = '0' or burst_size /= "0000")) then pending_write <= '1'; end if; if (PLB_MAddrAck = '1') then dp_PLB_MSSize <= PLB_MSSize; end if; end if; end process; process(req_size) begin if (req_size(0 to 27) = "000000000000000000000000000") then burst_last <= '1'; -- one request is ok else burst_last <= '0'; end if; end process; -- user write data FIFO, for data of bus write request U_wd_indices_if_fifo: component indices_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => wd_fifo_empty_n, if_read => wd_fifo_pop, if_dout => wd_fifo_dout, if_full_n => wd_fifo_full_n, if_write => wd_fifo_push, if_din => wd_fifo_din, use_word => wd_fifo_use_word ); wd_fifo_push <= BUS_req_push and BUS_req_nRW; wd_fifo_din <= BUS_WrData; -- returned bus read data fifo U_rd_indices_if_fifo: component indices_if_ap_fifo_uw generic map( DATA_WIDTH => PLB_DW, ADDR_WIDTH => FIFO_ADDR_WIDTH, DEPTH => FIFO_DEPTH) port map( clk => PLB_Clk, reset => PLB_Rst, if_empty_n => rd_fifo_empty_n, if_read => rd_fifo_pop, if_dout => rd_fifo_dout, if_full_n => rd_fifo_full_n, if_write => rd_fifo_push, if_din => rd_fifo_din, use_word => rd_fifo_use_word ); process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then dp_dataConv_rd_conv_mode <= (others =>'0'); dp_dataConv_rd_burst_counter <= (others => '0'); dp_dataConv_rd_burst_counter_reg <= (others => '0'); PLB_MRdDAck_reg <= '0'; elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0' and dp_dataConv_rd_conv_mode = "00") then dp_dataConv_rd_conv_mode <= conv_mode_comb; dp_dataConv_rd_burst_counter <= conv_counter_comb; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size = "0000" and dp_dataConv_rd_burst_counter = "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter = "00")))then dp_dataConv_rd_conv_mode <= "00"; end if; if (PLB_MRdDAck = '1' and ((pending_rd_req_burst_mode = '1' and (pending_rd_req_burst_size /= "0000" or dp_dataConv_rd_burst_counter /= "00")) or (pending_rd_req_burst_mode = '0' and dp_dataConv_rd_burst_counter /= "00")))then if (dp_dataConv_rd_burst_counter = "00") then if (dp_dataConv_rd_conv_mode = "01") then -- 128/32 dp_dataConv_rd_burst_counter <= "11"; elsif (dp_dataConv_rd_conv_mode(1) = '1') then -- 64/32 or 128/64 dp_dataConv_rd_burst_counter <= "01"; end if; else dp_dataConv_rd_burst_counter <= dp_dataConv_rd_burst_counter -1; end if; end if; dp_dataConv_rd_burst_counter_reg <= dp_dataConv_rd_burst_counter; PLB_MRdDAck_reg <= PLB_MRdDAck; end if; end process; rd_fifo_push <= '1' when PLB_MRdDAck_reg = '1' and dp_dataConv_rd_burst_counter_reg = "00" else '0'; process(PLB_MRdDBus) variable i: integer; begin -- change to little endian for i in 0 to C_PLB_DWIDTH-1 loop PLB_MRdDBus_reverse(i) <= PLB_MRdDBus(i); end loop; end process; process(PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then rd_fifo_din <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MRdDAck = '1') then case dp_dataConv_rd_conv_mode is when "00" => rd_fifo_din <= PLB_MRdDBus_reverse; when "10" | "11" => if (dp_dataConv_rd_burst_counter = "00") then rd_fifo_din(PLB_DW-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); else rd_fifo_din(PLB_DW/2-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/2-1 downto 0); end if; when "01" => case dp_dataConv_rd_burst_counter is when "00" => rd_fifo_din(PLB_DW-1 downto PLB_DW*3/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "01" => rd_fifo_din(PLB_DW*3/4-1 downto PLB_DW/2) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "10" => rd_fifo_din(PLB_DW/2-1 downto PLB_DW/4) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when "11" => rd_fifo_din(PLB_DW/4-1 downto 0) <= PLB_MRdDBus_reverse(PLB_DW/4-1 downto 0); when others => null; end case; when others => null; end case; end if; end if; end process; rd_fifo_pop <= BUS_rsp_pop; pending_read_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_rd_req_burst_mode <= '0'; pending_rd_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '0') then if (burst_mode = '1' and burst_size /= "0000") then pending_rd_req_burst_mode <= burst_mode; end if; pending_rd_req_burst_size <= burst_size; elsif (PLB_MRdDAck = '1' and pending_rd_req_burst_mode = '1') then if (dp_dataConv_rd_burst_counter = "00") then pending_rd_req_burst_size <= pending_rd_req_burst_size - 1; if (pending_rd_req_burst_size = "0000") then pending_rd_req_burst_mode <= '0'; end if; end if; end if; end if; end process; pending_write_req_p: process (PLB_Clk, PLB_Rst) begin if (PLB_Rst = '1') then pending_wr_req_burst_mode <= '0'; pending_wr_req_burst_size <= (others => '0'); elsif (PLB_Clk'event and PLB_Clk = '1') then if (PLB_MAddrAck = '1' and req_nRW = '1') then if (burst_mode = '1' and burst_size /= "0000") then pending_wr_req_burst_mode <= '1'; end if; pending_wr_req_burst_size <= burst_size; if (PLB_MWrDAck = '1') then if (conv_counter_comb = "00") then pending_wr_req_burst_size <= burst_size -1; else pending_wr_req_burst_size <= burst_size; end if; end if; elsif (PLB_MWrDAck = '1' and pending_wr_req_burst_mode = '1') then if (dp_dataConv_wd_burst_counter = "00") then pending_wr_req_burst_size <= pending_wr_req_burst_size - 1; if (pending_wr_req_burst_size = "0000") then pending_wr_req_burst_mode <= '0'; end if; end if; end if; end if; end process; end IMP;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127VnxTdt3Yq+2oClKiJFCtmSAw +8yXGbdtw/pYns0xUZsjzD1NVx2O1mUdqDmQEwRb7NCGY4Uo6NCloalJZqdGLA2zjvsf3QRCyfsz sgzlsD96ZDlOPIYWpolNXhSpmy9j95Y9NEgEskAzl3eJNPkRfvQtP9BQE6b/ySeKU+PVhouSylvc Bles5Ph7hbIDKKJ3sKrft1wbvALb1tV86JNGGj0KVjmWTbASVrYc+bJ6Vk7PUzti9MeER0MRBR0x isnXLMfR52nnUhKCjHqDaPaT4by5uH31wGhopU1Wh9y7fMIbJloE/BnU78dDkU3rZCr8QbRsqe1U Ti62bzGpmvUcOXdb0LxHwnTrMI3eZ5oZrAivUWuGnvUmmIzOj2jSVEKJELcDq4ySmTlsGfAtEPmd FiWFiO/I+wbGthoJOpzWvpgVJYDaae7bqE/UFlzc0yCPlIxBuxLBN6BQEQQ0iMhgiP0YJPssSF+v 3JU9eSUhQZ+yjLHwEE/EMjhhsWhgpxuiVSIjgbxd7axl5Hl8hmZeDrDkOyLGxmIqK9uxxVL9vQdu 2xLETWEDUAiNTcnFpt/80xn2EbKe0fNiF3jtpYblU9EqErGOuo0xtDHVQWEvMshlkGiwveUlCsAr TzB5ipAXzxEluAGnOEUQvFfJS80QfaeqCZxNEz4pkekVlIaCOc+mH30YtzF20l/MLXNY2U5Lh/Y6 qck8hXKPEDUwp/z+OncCABtgtzubDdslekpicXYmWQCozp5VE7404lvjgloc2BTOG094puliSien jsyl1D5eMm+ajwgeeeiNU2wEXeZSTqIvjZ+o2hJ7Juq8UautQ9aY9rXgILw0VHCOJ1PABsiwQ2SW DgBWYkgvy4A8mlP7iHljb7TeZToCJ1bWuAd3ThvyMx/mxAL9f3iCxJcPEHguHL8ie/ZbZvHlj/5x D7huBEhxfuRqgX+/Zzwe/A1oSJ8WzvkpkiT2fXD9DqQPZoZgRQhx0fxrCdq+9rN5Ps8CJMDLiSzy wLjfHIWCIP6W1sSFoEFBAfUnBi1P2F0w0VTWN+l5a+Z5iZ73ghe3MWaeEGu6zUARTGA83qvV6Kx9 GTceFB6e+l1hdwMWLGWP1rpCjQfVTs+2xbZQWu0sz/SV881Wq0hDU2+MIo+9duoi3TH3bjtKJzau xQ1MjzUTwTNr46gnzH8GLGJZdeHYRcQLY8QiVHwjYi4foC+1a7t4WUtDOOidziJA/Mc8iADCgPF+ fjlE+iBjIFA00O1Jsxti1rmJyrEVEUo13v5tLDL4NwGkizKDfZjb/Jn5C4VMYIPIAgUHQ8UdXwOv k+3ZqReDMwRVbR6CvmrkhW+8N9yUwnSOZ8JC7jzKWnLWbTPZaJIDQge83qr9s2qCiuDRVOiPr4Wn ddbrk3XCtm7sH3NxK7j6u+JqIe9u4IEYwGQRcWuWtgHOPH0uTfVZ1gk2yH5uBCcFIvcL01EN+KPP qrlSQ4TrpUAfJEn1CXsX22onXBd/TEbA/xMMJFe56yPylHIBbhpPr0orxAy6TgUgJV5L8fpoq2sr P1aPUy4OKzMjuj4fZD3t1UuYditLR1ZsDu2+578VeiqZIBLqwVEex0myUsed1tgTyH7xC6OliDbB R5tQXhDQfxUbd1MDbUwrCGz2m/frNgx54cJxK3AF4IEPmrEfKQvRUF3yVwym6HI29iWq0Kr4t1eY Ek0AvGns0uWoFKLameZqj/J/LRfqH2J8sY+G1wHHlM+M1zn0mvtORaE2S9T5wptVywtGxdLhCc4E CoX2PjNOD2itVFQum5BFa2m2xbJwQKh2fVQBjJlnvZlUp9ScN7iRisaliiObEu6+4pIo30jtJnvK J1okftZ1tf94rQu447EEwPjNtQE1diBzF5BRx65YvDF+EII3HBj9/LuX1l9AEJlxj0/vnKKFXMAJ xvkc/kbu09N4n611I8DikZSb4leU4f87pzZBEHzh/FfFSyGQZKtxwC08RylnBdnjybXjVNSQohme Ydx3TxglZlR4bh7DVEamLj9Anmz6AGwihUrOoPCkhcHfsFXW16K6tRcyAmQAZCa+/xf/5be+MqZR o7JUZIWaYhRk7TCj3KqIEIFtiQYrefXJWGQ9MVf0aB68YAuWz7UtOhauM9TjCe4S9TqTiE6fETu9 dnfxLsNhamq8wp9ClLN9KuI9ZsvUOzrX+1Kj9Y3Mh4LWUro6rEiTbjvHZArWfc2C/iMscWP9fFnq HxoVvb85byczTQWDM6oCyxfmYDlkpuEIfBLogQx9eHmbYhfMxnZ7z8a6UHme/WsVgrtzrvX4HBs9 TJEo/LLZzE5pre2z0VV/PwrgaymyUmb5jAiIUaqwzmcN/NFVMvgnNufirUHCTgzWQNwwG09mtDZl ofwzCDWr355h/iOxfSRWfDZI+MU7IMpwKYk8+qpe4hJlkU7it97EyMg51VjkDFueFFggJib3T7N5 eApLZjROarn+lqBq5jHDIgWIpiqDSTAwmyNRzKromCjBcU+S4fJ3qB/e106CvwI7ArNexp9dhz+z LhgSobTu2GgmNmIMLXq9wkMq72h5t5qo4UTpK2qLHBEAxqk8uuC/FQl58psntFiotLcN/2MyvCVa 5z82vawznbL+B1MEshk69CNmbjnyTHQH/5lhTAPAFSUu6bYEeRaIF7K0bUGL3sEGBQ/ln8leYSnS N4o/YWlduGN+yykJPbQRtmRMBWl62x83mtn92MBYrODUrf/+frEPWfINJrfYOK/G19PM7SLrZSUD iqTvmwJZwC4tZXSEfFTHfUlSBW5G+XtzVc3D6Jz/sLMgw6SiSyrswKb9UJjSAtAwBKJoD8iAZ6DI KIwjQuEfUj0FbwUKjuI6HGdtdbTo1w78rqeUV3/buE519bHcDjmKZqkLktno5f48+2huJzw8mWp9 6H77PkqvbziFmGHM8xAx2SBZUCV/c+FxV5lQ0P8r7AOjNWafUSgZ/opFFTEqSu9mO1VGGodNT6th YgmY4Vpwz7jAAOtMqqUm7UWt4U3uHg1WTvGLIra2zIocjOSlaXVSNT0I4hQC/Nj5gRIC+p7/o38R FPyDhQdAvxn15qdYz0/yMmiRAoSZejaPoJP74oGf9LTyHr5xICRhnTxPP7ENMSIWCZZSQtlx62uS ly0ncN9michnS+enQkF28w5Q0CfFww3RFePRlDxhwkkvxZ2F5GxQSapdop2z3kDRzFZLrun619Ct rGFB+muqDmT8DmU5SjutBEVDi9myTabvVIQ6yqHgAPo06PXYxLcG29X+N3aQH1BE01v6+rr6GwZC vZs+dPQV11HvIoNQAxB7/2m5Yw0WRveQdITUvzJ2EjaEsc/pbsGqbBy6D4DHasL0EHqMPM0i84mb ZplHyvxMfTFxEHKi/f1f14KmlCxC1/6D4bolNxx93/I49zXNsXOLI4/n+GRejt0L9rEP8H59u8xv FyyD+modcrTpiWqIhU5+Ixn3d4+qAEtn5scfRoXl77AEEjFGOkwfozrvvTksoGebPJ57tYCLJJ/9 85o541TW01iJc9Z9sLJoRuvB9oNPef4srEprWUENSGy4WcAvj6BLvZSZcXKxbP9jTpOawfKcVafn aJhbLsbEKY0xgFC0hxsenCDA3+jMJTftl1S89tvW1KAjgQPlAXaTYYlSExo+6/8+2GjwBw6UTmeL SvlG0AF65gEdXtbh4M08sxJAM5VLiVPbIT72c3tRd1nmVdeSnvReuE+szmg6a1/qifMai4NjR4fO 5Ur7NApTpw1Kf9kEyw1aoGwuC/o6Vi8Y5kIbjc3e7n/9y9XjeeiK7ZF/Za2eUl+KijAZUU0LVd5O z0b3IwnlGgtg2Ud4yb5OUJTiPyMLgbLTBkvczKt0TmnJr8LaqCr9Rbl6e/cQQkzh4xsuQwCnf8Fc UbF0l0P7rOtR6cLm+yl41dtFPk0AJB+8xJStCPg5+cjK5exktl+gU4rQsZcwuFVxDll3w80wSFRt ufQ2/anld96loFz8e718XTKsxg/NfZlaqrfK9abpY9Pp4PhnrT44S1llwIsgZPejwiWPk98qgeCq dDIP6qtOVTsvzxjIZ8uMS0p15F5oeCu23P42ff5H+Yc01pWGnT5XvA6gZKA8fH0adhpJUIN8N0IV RtwdXwdCDBkPXWWP0ROQl7cMovVm9f+UAcFTpFsEx/TaJghq9mPt0EBrrLuuXHVfIp8jiDMQxuAl vxdQckUsK3s0XeDhUcTRsNiCJ/t/imnHg+fE4T97kWHV+Y4pZJy843sQmr95e6Bl3BIFkam7+Wic d2VUxgmQcVaDhBzr1yTMaQx1K94I4915OrWAU1ORdxnU3RqkgpDKT3JzmO3mo4M0v8ly50iThVwy 6+VWHOKat9Gx2pjrQaJXJr0E5/AlfAjnIk9Hydk7L4CG2G5isvYObyQVComiYkKeNHkIEtYjNa5Q 8w/b6J93Y2c9jY7rkXYWkPQ1FdWNZl5GXDFwNpcD0NLpXLRBA9j3Pt9mXX25iBzbnqM8M07SuQLX ytinTczoiOGtEGJIi0wfdDajgHO6qoKZfpeMNNzev40RhveF7NdV2XqFrzUqJvrkJUnuAU9Q1VoC 829t45MTSmDVg+9NnkAPw2Ih3lI3/wYvk5I7lJ4G1i1E91c34+RffsRG9ij1U4dWfX12lwY3AMaB CnYz1seVBwLfjkN+xPj0wrX5al1OfUe5DT7ddaxsYr421Mpevj0KuLRlCxQPJdl7jzkBQd9jLHqw 1r5qiNiEZvVeisohlsdrOJExxyX+p9pHR003AG2lwjEqm7v9Z7wlxoPXqeZSHx2VvwUJ9LmjlA9o 2dpEqdCRyvn2hE8SGoFWc0BdEGTw3gM6u7ekAzYy8mSHeiCBCgfDJEfFffIw+aFH+lxGaF+jLxnQ iZrfE2TPpuoWeKyT25fhsXkEmYRbsCxW54KDs3vsMqdiI5WASEzhyJP2VDmPh9RlSJ7AlK/jYA+j 3ncKdH1U5CeGMSGryAEdlNaOqICUo/F9Gr9TuusiPyHgAMkbRBxxpEFet2o0JGGBHA1I7AFttj/j VyE8j2x4ihRfGoVPCjM9IUiT6a+wrTQnu/ErSyJG4rpdVO8ReSKK5FCHMF/DKvraGmyWJdFeS2/E cVeydQR/vgbL9o1+Yc4RTd0qK9oipPJiVGrjQNWMIUsFRh2LxuxO4ZclybTm74pfSqyNmxBsCNpf f7flUjFXuwuuJ9B7TjlR5AjDEhUBHz2ZWe2uRbX46kTUbaGT2hGGLr+UxZCXQKdNFqKjvpv5FQGo lGYunb0YKgNev60ZXf5gz3kB6U06I5cbgD3xmQEvvKcAqvqDuuUWQ7pZnImDjV6KAkqU3kco/8FB Yio5ZM2r97LFVg6U6wsXCDqHWWQWB4qbai9glszC5AimcPWQHsA1lXul0NwIfSk9/m183hkTcVWZ iNqppdcdAjJbyAlCm4olw4EVysAMrPCMRmIC55uyTinBatW4jZ/wZ7cD79oqOWJJrSSF0gTaLW4a ulXyz+lMq/hmaSg0saJg/dzr3jpS35lbozsC//gnxKP+C3c5x1eY4bBoAy10r4cxvw9X2nHZXIhM VDixnL7MjZuXoRvKtrPNwWROUZXfRJqCwG6DUBgy1UYo4n5hVQ31WaAAmGmCY50uHCn1DCOnMALm CyUCDARxX1d3qHEw+LbbmUvMAo9nCNn/bnqRtuL/V19Cw+eIP8s3A7QrDFaUrUFbrV8eErVphQIA Otwerkdoj8/A0EaF2fXevTV7nrTzdRS0Fl3P95vvkx07EXHN9mZaoQPqItiHgmjwkoYw0D8mSMxA KanGaZ2hWEh0Dr1MkEM9jhxYdwdW5Cp6QUTK3So6cWmkRVk/l7JuoVQi7eabU+QY9C9OdBkrDANi f7gqeHlyAci+HS6ZGDsiIh1BE2tiqkLI1BuEpTKcx2gAZ8fAELJEMR8BbDy4huTNNj7CJt9vjuKU uweYfnMmT6wgY6YlB2HernDyhMcQlSROfL8t0ELc1lfCzkShgOgZrjC2mMHU3e26YLLAGHGb60dH I8BGND+xulERj2YA42X3Wk/YDoZPHsvtyfpeDIfog55QRTBEHTXgJ8K5JnkTBeTjnw3kpg2Vzb5s kuMEum8FXpPaE63jerDEeu3qZX0nG9M9I+FnD8sRjKd7DszeigQmpCFWd3CquczDmWVQajHoeonh ajQHojUthkwCeGwrcecEjJMl1/zFn/acYi8oeRO+zRpX0vva+C/5/MA6kMJ2dYK7RWkkI44igGP3 QjWaQi8Vs8JyyGo0/vXDErXx5UAUceITN5trHhhTrPhv3D4r0zhBWqJtkMT1AjiYMCe0Bbs/51in +Efv/YEolXJvPvzwVE6KwHMqAIWCWM0TVDwyKsh921jt9FaoOvDkpm2nKbtL5kDdReyrmmbeqjQx Va56aC14MxDpEH7z/jTYAY2h8IF5vDSAPyloSkWj1VA6kKTHOyw+fda/TzzfpjAugYhhoQsjGNCA mgfZwiNW0O1HqLw7lc86EOLvMAW5YOnCTfnDfFprK4ojQLrVUhIsKJXTN3O+ywZouv+n8XVj2A5t CqLkAdzR4VspdXTRPXF630mQiDD6RF7sZBp9vIN5LIdwwIfvWvS9sXlTRHujtVDhGUlizassz2ka F384cSUionGxOT4HQi78S0HkDTA/SyGrjnUKcqfPwf4jPedr+NxdSF8stbKh/D6KeL6O5TyojdGB Q7av0aFhlVAHTDckr5zCyeYB0qxrctPQSGYmJxv+qLRws9Z1RfH14Gk2In8xvsiAorsRagVVPF0T umiGn+W5UIBdwiWtXsU2xyO/eF9DvB6o9EakocscOhfkGAlnCAwpU2ruqMfzYUbvEY/7niBNH4n8 2cetMofkLQdd+zatL/NVCNF5tHgdVvdwHvrgOQfqN3Fhy3WfcWv2mP1u4buz5OUylAODDvN7tWu2 Eamk0wukwAoXgNRUKyjlosW2hiQiw9h8dwiXRdVO/sw/aH5s+GOGAwxkZmpILhTOabQpY0Jbbvea 54aroAArbv1sRqEW/7IrP/d62EC5DfuNrjpQJzjo78djrueBB/YeHVJdmn4dw+Syzs7d19AYdyw7 gTY4B054CqOpUXK+8q3zRV4SDUChBAS1CW3qXP49WShEQ3hLsGqum0L2fu71A3JGrZUqLXCPBN1j nOoeXTBLzy1F56f0X3rDvre9NJILaflOAYy+41G5efbIb8l4Rq79o9PyoaFz3Ag7AsDLsErfV+U8 cz5T4JOY7LZGnrGWRBzv6O1yR95Re84BTupwqYokX3LSYOS82h+/CtspBLA253QJxHBioUHTeua8 xKS8TG2IQFJ+j+CsbiYYe6LymxjZAwE3PAjODpvSlXts4QCcwF8ihT/ItFkKGWKExVBlVF162Pe8 zuORsbLkTJqudd4n7PbPGUakXz6Lu9kiqszxe0ORdn5qxCWMOVXo6DaxeRemkUnvSlhFCgdCVcOg C0+GnE9eP7vymI1jS56cwjpUdZJqzqk6PLbN6z7DbSWMdrGKlaqafQ8zkKOfRyy4EwhflgJici+C KYnOSX3uiLSqKhb9WM4AlMFhtMtV9tbwVr6FIm6IpsQL7fKKCfhYTT4PpCJtFoD1jzeIg9UEmGGd cQCV8zUNn5uUfxkvqnxiThJ+Ey5DhtaRpX5OMRSiu6ncWeE0z8fjNF6Ir46Z6M6j/gQqcASpfo9h fDEeAd2DtBEH5zs1DEgmRIk4mMhWEjksIM1d9GioEajt7Y8OKvP2o4SL++444GFBX6mx8YRXOWCp TJhNxYGdRRz7CGVY0RUKZO4t4xH+rW2edo3uiI378wkphiIMN58frdDznkARD8gtpImVcUllJDLK AfuD+FmLuAZs8rZRFZngI5HxR5betKfA2sv45OI7eumqo6w+zvjgrDYfGnas4cELoS5vdTOfQ6Iy smUC+9YO5aus97TscJdYu5ZnHUHTeeiD5N6/gs6IXp9M1NbeZqkWQYl+6WP0Hi37wLTLRd/dOn7C TU1YYpou36sHxap7/ZtblRtQek5a54P+9DbRET7JQ9mmf6wFK0+Nka+3A9REIpHg3uf5GsxOEWst +uKuFShl1G8htillDumuiD6qRzh535IhZ4DyFeBI8fPQa04zQfjRG8CEZCJK/w6UcECR1IITX5PR 1NaBpV3lBjP7z0UtDRxwfekXrnCKsil9zh0XmJ5MVqpDeQnzQZI1KzFM0o6dc9txBGI7+oEdeInQ hhr3wGeWDTOt2PMrOjWOBgswBKX8v9XTESDj4RfshWy/OkaRxkWsJK++X/j7qwFbQWxy8vWVbRlM EFzsz9XqrOODI9CjBog878kTXauS4w7pBubnhJzhLISbXeVOntprPVblPRwEAFDKqZy61qV1RKTZ ODNJ+zRmvxOO8jP+ZdX1kD5yKoqFKUbBT49ueQEPiUXOTxuOqFyeZUUYLsvsroS8MHiMSHGWg7a4 CsgHctWmz51IjVIr2hHFPWM9AMHo3tFP/qn/VTYbxqXRV72qsXivO9A0DAtTqwSndi1CCLl5rdtl 1VNZ1f5XwRsVF/k3m9C1GV/grUTwlZQzr6mw+Fl38Pcmm5V17+csIlzXEip25+6T+42ELWsiCZpN iZEaWxWopCbJYpadS0ACCmmlNkaeeK77DMza9KIqlb5GoGZhdfSSzF4E4i0uEtNeluqv2S1+QAuP WvzWrzqtzWWWHLjv70IPJH6sEj4p5eZq416QC48ilFPA6TCMiMvCgBpIidrKi8vrguJOe/v5v/f6 hmr9Egt7MJOJMHV8Sa0IbjaRy82oWEHHOYfEWxZj1aD2R9vTxPjiMI35D+FGUap4AORbi97XPLbQ kwqC6HyU0mQXf4d4NlZcwBn2x3Czvx6r+YFDvYtqcibRDILb756Gy0ChdME4zaJNgWboKqIbm7oo bUBMGsA6sZxMWEW2Ycc/jY4wlgPEe+GQcXPSdrlTZhvLO1/uvhn94F+pb/R3HFk3vUtJSOSfnRjb 9lvEzljaBwq/pqNykxfplpuBh/eRsGw/iI/9fFyZrHM0ue+YnYbwnMcoRzshdyTlJsaRzK5s+Fdy 994xlI8nZ83oZh6gt6H20BIuciCwl5psnXu8aWdjWnVI6hn8UZb6abiHLxEs1PZtQf8LplhFAK6u FMHX8+v1BBGPIs9rdwqWGNxsJNiPVXYzh7MfvnGJCE5yNSygUNpcWPnEP2F1HzzayhQBYCJvY0hs 2vPR6F8s965aAYLQ8icwHqZVpx7tH1YrDVvmgvU5YOmU1ohhspQ5kyzV/WkoBcURCWCqpEyJG5ov Hslqwf3MbffFGKE9yiB2adzpTnnxYEbM8CTmFRCdVEj/1b/ttDNwLlIkIIPsoxePXYSroSnaXsZ4 iFCbMELvYtveSb8XkYTwjYtb4FvqDmFzlWAPP0mRrMMv9t9LSvFV7harGokhqVkwOXhr+BvGqKwm 2YgnT4p5YbW+lGXUrHy+8q0cIcvoVQ3mVFbnJ+wYX4Gun4JoKVxcwH2HDGUZl6sNIvq0YMpXuezn Uh9MLpSUXeu8rFHP7t0bH1El6Wnrd2qlCG8/YGGCzc17kXXSJKtgdeiqUgaAxZqInjWMV7FdWHaW VNnF6kknTqHMzkKnSZLFRE/4kvK0eX/HOJyms1nVbxtOyk2n9IndmbGLw8zSVUx0/FvVspp514eN OJ0vqTWQ3uSC1CnnCaVhCaBER/+saEzhO5Rb+BSRpcWjlmoF4V7h6Jr0dhhZ6NyWpantSkCI/K8P ps6ql16UYV7YX/xfrj11NHjK4IF+fOh6J+4BgvxB0nbYlzWMShy9wdGJqqFd9qIxvgfJO5FasfyJ EmDEDBqS9SFgK8JFHkTh+KcBB3vN88V2/n2lI71cWFUKcwmXTBkhE3cTPaq4OHsb/rzK5nicMzm9 hB3D2HCmJcSNZ+sYfKFBntcYhQhGodidhFH+Cw4jgbh1KhRS91RT06cHy3GhChBBuSZ229X3fW94 1qX91GLeMhkpvnnvf6ZJekMws0dyV4in5XQpbkgIKkrOLBvpIcJ+wMLFo3HtxhPbt/IhPJyCqW+g SRN0vHKU9K+XrGOPc4NvsksXR2YGeFP9vACSrUOcOikd4r/G86EnVLV9etnqvSz2wGA9A8WjuRTN HwSe+WzIEeX3fyLGB7xPaSSINj4VlIxKNgbZzYUIYfIWAtKuC+K5cT/xE+gTNaTc7A== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127VnxTdt3Yq+2oClKiJFCtmSAw +8yXGbdtw/pYns0xUZsjzD1NVx2O1mUdqDmQEwRb7NCGY4Uo6NCloalJZqdGLA2zjvsf3QRCyfsz sgzlsD96ZDlOPIYWpolNXhSpmy9j95Y9NEgEskAzl3eJNPkRfvQtP9BQE6b/ySeKU+PVhouSylvc Bles5Ph7hbIDKKJ3sKrft1wbvALb1tV86JNGGj0KVjmWTbASVrYc+bJ6Vk7PUzti9MeER0MRBR0x isnXLMfR52nnUhKCjHqDaPaT4by5uH31wGhopU1Wh9y7fMIbJloE/BnU78dDkU3rZCr8QbRsqe1U Ti62bzGpmvUcOXdb0LxHwnTrMI3eZ5oZrAivUWuGnvUmmIzOj2jSVEKJELcDq4ySmTlsGfAtEPmd FiWFiO/I+wbGthoJOpzWvpgVJYDaae7bqE/UFlzc0yCPlIxBuxLBN6BQEQQ0iMhgiP0YJPssSF+v 3JU9eSUhQZ+yjLHwEE/EMjhhsWhgpxuiVSIjgbxd7axl5Hl8hmZeDrDkOyLGxmIqK9uxxVL9vQdu 2xLETWEDUAiNTcnFpt/80xn2EbKe0fNiF3jtpYblU9EqErGOuo0xtDHVQWEvMshlkGiwveUlCsAr TzB5ipAXzxEluAGnOEUQvFfJS80QfaeqCZxNEz4pkekVlIaCOc+mH30YtzF20l/MLXNY2U5Lh/Y6 qck8hXKPEDUwp/z+OncCABtgtzubDdslekpicXYmWQCozp5VE7404lvjgloc2BTOG094puliSien jsyl1D5eMm+ajwgeeeiNU2wEXeZSTqIvjZ+o2hJ7Juq8UautQ9aY9rXgILw0VHCOJ1PABsiwQ2SW DgBWYkgvy4A8mlP7iHljb7TeZToCJ1bWuAd3ThvyMx/mxAL9f3iCxJcPEHguHL8ie/ZbZvHlj/5x D7huBEhxfuRqgX+/Zzwe/A1oSJ8WzvkpkiT2fXD9DqQPZoZgRQhx0fxrCdq+9rN5Ps8CJMDLiSzy wLjfHIWCIP6W1sSFoEFBAfUnBi1P2F0w0VTWN+l5a+Z5iZ73ghe3MWaeEGu6zUARTGA83qvV6Kx9 GTceFB6e+l1hdwMWLGWP1rpCjQfVTs+2xbZQWu0sz/SV881Wq0hDU2+MIo+9duoi3TH3bjtKJzau xQ1MjzUTwTNr46gnzH8GLGJZdeHYRcQLY8QiVHwjYi4foC+1a7t4WUtDOOidziJA/Mc8iADCgPF+ fjlE+iBjIFA00O1Jsxti1rmJyrEVEUo13v5tLDL4NwGkizKDfZjb/Jn5C4VMYIPIAgUHQ8UdXwOv k+3ZqReDMwRVbR6CvmrkhW+8N9yUwnSOZ8JC7jzKWnLWbTPZaJIDQge83qr9s2qCiuDRVOiPr4Wn ddbrk3XCtm7sH3NxK7j6u+JqIe9u4IEYwGQRcWuWtgHOPH0uTfVZ1gk2yH5uBCcFIvcL01EN+KPP qrlSQ4TrpUAfJEn1CXsX22onXBd/TEbA/xMMJFe56yPylHIBbhpPr0orxAy6TgUgJV5L8fpoq2sr P1aPUy4OKzMjuj4fZD3t1UuYditLR1ZsDu2+578VeiqZIBLqwVEex0myUsed1tgTyH7xC6OliDbB R5tQXhDQfxUbd1MDbUwrCGz2m/frNgx54cJxK3AF4IEPmrEfKQvRUF3yVwym6HI29iWq0Kr4t1eY Ek0AvGns0uWoFKLameZqj/J/LRfqH2J8sY+G1wHHlM+M1zn0mvtORaE2S9T5wptVywtGxdLhCc4E CoX2PjNOD2itVFQum5BFa2m2xbJwQKh2fVQBjJlnvZlUp9ScN7iRisaliiObEu6+4pIo30jtJnvK J1okftZ1tf94rQu447EEwPjNtQE1diBzF5BRx65YvDF+EII3HBj9/LuX1l9AEJlxj0/vnKKFXMAJ xvkc/kbu09N4n611I8DikZSb4leU4f87pzZBEHzh/FfFSyGQZKtxwC08RylnBdnjybXjVNSQohme Ydx3TxglZlR4bh7DVEamLj9Anmz6AGwihUrOoPCkhcHfsFXW16K6tRcyAmQAZCa+/xf/5be+MqZR o7JUZIWaYhRk7TCj3KqIEIFtiQYrefXJWGQ9MVf0aB68YAuWz7UtOhauM9TjCe4S9TqTiE6fETu9 dnfxLsNhamq8wp9ClLN9KuI9ZsvUOzrX+1Kj9Y3Mh4LWUro6rEiTbjvHZArWfc2C/iMscWP9fFnq HxoVvb85byczTQWDM6oCyxfmYDlkpuEIfBLogQx9eHmbYhfMxnZ7z8a6UHme/WsVgrtzrvX4HBs9 TJEo/LLZzE5pre2z0VV/PwrgaymyUmb5jAiIUaqwzmcN/NFVMvgnNufirUHCTgzWQNwwG09mtDZl ofwzCDWr355h/iOxfSRWfDZI+MU7IMpwKYk8+qpe4hJlkU7it97EyMg51VjkDFueFFggJib3T7N5 eApLZjROarn+lqBq5jHDIgWIpiqDSTAwmyNRzKromCjBcU+S4fJ3qB/e106CvwI7ArNexp9dhz+z LhgSobTu2GgmNmIMLXq9wkMq72h5t5qo4UTpK2qLHBEAxqk8uuC/FQl58psntFiotLcN/2MyvCVa 5z82vawznbL+B1MEshk69CNmbjnyTHQH/5lhTAPAFSUu6bYEeRaIF7K0bUGL3sEGBQ/ln8leYSnS N4o/YWlduGN+yykJPbQRtmRMBWl62x83mtn92MBYrODUrf/+frEPWfINJrfYOK/G19PM7SLrZSUD iqTvmwJZwC4tZXSEfFTHfUlSBW5G+XtzVc3D6Jz/sLMgw6SiSyrswKb9UJjSAtAwBKJoD8iAZ6DI KIwjQuEfUj0FbwUKjuI6HGdtdbTo1w78rqeUV3/buE519bHcDjmKZqkLktno5f48+2huJzw8mWp9 6H77PkqvbziFmGHM8xAx2SBZUCV/c+FxV5lQ0P8r7AOjNWafUSgZ/opFFTEqSu9mO1VGGodNT6th YgmY4Vpwz7jAAOtMqqUm7UWt4U3uHg1WTvGLIra2zIocjOSlaXVSNT0I4hQC/Nj5gRIC+p7/o38R FPyDhQdAvxn15qdYz0/yMmiRAoSZejaPoJP74oGf9LTyHr5xICRhnTxPP7ENMSIWCZZSQtlx62uS ly0ncN9michnS+enQkF28w5Q0CfFww3RFePRlDxhwkkvxZ2F5GxQSapdop2z3kDRzFZLrun619Ct rGFB+muqDmT8DmU5SjutBEVDi9myTabvVIQ6yqHgAPo06PXYxLcG29X+N3aQH1BE01v6+rr6GwZC vZs+dPQV11HvIoNQAxB7/2m5Yw0WRveQdITUvzJ2EjaEsc/pbsGqbBy6D4DHasL0EHqMPM0i84mb ZplHyvxMfTFxEHKi/f1f14KmlCxC1/6D4bolNxx93/I49zXNsXOLI4/n+GRejt0L9rEP8H59u8xv FyyD+modcrTpiWqIhU5+Ixn3d4+qAEtn5scfRoXl77AEEjFGOkwfozrvvTksoGebPJ57tYCLJJ/9 85o541TW01iJc9Z9sLJoRuvB9oNPef4srEprWUENSGy4WcAvj6BLvZSZcXKxbP9jTpOawfKcVafn aJhbLsbEKY0xgFC0hxsenCDA3+jMJTftl1S89tvW1KAjgQPlAXaTYYlSExo+6/8+2GjwBw6UTmeL SvlG0AF65gEdXtbh4M08sxJAM5VLiVPbIT72c3tRd1nmVdeSnvReuE+szmg6a1/qifMai4NjR4fO 5Ur7NApTpw1Kf9kEyw1aoGwuC/o6Vi8Y5kIbjc3e7n/9y9XjeeiK7ZF/Za2eUl+KijAZUU0LVd5O z0b3IwnlGgtg2Ud4yb5OUJTiPyMLgbLTBkvczKt0TmnJr8LaqCr9Rbl6e/cQQkzh4xsuQwCnf8Fc UbF0l0P7rOtR6cLm+yl41dtFPk0AJB+8xJStCPg5+cjK5exktl+gU4rQsZcwuFVxDll3w80wSFRt ufQ2/anld96loFz8e718XTKsxg/NfZlaqrfK9abpY9Pp4PhnrT44S1llwIsgZPejwiWPk98qgeCq dDIP6qtOVTsvzxjIZ8uMS0p15F5oeCu23P42ff5H+Yc01pWGnT5XvA6gZKA8fH0adhpJUIN8N0IV RtwdXwdCDBkPXWWP0ROQl7cMovVm9f+UAcFTpFsEx/TaJghq9mPt0EBrrLuuXHVfIp8jiDMQxuAl vxdQckUsK3s0XeDhUcTRsNiCJ/t/imnHg+fE4T97kWHV+Y4pZJy843sQmr95e6Bl3BIFkam7+Wic d2VUxgmQcVaDhBzr1yTMaQx1K94I4915OrWAU1ORdxnU3RqkgpDKT3JzmO3mo4M0v8ly50iThVwy 6+VWHOKat9Gx2pjrQaJXJr0E5/AlfAjnIk9Hydk7L4CG2G5isvYObyQVComiYkKeNHkIEtYjNa5Q 8w/b6J93Y2c9jY7rkXYWkPQ1FdWNZl5GXDFwNpcD0NLpXLRBA9j3Pt9mXX25iBzbnqM8M07SuQLX ytinTczoiOGtEGJIi0wfdDajgHO6qoKZfpeMNNzev40RhveF7NdV2XqFrzUqJvrkJUnuAU9Q1VoC 829t45MTSmDVg+9NnkAPw2Ih3lI3/wYvk5I7lJ4G1i1E91c34+RffsRG9ij1U4dWfX12lwY3AMaB CnYz1seVBwLfjkN+xPj0wrX5al1OfUe5DT7ddaxsYr421Mpevj0KuLRlCxQPJdl7jzkBQd9jLHqw 1r5qiNiEZvVeisohlsdrOJExxyX+p9pHR003AG2lwjEqm7v9Z7wlxoPXqeZSHx2VvwUJ9LmjlA9o 2dpEqdCRyvn2hE8SGoFWc0BdEGTw3gM6u7ekAzYy8mSHeiCBCgfDJEfFffIw+aFH+lxGaF+jLxnQ iZrfE2TPpuoWeKyT25fhsXkEmYRbsCxW54KDs3vsMqdiI5WASEzhyJP2VDmPh9RlSJ7AlK/jYA+j 3ncKdH1U5CeGMSGryAEdlNaOqICUo/F9Gr9TuusiPyHgAMkbRBxxpEFet2o0JGGBHA1I7AFttj/j VyE8j2x4ihRfGoVPCjM9IUiT6a+wrTQnu/ErSyJG4rpdVO8ReSKK5FCHMF/DKvraGmyWJdFeS2/E cVeydQR/vgbL9o1+Yc4RTd0qK9oipPJiVGrjQNWMIUsFRh2LxuxO4ZclybTm74pfSqyNmxBsCNpf f7flUjFXuwuuJ9B7TjlR5AjDEhUBHz2ZWe2uRbX46kTUbaGT2hGGLr+UxZCXQKdNFqKjvpv5FQGo lGYunb0YKgNev60ZXf5gz3kB6U06I5cbgD3xmQEvvKcAqvqDuuUWQ7pZnImDjV6KAkqU3kco/8FB Yio5ZM2r97LFVg6U6wsXCDqHWWQWB4qbai9glszC5AimcPWQHsA1lXul0NwIfSk9/m183hkTcVWZ iNqppdcdAjJbyAlCm4olw4EVysAMrPCMRmIC55uyTinBatW4jZ/wZ7cD79oqOWJJrSSF0gTaLW4a ulXyz+lMq/hmaSg0saJg/dzr3jpS35lbozsC//gnxKP+C3c5x1eY4bBoAy10r4cxvw9X2nHZXIhM VDixnL7MjZuXoRvKtrPNwWROUZXfRJqCwG6DUBgy1UYo4n5hVQ31WaAAmGmCY50uHCn1DCOnMALm CyUCDARxX1d3qHEw+LbbmUvMAo9nCNn/bnqRtuL/V19Cw+eIP8s3A7QrDFaUrUFbrV8eErVphQIA Otwerkdoj8/A0EaF2fXevTV7nrTzdRS0Fl3P95vvkx07EXHN9mZaoQPqItiHgmjwkoYw0D8mSMxA KanGaZ2hWEh0Dr1MkEM9jhxYdwdW5Cp6QUTK3So6cWmkRVk/l7JuoVQi7eabU+QY9C9OdBkrDANi f7gqeHlyAci+HS6ZGDsiIh1BE2tiqkLI1BuEpTKcx2gAZ8fAELJEMR8BbDy4huTNNj7CJt9vjuKU uweYfnMmT6wgY6YlB2HernDyhMcQlSROfL8t0ELc1lfCzkShgOgZrjC2mMHU3e26YLLAGHGb60dH I8BGND+xulERj2YA42X3Wk/YDoZPHsvtyfpeDIfog55QRTBEHTXgJ8K5JnkTBeTjnw3kpg2Vzb5s kuMEum8FXpPaE63jerDEeu3qZX0nG9M9I+FnD8sRjKd7DszeigQmpCFWd3CquczDmWVQajHoeonh ajQHojUthkwCeGwrcecEjJMl1/zFn/acYi8oeRO+zRpX0vva+C/5/MA6kMJ2dYK7RWkkI44igGP3 QjWaQi8Vs8JyyGo0/vXDErXx5UAUceITN5trHhhTrPhv3D4r0zhBWqJtkMT1AjiYMCe0Bbs/51in +Efv/YEolXJvPvzwVE6KwHMqAIWCWM0TVDwyKsh921jt9FaoOvDkpm2nKbtL5kDdReyrmmbeqjQx Va56aC14MxDpEH7z/jTYAY2h8IF5vDSAPyloSkWj1VA6kKTHOyw+fda/TzzfpjAugYhhoQsjGNCA mgfZwiNW0O1HqLw7lc86EOLvMAW5YOnCTfnDfFprK4ojQLrVUhIsKJXTN3O+ywZouv+n8XVj2A5t CqLkAdzR4VspdXTRPXF630mQiDD6RF7sZBp9vIN5LIdwwIfvWvS9sXlTRHujtVDhGUlizassz2ka F384cSUionGxOT4HQi78S0HkDTA/SyGrjnUKcqfPwf4jPedr+NxdSF8stbKh/D6KeL6O5TyojdGB Q7av0aFhlVAHTDckr5zCyeYB0qxrctPQSGYmJxv+qLRws9Z1RfH14Gk2In8xvsiAorsRagVVPF0T umiGn+W5UIBdwiWtXsU2xyO/eF9DvB6o9EakocscOhfkGAlnCAwpU2ruqMfzYUbvEY/7niBNH4n8 2cetMofkLQdd+zatL/NVCNF5tHgdVvdwHvrgOQfqN3Fhy3WfcWv2mP1u4buz5OUylAODDvN7tWu2 Eamk0wukwAoXgNRUKyjlosW2hiQiw9h8dwiXRdVO/sw/aH5s+GOGAwxkZmpILhTOabQpY0Jbbvea 54aroAArbv1sRqEW/7IrP/d62EC5DfuNrjpQJzjo78djrueBB/YeHVJdmn4dw+Syzs7d19AYdyw7 gTY4B054CqOpUXK+8q3zRV4SDUChBAS1CW3qXP49WShEQ3hLsGqum0L2fu71A3JGrZUqLXCPBN1j nOoeXTBLzy1F56f0X3rDvre9NJILaflOAYy+41G5efbIb8l4Rq79o9PyoaFz3Ag7AsDLsErfV+U8 cz5T4JOY7LZGnrGWRBzv6O1yR95Re84BTupwqYokX3LSYOS82h+/CtspBLA253QJxHBioUHTeua8 xKS8TG2IQFJ+j+CsbiYYe6LymxjZAwE3PAjODpvSlXts4QCcwF8ihT/ItFkKGWKExVBlVF162Pe8 zuORsbLkTJqudd4n7PbPGUakXz6Lu9kiqszxe0ORdn5qxCWMOVXo6DaxeRemkUnvSlhFCgdCVcOg C0+GnE9eP7vymI1jS56cwjpUdZJqzqk6PLbN6z7DbSWMdrGKlaqafQ8zkKOfRyy4EwhflgJici+C KYnOSX3uiLSqKhb9WM4AlMFhtMtV9tbwVr6FIm6IpsQL7fKKCfhYTT4PpCJtFoD1jzeIg9UEmGGd cQCV8zUNn5uUfxkvqnxiThJ+Ey5DhtaRpX5OMRSiu6ncWeE0z8fjNF6Ir46Z6M6j/gQqcASpfo9h fDEeAd2DtBEH5zs1DEgmRIk4mMhWEjksIM1d9GioEajt7Y8OKvP2o4SL++444GFBX6mx8YRXOWCp TJhNxYGdRRz7CGVY0RUKZO4t4xH+rW2edo3uiI378wkphiIMN58frdDznkARD8gtpImVcUllJDLK AfuD+FmLuAZs8rZRFZngI5HxR5betKfA2sv45OI7eumqo6w+zvjgrDYfGnas4cELoS5vdTOfQ6Iy smUC+9YO5aus97TscJdYu5ZnHUHTeeiD5N6/gs6IXp9M1NbeZqkWQYl+6WP0Hi37wLTLRd/dOn7C TU1YYpou36sHxap7/ZtblRtQek5a54P+9DbRET7JQ9mmf6wFK0+Nka+3A9REIpHg3uf5GsxOEWst +uKuFShl1G8htillDumuiD6qRzh535IhZ4DyFeBI8fPQa04zQfjRG8CEZCJK/w6UcECR1IITX5PR 1NaBpV3lBjP7z0UtDRxwfekXrnCKsil9zh0XmJ5MVqpDeQnzQZI1KzFM0o6dc9txBGI7+oEdeInQ hhr3wGeWDTOt2PMrOjWOBgswBKX8v9XTESDj4RfshWy/OkaRxkWsJK++X/j7qwFbQWxy8vWVbRlM EFzsz9XqrOODI9CjBog878kTXauS4w7pBubnhJzhLISbXeVOntprPVblPRwEAFDKqZy61qV1RKTZ ODNJ+zRmvxOO8jP+ZdX1kD5yKoqFKUbBT49ueQEPiUXOTxuOqFyeZUUYLsvsroS8MHiMSHGWg7a4 CsgHctWmz51IjVIr2hHFPWM9AMHo3tFP/qn/VTYbxqXRV72qsXivO9A0DAtTqwSndi1CCLl5rdtl 1VNZ1f5XwRsVF/k3m9C1GV/grUTwlZQzr6mw+Fl38Pcmm5V17+csIlzXEip25+6T+42ELWsiCZpN iZEaWxWopCbJYpadS0ACCmmlNkaeeK77DMza9KIqlb5GoGZhdfSSzF4E4i0uEtNeluqv2S1+QAuP WvzWrzqtzWWWHLjv70IPJH6sEj4p5eZq416QC48ilFPA6TCMiMvCgBpIidrKi8vrguJOe/v5v/f6 hmr9Egt7MJOJMHV8Sa0IbjaRy82oWEHHOYfEWxZj1aD2R9vTxPjiMI35D+FGUap4AORbi97XPLbQ kwqC6HyU0mQXf4d4NlZcwBn2x3Czvx6r+YFDvYtqcibRDILb756Gy0ChdME4zaJNgWboKqIbm7oo bUBMGsA6sZxMWEW2Ycc/jY4wlgPEe+GQcXPSdrlTZhvLO1/uvhn94F+pb/R3HFk3vUtJSOSfnRjb 9lvEzljaBwq/pqNykxfplpuBh/eRsGw/iI/9fFyZrHM0ue+YnYbwnMcoRzshdyTlJsaRzK5s+Fdy 994xlI8nZ83oZh6gt6H20BIuciCwl5psnXu8aWdjWnVI6hn8UZb6abiHLxEs1PZtQf8LplhFAK6u FMHX8+v1BBGPIs9rdwqWGNxsJNiPVXYzh7MfvnGJCE5yNSygUNpcWPnEP2F1HzzayhQBYCJvY0hs 2vPR6F8s965aAYLQ8icwHqZVpx7tH1YrDVvmgvU5YOmU1ohhspQ5kyzV/WkoBcURCWCqpEyJG5ov Hslqwf3MbffFGKE9yiB2adzpTnnxYEbM8CTmFRCdVEj/1b/ttDNwLlIkIIPsoxePXYSroSnaXsZ4 iFCbMELvYtveSb8XkYTwjYtb4FvqDmFzlWAPP0mRrMMv9t9LSvFV7harGokhqVkwOXhr+BvGqKwm 2YgnT4p5YbW+lGXUrHy+8q0cIcvoVQ3mVFbnJ+wYX4Gun4JoKVxcwH2HDGUZl6sNIvq0YMpXuezn Uh9MLpSUXeu8rFHP7t0bH1El6Wnrd2qlCG8/YGGCzc17kXXSJKtgdeiqUgaAxZqInjWMV7FdWHaW VNnF6kknTqHMzkKnSZLFRE/4kvK0eX/HOJyms1nVbxtOyk2n9IndmbGLw8zSVUx0/FvVspp514eN OJ0vqTWQ3uSC1CnnCaVhCaBER/+saEzhO5Rb+BSRpcWjlmoF4V7h6Jr0dhhZ6NyWpantSkCI/K8P ps6ql16UYV7YX/xfrj11NHjK4IF+fOh6J+4BgvxB0nbYlzWMShy9wdGJqqFd9qIxvgfJO5FasfyJ EmDEDBqS9SFgK8JFHkTh+KcBB3vN88V2/n2lI71cWFUKcwmXTBkhE3cTPaq4OHsb/rzK5nicMzm9 hB3D2HCmJcSNZ+sYfKFBntcYhQhGodidhFH+Cw4jgbh1KhRS91RT06cHy3GhChBBuSZ229X3fW94 1qX91GLeMhkpvnnvf6ZJekMws0dyV4in5XQpbkgIKkrOLBvpIcJ+wMLFo3HtxhPbt/IhPJyCqW+g SRN0vHKU9K+XrGOPc4NvsksXR2YGeFP9vACSrUOcOikd4r/G86EnVLV9etnqvSz2wGA9A8WjuRTN HwSe+WzIEeX3fyLGB7xPaSSINj4VlIxKNgbZzYUIYfIWAtKuC+K5cT/xE+gTNaTc7A== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127VnxTdt3Yq+2oClKiJFCtmSAw +8yXGbdtw/pYns0xUZsjzD1NVx2O1mUdqDmQEwRb7NCGY4Uo6NCloalJZqdGLA2zjvsf3QRCyfsz sgzlsD96ZDlOPIYWpolNXhSpmy9j95Y9NEgEskAzl3eJNPkRfvQtP9BQE6b/ySeKU+PVhouSylvc Bles5Ph7hbIDKKJ3sKrft1wbvALb1tV86JNGGj0KVjmWTbASVrYc+bJ6Vk7PUzti9MeER0MRBR0x isnXLMfR52nnUhKCjHqDaPaT4by5uH31wGhopU1Wh9y7fMIbJloE/BnU78dDkU3rZCr8QbRsqe1U Ti62bzGpmvUcOXdb0LxHwnTrMI3eZ5oZrAivUWuGnvUmmIzOj2jSVEKJELcDq4ySmTlsGfAtEPmd FiWFiO/I+wbGthoJOpzWvpgVJYDaae7bqE/UFlzc0yCPlIxBuxLBN6BQEQQ0iMhgiP0YJPssSF+v 3JU9eSUhQZ+yjLHwEE/EMjhhsWhgpxuiVSIjgbxd7axl5Hl8hmZeDrDkOyLGxmIqK9uxxVL9vQdu 2xLETWEDUAiNTcnFpt/80xn2EbKe0fNiF3jtpYblU9EqErGOuo0xtDHVQWEvMshlkGiwveUlCsAr TzB5ipAXzxEluAGnOEUQvFfJS80QfaeqCZxNEz4pkekVlIaCOc+mH30YtzF20l/MLXNY2U5Lh/Y6 qck8hXKPEDUwp/z+OncCABtgtzubDdslekpicXYmWQCozp5VE7404lvjgloc2BTOG094puliSien jsyl1D5eMm+ajwgeeeiNU2wEXeZSTqIvjZ+o2hJ7Juq8UautQ9aY9rXgILw0VHCOJ1PABsiwQ2SW DgBWYkgvy4A8mlP7iHljb7TeZToCJ1bWuAd3ThvyMx/mxAL9f3iCxJcPEHguHL8ie/ZbZvHlj/5x D7huBEhxfuRqgX+/Zzwe/A1oSJ8WzvkpkiT2fXD9DqQPZoZgRQhx0fxrCdq+9rN5Ps8CJMDLiSzy wLjfHIWCIP6W1sSFoEFBAfUnBi1P2F0w0VTWN+l5a+Z5iZ73ghe3MWaeEGu6zUARTGA83qvV6Kx9 GTceFB6e+l1hdwMWLGWP1rpCjQfVTs+2xbZQWu0sz/SV881Wq0hDU2+MIo+9duoi3TH3bjtKJzau xQ1MjzUTwTNr46gnzH8GLGJZdeHYRcQLY8QiVHwjYi4foC+1a7t4WUtDOOidziJA/Mc8iADCgPF+ fjlE+iBjIFA00O1Jsxti1rmJyrEVEUo13v5tLDL4NwGkizKDfZjb/Jn5C4VMYIPIAgUHQ8UdXwOv k+3ZqReDMwRVbR6CvmrkhW+8N9yUwnSOZ8JC7jzKWnLWbTPZaJIDQge83qr9s2qCiuDRVOiPr4Wn ddbrk3XCtm7sH3NxK7j6u+JqIe9u4IEYwGQRcWuWtgHOPH0uTfVZ1gk2yH5uBCcFIvcL01EN+KPP qrlSQ4TrpUAfJEn1CXsX22onXBd/TEbA/xMMJFe56yPylHIBbhpPr0orxAy6TgUgJV5L8fpoq2sr P1aPUy4OKzMjuj4fZD3t1UuYditLR1ZsDu2+578VeiqZIBLqwVEex0myUsed1tgTyH7xC6OliDbB R5tQXhDQfxUbd1MDbUwrCGz2m/frNgx54cJxK3AF4IEPmrEfKQvRUF3yVwym6HI29iWq0Kr4t1eY Ek0AvGns0uWoFKLameZqj/J/LRfqH2J8sY+G1wHHlM+M1zn0mvtORaE2S9T5wptVywtGxdLhCc4E CoX2PjNOD2itVFQum5BFa2m2xbJwQKh2fVQBjJlnvZlUp9ScN7iRisaliiObEu6+4pIo30jtJnvK J1okftZ1tf94rQu447EEwPjNtQE1diBzF5BRx65YvDF+EII3HBj9/LuX1l9AEJlxj0/vnKKFXMAJ xvkc/kbu09N4n611I8DikZSb4leU4f87pzZBEHzh/FfFSyGQZKtxwC08RylnBdnjybXjVNSQohme Ydx3TxglZlR4bh7DVEamLj9Anmz6AGwihUrOoPCkhcHfsFXW16K6tRcyAmQAZCa+/xf/5be+MqZR o7JUZIWaYhRk7TCj3KqIEIFtiQYrefXJWGQ9MVf0aB68YAuWz7UtOhauM9TjCe4S9TqTiE6fETu9 dnfxLsNhamq8wp9ClLN9KuI9ZsvUOzrX+1Kj9Y3Mh4LWUro6rEiTbjvHZArWfc2C/iMscWP9fFnq HxoVvb85byczTQWDM6oCyxfmYDlkpuEIfBLogQx9eHmbYhfMxnZ7z8a6UHme/WsVgrtzrvX4HBs9 TJEo/LLZzE5pre2z0VV/PwrgaymyUmb5jAiIUaqwzmcN/NFVMvgnNufirUHCTgzWQNwwG09mtDZl ofwzCDWr355h/iOxfSRWfDZI+MU7IMpwKYk8+qpe4hJlkU7it97EyMg51VjkDFueFFggJib3T7N5 eApLZjROarn+lqBq5jHDIgWIpiqDSTAwmyNRzKromCjBcU+S4fJ3qB/e106CvwI7ArNexp9dhz+z LhgSobTu2GgmNmIMLXq9wkMq72h5t5qo4UTpK2qLHBEAxqk8uuC/FQl58psntFiotLcN/2MyvCVa 5z82vawznbL+B1MEshk69CNmbjnyTHQH/5lhTAPAFSUu6bYEeRaIF7K0bUGL3sEGBQ/ln8leYSnS N4o/YWlduGN+yykJPbQRtmRMBWl62x83mtn92MBYrODUrf/+frEPWfINJrfYOK/G19PM7SLrZSUD iqTvmwJZwC4tZXSEfFTHfUlSBW5G+XtzVc3D6Jz/sLMgw6SiSyrswKb9UJjSAtAwBKJoD8iAZ6DI KIwjQuEfUj0FbwUKjuI6HGdtdbTo1w78rqeUV3/buE519bHcDjmKZqkLktno5f48+2huJzw8mWp9 6H77PkqvbziFmGHM8xAx2SBZUCV/c+FxV5lQ0P8r7AOjNWafUSgZ/opFFTEqSu9mO1VGGodNT6th YgmY4Vpwz7jAAOtMqqUm7UWt4U3uHg1WTvGLIra2zIocjOSlaXVSNT0I4hQC/Nj5gRIC+p7/o38R FPyDhQdAvxn15qdYz0/yMmiRAoSZejaPoJP74oGf9LTyHr5xICRhnTxPP7ENMSIWCZZSQtlx62uS ly0ncN9michnS+enQkF28w5Q0CfFww3RFePRlDxhwkkvxZ2F5GxQSapdop2z3kDRzFZLrun619Ct rGFB+muqDmT8DmU5SjutBEVDi9myTabvVIQ6yqHgAPo06PXYxLcG29X+N3aQH1BE01v6+rr6GwZC vZs+dPQV11HvIoNQAxB7/2m5Yw0WRveQdITUvzJ2EjaEsc/pbsGqbBy6D4DHasL0EHqMPM0i84mb ZplHyvxMfTFxEHKi/f1f14KmlCxC1/6D4bolNxx93/I49zXNsXOLI4/n+GRejt0L9rEP8H59u8xv FyyD+modcrTpiWqIhU5+Ixn3d4+qAEtn5scfRoXl77AEEjFGOkwfozrvvTksoGebPJ57tYCLJJ/9 85o541TW01iJc9Z9sLJoRuvB9oNPef4srEprWUENSGy4WcAvj6BLvZSZcXKxbP9jTpOawfKcVafn aJhbLsbEKY0xgFC0hxsenCDA3+jMJTftl1S89tvW1KAjgQPlAXaTYYlSExo+6/8+2GjwBw6UTmeL SvlG0AF65gEdXtbh4M08sxJAM5VLiVPbIT72c3tRd1nmVdeSnvReuE+szmg6a1/qifMai4NjR4fO 5Ur7NApTpw1Kf9kEyw1aoGwuC/o6Vi8Y5kIbjc3e7n/9y9XjeeiK7ZF/Za2eUl+KijAZUU0LVd5O z0b3IwnlGgtg2Ud4yb5OUJTiPyMLgbLTBkvczKt0TmnJr8LaqCr9Rbl6e/cQQkzh4xsuQwCnf8Fc UbF0l0P7rOtR6cLm+yl41dtFPk0AJB+8xJStCPg5+cjK5exktl+gU4rQsZcwuFVxDll3w80wSFRt ufQ2/anld96loFz8e718XTKsxg/NfZlaqrfK9abpY9Pp4PhnrT44S1llwIsgZPejwiWPk98qgeCq dDIP6qtOVTsvzxjIZ8uMS0p15F5oeCu23P42ff5H+Yc01pWGnT5XvA6gZKA8fH0adhpJUIN8N0IV RtwdXwdCDBkPXWWP0ROQl7cMovVm9f+UAcFTpFsEx/TaJghq9mPt0EBrrLuuXHVfIp8jiDMQxuAl vxdQckUsK3s0XeDhUcTRsNiCJ/t/imnHg+fE4T97kWHV+Y4pZJy843sQmr95e6Bl3BIFkam7+Wic d2VUxgmQcVaDhBzr1yTMaQx1K94I4915OrWAU1ORdxnU3RqkgpDKT3JzmO3mo4M0v8ly50iThVwy 6+VWHOKat9Gx2pjrQaJXJr0E5/AlfAjnIk9Hydk7L4CG2G5isvYObyQVComiYkKeNHkIEtYjNa5Q 8w/b6J93Y2c9jY7rkXYWkPQ1FdWNZl5GXDFwNpcD0NLpXLRBA9j3Pt9mXX25iBzbnqM8M07SuQLX ytinTczoiOGtEGJIi0wfdDajgHO6qoKZfpeMNNzev40RhveF7NdV2XqFrzUqJvrkJUnuAU9Q1VoC 829t45MTSmDVg+9NnkAPw2Ih3lI3/wYvk5I7lJ4G1i1E91c34+RffsRG9ij1U4dWfX12lwY3AMaB CnYz1seVBwLfjkN+xPj0wrX5al1OfUe5DT7ddaxsYr421Mpevj0KuLRlCxQPJdl7jzkBQd9jLHqw 1r5qiNiEZvVeisohlsdrOJExxyX+p9pHR003AG2lwjEqm7v9Z7wlxoPXqeZSHx2VvwUJ9LmjlA9o 2dpEqdCRyvn2hE8SGoFWc0BdEGTw3gM6u7ekAzYy8mSHeiCBCgfDJEfFffIw+aFH+lxGaF+jLxnQ iZrfE2TPpuoWeKyT25fhsXkEmYRbsCxW54KDs3vsMqdiI5WASEzhyJP2VDmPh9RlSJ7AlK/jYA+j 3ncKdH1U5CeGMSGryAEdlNaOqICUo/F9Gr9TuusiPyHgAMkbRBxxpEFet2o0JGGBHA1I7AFttj/j VyE8j2x4ihRfGoVPCjM9IUiT6a+wrTQnu/ErSyJG4rpdVO8ReSKK5FCHMF/DKvraGmyWJdFeS2/E cVeydQR/vgbL9o1+Yc4RTd0qK9oipPJiVGrjQNWMIUsFRh2LxuxO4ZclybTm74pfSqyNmxBsCNpf f7flUjFXuwuuJ9B7TjlR5AjDEhUBHz2ZWe2uRbX46kTUbaGT2hGGLr+UxZCXQKdNFqKjvpv5FQGo lGYunb0YKgNev60ZXf5gz3kB6U06I5cbgD3xmQEvvKcAqvqDuuUWQ7pZnImDjV6KAkqU3kco/8FB Yio5ZM2r97LFVg6U6wsXCDqHWWQWB4qbai9glszC5AimcPWQHsA1lXul0NwIfSk9/m183hkTcVWZ iNqppdcdAjJbyAlCm4olw4EVysAMrPCMRmIC55uyTinBatW4jZ/wZ7cD79oqOWJJrSSF0gTaLW4a ulXyz+lMq/hmaSg0saJg/dzr3jpS35lbozsC//gnxKP+C3c5x1eY4bBoAy10r4cxvw9X2nHZXIhM VDixnL7MjZuXoRvKtrPNwWROUZXfRJqCwG6DUBgy1UYo4n5hVQ31WaAAmGmCY50uHCn1DCOnMALm CyUCDARxX1d3qHEw+LbbmUvMAo9nCNn/bnqRtuL/V19Cw+eIP8s3A7QrDFaUrUFbrV8eErVphQIA Otwerkdoj8/A0EaF2fXevTV7nrTzdRS0Fl3P95vvkx07EXHN9mZaoQPqItiHgmjwkoYw0D8mSMxA KanGaZ2hWEh0Dr1MkEM9jhxYdwdW5Cp6QUTK3So6cWmkRVk/l7JuoVQi7eabU+QY9C9OdBkrDANi f7gqeHlyAci+HS6ZGDsiIh1BE2tiqkLI1BuEpTKcx2gAZ8fAELJEMR8BbDy4huTNNj7CJt9vjuKU uweYfnMmT6wgY6YlB2HernDyhMcQlSROfL8t0ELc1lfCzkShgOgZrjC2mMHU3e26YLLAGHGb60dH I8BGND+xulERj2YA42X3Wk/YDoZPHsvtyfpeDIfog55QRTBEHTXgJ8K5JnkTBeTjnw3kpg2Vzb5s kuMEum8FXpPaE63jerDEeu3qZX0nG9M9I+FnD8sRjKd7DszeigQmpCFWd3CquczDmWVQajHoeonh ajQHojUthkwCeGwrcecEjJMl1/zFn/acYi8oeRO+zRpX0vva+C/5/MA6kMJ2dYK7RWkkI44igGP3 QjWaQi8Vs8JyyGo0/vXDErXx5UAUceITN5trHhhTrPhv3D4r0zhBWqJtkMT1AjiYMCe0Bbs/51in +Efv/YEolXJvPvzwVE6KwHMqAIWCWM0TVDwyKsh921jt9FaoOvDkpm2nKbtL5kDdReyrmmbeqjQx Va56aC14MxDpEH7z/jTYAY2h8IF5vDSAPyloSkWj1VA6kKTHOyw+fda/TzzfpjAugYhhoQsjGNCA mgfZwiNW0O1HqLw7lc86EOLvMAW5YOnCTfnDfFprK4ojQLrVUhIsKJXTN3O+ywZouv+n8XVj2A5t CqLkAdzR4VspdXTRPXF630mQiDD6RF7sZBp9vIN5LIdwwIfvWvS9sXlTRHujtVDhGUlizassz2ka F384cSUionGxOT4HQi78S0HkDTA/SyGrjnUKcqfPwf4jPedr+NxdSF8stbKh/D6KeL6O5TyojdGB Q7av0aFhlVAHTDckr5zCyeYB0qxrctPQSGYmJxv+qLRws9Z1RfH14Gk2In8xvsiAorsRagVVPF0T umiGn+W5UIBdwiWtXsU2xyO/eF9DvB6o9EakocscOhfkGAlnCAwpU2ruqMfzYUbvEY/7niBNH4n8 2cetMofkLQdd+zatL/NVCNF5tHgdVvdwHvrgOQfqN3Fhy3WfcWv2mP1u4buz5OUylAODDvN7tWu2 Eamk0wukwAoXgNRUKyjlosW2hiQiw9h8dwiXRdVO/sw/aH5s+GOGAwxkZmpILhTOabQpY0Jbbvea 54aroAArbv1sRqEW/7IrP/d62EC5DfuNrjpQJzjo78djrueBB/YeHVJdmn4dw+Syzs7d19AYdyw7 gTY4B054CqOpUXK+8q3zRV4SDUChBAS1CW3qXP49WShEQ3hLsGqum0L2fu71A3JGrZUqLXCPBN1j nOoeXTBLzy1F56f0X3rDvre9NJILaflOAYy+41G5efbIb8l4Rq79o9PyoaFz3Ag7AsDLsErfV+U8 cz5T4JOY7LZGnrGWRBzv6O1yR95Re84BTupwqYokX3LSYOS82h+/CtspBLA253QJxHBioUHTeua8 xKS8TG2IQFJ+j+CsbiYYe6LymxjZAwE3PAjODpvSlXts4QCcwF8ihT/ItFkKGWKExVBlVF162Pe8 zuORsbLkTJqudd4n7PbPGUakXz6Lu9kiqszxe0ORdn5qxCWMOVXo6DaxeRemkUnvSlhFCgdCVcOg C0+GnE9eP7vymI1jS56cwjpUdZJqzqk6PLbN6z7DbSWMdrGKlaqafQ8zkKOfRyy4EwhflgJici+C KYnOSX3uiLSqKhb9WM4AlMFhtMtV9tbwVr6FIm6IpsQL7fKKCfhYTT4PpCJtFoD1jzeIg9UEmGGd cQCV8zUNn5uUfxkvqnxiThJ+Ey5DhtaRpX5OMRSiu6ncWeE0z8fjNF6Ir46Z6M6j/gQqcASpfo9h fDEeAd2DtBEH5zs1DEgmRIk4mMhWEjksIM1d9GioEajt7Y8OKvP2o4SL++444GFBX6mx8YRXOWCp TJhNxYGdRRz7CGVY0RUKZO4t4xH+rW2edo3uiI378wkphiIMN58frdDznkARD8gtpImVcUllJDLK AfuD+FmLuAZs8rZRFZngI5HxR5betKfA2sv45OI7eumqo6w+zvjgrDYfGnas4cELoS5vdTOfQ6Iy smUC+9YO5aus97TscJdYu5ZnHUHTeeiD5N6/gs6IXp9M1NbeZqkWQYl+6WP0Hi37wLTLRd/dOn7C TU1YYpou36sHxap7/ZtblRtQek5a54P+9DbRET7JQ9mmf6wFK0+Nka+3A9REIpHg3uf5GsxOEWst +uKuFShl1G8htillDumuiD6qRzh535IhZ4DyFeBI8fPQa04zQfjRG8CEZCJK/w6UcECR1IITX5PR 1NaBpV3lBjP7z0UtDRxwfekXrnCKsil9zh0XmJ5MVqpDeQnzQZI1KzFM0o6dc9txBGI7+oEdeInQ hhr3wGeWDTOt2PMrOjWOBgswBKX8v9XTESDj4RfshWy/OkaRxkWsJK++X/j7qwFbQWxy8vWVbRlM EFzsz9XqrOODI9CjBog878kTXauS4w7pBubnhJzhLISbXeVOntprPVblPRwEAFDKqZy61qV1RKTZ ODNJ+zRmvxOO8jP+ZdX1kD5yKoqFKUbBT49ueQEPiUXOTxuOqFyeZUUYLsvsroS8MHiMSHGWg7a4 CsgHctWmz51IjVIr2hHFPWM9AMHo3tFP/qn/VTYbxqXRV72qsXivO9A0DAtTqwSndi1CCLl5rdtl 1VNZ1f5XwRsVF/k3m9C1GV/grUTwlZQzr6mw+Fl38Pcmm5V17+csIlzXEip25+6T+42ELWsiCZpN iZEaWxWopCbJYpadS0ACCmmlNkaeeK77DMza9KIqlb5GoGZhdfSSzF4E4i0uEtNeluqv2S1+QAuP WvzWrzqtzWWWHLjv70IPJH6sEj4p5eZq416QC48ilFPA6TCMiMvCgBpIidrKi8vrguJOe/v5v/f6 hmr9Egt7MJOJMHV8Sa0IbjaRy82oWEHHOYfEWxZj1aD2R9vTxPjiMI35D+FGUap4AORbi97XPLbQ kwqC6HyU0mQXf4d4NlZcwBn2x3Czvx6r+YFDvYtqcibRDILb756Gy0ChdME4zaJNgWboKqIbm7oo bUBMGsA6sZxMWEW2Ycc/jY4wlgPEe+GQcXPSdrlTZhvLO1/uvhn94F+pb/R3HFk3vUtJSOSfnRjb 9lvEzljaBwq/pqNykxfplpuBh/eRsGw/iI/9fFyZrHM0ue+YnYbwnMcoRzshdyTlJsaRzK5s+Fdy 994xlI8nZ83oZh6gt6H20BIuciCwl5psnXu8aWdjWnVI6hn8UZb6abiHLxEs1PZtQf8LplhFAK6u FMHX8+v1BBGPIs9rdwqWGNxsJNiPVXYzh7MfvnGJCE5yNSygUNpcWPnEP2F1HzzayhQBYCJvY0hs 2vPR6F8s965aAYLQ8icwHqZVpx7tH1YrDVvmgvU5YOmU1ohhspQ5kyzV/WkoBcURCWCqpEyJG5ov Hslqwf3MbffFGKE9yiB2adzpTnnxYEbM8CTmFRCdVEj/1b/ttDNwLlIkIIPsoxePXYSroSnaXsZ4 iFCbMELvYtveSb8XkYTwjYtb4FvqDmFzlWAPP0mRrMMv9t9LSvFV7harGokhqVkwOXhr+BvGqKwm 2YgnT4p5YbW+lGXUrHy+8q0cIcvoVQ3mVFbnJ+wYX4Gun4JoKVxcwH2HDGUZl6sNIvq0YMpXuezn Uh9MLpSUXeu8rFHP7t0bH1El6Wnrd2qlCG8/YGGCzc17kXXSJKtgdeiqUgaAxZqInjWMV7FdWHaW VNnF6kknTqHMzkKnSZLFRE/4kvK0eX/HOJyms1nVbxtOyk2n9IndmbGLw8zSVUx0/FvVspp514eN OJ0vqTWQ3uSC1CnnCaVhCaBER/+saEzhO5Rb+BSRpcWjlmoF4V7h6Jr0dhhZ6NyWpantSkCI/K8P ps6ql16UYV7YX/xfrj11NHjK4IF+fOh6J+4BgvxB0nbYlzWMShy9wdGJqqFd9qIxvgfJO5FasfyJ EmDEDBqS9SFgK8JFHkTh+KcBB3vN88V2/n2lI71cWFUKcwmXTBkhE3cTPaq4OHsb/rzK5nicMzm9 hB3D2HCmJcSNZ+sYfKFBntcYhQhGodidhFH+Cw4jgbh1KhRS91RT06cHy3GhChBBuSZ229X3fW94 1qX91GLeMhkpvnnvf6ZJekMws0dyV4in5XQpbkgIKkrOLBvpIcJ+wMLFo3HtxhPbt/IhPJyCqW+g SRN0vHKU9K+XrGOPc4NvsksXR2YGeFP9vACSrUOcOikd4r/G86EnVLV9etnqvSz2wGA9A8WjuRTN HwSe+WzIEeX3fyLGB7xPaSSINj4VlIxKNgbZzYUIYfIWAtKuC+K5cT/xE+gTNaTc7A== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127VnxTdt3Yq+2oClKiJFCtmSAw +8yXGbdtw/pYns0xUZsjzD1NVx2O1mUdqDmQEwRb7NCGY4Uo6NCloalJZqdGLA2zjvsf3QRCyfsz sgzlsD96ZDlOPIYWpolNXhSpmy9j95Y9NEgEskAzl3eJNPkRfvQtP9BQE6b/ySeKU+PVhouSylvc Bles5Ph7hbIDKKJ3sKrft1wbvALb1tV86JNGGj0KVjmWTbASVrYc+bJ6Vk7PUzti9MeER0MRBR0x isnXLMfR52nnUhKCjHqDaPaT4by5uH31wGhopU1Wh9y7fMIbJloE/BnU78dDkU3rZCr8QbRsqe1U Ti62bzGpmvUcOXdb0LxHwnTrMI3eZ5oZrAivUWuGnvUmmIzOj2jSVEKJELcDq4ySmTlsGfAtEPmd FiWFiO/I+wbGthoJOpzWvpgVJYDaae7bqE/UFlzc0yCPlIxBuxLBN6BQEQQ0iMhgiP0YJPssSF+v 3JU9eSUhQZ+yjLHwEE/EMjhhsWhgpxuiVSIjgbxd7axl5Hl8hmZeDrDkOyLGxmIqK9uxxVL9vQdu 2xLETWEDUAiNTcnFpt/80xn2EbKe0fNiF3jtpYblU9EqErGOuo0xtDHVQWEvMshlkGiwveUlCsAr TzB5ipAXzxEluAGnOEUQvFfJS80QfaeqCZxNEz4pkekVlIaCOc+mH30YtzF20l/MLXNY2U5Lh/Y6 qck8hXKPEDUwp/z+OncCABtgtzubDdslekpicXYmWQCozp5VE7404lvjgloc2BTOG094puliSien jsyl1D5eMm+ajwgeeeiNU2wEXeZSTqIvjZ+o2hJ7Juq8UautQ9aY9rXgILw0VHCOJ1PABsiwQ2SW DgBWYkgvy4A8mlP7iHljb7TeZToCJ1bWuAd3ThvyMx/mxAL9f3iCxJcPEHguHL8ie/ZbZvHlj/5x D7huBEhxfuRqgX+/Zzwe/A1oSJ8WzvkpkiT2fXD9DqQPZoZgRQhx0fxrCdq+9rN5Ps8CJMDLiSzy wLjfHIWCIP6W1sSFoEFBAfUnBi1P2F0w0VTWN+l5a+Z5iZ73ghe3MWaeEGu6zUARTGA83qvV6Kx9 GTceFB6e+l1hdwMWLGWP1rpCjQfVTs+2xbZQWu0sz/SV881Wq0hDU2+MIo+9duoi3TH3bjtKJzau xQ1MjzUTwTNr46gnzH8GLGJZdeHYRcQLY8QiVHwjYi4foC+1a7t4WUtDOOidziJA/Mc8iADCgPF+ fjlE+iBjIFA00O1Jsxti1rmJyrEVEUo13v5tLDL4NwGkizKDfZjb/Jn5C4VMYIPIAgUHQ8UdXwOv k+3ZqReDMwRVbR6CvmrkhW+8N9yUwnSOZ8JC7jzKWnLWbTPZaJIDQge83qr9s2qCiuDRVOiPr4Wn ddbrk3XCtm7sH3NxK7j6u+JqIe9u4IEYwGQRcWuWtgHOPH0uTfVZ1gk2yH5uBCcFIvcL01EN+KPP qrlSQ4TrpUAfJEn1CXsX22onXBd/TEbA/xMMJFe56yPylHIBbhpPr0orxAy6TgUgJV5L8fpoq2sr P1aPUy4OKzMjuj4fZD3t1UuYditLR1ZsDu2+578VeiqZIBLqwVEex0myUsed1tgTyH7xC6OliDbB R5tQXhDQfxUbd1MDbUwrCGz2m/frNgx54cJxK3AF4IEPmrEfKQvRUF3yVwym6HI29iWq0Kr4t1eY Ek0AvGns0uWoFKLameZqj/J/LRfqH2J8sY+G1wHHlM+M1zn0mvtORaE2S9T5wptVywtGxdLhCc4E CoX2PjNOD2itVFQum5BFa2m2xbJwQKh2fVQBjJlnvZlUp9ScN7iRisaliiObEu6+4pIo30jtJnvK J1okftZ1tf94rQu447EEwPjNtQE1diBzF5BRx65YvDF+EII3HBj9/LuX1l9AEJlxj0/vnKKFXMAJ xvkc/kbu09N4n611I8DikZSb4leU4f87pzZBEHzh/FfFSyGQZKtxwC08RylnBdnjybXjVNSQohme Ydx3TxglZlR4bh7DVEamLj9Anmz6AGwihUrOoPCkhcHfsFXW16K6tRcyAmQAZCa+/xf/5be+MqZR o7JUZIWaYhRk7TCj3KqIEIFtiQYrefXJWGQ9MVf0aB68YAuWz7UtOhauM9TjCe4S9TqTiE6fETu9 dnfxLsNhamq8wp9ClLN9KuI9ZsvUOzrX+1Kj9Y3Mh4LWUro6rEiTbjvHZArWfc2C/iMscWP9fFnq HxoVvb85byczTQWDM6oCyxfmYDlkpuEIfBLogQx9eHmbYhfMxnZ7z8a6UHme/WsVgrtzrvX4HBs9 TJEo/LLZzE5pre2z0VV/PwrgaymyUmb5jAiIUaqwzmcN/NFVMvgnNufirUHCTgzWQNwwG09mtDZl ofwzCDWr355h/iOxfSRWfDZI+MU7IMpwKYk8+qpe4hJlkU7it97EyMg51VjkDFueFFggJib3T7N5 eApLZjROarn+lqBq5jHDIgWIpiqDSTAwmyNRzKromCjBcU+S4fJ3qB/e106CvwI7ArNexp9dhz+z LhgSobTu2GgmNmIMLXq9wkMq72h5t5qo4UTpK2qLHBEAxqk8uuC/FQl58psntFiotLcN/2MyvCVa 5z82vawznbL+B1MEshk69CNmbjnyTHQH/5lhTAPAFSUu6bYEeRaIF7K0bUGL3sEGBQ/ln8leYSnS N4o/YWlduGN+yykJPbQRtmRMBWl62x83mtn92MBYrODUrf/+frEPWfINJrfYOK/G19PM7SLrZSUD iqTvmwJZwC4tZXSEfFTHfUlSBW5G+XtzVc3D6Jz/sLMgw6SiSyrswKb9UJjSAtAwBKJoD8iAZ6DI KIwjQuEfUj0FbwUKjuI6HGdtdbTo1w78rqeUV3/buE519bHcDjmKZqkLktno5f48+2huJzw8mWp9 6H77PkqvbziFmGHM8xAx2SBZUCV/c+FxV5lQ0P8r7AOjNWafUSgZ/opFFTEqSu9mO1VGGodNT6th YgmY4Vpwz7jAAOtMqqUm7UWt4U3uHg1WTvGLIra2zIocjOSlaXVSNT0I4hQC/Nj5gRIC+p7/o38R FPyDhQdAvxn15qdYz0/yMmiRAoSZejaPoJP74oGf9LTyHr5xICRhnTxPP7ENMSIWCZZSQtlx62uS ly0ncN9michnS+enQkF28w5Q0CfFww3RFePRlDxhwkkvxZ2F5GxQSapdop2z3kDRzFZLrun619Ct rGFB+muqDmT8DmU5SjutBEVDi9myTabvVIQ6yqHgAPo06PXYxLcG29X+N3aQH1BE01v6+rr6GwZC vZs+dPQV11HvIoNQAxB7/2m5Yw0WRveQdITUvzJ2EjaEsc/pbsGqbBy6D4DHasL0EHqMPM0i84mb ZplHyvxMfTFxEHKi/f1f14KmlCxC1/6D4bolNxx93/I49zXNsXOLI4/n+GRejt0L9rEP8H59u8xv FyyD+modcrTpiWqIhU5+Ixn3d4+qAEtn5scfRoXl77AEEjFGOkwfozrvvTksoGebPJ57tYCLJJ/9 85o541TW01iJc9Z9sLJoRuvB9oNPef4srEprWUENSGy4WcAvj6BLvZSZcXKxbP9jTpOawfKcVafn aJhbLsbEKY0xgFC0hxsenCDA3+jMJTftl1S89tvW1KAjgQPlAXaTYYlSExo+6/8+2GjwBw6UTmeL SvlG0AF65gEdXtbh4M08sxJAM5VLiVPbIT72c3tRd1nmVdeSnvReuE+szmg6a1/qifMai4NjR4fO 5Ur7NApTpw1Kf9kEyw1aoGwuC/o6Vi8Y5kIbjc3e7n/9y9XjeeiK7ZF/Za2eUl+KijAZUU0LVd5O z0b3IwnlGgtg2Ud4yb5OUJTiPyMLgbLTBkvczKt0TmnJr8LaqCr9Rbl6e/cQQkzh4xsuQwCnf8Fc UbF0l0P7rOtR6cLm+yl41dtFPk0AJB+8xJStCPg5+cjK5exktl+gU4rQsZcwuFVxDll3w80wSFRt ufQ2/anld96loFz8e718XTKsxg/NfZlaqrfK9abpY9Pp4PhnrT44S1llwIsgZPejwiWPk98qgeCq dDIP6qtOVTsvzxjIZ8uMS0p15F5oeCu23P42ff5H+Yc01pWGnT5XvA6gZKA8fH0adhpJUIN8N0IV RtwdXwdCDBkPXWWP0ROQl7cMovVm9f+UAcFTpFsEx/TaJghq9mPt0EBrrLuuXHVfIp8jiDMQxuAl vxdQckUsK3s0XeDhUcTRsNiCJ/t/imnHg+fE4T97kWHV+Y4pZJy843sQmr95e6Bl3BIFkam7+Wic d2VUxgmQcVaDhBzr1yTMaQx1K94I4915OrWAU1ORdxnU3RqkgpDKT3JzmO3mo4M0v8ly50iThVwy 6+VWHOKat9Gx2pjrQaJXJr0E5/AlfAjnIk9Hydk7L4CG2G5isvYObyQVComiYkKeNHkIEtYjNa5Q 8w/b6J93Y2c9jY7rkXYWkPQ1FdWNZl5GXDFwNpcD0NLpXLRBA9j3Pt9mXX25iBzbnqM8M07SuQLX ytinTczoiOGtEGJIi0wfdDajgHO6qoKZfpeMNNzev40RhveF7NdV2XqFrzUqJvrkJUnuAU9Q1VoC 829t45MTSmDVg+9NnkAPw2Ih3lI3/wYvk5I7lJ4G1i1E91c34+RffsRG9ij1U4dWfX12lwY3AMaB CnYz1seVBwLfjkN+xPj0wrX5al1OfUe5DT7ddaxsYr421Mpevj0KuLRlCxQPJdl7jzkBQd9jLHqw 1r5qiNiEZvVeisohlsdrOJExxyX+p9pHR003AG2lwjEqm7v9Z7wlxoPXqeZSHx2VvwUJ9LmjlA9o 2dpEqdCRyvn2hE8SGoFWc0BdEGTw3gM6u7ekAzYy8mSHeiCBCgfDJEfFffIw+aFH+lxGaF+jLxnQ iZrfE2TPpuoWeKyT25fhsXkEmYRbsCxW54KDs3vsMqdiI5WASEzhyJP2VDmPh9RlSJ7AlK/jYA+j 3ncKdH1U5CeGMSGryAEdlNaOqICUo/F9Gr9TuusiPyHgAMkbRBxxpEFet2o0JGGBHA1I7AFttj/j VyE8j2x4ihRfGoVPCjM9IUiT6a+wrTQnu/ErSyJG4rpdVO8ReSKK5FCHMF/DKvraGmyWJdFeS2/E cVeydQR/vgbL9o1+Yc4RTd0qK9oipPJiVGrjQNWMIUsFRh2LxuxO4ZclybTm74pfSqyNmxBsCNpf f7flUjFXuwuuJ9B7TjlR5AjDEhUBHz2ZWe2uRbX46kTUbaGT2hGGLr+UxZCXQKdNFqKjvpv5FQGo lGYunb0YKgNev60ZXf5gz3kB6U06I5cbgD3xmQEvvKcAqvqDuuUWQ7pZnImDjV6KAkqU3kco/8FB Yio5ZM2r97LFVg6U6wsXCDqHWWQWB4qbai9glszC5AimcPWQHsA1lXul0NwIfSk9/m183hkTcVWZ iNqppdcdAjJbyAlCm4olw4EVysAMrPCMRmIC55uyTinBatW4jZ/wZ7cD79oqOWJJrSSF0gTaLW4a ulXyz+lMq/hmaSg0saJg/dzr3jpS35lbozsC//gnxKP+C3c5x1eY4bBoAy10r4cxvw9X2nHZXIhM VDixnL7MjZuXoRvKtrPNwWROUZXfRJqCwG6DUBgy1UYo4n5hVQ31WaAAmGmCY50uHCn1DCOnMALm CyUCDARxX1d3qHEw+LbbmUvMAo9nCNn/bnqRtuL/V19Cw+eIP8s3A7QrDFaUrUFbrV8eErVphQIA Otwerkdoj8/A0EaF2fXevTV7nrTzdRS0Fl3P95vvkx07EXHN9mZaoQPqItiHgmjwkoYw0D8mSMxA KanGaZ2hWEh0Dr1MkEM9jhxYdwdW5Cp6QUTK3So6cWmkRVk/l7JuoVQi7eabU+QY9C9OdBkrDANi f7gqeHlyAci+HS6ZGDsiIh1BE2tiqkLI1BuEpTKcx2gAZ8fAELJEMR8BbDy4huTNNj7CJt9vjuKU uweYfnMmT6wgY6YlB2HernDyhMcQlSROfL8t0ELc1lfCzkShgOgZrjC2mMHU3e26YLLAGHGb60dH I8BGND+xulERj2YA42X3Wk/YDoZPHsvtyfpeDIfog55QRTBEHTXgJ8K5JnkTBeTjnw3kpg2Vzb5s kuMEum8FXpPaE63jerDEeu3qZX0nG9M9I+FnD8sRjKd7DszeigQmpCFWd3CquczDmWVQajHoeonh ajQHojUthkwCeGwrcecEjJMl1/zFn/acYi8oeRO+zRpX0vva+C/5/MA6kMJ2dYK7RWkkI44igGP3 QjWaQi8Vs8JyyGo0/vXDErXx5UAUceITN5trHhhTrPhv3D4r0zhBWqJtkMT1AjiYMCe0Bbs/51in +Efv/YEolXJvPvzwVE6KwHMqAIWCWM0TVDwyKsh921jt9FaoOvDkpm2nKbtL5kDdReyrmmbeqjQx Va56aC14MxDpEH7z/jTYAY2h8IF5vDSAPyloSkWj1VA6kKTHOyw+fda/TzzfpjAugYhhoQsjGNCA mgfZwiNW0O1HqLw7lc86EOLvMAW5YOnCTfnDfFprK4ojQLrVUhIsKJXTN3O+ywZouv+n8XVj2A5t CqLkAdzR4VspdXTRPXF630mQiDD6RF7sZBp9vIN5LIdwwIfvWvS9sXlTRHujtVDhGUlizassz2ka F384cSUionGxOT4HQi78S0HkDTA/SyGrjnUKcqfPwf4jPedr+NxdSF8stbKh/D6KeL6O5TyojdGB Q7av0aFhlVAHTDckr5zCyeYB0qxrctPQSGYmJxv+qLRws9Z1RfH14Gk2In8xvsiAorsRagVVPF0T umiGn+W5UIBdwiWtXsU2xyO/eF9DvB6o9EakocscOhfkGAlnCAwpU2ruqMfzYUbvEY/7niBNH4n8 2cetMofkLQdd+zatL/NVCNF5tHgdVvdwHvrgOQfqN3Fhy3WfcWv2mP1u4buz5OUylAODDvN7tWu2 Eamk0wukwAoXgNRUKyjlosW2hiQiw9h8dwiXRdVO/sw/aH5s+GOGAwxkZmpILhTOabQpY0Jbbvea 54aroAArbv1sRqEW/7IrP/d62EC5DfuNrjpQJzjo78djrueBB/YeHVJdmn4dw+Syzs7d19AYdyw7 gTY4B054CqOpUXK+8q3zRV4SDUChBAS1CW3qXP49WShEQ3hLsGqum0L2fu71A3JGrZUqLXCPBN1j nOoeXTBLzy1F56f0X3rDvre9NJILaflOAYy+41G5efbIb8l4Rq79o9PyoaFz3Ag7AsDLsErfV+U8 cz5T4JOY7LZGnrGWRBzv6O1yR95Re84BTupwqYokX3LSYOS82h+/CtspBLA253QJxHBioUHTeua8 xKS8TG2IQFJ+j+CsbiYYe6LymxjZAwE3PAjODpvSlXts4QCcwF8ihT/ItFkKGWKExVBlVF162Pe8 zuORsbLkTJqudd4n7PbPGUakXz6Lu9kiqszxe0ORdn5qxCWMOVXo6DaxeRemkUnvSlhFCgdCVcOg C0+GnE9eP7vymI1jS56cwjpUdZJqzqk6PLbN6z7DbSWMdrGKlaqafQ8zkKOfRyy4EwhflgJici+C KYnOSX3uiLSqKhb9WM4AlMFhtMtV9tbwVr6FIm6IpsQL7fKKCfhYTT4PpCJtFoD1jzeIg9UEmGGd cQCV8zUNn5uUfxkvqnxiThJ+Ey5DhtaRpX5OMRSiu6ncWeE0z8fjNF6Ir46Z6M6j/gQqcASpfo9h fDEeAd2DtBEH5zs1DEgmRIk4mMhWEjksIM1d9GioEajt7Y8OKvP2o4SL++444GFBX6mx8YRXOWCp TJhNxYGdRRz7CGVY0RUKZO4t4xH+rW2edo3uiI378wkphiIMN58frdDznkARD8gtpImVcUllJDLK AfuD+FmLuAZs8rZRFZngI5HxR5betKfA2sv45OI7eumqo6w+zvjgrDYfGnas4cELoS5vdTOfQ6Iy smUC+9YO5aus97TscJdYu5ZnHUHTeeiD5N6/gs6IXp9M1NbeZqkWQYl+6WP0Hi37wLTLRd/dOn7C TU1YYpou36sHxap7/ZtblRtQek5a54P+9DbRET7JQ9mmf6wFK0+Nka+3A9REIpHg3uf5GsxOEWst +uKuFShl1G8htillDumuiD6qRzh535IhZ4DyFeBI8fPQa04zQfjRG8CEZCJK/w6UcECR1IITX5PR 1NaBpV3lBjP7z0UtDRxwfekXrnCKsil9zh0XmJ5MVqpDeQnzQZI1KzFM0o6dc9txBGI7+oEdeInQ hhr3wGeWDTOt2PMrOjWOBgswBKX8v9XTESDj4RfshWy/OkaRxkWsJK++X/j7qwFbQWxy8vWVbRlM EFzsz9XqrOODI9CjBog878kTXauS4w7pBubnhJzhLISbXeVOntprPVblPRwEAFDKqZy61qV1RKTZ ODNJ+zRmvxOO8jP+ZdX1kD5yKoqFKUbBT49ueQEPiUXOTxuOqFyeZUUYLsvsroS8MHiMSHGWg7a4 CsgHctWmz51IjVIr2hHFPWM9AMHo3tFP/qn/VTYbxqXRV72qsXivO9A0DAtTqwSndi1CCLl5rdtl 1VNZ1f5XwRsVF/k3m9C1GV/grUTwlZQzr6mw+Fl38Pcmm5V17+csIlzXEip25+6T+42ELWsiCZpN iZEaWxWopCbJYpadS0ACCmmlNkaeeK77DMza9KIqlb5GoGZhdfSSzF4E4i0uEtNeluqv2S1+QAuP WvzWrzqtzWWWHLjv70IPJH6sEj4p5eZq416QC48ilFPA6TCMiMvCgBpIidrKi8vrguJOe/v5v/f6 hmr9Egt7MJOJMHV8Sa0IbjaRy82oWEHHOYfEWxZj1aD2R9vTxPjiMI35D+FGUap4AORbi97XPLbQ kwqC6HyU0mQXf4d4NlZcwBn2x3Czvx6r+YFDvYtqcibRDILb756Gy0ChdME4zaJNgWboKqIbm7oo bUBMGsA6sZxMWEW2Ycc/jY4wlgPEe+GQcXPSdrlTZhvLO1/uvhn94F+pb/R3HFk3vUtJSOSfnRjb 9lvEzljaBwq/pqNykxfplpuBh/eRsGw/iI/9fFyZrHM0ue+YnYbwnMcoRzshdyTlJsaRzK5s+Fdy 994xlI8nZ83oZh6gt6H20BIuciCwl5psnXu8aWdjWnVI6hn8UZb6abiHLxEs1PZtQf8LplhFAK6u FMHX8+v1BBGPIs9rdwqWGNxsJNiPVXYzh7MfvnGJCE5yNSygUNpcWPnEP2F1HzzayhQBYCJvY0hs 2vPR6F8s965aAYLQ8icwHqZVpx7tH1YrDVvmgvU5YOmU1ohhspQ5kyzV/WkoBcURCWCqpEyJG5ov Hslqwf3MbffFGKE9yiB2adzpTnnxYEbM8CTmFRCdVEj/1b/ttDNwLlIkIIPsoxePXYSroSnaXsZ4 iFCbMELvYtveSb8XkYTwjYtb4FvqDmFzlWAPP0mRrMMv9t9LSvFV7harGokhqVkwOXhr+BvGqKwm 2YgnT4p5YbW+lGXUrHy+8q0cIcvoVQ3mVFbnJ+wYX4Gun4JoKVxcwH2HDGUZl6sNIvq0YMpXuezn Uh9MLpSUXeu8rFHP7t0bH1El6Wnrd2qlCG8/YGGCzc17kXXSJKtgdeiqUgaAxZqInjWMV7FdWHaW VNnF6kknTqHMzkKnSZLFRE/4kvK0eX/HOJyms1nVbxtOyk2n9IndmbGLw8zSVUx0/FvVspp514eN OJ0vqTWQ3uSC1CnnCaVhCaBER/+saEzhO5Rb+BSRpcWjlmoF4V7h6Jr0dhhZ6NyWpantSkCI/K8P ps6ql16UYV7YX/xfrj11NHjK4IF+fOh6J+4BgvxB0nbYlzWMShy9wdGJqqFd9qIxvgfJO5FasfyJ EmDEDBqS9SFgK8JFHkTh+KcBB3vN88V2/n2lI71cWFUKcwmXTBkhE3cTPaq4OHsb/rzK5nicMzm9 hB3D2HCmJcSNZ+sYfKFBntcYhQhGodidhFH+Cw4jgbh1KhRS91RT06cHy3GhChBBuSZ229X3fW94 1qX91GLeMhkpvnnvf6ZJekMws0dyV4in5XQpbkgIKkrOLBvpIcJ+wMLFo3HtxhPbt/IhPJyCqW+g SRN0vHKU9K+XrGOPc4NvsksXR2YGeFP9vACSrUOcOikd4r/G86EnVLV9etnqvSz2wGA9A8WjuRTN HwSe+WzIEeX3fyLGB7xPaSSINj4VlIxKNgbZzYUIYfIWAtKuC+K5cT/xE+gTNaTc7A== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127VnxTdt3Yq+2oClKiJFCtmSAw +8yXGbdtw/pYns0xUZsjzD1NVx2O1mUdqDmQEwRb7NCGY4Uo6NCloalJZqdGLA2zjvsf3QRCyfsz sgzlsD96ZDlOPIYWpolNXhSpmy9j95Y9NEgEskAzl3eJNPkRfvQtP9BQE6b/ySeKU+PVhouSylvc Bles5Ph7hbIDKKJ3sKrft1wbvALb1tV86JNGGj0KVjmWTbASVrYc+bJ6Vk7PUzti9MeER0MRBR0x isnXLMfR52nnUhKCjHqDaPaT4by5uH31wGhopU1Wh9y7fMIbJloE/BnU78dDkU3rZCr8QbRsqe1U Ti62bzGpmvUcOXdb0LxHwnTrMI3eZ5oZrAivUWuGnvUmmIzOj2jSVEKJELcDq4ySmTlsGfAtEPmd FiWFiO/I+wbGthoJOpzWvpgVJYDaae7bqE/UFlzc0yCPlIxBuxLBN6BQEQQ0iMhgiP0YJPssSF+v 3JU9eSUhQZ+yjLHwEE/EMjhhsWhgpxuiVSIjgbxd7axl5Hl8hmZeDrDkOyLGxmIqK9uxxVL9vQdu 2xLETWEDUAiNTcnFpt/80xn2EbKe0fNiF3jtpYblU9EqErGOuo0xtDHVQWEvMshlkGiwveUlCsAr TzB5ipAXzxEluAGnOEUQvFfJS80QfaeqCZxNEz4pkekVlIaCOc+mH30YtzF20l/MLXNY2U5Lh/Y6 qck8hXKPEDUwp/z+OncCABtgtzubDdslekpicXYmWQCozp5VE7404lvjgloc2BTOG094puliSien jsyl1D5eMm+ajwgeeeiNU2wEXeZSTqIvjZ+o2hJ7Juq8UautQ9aY9rXgILw0VHCOJ1PABsiwQ2SW DgBWYkgvy4A8mlP7iHljb7TeZToCJ1bWuAd3ThvyMx/mxAL9f3iCxJcPEHguHL8ie/ZbZvHlj/5x D7huBEhxfuRqgX+/Zzwe/A1oSJ8WzvkpkiT2fXD9DqQPZoZgRQhx0fxrCdq+9rN5Ps8CJMDLiSzy wLjfHIWCIP6W1sSFoEFBAfUnBi1P2F0w0VTWN+l5a+Z5iZ73ghe3MWaeEGu6zUARTGA83qvV6Kx9 GTceFB6e+l1hdwMWLGWP1rpCjQfVTs+2xbZQWu0sz/SV881Wq0hDU2+MIo+9duoi3TH3bjtKJzau xQ1MjzUTwTNr46gnzH8GLGJZdeHYRcQLY8QiVHwjYi4foC+1a7t4WUtDOOidziJA/Mc8iADCgPF+ fjlE+iBjIFA00O1Jsxti1rmJyrEVEUo13v5tLDL4NwGkizKDfZjb/Jn5C4VMYIPIAgUHQ8UdXwOv k+3ZqReDMwRVbR6CvmrkhW+8N9yUwnSOZ8JC7jzKWnLWbTPZaJIDQge83qr9s2qCiuDRVOiPr4Wn ddbrk3XCtm7sH3NxK7j6u+JqIe9u4IEYwGQRcWuWtgHOPH0uTfVZ1gk2yH5uBCcFIvcL01EN+KPP qrlSQ4TrpUAfJEn1CXsX22onXBd/TEbA/xMMJFe56yPylHIBbhpPr0orxAy6TgUgJV5L8fpoq2sr P1aPUy4OKzMjuj4fZD3t1UuYditLR1ZsDu2+578VeiqZIBLqwVEex0myUsed1tgTyH7xC6OliDbB R5tQXhDQfxUbd1MDbUwrCGz2m/frNgx54cJxK3AF4IEPmrEfKQvRUF3yVwym6HI29iWq0Kr4t1eY Ek0AvGns0uWoFKLameZqj/J/LRfqH2J8sY+G1wHHlM+M1zn0mvtORaE2S9T5wptVywtGxdLhCc4E CoX2PjNOD2itVFQum5BFa2m2xbJwQKh2fVQBjJlnvZlUp9ScN7iRisaliiObEu6+4pIo30jtJnvK J1okftZ1tf94rQu447EEwPjNtQE1diBzF5BRx65YvDF+EII3HBj9/LuX1l9AEJlxj0/vnKKFXMAJ xvkc/kbu09N4n611I8DikZSb4leU4f87pzZBEHzh/FfFSyGQZKtxwC08RylnBdnjybXjVNSQohme Ydx3TxglZlR4bh7DVEamLj9Anmz6AGwihUrOoPCkhcHfsFXW16K6tRcyAmQAZCa+/xf/5be+MqZR o7JUZIWaYhRk7TCj3KqIEIFtiQYrefXJWGQ9MVf0aB68YAuWz7UtOhauM9TjCe4S9TqTiE6fETu9 dnfxLsNhamq8wp9ClLN9KuI9ZsvUOzrX+1Kj9Y3Mh4LWUro6rEiTbjvHZArWfc2C/iMscWP9fFnq HxoVvb85byczTQWDM6oCyxfmYDlkpuEIfBLogQx9eHmbYhfMxnZ7z8a6UHme/WsVgrtzrvX4HBs9 TJEo/LLZzE5pre2z0VV/PwrgaymyUmb5jAiIUaqwzmcN/NFVMvgnNufirUHCTgzWQNwwG09mtDZl ofwzCDWr355h/iOxfSRWfDZI+MU7IMpwKYk8+qpe4hJlkU7it97EyMg51VjkDFueFFggJib3T7N5 eApLZjROarn+lqBq5jHDIgWIpiqDSTAwmyNRzKromCjBcU+S4fJ3qB/e106CvwI7ArNexp9dhz+z LhgSobTu2GgmNmIMLXq9wkMq72h5t5qo4UTpK2qLHBEAxqk8uuC/FQl58psntFiotLcN/2MyvCVa 5z82vawznbL+B1MEshk69CNmbjnyTHQH/5lhTAPAFSUu6bYEeRaIF7K0bUGL3sEGBQ/ln8leYSnS N4o/YWlduGN+yykJPbQRtmRMBWl62x83mtn92MBYrODUrf/+frEPWfINJrfYOK/G19PM7SLrZSUD iqTvmwJZwC4tZXSEfFTHfUlSBW5G+XtzVc3D6Jz/sLMgw6SiSyrswKb9UJjSAtAwBKJoD8iAZ6DI KIwjQuEfUj0FbwUKjuI6HGdtdbTo1w78rqeUV3/buE519bHcDjmKZqkLktno5f48+2huJzw8mWp9 6H77PkqvbziFmGHM8xAx2SBZUCV/c+FxV5lQ0P8r7AOjNWafUSgZ/opFFTEqSu9mO1VGGodNT6th YgmY4Vpwz7jAAOtMqqUm7UWt4U3uHg1WTvGLIra2zIocjOSlaXVSNT0I4hQC/Nj5gRIC+p7/o38R FPyDhQdAvxn15qdYz0/yMmiRAoSZejaPoJP74oGf9LTyHr5xICRhnTxPP7ENMSIWCZZSQtlx62uS ly0ncN9michnS+enQkF28w5Q0CfFww3RFePRlDxhwkkvxZ2F5GxQSapdop2z3kDRzFZLrun619Ct rGFB+muqDmT8DmU5SjutBEVDi9myTabvVIQ6yqHgAPo06PXYxLcG29X+N3aQH1BE01v6+rr6GwZC vZs+dPQV11HvIoNQAxB7/2m5Yw0WRveQdITUvzJ2EjaEsc/pbsGqbBy6D4DHasL0EHqMPM0i84mb ZplHyvxMfTFxEHKi/f1f14KmlCxC1/6D4bolNxx93/I49zXNsXOLI4/n+GRejt0L9rEP8H59u8xv FyyD+modcrTpiWqIhU5+Ixn3d4+qAEtn5scfRoXl77AEEjFGOkwfozrvvTksoGebPJ57tYCLJJ/9 85o541TW01iJc9Z9sLJoRuvB9oNPef4srEprWUENSGy4WcAvj6BLvZSZcXKxbP9jTpOawfKcVafn aJhbLsbEKY0xgFC0hxsenCDA3+jMJTftl1S89tvW1KAjgQPlAXaTYYlSExo+6/8+2GjwBw6UTmeL SvlG0AF65gEdXtbh4M08sxJAM5VLiVPbIT72c3tRd1nmVdeSnvReuE+szmg6a1/qifMai4NjR4fO 5Ur7NApTpw1Kf9kEyw1aoGwuC/o6Vi8Y5kIbjc3e7n/9y9XjeeiK7ZF/Za2eUl+KijAZUU0LVd5O z0b3IwnlGgtg2Ud4yb5OUJTiPyMLgbLTBkvczKt0TmnJr8LaqCr9Rbl6e/cQQkzh4xsuQwCnf8Fc UbF0l0P7rOtR6cLm+yl41dtFPk0AJB+8xJStCPg5+cjK5exktl+gU4rQsZcwuFVxDll3w80wSFRt ufQ2/anld96loFz8e718XTKsxg/NfZlaqrfK9abpY9Pp4PhnrT44S1llwIsgZPejwiWPk98qgeCq dDIP6qtOVTsvzxjIZ8uMS0p15F5oeCu23P42ff5H+Yc01pWGnT5XvA6gZKA8fH0adhpJUIN8N0IV RtwdXwdCDBkPXWWP0ROQl7cMovVm9f+UAcFTpFsEx/TaJghq9mPt0EBrrLuuXHVfIp8jiDMQxuAl vxdQckUsK3s0XeDhUcTRsNiCJ/t/imnHg+fE4T97kWHV+Y4pZJy843sQmr95e6Bl3BIFkam7+Wic d2VUxgmQcVaDhBzr1yTMaQx1K94I4915OrWAU1ORdxnU3RqkgpDKT3JzmO3mo4M0v8ly50iThVwy 6+VWHOKat9Gx2pjrQaJXJr0E5/AlfAjnIk9Hydk7L4CG2G5isvYObyQVComiYkKeNHkIEtYjNa5Q 8w/b6J93Y2c9jY7rkXYWkPQ1FdWNZl5GXDFwNpcD0NLpXLRBA9j3Pt9mXX25iBzbnqM8M07SuQLX ytinTczoiOGtEGJIi0wfdDajgHO6qoKZfpeMNNzev40RhveF7NdV2XqFrzUqJvrkJUnuAU9Q1VoC 829t45MTSmDVg+9NnkAPw2Ih3lI3/wYvk5I7lJ4G1i1E91c34+RffsRG9ij1U4dWfX12lwY3AMaB CnYz1seVBwLfjkN+xPj0wrX5al1OfUe5DT7ddaxsYr421Mpevj0KuLRlCxQPJdl7jzkBQd9jLHqw 1r5qiNiEZvVeisohlsdrOJExxyX+p9pHR003AG2lwjEqm7v9Z7wlxoPXqeZSHx2VvwUJ9LmjlA9o 2dpEqdCRyvn2hE8SGoFWc0BdEGTw3gM6u7ekAzYy8mSHeiCBCgfDJEfFffIw+aFH+lxGaF+jLxnQ iZrfE2TPpuoWeKyT25fhsXkEmYRbsCxW54KDs3vsMqdiI5WASEzhyJP2VDmPh9RlSJ7AlK/jYA+j 3ncKdH1U5CeGMSGryAEdlNaOqICUo/F9Gr9TuusiPyHgAMkbRBxxpEFet2o0JGGBHA1I7AFttj/j VyE8j2x4ihRfGoVPCjM9IUiT6a+wrTQnu/ErSyJG4rpdVO8ReSKK5FCHMF/DKvraGmyWJdFeS2/E cVeydQR/vgbL9o1+Yc4RTd0qK9oipPJiVGrjQNWMIUsFRh2LxuxO4ZclybTm74pfSqyNmxBsCNpf f7flUjFXuwuuJ9B7TjlR5AjDEhUBHz2ZWe2uRbX46kTUbaGT2hGGLr+UxZCXQKdNFqKjvpv5FQGo lGYunb0YKgNev60ZXf5gz3kB6U06I5cbgD3xmQEvvKcAqvqDuuUWQ7pZnImDjV6KAkqU3kco/8FB Yio5ZM2r97LFVg6U6wsXCDqHWWQWB4qbai9glszC5AimcPWQHsA1lXul0NwIfSk9/m183hkTcVWZ iNqppdcdAjJbyAlCm4olw4EVysAMrPCMRmIC55uyTinBatW4jZ/wZ7cD79oqOWJJrSSF0gTaLW4a ulXyz+lMq/hmaSg0saJg/dzr3jpS35lbozsC//gnxKP+C3c5x1eY4bBoAy10r4cxvw9X2nHZXIhM VDixnL7MjZuXoRvKtrPNwWROUZXfRJqCwG6DUBgy1UYo4n5hVQ31WaAAmGmCY50uHCn1DCOnMALm CyUCDARxX1d3qHEw+LbbmUvMAo9nCNn/bnqRtuL/V19Cw+eIP8s3A7QrDFaUrUFbrV8eErVphQIA Otwerkdoj8/A0EaF2fXevTV7nrTzdRS0Fl3P95vvkx07EXHN9mZaoQPqItiHgmjwkoYw0D8mSMxA KanGaZ2hWEh0Dr1MkEM9jhxYdwdW5Cp6QUTK3So6cWmkRVk/l7JuoVQi7eabU+QY9C9OdBkrDANi f7gqeHlyAci+HS6ZGDsiIh1BE2tiqkLI1BuEpTKcx2gAZ8fAELJEMR8BbDy4huTNNj7CJt9vjuKU uweYfnMmT6wgY6YlB2HernDyhMcQlSROfL8t0ELc1lfCzkShgOgZrjC2mMHU3e26YLLAGHGb60dH I8BGND+xulERj2YA42X3Wk/YDoZPHsvtyfpeDIfog55QRTBEHTXgJ8K5JnkTBeTjnw3kpg2Vzb5s kuMEum8FXpPaE63jerDEeu3qZX0nG9M9I+FnD8sRjKd7DszeigQmpCFWd3CquczDmWVQajHoeonh ajQHojUthkwCeGwrcecEjJMl1/zFn/acYi8oeRO+zRpX0vva+C/5/MA6kMJ2dYK7RWkkI44igGP3 QjWaQi8Vs8JyyGo0/vXDErXx5UAUceITN5trHhhTrPhv3D4r0zhBWqJtkMT1AjiYMCe0Bbs/51in +Efv/YEolXJvPvzwVE6KwHMqAIWCWM0TVDwyKsh921jt9FaoOvDkpm2nKbtL5kDdReyrmmbeqjQx Va56aC14MxDpEH7z/jTYAY2h8IF5vDSAPyloSkWj1VA6kKTHOyw+fda/TzzfpjAugYhhoQsjGNCA mgfZwiNW0O1HqLw7lc86EOLvMAW5YOnCTfnDfFprK4ojQLrVUhIsKJXTN3O+ywZouv+n8XVj2A5t CqLkAdzR4VspdXTRPXF630mQiDD6RF7sZBp9vIN5LIdwwIfvWvS9sXlTRHujtVDhGUlizassz2ka F384cSUionGxOT4HQi78S0HkDTA/SyGrjnUKcqfPwf4jPedr+NxdSF8stbKh/D6KeL6O5TyojdGB Q7av0aFhlVAHTDckr5zCyeYB0qxrctPQSGYmJxv+qLRws9Z1RfH14Gk2In8xvsiAorsRagVVPF0T umiGn+W5UIBdwiWtXsU2xyO/eF9DvB6o9EakocscOhfkGAlnCAwpU2ruqMfzYUbvEY/7niBNH4n8 2cetMofkLQdd+zatL/NVCNF5tHgdVvdwHvrgOQfqN3Fhy3WfcWv2mP1u4buz5OUylAODDvN7tWu2 Eamk0wukwAoXgNRUKyjlosW2hiQiw9h8dwiXRdVO/sw/aH5s+GOGAwxkZmpILhTOabQpY0Jbbvea 54aroAArbv1sRqEW/7IrP/d62EC5DfuNrjpQJzjo78djrueBB/YeHVJdmn4dw+Syzs7d19AYdyw7 gTY4B054CqOpUXK+8q3zRV4SDUChBAS1CW3qXP49WShEQ3hLsGqum0L2fu71A3JGrZUqLXCPBN1j nOoeXTBLzy1F56f0X3rDvre9NJILaflOAYy+41G5efbIb8l4Rq79o9PyoaFz3Ag7AsDLsErfV+U8 cz5T4JOY7LZGnrGWRBzv6O1yR95Re84BTupwqYokX3LSYOS82h+/CtspBLA253QJxHBioUHTeua8 xKS8TG2IQFJ+j+CsbiYYe6LymxjZAwE3PAjODpvSlXts4QCcwF8ihT/ItFkKGWKExVBlVF162Pe8 zuORsbLkTJqudd4n7PbPGUakXz6Lu9kiqszxe0ORdn5qxCWMOVXo6DaxeRemkUnvSlhFCgdCVcOg C0+GnE9eP7vymI1jS56cwjpUdZJqzqk6PLbN6z7DbSWMdrGKlaqafQ8zkKOfRyy4EwhflgJici+C KYnOSX3uiLSqKhb9WM4AlMFhtMtV9tbwVr6FIm6IpsQL7fKKCfhYTT4PpCJtFoD1jzeIg9UEmGGd cQCV8zUNn5uUfxkvqnxiThJ+Ey5DhtaRpX5OMRSiu6ncWeE0z8fjNF6Ir46Z6M6j/gQqcASpfo9h fDEeAd2DtBEH5zs1DEgmRIk4mMhWEjksIM1d9GioEajt7Y8OKvP2o4SL++444GFBX6mx8YRXOWCp TJhNxYGdRRz7CGVY0RUKZO4t4xH+rW2edo3uiI378wkphiIMN58frdDznkARD8gtpImVcUllJDLK AfuD+FmLuAZs8rZRFZngI5HxR5betKfA2sv45OI7eumqo6w+zvjgrDYfGnas4cELoS5vdTOfQ6Iy smUC+9YO5aus97TscJdYu5ZnHUHTeeiD5N6/gs6IXp9M1NbeZqkWQYl+6WP0Hi37wLTLRd/dOn7C TU1YYpou36sHxap7/ZtblRtQek5a54P+9DbRET7JQ9mmf6wFK0+Nka+3A9REIpHg3uf5GsxOEWst +uKuFShl1G8htillDumuiD6qRzh535IhZ4DyFeBI8fPQa04zQfjRG8CEZCJK/w6UcECR1IITX5PR 1NaBpV3lBjP7z0UtDRxwfekXrnCKsil9zh0XmJ5MVqpDeQnzQZI1KzFM0o6dc9txBGI7+oEdeInQ hhr3wGeWDTOt2PMrOjWOBgswBKX8v9XTESDj4RfshWy/OkaRxkWsJK++X/j7qwFbQWxy8vWVbRlM EFzsz9XqrOODI9CjBog878kTXauS4w7pBubnhJzhLISbXeVOntprPVblPRwEAFDKqZy61qV1RKTZ ODNJ+zRmvxOO8jP+ZdX1kD5yKoqFKUbBT49ueQEPiUXOTxuOqFyeZUUYLsvsroS8MHiMSHGWg7a4 CsgHctWmz51IjVIr2hHFPWM9AMHo3tFP/qn/VTYbxqXRV72qsXivO9A0DAtTqwSndi1CCLl5rdtl 1VNZ1f5XwRsVF/k3m9C1GV/grUTwlZQzr6mw+Fl38Pcmm5V17+csIlzXEip25+6T+42ELWsiCZpN iZEaWxWopCbJYpadS0ACCmmlNkaeeK77DMza9KIqlb5GoGZhdfSSzF4E4i0uEtNeluqv2S1+QAuP WvzWrzqtzWWWHLjv70IPJH6sEj4p5eZq416QC48ilFPA6TCMiMvCgBpIidrKi8vrguJOe/v5v/f6 hmr9Egt7MJOJMHV8Sa0IbjaRy82oWEHHOYfEWxZj1aD2R9vTxPjiMI35D+FGUap4AORbi97XPLbQ kwqC6HyU0mQXf4d4NlZcwBn2x3Czvx6r+YFDvYtqcibRDILb756Gy0ChdME4zaJNgWboKqIbm7oo bUBMGsA6sZxMWEW2Ycc/jY4wlgPEe+GQcXPSdrlTZhvLO1/uvhn94F+pb/R3HFk3vUtJSOSfnRjb 9lvEzljaBwq/pqNykxfplpuBh/eRsGw/iI/9fFyZrHM0ue+YnYbwnMcoRzshdyTlJsaRzK5s+Fdy 994xlI8nZ83oZh6gt6H20BIuciCwl5psnXu8aWdjWnVI6hn8UZb6abiHLxEs1PZtQf8LplhFAK6u FMHX8+v1BBGPIs9rdwqWGNxsJNiPVXYzh7MfvnGJCE5yNSygUNpcWPnEP2F1HzzayhQBYCJvY0hs 2vPR6F8s965aAYLQ8icwHqZVpx7tH1YrDVvmgvU5YOmU1ohhspQ5kyzV/WkoBcURCWCqpEyJG5ov Hslqwf3MbffFGKE9yiB2adzpTnnxYEbM8CTmFRCdVEj/1b/ttDNwLlIkIIPsoxePXYSroSnaXsZ4 iFCbMELvYtveSb8XkYTwjYtb4FvqDmFzlWAPP0mRrMMv9t9LSvFV7harGokhqVkwOXhr+BvGqKwm 2YgnT4p5YbW+lGXUrHy+8q0cIcvoVQ3mVFbnJ+wYX4Gun4JoKVxcwH2HDGUZl6sNIvq0YMpXuezn Uh9MLpSUXeu8rFHP7t0bH1El6Wnrd2qlCG8/YGGCzc17kXXSJKtgdeiqUgaAxZqInjWMV7FdWHaW VNnF6kknTqHMzkKnSZLFRE/4kvK0eX/HOJyms1nVbxtOyk2n9IndmbGLw8zSVUx0/FvVspp514eN OJ0vqTWQ3uSC1CnnCaVhCaBER/+saEzhO5Rb+BSRpcWjlmoF4V7h6Jr0dhhZ6NyWpantSkCI/K8P ps6ql16UYV7YX/xfrj11NHjK4IF+fOh6J+4BgvxB0nbYlzWMShy9wdGJqqFd9qIxvgfJO5FasfyJ EmDEDBqS9SFgK8JFHkTh+KcBB3vN88V2/n2lI71cWFUKcwmXTBkhE3cTPaq4OHsb/rzK5nicMzm9 hB3D2HCmJcSNZ+sYfKFBntcYhQhGodidhFH+Cw4jgbh1KhRS91RT06cHy3GhChBBuSZ229X3fW94 1qX91GLeMhkpvnnvf6ZJekMws0dyV4in5XQpbkgIKkrOLBvpIcJ+wMLFo3HtxhPbt/IhPJyCqW+g SRN0vHKU9K+XrGOPc4NvsksXR2YGeFP9vACSrUOcOikd4r/G86EnVLV9etnqvSz2wGA9A8WjuRTN HwSe+WzIEeX3fyLGB7xPaSSINj4VlIxKNgbZzYUIYfIWAtKuC+K5cT/xE+gTNaTc7A== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127VnxTdt3Yq+2oClKiJFCtmSAw +8yXGbdtw/pYns0xUZsjzD1NVx2O1mUdqDmQEwRb7NCGY4Uo6NCloalJZqdGLA2zjvsf3QRCyfsz sgzlsD96ZDlOPIYWpolNXhSpmy9j95Y9NEgEskAzl3eJNPkRfvQtP9BQE6b/ySeKU+PVhouSylvc Bles5Ph7hbIDKKJ3sKrft1wbvALb1tV86JNGGj0KVjmWTbASVrYc+bJ6Vk7PUzti9MeER0MRBR0x isnXLMfR52nnUhKCjHqDaPaT4by5uH31wGhopU1Wh9y7fMIbJloE/BnU78dDkU3rZCr8QbRsqe1U Ti62bzGpmvUcOXdb0LxHwnTrMI3eZ5oZrAivUWuGnvUmmIzOj2jSVEKJELcDq4ySmTlsGfAtEPmd FiWFiO/I+wbGthoJOpzWvpgVJYDaae7bqE/UFlzc0yCPlIxBuxLBN6BQEQQ0iMhgiP0YJPssSF+v 3JU9eSUhQZ+yjLHwEE/EMjhhsWhgpxuiVSIjgbxd7axl5Hl8hmZeDrDkOyLGxmIqK9uxxVL9vQdu 2xLETWEDUAiNTcnFpt/80xn2EbKe0fNiF3jtpYblU9EqErGOuo0xtDHVQWEvMshlkGiwveUlCsAr TzB5ipAXzxEluAGnOEUQvFfJS80QfaeqCZxNEz4pkekVlIaCOc+mH30YtzF20l/MLXNY2U5Lh/Y6 qck8hXKPEDUwp/z+OncCABtgtzubDdslekpicXYmWQCozp5VE7404lvjgloc2BTOG094puliSien jsyl1D5eMm+ajwgeeeiNU2wEXeZSTqIvjZ+o2hJ7Juq8UautQ9aY9rXgILw0VHCOJ1PABsiwQ2SW DgBWYkgvy4A8mlP7iHljb7TeZToCJ1bWuAd3ThvyMx/mxAL9f3iCxJcPEHguHL8ie/ZbZvHlj/5x D7huBEhxfuRqgX+/Zzwe/A1oSJ8WzvkpkiT2fXD9DqQPZoZgRQhx0fxrCdq+9rN5Ps8CJMDLiSzy wLjfHIWCIP6W1sSFoEFBAfUnBi1P2F0w0VTWN+l5a+Z5iZ73ghe3MWaeEGu6zUARTGA83qvV6Kx9 GTceFB6e+l1hdwMWLGWP1rpCjQfVTs+2xbZQWu0sz/SV881Wq0hDU2+MIo+9duoi3TH3bjtKJzau xQ1MjzUTwTNr46gnzH8GLGJZdeHYRcQLY8QiVHwjYi4foC+1a7t4WUtDOOidziJA/Mc8iADCgPF+ fjlE+iBjIFA00O1Jsxti1rmJyrEVEUo13v5tLDL4NwGkizKDfZjb/Jn5C4VMYIPIAgUHQ8UdXwOv k+3ZqReDMwRVbR6CvmrkhW+8N9yUwnSOZ8JC7jzKWnLWbTPZaJIDQge83qr9s2qCiuDRVOiPr4Wn ddbrk3XCtm7sH3NxK7j6u+JqIe9u4IEYwGQRcWuWtgHOPH0uTfVZ1gk2yH5uBCcFIvcL01EN+KPP qrlSQ4TrpUAfJEn1CXsX22onXBd/TEbA/xMMJFe56yPylHIBbhpPr0orxAy6TgUgJV5L8fpoq2sr P1aPUy4OKzMjuj4fZD3t1UuYditLR1ZsDu2+578VeiqZIBLqwVEex0myUsed1tgTyH7xC6OliDbB R5tQXhDQfxUbd1MDbUwrCGz2m/frNgx54cJxK3AF4IEPmrEfKQvRUF3yVwym6HI29iWq0Kr4t1eY Ek0AvGns0uWoFKLameZqj/J/LRfqH2J8sY+G1wHHlM+M1zn0mvtORaE2S9T5wptVywtGxdLhCc4E CoX2PjNOD2itVFQum5BFa2m2xbJwQKh2fVQBjJlnvZlUp9ScN7iRisaliiObEu6+4pIo30jtJnvK J1okftZ1tf94rQu447EEwPjNtQE1diBzF5BRx65YvDF+EII3HBj9/LuX1l9AEJlxj0/vnKKFXMAJ xvkc/kbu09N4n611I8DikZSb4leU4f87pzZBEHzh/FfFSyGQZKtxwC08RylnBdnjybXjVNSQohme Ydx3TxglZlR4bh7DVEamLj9Anmz6AGwihUrOoPCkhcHfsFXW16K6tRcyAmQAZCa+/xf/5be+MqZR o7JUZIWaYhRk7TCj3KqIEIFtiQYrefXJWGQ9MVf0aB68YAuWz7UtOhauM9TjCe4S9TqTiE6fETu9 dnfxLsNhamq8wp9ClLN9KuI9ZsvUOzrX+1Kj9Y3Mh4LWUro6rEiTbjvHZArWfc2C/iMscWP9fFnq HxoVvb85byczTQWDM6oCyxfmYDlkpuEIfBLogQx9eHmbYhfMxnZ7z8a6UHme/WsVgrtzrvX4HBs9 TJEo/LLZzE5pre2z0VV/PwrgaymyUmb5jAiIUaqwzmcN/NFVMvgnNufirUHCTgzWQNwwG09mtDZl ofwzCDWr355h/iOxfSRWfDZI+MU7IMpwKYk8+qpe4hJlkU7it97EyMg51VjkDFueFFggJib3T7N5 eApLZjROarn+lqBq5jHDIgWIpiqDSTAwmyNRzKromCjBcU+S4fJ3qB/e106CvwI7ArNexp9dhz+z LhgSobTu2GgmNmIMLXq9wkMq72h5t5qo4UTpK2qLHBEAxqk8uuC/FQl58psntFiotLcN/2MyvCVa 5z82vawznbL+B1MEshk69CNmbjnyTHQH/5lhTAPAFSUu6bYEeRaIF7K0bUGL3sEGBQ/ln8leYSnS N4o/YWlduGN+yykJPbQRtmRMBWl62x83mtn92MBYrODUrf/+frEPWfINJrfYOK/G19PM7SLrZSUD iqTvmwJZwC4tZXSEfFTHfUlSBW5G+XtzVc3D6Jz/sLMgw6SiSyrswKb9UJjSAtAwBKJoD8iAZ6DI KIwjQuEfUj0FbwUKjuI6HGdtdbTo1w78rqeUV3/buE519bHcDjmKZqkLktno5f48+2huJzw8mWp9 6H77PkqvbziFmGHM8xAx2SBZUCV/c+FxV5lQ0P8r7AOjNWafUSgZ/opFFTEqSu9mO1VGGodNT6th YgmY4Vpwz7jAAOtMqqUm7UWt4U3uHg1WTvGLIra2zIocjOSlaXVSNT0I4hQC/Nj5gRIC+p7/o38R FPyDhQdAvxn15qdYz0/yMmiRAoSZejaPoJP74oGf9LTyHr5xICRhnTxPP7ENMSIWCZZSQtlx62uS ly0ncN9michnS+enQkF28w5Q0CfFww3RFePRlDxhwkkvxZ2F5GxQSapdop2z3kDRzFZLrun619Ct rGFB+muqDmT8DmU5SjutBEVDi9myTabvVIQ6yqHgAPo06PXYxLcG29X+N3aQH1BE01v6+rr6GwZC vZs+dPQV11HvIoNQAxB7/2m5Yw0WRveQdITUvzJ2EjaEsc/pbsGqbBy6D4DHasL0EHqMPM0i84mb ZplHyvxMfTFxEHKi/f1f14KmlCxC1/6D4bolNxx93/I49zXNsXOLI4/n+GRejt0L9rEP8H59u8xv FyyD+modcrTpiWqIhU5+Ixn3d4+qAEtn5scfRoXl77AEEjFGOkwfozrvvTksoGebPJ57tYCLJJ/9 85o541TW01iJc9Z9sLJoRuvB9oNPef4srEprWUENSGy4WcAvj6BLvZSZcXKxbP9jTpOawfKcVafn aJhbLsbEKY0xgFC0hxsenCDA3+jMJTftl1S89tvW1KAjgQPlAXaTYYlSExo+6/8+2GjwBw6UTmeL SvlG0AF65gEdXtbh4M08sxJAM5VLiVPbIT72c3tRd1nmVdeSnvReuE+szmg6a1/qifMai4NjR4fO 5Ur7NApTpw1Kf9kEyw1aoGwuC/o6Vi8Y5kIbjc3e7n/9y9XjeeiK7ZF/Za2eUl+KijAZUU0LVd5O z0b3IwnlGgtg2Ud4yb5OUJTiPyMLgbLTBkvczKt0TmnJr8LaqCr9Rbl6e/cQQkzh4xsuQwCnf8Fc UbF0l0P7rOtR6cLm+yl41dtFPk0AJB+8xJStCPg5+cjK5exktl+gU4rQsZcwuFVxDll3w80wSFRt ufQ2/anld96loFz8e718XTKsxg/NfZlaqrfK9abpY9Pp4PhnrT44S1llwIsgZPejwiWPk98qgeCq dDIP6qtOVTsvzxjIZ8uMS0p15F5oeCu23P42ff5H+Yc01pWGnT5XvA6gZKA8fH0adhpJUIN8N0IV RtwdXwdCDBkPXWWP0ROQl7cMovVm9f+UAcFTpFsEx/TaJghq9mPt0EBrrLuuXHVfIp8jiDMQxuAl vxdQckUsK3s0XeDhUcTRsNiCJ/t/imnHg+fE4T97kWHV+Y4pZJy843sQmr95e6Bl3BIFkam7+Wic d2VUxgmQcVaDhBzr1yTMaQx1K94I4915OrWAU1ORdxnU3RqkgpDKT3JzmO3mo4M0v8ly50iThVwy 6+VWHOKat9Gx2pjrQaJXJr0E5/AlfAjnIk9Hydk7L4CG2G5isvYObyQVComiYkKeNHkIEtYjNa5Q 8w/b6J93Y2c9jY7rkXYWkPQ1FdWNZl5GXDFwNpcD0NLpXLRBA9j3Pt9mXX25iBzbnqM8M07SuQLX ytinTczoiOGtEGJIi0wfdDajgHO6qoKZfpeMNNzev40RhveF7NdV2XqFrzUqJvrkJUnuAU9Q1VoC 829t45MTSmDVg+9NnkAPw2Ih3lI3/wYvk5I7lJ4G1i1E91c34+RffsRG9ij1U4dWfX12lwY3AMaB CnYz1seVBwLfjkN+xPj0wrX5al1OfUe5DT7ddaxsYr421Mpevj0KuLRlCxQPJdl7jzkBQd9jLHqw 1r5qiNiEZvVeisohlsdrOJExxyX+p9pHR003AG2lwjEqm7v9Z7wlxoPXqeZSHx2VvwUJ9LmjlA9o 2dpEqdCRyvn2hE8SGoFWc0BdEGTw3gM6u7ekAzYy8mSHeiCBCgfDJEfFffIw+aFH+lxGaF+jLxnQ iZrfE2TPpuoWeKyT25fhsXkEmYRbsCxW54KDs3vsMqdiI5WASEzhyJP2VDmPh9RlSJ7AlK/jYA+j 3ncKdH1U5CeGMSGryAEdlNaOqICUo/F9Gr9TuusiPyHgAMkbRBxxpEFet2o0JGGBHA1I7AFttj/j VyE8j2x4ihRfGoVPCjM9IUiT6a+wrTQnu/ErSyJG4rpdVO8ReSKK5FCHMF/DKvraGmyWJdFeS2/E cVeydQR/vgbL9o1+Yc4RTd0qK9oipPJiVGrjQNWMIUsFRh2LxuxO4ZclybTm74pfSqyNmxBsCNpf f7flUjFXuwuuJ9B7TjlR5AjDEhUBHz2ZWe2uRbX46kTUbaGT2hGGLr+UxZCXQKdNFqKjvpv5FQGo lGYunb0YKgNev60ZXf5gz3kB6U06I5cbgD3xmQEvvKcAqvqDuuUWQ7pZnImDjV6KAkqU3kco/8FB Yio5ZM2r97LFVg6U6wsXCDqHWWQWB4qbai9glszC5AimcPWQHsA1lXul0NwIfSk9/m183hkTcVWZ iNqppdcdAjJbyAlCm4olw4EVysAMrPCMRmIC55uyTinBatW4jZ/wZ7cD79oqOWJJrSSF0gTaLW4a ulXyz+lMq/hmaSg0saJg/dzr3jpS35lbozsC//gnxKP+C3c5x1eY4bBoAy10r4cxvw9X2nHZXIhM VDixnL7MjZuXoRvKtrPNwWROUZXfRJqCwG6DUBgy1UYo4n5hVQ31WaAAmGmCY50uHCn1DCOnMALm CyUCDARxX1d3qHEw+LbbmUvMAo9nCNn/bnqRtuL/V19Cw+eIP8s3A7QrDFaUrUFbrV8eErVphQIA Otwerkdoj8/A0EaF2fXevTV7nrTzdRS0Fl3P95vvkx07EXHN9mZaoQPqItiHgmjwkoYw0D8mSMxA KanGaZ2hWEh0Dr1MkEM9jhxYdwdW5Cp6QUTK3So6cWmkRVk/l7JuoVQi7eabU+QY9C9OdBkrDANi f7gqeHlyAci+HS6ZGDsiIh1BE2tiqkLI1BuEpTKcx2gAZ8fAELJEMR8BbDy4huTNNj7CJt9vjuKU uweYfnMmT6wgY6YlB2HernDyhMcQlSROfL8t0ELc1lfCzkShgOgZrjC2mMHU3e26YLLAGHGb60dH I8BGND+xulERj2YA42X3Wk/YDoZPHsvtyfpeDIfog55QRTBEHTXgJ8K5JnkTBeTjnw3kpg2Vzb5s kuMEum8FXpPaE63jerDEeu3qZX0nG9M9I+FnD8sRjKd7DszeigQmpCFWd3CquczDmWVQajHoeonh ajQHojUthkwCeGwrcecEjJMl1/zFn/acYi8oeRO+zRpX0vva+C/5/MA6kMJ2dYK7RWkkI44igGP3 QjWaQi8Vs8JyyGo0/vXDErXx5UAUceITN5trHhhTrPhv3D4r0zhBWqJtkMT1AjiYMCe0Bbs/51in +Efv/YEolXJvPvzwVE6KwHMqAIWCWM0TVDwyKsh921jt9FaoOvDkpm2nKbtL5kDdReyrmmbeqjQx Va56aC14MxDpEH7z/jTYAY2h8IF5vDSAPyloSkWj1VA6kKTHOyw+fda/TzzfpjAugYhhoQsjGNCA mgfZwiNW0O1HqLw7lc86EOLvMAW5YOnCTfnDfFprK4ojQLrVUhIsKJXTN3O+ywZouv+n8XVj2A5t CqLkAdzR4VspdXTRPXF630mQiDD6RF7sZBp9vIN5LIdwwIfvWvS9sXlTRHujtVDhGUlizassz2ka F384cSUionGxOT4HQi78S0HkDTA/SyGrjnUKcqfPwf4jPedr+NxdSF8stbKh/D6KeL6O5TyojdGB Q7av0aFhlVAHTDckr5zCyeYB0qxrctPQSGYmJxv+qLRws9Z1RfH14Gk2In8xvsiAorsRagVVPF0T umiGn+W5UIBdwiWtXsU2xyO/eF9DvB6o9EakocscOhfkGAlnCAwpU2ruqMfzYUbvEY/7niBNH4n8 2cetMofkLQdd+zatL/NVCNF5tHgdVvdwHvrgOQfqN3Fhy3WfcWv2mP1u4buz5OUylAODDvN7tWu2 Eamk0wukwAoXgNRUKyjlosW2hiQiw9h8dwiXRdVO/sw/aH5s+GOGAwxkZmpILhTOabQpY0Jbbvea 54aroAArbv1sRqEW/7IrP/d62EC5DfuNrjpQJzjo78djrueBB/YeHVJdmn4dw+Syzs7d19AYdyw7 gTY4B054CqOpUXK+8q3zRV4SDUChBAS1CW3qXP49WShEQ3hLsGqum0L2fu71A3JGrZUqLXCPBN1j nOoeXTBLzy1F56f0X3rDvre9NJILaflOAYy+41G5efbIb8l4Rq79o9PyoaFz3Ag7AsDLsErfV+U8 cz5T4JOY7LZGnrGWRBzv6O1yR95Re84BTupwqYokX3LSYOS82h+/CtspBLA253QJxHBioUHTeua8 xKS8TG2IQFJ+j+CsbiYYe6LymxjZAwE3PAjODpvSlXts4QCcwF8ihT/ItFkKGWKExVBlVF162Pe8 zuORsbLkTJqudd4n7PbPGUakXz6Lu9kiqszxe0ORdn5qxCWMOVXo6DaxeRemkUnvSlhFCgdCVcOg C0+GnE9eP7vymI1jS56cwjpUdZJqzqk6PLbN6z7DbSWMdrGKlaqafQ8zkKOfRyy4EwhflgJici+C KYnOSX3uiLSqKhb9WM4AlMFhtMtV9tbwVr6FIm6IpsQL7fKKCfhYTT4PpCJtFoD1jzeIg9UEmGGd cQCV8zUNn5uUfxkvqnxiThJ+Ey5DhtaRpX5OMRSiu6ncWeE0z8fjNF6Ir46Z6M6j/gQqcASpfo9h fDEeAd2DtBEH5zs1DEgmRIk4mMhWEjksIM1d9GioEajt7Y8OKvP2o4SL++444GFBX6mx8YRXOWCp TJhNxYGdRRz7CGVY0RUKZO4t4xH+rW2edo3uiI378wkphiIMN58frdDznkARD8gtpImVcUllJDLK AfuD+FmLuAZs8rZRFZngI5HxR5betKfA2sv45OI7eumqo6w+zvjgrDYfGnas4cELoS5vdTOfQ6Iy smUC+9YO5aus97TscJdYu5ZnHUHTeeiD5N6/gs6IXp9M1NbeZqkWQYl+6WP0Hi37wLTLRd/dOn7C TU1YYpou36sHxap7/ZtblRtQek5a54P+9DbRET7JQ9mmf6wFK0+Nka+3A9REIpHg3uf5GsxOEWst +uKuFShl1G8htillDumuiD6qRzh535IhZ4DyFeBI8fPQa04zQfjRG8CEZCJK/w6UcECR1IITX5PR 1NaBpV3lBjP7z0UtDRxwfekXrnCKsil9zh0XmJ5MVqpDeQnzQZI1KzFM0o6dc9txBGI7+oEdeInQ hhr3wGeWDTOt2PMrOjWOBgswBKX8v9XTESDj4RfshWy/OkaRxkWsJK++X/j7qwFbQWxy8vWVbRlM EFzsz9XqrOODI9CjBog878kTXauS4w7pBubnhJzhLISbXeVOntprPVblPRwEAFDKqZy61qV1RKTZ ODNJ+zRmvxOO8jP+ZdX1kD5yKoqFKUbBT49ueQEPiUXOTxuOqFyeZUUYLsvsroS8MHiMSHGWg7a4 CsgHctWmz51IjVIr2hHFPWM9AMHo3tFP/qn/VTYbxqXRV72qsXivO9A0DAtTqwSndi1CCLl5rdtl 1VNZ1f5XwRsVF/k3m9C1GV/grUTwlZQzr6mw+Fl38Pcmm5V17+csIlzXEip25+6T+42ELWsiCZpN iZEaWxWopCbJYpadS0ACCmmlNkaeeK77DMza9KIqlb5GoGZhdfSSzF4E4i0uEtNeluqv2S1+QAuP WvzWrzqtzWWWHLjv70IPJH6sEj4p5eZq416QC48ilFPA6TCMiMvCgBpIidrKi8vrguJOe/v5v/f6 hmr9Egt7MJOJMHV8Sa0IbjaRy82oWEHHOYfEWxZj1aD2R9vTxPjiMI35D+FGUap4AORbi97XPLbQ kwqC6HyU0mQXf4d4NlZcwBn2x3Czvx6r+YFDvYtqcibRDILb756Gy0ChdME4zaJNgWboKqIbm7oo bUBMGsA6sZxMWEW2Ycc/jY4wlgPEe+GQcXPSdrlTZhvLO1/uvhn94F+pb/R3HFk3vUtJSOSfnRjb 9lvEzljaBwq/pqNykxfplpuBh/eRsGw/iI/9fFyZrHM0ue+YnYbwnMcoRzshdyTlJsaRzK5s+Fdy 994xlI8nZ83oZh6gt6H20BIuciCwl5psnXu8aWdjWnVI6hn8UZb6abiHLxEs1PZtQf8LplhFAK6u FMHX8+v1BBGPIs9rdwqWGNxsJNiPVXYzh7MfvnGJCE5yNSygUNpcWPnEP2F1HzzayhQBYCJvY0hs 2vPR6F8s965aAYLQ8icwHqZVpx7tH1YrDVvmgvU5YOmU1ohhspQ5kyzV/WkoBcURCWCqpEyJG5ov Hslqwf3MbffFGKE9yiB2adzpTnnxYEbM8CTmFRCdVEj/1b/ttDNwLlIkIIPsoxePXYSroSnaXsZ4 iFCbMELvYtveSb8XkYTwjYtb4FvqDmFzlWAPP0mRrMMv9t9LSvFV7harGokhqVkwOXhr+BvGqKwm 2YgnT4p5YbW+lGXUrHy+8q0cIcvoVQ3mVFbnJ+wYX4Gun4JoKVxcwH2HDGUZl6sNIvq0YMpXuezn Uh9MLpSUXeu8rFHP7t0bH1El6Wnrd2qlCG8/YGGCzc17kXXSJKtgdeiqUgaAxZqInjWMV7FdWHaW VNnF6kknTqHMzkKnSZLFRE/4kvK0eX/HOJyms1nVbxtOyk2n9IndmbGLw8zSVUx0/FvVspp514eN OJ0vqTWQ3uSC1CnnCaVhCaBER/+saEzhO5Rb+BSRpcWjlmoF4V7h6Jr0dhhZ6NyWpantSkCI/K8P ps6ql16UYV7YX/xfrj11NHjK4IF+fOh6J+4BgvxB0nbYlzWMShy9wdGJqqFd9qIxvgfJO5FasfyJ EmDEDBqS9SFgK8JFHkTh+KcBB3vN88V2/n2lI71cWFUKcwmXTBkhE3cTPaq4OHsb/rzK5nicMzm9 hB3D2HCmJcSNZ+sYfKFBntcYhQhGodidhFH+Cw4jgbh1KhRS91RT06cHy3GhChBBuSZ229X3fW94 1qX91GLeMhkpvnnvf6ZJekMws0dyV4in5XQpbkgIKkrOLBvpIcJ+wMLFo3HtxhPbt/IhPJyCqW+g SRN0vHKU9K+XrGOPc4NvsksXR2YGeFP9vACSrUOcOikd4r/G86EnVLV9etnqvSz2wGA9A8WjuRTN HwSe+WzIEeX3fyLGB7xPaSSINj4VlIxKNgbZzYUIYfIWAtKuC+K5cT/xE+gTNaTc7A== `protect end_protected
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:16:10 10/09/2015 -- Design Name: -- Module Name: D:/ProySisDigAva/P17b_Hierarchical_Clock/Cont0a9_tb.vhd -- Project Name: P17_Hierarchical_Clock -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Cont0a9 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Cont0a9_tb IS END Cont0a9_tb; ARCHITECTURE behavior OF Cont0a9_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Cont0a9 PORT( Load : IN std_logic; Enable : IN std_logic; Rst : IN std_logic; Clk : IN std_logic; Valor : IN std_logic_vector(3 downto 0); TCO : OUT std_logic; Cuenta : OUT std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs signal Load : std_logic := '0'; signal Enable : std_logic := '0'; signal Rst : std_logic := '0'; signal Clk : std_logic := '0'; signal Valor : std_logic_vector(3 downto 0) := (others => '0'); --Outputs signal TCO : std_logic; signal Cuenta : std_logic_vector(3 downto 0); -- Clock period definitions constant Clk_period : time := 100 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Cont0a9 PORT MAP ( Load => Load, Enable => Enable, Rst => Rst, Clk => Clk, Valor => Valor, TCO => TCO, Cuenta => Cuenta ); -- Clock process definitions Clk_process :process begin Clk <= '0'; wait for Clk_period/2; Clk <= '1'; wait for Clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for Clk_period*1; -- insert stimulus here -- Reset the counter Rst <= '1'; Load <= '0'; Enable <= '1'; Valor <= "0010"; wait for Clk_period*1; -- Check count Rst <= '0'; Load <= '0'; Enable <= '1'; Valor <= "0010"; wait for Clk_period*15; -- Check load Rst <= '0'; Load <= '1'; Enable <= '1'; Valor <= "0010"; wait for Clk_period*1; -- Count from load value Rst <= '0'; Load <= '0'; Enable <= '1'; Valor <= "0010"; wait; end process; END;
--------------------------------------------------------------------------- -- Copyright 2010 Lawrence Wilkinson lawrence@ljw.me.uk -- -- This file is part of LJW2030, a VHDL implementation of the IBM -- System/360 Model 30. -- -- LJW2030 is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- LJW2030 is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>. -- --------------------------------------------------------------------------- -- -- File: FMD2030_5-01C-D.vhd -- Creation Date: -- Description: -- CCROS storage, SALS (Sense Amplifier Latches), CTRL register -- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM) -- for the 360/30 R25-5103-1 -- References like "02AE6" refer to coordinate "E6" on page "5-02A" -- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A" -- Gate A is the main logic gate, B is the second (optional) logic gate, -- C is the core storage and X is the CCROS unit -- -- Revision History: -- Revision 1.0 2010-07-13 -- Initial Release -- Revision 1.1 2012-04-07 -- Change CCROS initialisation --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE std.textio.all; library work; use work.Gates_package.all; use work.Buses_package.all; library CCROS; use CCROS.CCROS.all; ENTITY CCROS IS port ( -- Inputs WX : IN STD_LOGIC_VECTOR(0 to 12); -- 01B MACH_RST_SW : IN STD_LOGIC; -- 03D MANUAL_STORE : IN STD_LOGIC; -- 03D ANY_PRIORITY_LCH : IN STD_LOGIC; -- 03A COMPUTE : IN STD_LOGIC; -- 04D MACH_RST_MPX : IN STD_LOGIC; -- 08C CROS_STROBE : IN STD_LOGIC; -- 01B CROS_GO_PULSE : IN STD_LOGIC; -- 01B -- Outputs SALS: OUT SALS_Bus; CTRL : OUT CTRL_REG; CTRL_REG_RST : OUT STD_LOGIC; -- 07B CK_SAL_P_BIT_TO_MPX : OUT STD_LOGIC; -- ? -- Clocks T1 : IN STD_LOGIC; P1 : IN STD_LOGIC; Clk : IN STD_LOGIC -- 50MHz ); END CCROS; ARCHITECTURE FMD OF CCROS IS signal SALS_Word : STD_LOGIC_VECTOR(0 to 54) := (others=>'1'); alias SALS_PN : STD_LOGIC is SALS_Word(0); alias SALS_CN : STD_LOGIC_VECTOR(0 to 5) is SALS_Word(1 to 6); alias SALS_PS : STD_LOGIC is SALS_Word(7); alias SALS_PA : STD_LOGIC is SALS_Word(8); alias SALS_CH : STD_LOGIC_VECTOR(0 to 3) is SALS_Word(9 to 12); alias SALS_CL : STD_LOGIC_VECTOR(0 to 3) is SALS_Word(13 to 16); alias SALS_CM : STD_LOGIC_VECTOR(0 to 2) is SALS_Word(17 to 19); alias SALS_CU : STD_LOGIC_VECTOR(0 to 1) is SALS_Word(20 to 21); alias SALS_CA : STD_LOGIC_VECTOR(0 to 3) is SALS_Word(22 to 25); alias SALS_CB : STD_LOGIC_VECTOR(0 to 1) is SALS_Word(26 to 27); alias SALS_CK : STD_LOGIC_VECTOR(0 to 3) is SALS_Word(28 to 31); alias SALS_PK : STD_LOGIC is SALS_Word(32); alias SALS_PC : STD_LOGIC is SALS_Word(33); alias SALS_CD : STD_LOGIC_VECTOR(0 to 3) is SALS_Word(34 to 37); alias SALS_CF : STD_LOGIC_VECTOR(0 to 2) is SALS_Word(38 to 40); alias SALS_CG : STD_LOGIC_VECTOR(0 to 1) is SALS_Word(41 to 42); alias SALS_CV : STD_LOGIC_VECTOR(0 to 1) is SALS_Word(43 to 44); alias SALS_CC : STD_LOGIC_VECTOR(0 to 2) is SALS_Word(45 to 47); alias SALS_CS : STD_LOGIC_VECTOR(0 to 3) is SALS_Word(48 to 51); alias SALS_AA : STD_LOGIC is SALS_Word(52); alias SALS_SA : STD_LOGIC is SALS_Word(53); alias SALS_AK : STD_LOGIC is SALS_Word(54); constant CCROS : CCROS_Type := Package_CCROS; -- constant CCROS : CCROS_Type := readCCROS; signal AUX_CTRL_REG_RST : STD_LOGIC; signal SET_CTRL_REG : STD_LOGIC; signal sCTRL : CTRL_REG; signal sCTRL_REG_RST : STD_LOGIC; signal CD_LCH_Set,CD_LCH_Reset,CS_LCH_Set,CS_LCH_Reset : STD_LOGIC_VECTOR(0 to 3); signal STRAIGHT_LCH_Set,CROSSED_LCH_Set,CC2_LCH_Set,CC2_LCH_Reset,GTAHI_LCH_Set,GTAHI_LCH_Reset, GTALO_LCH_Set,GTALO_LCH_Reset,COMPCY_LCH_Set,COMPCY_LCH_Reset,CG0_Set,CG1_Set,CG_Reset : STD_LOGIC; signal CV_LCH_Set,CV_LCH_Reset,CC01_LCH_Set,CC01_LCH_Reset : STD_LOGIC_VECTOR(0 to 1); signal CROS_STROBE_DELAY : STD_LOGIC_VECTOR(1 to 6) := "000000"; BEGIN -- Page 5-01C sCTRL_REG_RST <= MACH_RST_SW or MANUAL_STORE or ANY_PRIORITY_LCH; CTRL_REG_RST <= sCTRL_REG_RST; AUX_CTRL_REG_RST <= T1 or sCTRL_REG_RST; SET_CTRL_REG <= not ANY_PRIORITY_LCH and P1; CD_LCH_Set <= SALS_CD and (0 to 3 => SET_CTRL_REG); CD_LCH_Reset <= (0 to 3 => T1 or sCTRL_REG_RST); CD_LCH: FLVL port map(CD_LCH_Set,CD_LCH_Reset,sCTRL.CTRL_CD); -- AA2C6 STRAIGHT_LCH_Set <= sCTRL_REG_RST or (SET_CTRL_REG and not SALS_CF(0)); STRAIGHT_LCH: entity work.FLL port map(STRAIGHT_LCH_Set, T1, sCTRL.STRAIGHT); CROSSED_LCH_Set <= SET_CTRL_REG and SALS_CF(0); CROSSED_LCH: entity work.FLL port map(CROSSED_LCH_Set, AUX_CTRL_REG_RST, sCTRL.CROSSED); CC2_LCH_Set <= SET_CTRL_REG and SALS_CC(2); CC2_LCH_Reset <= T1 or sCTRL_REG_RST; CC2_LCH: entity work.FLL port map(CC2_LCH_Set, CC2_LCH_Reset, sCTRL.CTRL_CC(2)); GTAHI_LCH_Set <= SET_CTRL_REG and SALS_CF(1); GTAHI_LCH_Reset <= T1 or sCTRL_REG_RST; GTAHI_LCH: entity work.FLL port map(GTAHI_LCH_Set, GTAHI_LCH_Reset, sCTRL.GT_A_REG_HI); GTALO_LCH_Set <= SET_CTRL_REG and SALS_CF(2); GTALO_LCH_Reset <= T1 or sCTRL_REG_RST; GTALO_LCH: entity work.FLL port map(GTALO_LCH_Set, GTALO_LCH_Reset, sCTRL.GT_A_REG_LO); COMPCY_LCH_Set <= SET_CTRL_REG and COMPUTE; COMPCY_LCH_Reset <= T1 or sCTRL_REG_RST; COMPCY_LCH: entity work.FLL port map(COMPCY_LCH_Set, COMPCY_LCH_Reset, sCTRL.COMPUTE_CY_LCH); CG0_Set <= MANUAL_STORE or (SET_CTRL_REG and SALS_CG(0)); CG_Reset <= T1 or (MACH_RST_SW or ANY_PRIORITY_LCH); -- ?? Required to prevent simultaneous Set & Reset of CG by MANUAL_STORE CG0: entity work.FLL port map(CG0_Set, CG_Reset, sCTRL.CTRL_CG(0)); sCTRL.GT_B_REG_HI <= sCTRL.CTRL_CG(0); CG1_Set <= MANUAL_STORE or (SET_CTRL_REG and SALS_CG(1)); CG1: entity work.FLL port map(CG1_Set, CG_Reset, sCTRL.CTRL_CG(1)); sCTRL.GT_B_REG_LO <= sCTRL.CTRL_CG(1); CV_LCH_Set <= SALS_CV and (0 to 1 => SET_CTRL_REG); CV_LCH_Reset <= (0 to 1 => T1 or sCTRL_REG_RST); CV_LCH: entity work.FLVL port map(CV_LCH_Set,CV_LCH_Reset,sCTRL.CTRL_CV); -- AA2D6 CC01_LCH_Set <= SALS_CC(0 to 1) and (0 to 1 => SET_CTRL_REG); CC01_LCH_Reset <= (0 to 1 => T1 or sCTRL_REG_RST); CC01_LCH: entity work.FLVL port map(CC01_LCH_Set,CC01_LCH_Reset,sCTRL.CTRL_CC(0 to 1)); -- AA2D6 CS_LCH_Set <= SALS_CS and (0 to 3 => SET_CTRL_REG); CS_LCH_Reset <= (0 to 3 => T1 or sCTRL_REG_RST); CS_LCH: entity work.FLVL port map(CS_LCH_Set,CS_LCH_Reset,sCTRL.CTRL_CS); -- AA2D7 CTRL <= sCTRL; CK_SAL_P_BIT_TO_MPX <= SALS_PK and not MACH_RST_MPX; -- Page 5-01D -- CCROS microcode storage -- Start of read is CROS_GO_PULSE -- End of read is CCROS_STROBE -- Should use falling edge of CCROS_STROBE to gate data from CCROS into SALS (actually happens earlier) CCROS_RESET_SET: process (Clk,CROS_STROBE,CROS_GO_PULSE,WX) begin -- Reset SALS when CROS_GO_PULSE goes Low -- Set SALS 100ns after CROS_STROBE goes High (start of T3) -- ROAR should have been set during T1 so we have a 1.5 minor cycle (~280ns) access time if (Clk'Event and Clk='1') then -- if (CROS_STROBE='1' and CROS_STROBE_DELAY="10000") then --SALS_Word <= (others => '0'); -- else if (CROS_STROBE='1' and CROS_STROBE_DELAY="111100") then SALS_Word <= CCROS(CCROS_Address_Type(conv_integer(unsigned(WX(1 to 12))))); -- end if; end if; CROS_STROBE_DELAY <= CROS_STROBE & CROS_STROBE_DELAY(1 to 5); end if; end process; SALS.SALS_PN <= SALS_PN; SALS.SALS_CN <= SALS_CN; SALS.SALS_PS <= SALS_PS; SALS.SALS_PA <= SALS_PA; SALS.SALS_CH <= SALS_CH; SALS.SALS_CL <= SALS_CL; SALS.SALS_CM <= SALS_CM; SALS.SALS_CU <= SALS_CU; SALS.SALS_CA <= SALS_CA; SALS.SALS_CB <= SALS_CB; SALS.SALS_CK <= SALS_CK; SALS.SALS_PK <= SALS_PK; SALS.SALS_PC <= SALS_PC; SALS.SALS_CD <= SALS_CD; SALS.SALS_CF <= SALS_CF; SALS.SALS_CG <= SALS_CG; SALS.SALS_CV <= SALS_CV; SALS.SALS_CC <= SALS_CC; SALS.SALS_CS <= SALS_CS; SALS.SALS_AA <= SALS_AA; SALS.SALS_SA <= SALS_SA; SALS.SALS_AK <= SALS_AK; END FMD;
-------------------------------------------------------------------------- -- -- Copyright (C) 1993, Peter J. Ashenden -- Mail: Dept. Computer Science -- University of Adelaide, SA 5005, Australia -- e-mail: petera@cs.adelaide.edu.au -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 1, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- -------------------------------------------------------------------------- -- -- $RCSfile: reg_3_out.vhdl,v $ $Revision: 2.1 $ $Date: 1993/11/02 19:14:00 $ -- -------------------------------------------------------------------------- -- -- Entity declaration for register with three tri-state outputs. -- use work.dlx_types.all; entity reg_3_out is generic (Tpd : Time; tag : string := ""; origin_x, origin_y : real := 0.0); port (d : in dlx_word; q1, q2, q3 : out dlx_word_bus bus; latch_en : in bit; out_en1, out_en2, out_en3 : in bit); end reg_3_out;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Tue May 20 11:28:03 2014 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_NUM_REG -- Number of software accessible registers -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Resetn -- Bus to IP reset -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_NUM_REG : integer := 2; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here clk_100 : IN std_logic; clk_48_o : OUT std_logic; AC_GPIO1 : IN std_logic; AC_GPIO2 : IN std_logic; AC_GPIO3 : IN std_logic; AC_SDA_I : IN std_logic; AC_SDA_O : OUT std_logic; AC_SDA_T : OUT std_logic; --AUDIO ports to top layer AUDIO_OUT_L : OUT STD_LOGIC_VECTOR(23 downto 0); AUDIO_OUT_R : OUT STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_L : IN STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_R : IN STD_LOGIC_VECTOR(23 downto 0); --AC_SDA : INOUT std_logic; AC_ADR0 : OUT std_logic; AC_ADR1 : OUT std_logic; AC_GPIO0 : OUT std_logic; AC_MCLK : OUT std_logic; AC_SCK : OUT std_logic; new_sample : OUT std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Resetn : in std_logic; Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0); Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0); Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0); Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0); IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Resetn : signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic COMPONENT adau1761 is PORT( clk_100 : IN std_logic; clk_48_o : OUT std_logic; AC_GPIO1 : IN std_logic; AC_GPIO2 : IN std_logic; AC_GPIO3 : IN std_logic; AC_SDA_I : IN std_logic; AC_SDA_O : OUT std_logic; AC_SDA_T : OUT std_logic; AUDIO_OUT_L :OUT STD_LOGIC_VECTOR(23 downto 0); AUDIO_OUT_R :OUT STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_L :IN STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_R :IN STD_LOGIC_VECTOR(23 downto 0); --AC_SDA : INOUT std_logic; AC_ADR0 : OUT std_logic; AC_ADR1 : OUT std_logic; AC_GPIO0 : OUT std_logic; AC_MCLK : OUT std_logic; AC_SCK : OUT std_logic; new_sample : OUT std_logic; sw : in STD_LOGIC_VECTOR(7 downto 0) ); END COMPONENT; ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg_write_sel : std_logic_vector(1 downto 0); signal slv_reg_read_sel : std_logic_vector(1 downto 0); signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; signal AUDIO_OUT_L_s : std_logic_vector(23 downto 0); signal AUDIO_OUT_R_s : std_logic_vector(23 downto 0); signal AUDIO_IN_L_s : std_logic_vector(23 downto 0); signal AUDIO_IN_R_s : std_logic_vector(23 downto 0); signal clk_48_s : std_logic; begin --USER logic implementation added here AUDIO_IN_L_s <= AUDIO_IN_L; AUDIO_IN_R_s <= AUDIO_IN_R; clk_48_o <= clk_48_s; AUDIO_OUT_L <= AUDIO_OUT_L_s; -- AUDIO_OUT data to the next buffer AUDIO_OUT_R <= AUDIO_OUT_R_s; -- adau1761_internal: adau1761 PORT MAP ( clk_100 => clk_100, clk_48_o => clk_48_s, AC_ADR0 => AC_ADR0, AC_ADR1 => AC_ADR1, AC_GPIO0 => AC_GPIO0, AC_GPIO1 => AC_GPIO1, AC_GPIO2 => AC_GPIO2, AC_GPIO3 => AC_GPIO3, AC_MCLK => AC_MCLK, AC_SCK => AC_SCK, new_sample => new_sample, AC_SDA_I => AC_SDA_I, AC_SDA_O => AC_SDA_O, AC_SDA_T => AC_SDA_T, --AC_SDA => AC_SDA, AUDIO_OUT_L => AUDIO_OUT_L_s, AUDIO_OUT_R => AUDIO_OUT_R_s, AUDIO_IN_L => AUDIO_IN_L_s, AUDIO_IN_R => AUDIO_IN_R_s, sw=> "00000001" ); ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(1 downto 0); slv_reg_read_sel <= Bus2IP_RdCE(1 downto 0); slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1); slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1); --clk_48_o <= clk_48_s; -- slv_reg0(23 downto 0) <= AUDIO_OUT_R_s; -- AUDIO_OUT data to AXI bus -- slv_reg1(23 downto 0) <= AUDIO_OUT_L_s; -- AUDIO_OUT_L <= AUDIO_OUT_R_s; -- AUDIO_OUT data to the next buffer -- AUDIO_OUT_R <= AUDIO_OUT_L_s; -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Resetn = '0' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); else case slv_reg_write_sel is when "10" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "01" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg1(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1 ) is begin case slv_reg_read_sel is when "10" => slv_ip2bus_data <= slv_reg0; when "01" => slv_ip2bus_data <= slv_reg1; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack; IP2Bus_RdAck <= slv_read_ack; IP2Bus_Error <= '0'; end IMP;
-------------------------------------------------------------------------- -- -- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc. All rights reserved. -- -- This source file may be used and distributed without restriction -- provided that this copyright statement is not removed from the file -- and that any derivative work contains this copyright notice. -- -- Package name: std_logic_misc -- -- Purpose: This package defines supplemental types, subtypes, -- constants, and functions for the Std_logic_1164 Package. -- -- Author: GWH -- -------------------------------------------------------------------------- package body std_logic_misc is --synopsys synthesis_off type STRN_STD_ULOGIC_TABLE is array (STD_ULOGIC,STRENGTH) of STD_ULOGIC; -------------------------------------------------------------------- -- -- Truth tables for output strength --> STD_ULOGIC lookup -- -------------------------------------------------------------------- -- truth table for output strength --> STD_ULOGIC lookup constant tbl_STRN_STD_ULOGIC: STRN_STD_ULOGIC_TABLE := -- ------------------------------------------------------------------ -- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output| -- ------------------------------------------------------------------ (('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U'), -- | U | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | Z | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | H | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W')); -- | - | -------------------------------------------------------------------- -- -- Truth tables for strength --> STD_ULOGIC mapping ('Z' pass through) -- -------------------------------------------------------------------- -- truth table for output strength --> STD_ULOGIC lookup constant tbl_STRN_STD_ULOGIC_Z: STRN_STD_ULOGIC_TABLE := -- ------------------------------------------------------------------ -- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output| -- ------------------------------------------------------------------ (('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U'), -- | U | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 | ('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- | Z | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | H | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W')); -- | - | --------------------------------------------------------------------- -- -- functions for mapping the STD_(U)LOGIC according to STRENGTH -- --------------------------------------------------------------------- function strength_map(input: STD_ULOGIC; strn: STRENGTH) return STD_LOGIC is -- pragma subpgm_id 387 begin return tbl_STRN_STD_ULOGIC(input, strn); end strength_map; function strength_map_z(input:STD_ULOGIC; strn:STRENGTH) return STD_LOGIC is -- pragma subpgm_id 388 begin return tbl_STRN_STD_ULOGIC_Z(input, strn); end strength_map_z; --------------------------------------------------------------------- -- -- conversion functions for STD_LOGIC_VECTOR and STD_ULOGIC_VECTOR -- --------------------------------------------------------------------- --synopsys synthesis_on --START-!V08 function Drive (V: STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 389 --synopsys synthesis_off alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; --synopsys synthesis_on begin --synopsys synthesis_off return STD_ULOGIC_VECTOR(Value); --synopsys synthesis_on end Drive; --END-!V08 function Drive (V: STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 390 --synopsys synthesis_off alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; --synopsys synthesis_on begin --synopsys synthesis_off return STD_LOGIC_VECTOR(Value); --synopsys synthesis_on end Drive; --synopsys synthesis_off --------------------------------------------------------------------- -- -- conversion functions for sensing various types -- -- (the second argument allows the user to specify the value to -- be returned when the network is undriven) -- --------------------------------------------------------------------- function Sense (V: STD_ULOGIC; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC is -- pragma subpgm_id 391 begin if V = 'Z' then return vZ; elsif V = 'U' then return vU; elsif V = '-' then return vDC; else return V; end if; end Sense; --START-!V08 function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC_VECTOR is -- pragma subpgm_id 392 alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_LOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; --END-!V08 function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_ULOGIC_VECTOR is -- pragma subpgm_id 393 alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_ULOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; --START-!V08 function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC_VECTOR is -- pragma subpgm_id 394 alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_LOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_ULOGIC_VECTOR is -- pragma subpgm_id 395 alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_ULOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; --END-!V08 --------------------------------------------------------------------- -- -- Function: STD_LOGIC_VECTORtoBIT_VECTOR -- -- Purpose: Conversion fun. from STD_LOGIC_VECTOR to BIT_VECTOR -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- --synopsys synthesis_on function STD_LOGIC_VECTORtoBIT_VECTOR (V: STD_LOGIC_VECTOR --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 396 --synopsys synthesis_off alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: BIT_VECTOR (V'length-1 downto 0); --synopsys synthesis_on begin --synopsys synthesis_off for i in Value'range loop case Value(i) is when '0' | 'L' => Result(i) := '0'; when '1' | 'H' => Result(i) := '1'; when 'X' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: X --> 0" severity WARNING; end if; when 'W' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: W --> 0" severity WARNING; end if; when 'Z' => if ( Zflag ) then Result(i) := vZ; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: Z --> 0" severity WARNING; end if; when 'U' => if ( Uflag ) then Result(i) := vU; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: U --> 0" severity WARNING; end if; when '-' => if ( DCflag ) then Result(i) := vDC; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: - --> 0" severity WARNING; end if; end case; end loop; return Result; --synopsys synthesis_on end STD_LOGIC_VECTORtoBIT_VECTOR; --------------------------------------------------------------------- -- -- Function: STD_ULOGIC_VECTORtoBIT_VECTOR -- -- Purpose: Conversion fun. from STD_ULOGIC_VECTOR to BIT_VECTOR -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- function STD_ULOGIC_VECTORtoBIT_VECTOR (V: STD_ULOGIC_VECTOR --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 397 --synopsys synthesis_off alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: BIT_VECTOR (V'length-1 downto 0); --synopsys synthesis_on begin --synopsys synthesis_off for i in Value'range loop case Value(i) is when '0' | 'L' => Result(i) := '0'; when '1' | 'H' => Result(i) := '1'; when 'X' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: X --> 0" severity WARNING; end if; when 'W' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: W --> 0" severity WARNING; end if; when 'Z' => if ( Zflag ) then Result(i) := vZ; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: Z --> 0" severity WARNING; end if; when 'U' => if ( Uflag ) then Result(i) := vU; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: U --> 0" severity WARNING; end if; when '-' => if ( DCflag ) then Result(i) := vDC; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: - --> 0" severity WARNING; end if; end case; end loop; return Result; --synopsys synthesis_on end STD_ULOGIC_VECTORtoBIT_VECTOR; --------------------------------------------------------------------- -- -- Function: STD_ULOGICtoBIT -- -- Purpose: Conversion function from STD_ULOGIC to BIT -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- function STD_ULOGICtoBIT (V: STD_ULOGIC --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 398 variable Result: BIT; begin --synopsys synthesis_off case V is when '0' | 'L' => Result := '0'; when '1' | 'H' => Result := '1'; when 'X' => if ( Xflag ) then Result := vX; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: X --> 0" severity WARNING; end if; when 'W' => if ( Xflag ) then Result := vX; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: W --> 0" severity WARNING; end if; when 'Z' => if ( Zflag ) then Result := vZ; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: Z --> 0" severity WARNING; end if; when 'U' => if ( Uflag ) then Result := vU; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: U --> 0" severity WARNING; end if; when '-' => if ( DCflag ) then Result := vDC; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: - --> 0" severity WARNING; end if; end case; return Result; --synopsys synthesis_on end STD_ULOGICtoBIT; -------------------------------------------------------------------------- --START-!V08 function AND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 399 variable result: STD_LOGIC; begin result := '1'; for i in ARG'range loop result := result and ARG(i); end loop; return result; end; function NAND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 400 begin return not AND_REDUCE(ARG); end; function OR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 401 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result or ARG(i); end loop; return result; end; function NOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 402 begin return not OR_REDUCE(ARG); end; function XOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 403 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result xor ARG(i); end loop; return result; end; function XNOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 404 begin return not XOR_REDUCE(ARG); end; --END-!V08 function AND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 405 variable result: STD_LOGIC; begin result := '1'; for i in ARG'range loop result := result and ARG(i); end loop; return result; end; function NAND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 406 begin return not AND_REDUCE(ARG); end; function OR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 407 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result or ARG(i); end loop; return result; end; function NOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 408 begin return not OR_REDUCE(ARG); end; function XOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 409 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result xor ARG(i); end loop; return result; end; function XNOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 410 begin return not XOR_REDUCE(ARG); end; --synopsys synthesis_off function fun_BUF3S(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC is -- pragma subpgm_id 411 type TRISTATE_TABLE is array(STRENGTH, UX01, UX01) of STD_LOGIC; -- truth table for tristate "buf" function (Enable active Low) constant tbl_BUF3S: TRISTATE_TABLE := -- ---------------------------------------------------- -- | Input U X 0 1 | Enable Strength | -- ---------------------------------|-----------------| ((('U', 'U', 'U', 'U'), --| U X01 | ('U', 'X', 'X', 'X'), --| X X01 | ('Z', 'Z', 'Z', 'Z'), --| 0 X01 | ('U', 'X', '0', '1')), --| 1 X01 | (('U', 'U', 'U', 'U'), --| U X0H | ('U', 'X', 'X', 'X'), --| X X0H | ('Z', 'Z', 'Z', 'Z'), --| 0 X0H | ('U', 'X', '0', 'H')), --| 1 X0H | (('U', 'U', 'U', 'U'), --| U XL1 | ('U', 'X', 'X', 'X'), --| X XL1 | ('Z', 'Z', 'Z', 'Z'), --| 0 XL1 | ('U', 'X', 'L', '1')), --| 1 XL1 | (('U', 'U', 'U', 'Z'), --| U X0Z | ('U', 'X', 'X', 'Z'), --| X X0Z | ('Z', 'Z', 'Z', 'Z'), --| 0 X0Z | ('U', 'X', '0', 'Z')), --| 1 X0Z | (('U', 'U', 'U', 'U'), --| U XZ1 | ('U', 'X', 'X', 'X'), --| X XZ1 | ('Z', 'Z', 'Z', 'Z'), --| 0 XZ1 | ('U', 'X', 'Z', '1')), --| 1 XZ1 | (('U', 'U', 'U', 'U'), --| U WLH | ('U', 'W', 'W', 'W'), --| X WLH | ('Z', 'Z', 'Z', 'Z'), --| 0 WLH | ('U', 'W', 'L', 'H')), --| 1 WLH | (('U', 'U', 'U', 'U'), --| U WLZ | ('U', 'W', 'W', 'Z'), --| X WLZ | ('Z', 'Z', 'Z', 'Z'), --| 0 WLZ | ('U', 'W', 'L', 'Z')), --| 1 WLZ | (('U', 'U', 'U', 'U'), --| U WZH | ('U', 'W', 'W', 'W'), --| X WZH | ('Z', 'Z', 'Z', 'Z'), --| 0 WZH | ('U', 'W', 'Z', 'H')), --| 1 WZH | (('U', 'U', 'U', 'U'), --| U W0H | ('U', 'W', 'W', 'W'), --| X W0H | ('Z', 'Z', 'Z', 'Z'), --| 0 W0H | ('U', 'W', '0', 'H')), --| 1 W0H | (('U', 'U', 'U', 'U'), --| U WL1 | ('U', 'W', 'W', 'W'), --| X WL1 | ('Z', 'Z', 'Z', 'Z'), --| 0 WL1 | ('U', 'W', 'L', '1')));--| 1 WL1 | begin return tbl_BUF3S(Strn, Enable, Input); end fun_BUF3S; function fun_BUF3SL(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC is -- pragma subpgm_id 412 type TRISTATE_TABLE is array(STRENGTH, UX01, UX01) of STD_LOGIC; -- truth table for tristate "buf" function (Enable active Low) constant tbl_BUF3SL: TRISTATE_TABLE := -- ---------------------------------------------------- -- | Input U X 0 1 | Enable Strength | -- ---------------------------------|-----------------| ((('U', 'U', 'U', 'U'), --| U X01 | ('U', 'X', 'X', 'X'), --| X X01 | ('U', 'X', '0', '1'), --| 0 X01 | ('Z', 'Z', 'Z', 'Z')), --| 1 X01 | (('U', 'U', 'U', 'U'), --| U X0H | ('U', 'X', 'X', 'X'), --| X X0H | ('U', 'X', '0', 'H'), --| 0 X0H | ('Z', 'Z', 'Z', 'Z')), --| 1 X0H | (('U', 'U', 'U', 'U'), --| U XL1 | ('U', 'X', 'X', 'X'), --| X XL1 | ('U', 'X', 'L', '1'), --| 0 XL1 | ('Z', 'Z', 'Z', 'Z')), --| 1 XL1 | (('U', 'U', 'U', 'Z'), --| U X0Z | ('U', 'X', 'X', 'Z'), --| X X0Z | ('U', 'X', '0', 'Z'), --| 0 X0Z | ('Z', 'Z', 'Z', 'Z')), --| 1 X0Z | (('U', 'U', 'U', 'U'), --| U XZ1 | ('U', 'X', 'X', 'X'), --| X XZ1 | ('U', 'X', 'Z', '1'), --| 0 XZ1 | ('Z', 'Z', 'Z', 'Z')), --| 1 XZ1 | (('U', 'U', 'U', 'U'), --| U WLH | ('U', 'W', 'W', 'W'), --| X WLH | ('U', 'W', 'L', 'H'), --| 0 WLH | ('Z', 'Z', 'Z', 'Z')), --| 1 WLH | (('U', 'U', 'U', 'U'), --| U WLZ | ('U', 'W', 'W', 'Z'), --| X WLZ | ('U', 'W', 'L', 'Z'), --| 0 WLZ | ('Z', 'Z', 'Z', 'Z')), --| 1 WLZ | (('U', 'U', 'U', 'U'), --| U WZH | ('U', 'W', 'W', 'W'), --| X WZH | ('U', 'W', 'Z', 'H'), --| 0 WZH | ('Z', 'Z', 'Z', 'Z')), --| 1 WZH | (('U', 'U', 'U', 'U'), --| U W0H | ('U', 'W', 'W', 'W'), --| X W0H | ('U', 'W', '0', 'H'), --| 0 W0H | ('Z', 'Z', 'Z', 'Z')), --| 1 W0H | (('U', 'U', 'U', 'U'), --| U WL1 | ('U', 'W', 'W', 'W'), --| X WL1 | ('U', 'W', 'L', '1'), --| 0 WL1 | ('Z', 'Z', 'Z', 'Z')));--| 1 WL1 | begin return tbl_BUF3SL(Strn, Enable, Input); end fun_BUF3SL; function fun_MUX2x1(Input0, Input1, Sel: UX01) return UX01 is -- pragma subpgm_id 413 type MUX_TABLE is array (UX01, UX01, UX01) of UX01; -- truth table for "MUX2x1" function constant tbl_MUX2x1: MUX_TABLE := -------------------------------------------- --| In0 'U' 'X' '0' '1' | Sel In1 | -------------------------------------------- ((('U', 'U', 'U', 'U'), --| 'U' 'U' | ('U', 'U', 'U', 'U'), --| 'X' 'U' | ('U', 'X', '0', '1'), --| '0' 'U' | ('U', 'U', 'U', 'U')), --| '1' 'U' | (('U', 'X', 'U', 'U'), --| 'U' 'X' | ('U', 'X', 'X', 'X'), --| 'X' 'X' | ('U', 'X', '0', '1'), --| '0' 'X' | ('X', 'X', 'X', 'X')), --| '1' 'X' | (('U', 'U', '0', 'U'), --| 'U' '0' | ('U', 'X', '0', 'X'), --| 'X' '0' | ('U', 'X', '0', '1'), --| '0' '0' | ('0', '0', '0', '0')), --| '1' '0' | (('U', 'U', 'U', '1'), --| 'U' '1' | ('U', 'X', 'X', '1'), --| 'X' '1' | ('U', 'X', '0', '1'), --| '0' '1' | ('1', '1', '1', '1')));--| '1' '1' | begin return tbl_MUX2x1(Input1, Sel, Input0); end fun_MUX2x1; function fun_MAJ23(Input0, Input1, Input2: UX01) return UX01 is -- pragma subpgm_id 414 type MAJ23_TABLE is array (UX01, UX01, UX01) of UX01; ---------------------------------------------------------------------------- -- The "tbl_MAJ23" truth table return 1 if the majority of three -- inputs is 1, a 0 if the majority is 0, a X if unknown, and a U if -- uninitialized. ---------------------------------------------------------------------------- constant tbl_MAJ23: MAJ23_TABLE := -------------------------------------------- --| In0 'U' 'X' '0' '1' | In1 In2 | -------------------------------------------- ((('U', 'U', 'U', 'U'), --| 'U' 'U' | ('U', 'U', 'U', 'U'), --| 'X' 'U' | ('U', 'U', '0', 'U'), --| '0' 'U' | ('U', 'U', 'U', '1')), --| '1' 'U' | (('U', 'U', 'U', 'U'), --| 'U' 'X' | ('U', 'X', 'X', 'X'), --| 'X' 'X' | ('U', 'X', '0', 'X'), --| '0' 'X' | ('U', 'X', 'X', '1')), --| '1' 'X' | (('U', 'U', '0', 'U'), --| 'U' '0' | ('U', 'X', '0', 'X'), --| 'X' '0' | ('0', '0', '0', '0'), --| '0' '0' | ('U', 'X', '0', '1')), --| '1' '0' | (('U', 'U', 'U', '1'), --| 'U' '1' | ('U', 'X', 'X', '1'), --| 'X' '1' | ('U', 'X', '0', '1'), --| '0' '1' | ('1', '1', '1', '1')));--| '1' '1' | begin return tbl_MAJ23(Input0, Input1, Input2); end fun_MAJ23; function fun_WiredX(Input0, Input1: STD_ULOGIC) return STD_LOGIC is -- pragma subpgm_id 415 TYPE stdlogic_table IS ARRAY(STD_ULOGIC, STD_ULOGIC) OF STD_LOGIC; -- truth table for "WiredX" function ------------------------------------------------------------------- -- resolution function ------------------------------------------------------------------- CONSTANT resolution_table : stdlogic_table := ( -- --------------------------------------------------------- -- | U X 0 1 Z W L H - | | -- --------------------------------------------------------- ( 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U' ), -- | U | ( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | X | ( 'U', 'X', '0', 'X', '0', '0', '0', '0', 'X' ), -- | 0 | ( 'U', 'X', 'X', '1', '1', '1', '1', '1', 'X' ), -- | 1 | ( 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', 'X' ), -- | Z | ( 'U', 'X', '0', '1', 'W', 'W', 'W', 'W', 'X' ), -- | W | ( 'U', 'X', '0', '1', 'L', 'W', 'L', 'W', 'X' ), -- | L | ( 'U', 'X', '0', '1', 'H', 'W', 'W', 'H', 'X' ), -- | H | ( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ));-- | - | begin return resolution_table(Input0, Input1); end fun_WiredX; --synopsys synthesis_on end;
-------------------------------------------------------------------------- -- -- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc. All rights reserved. -- -- This source file may be used and distributed without restriction -- provided that this copyright statement is not removed from the file -- and that any derivative work contains this copyright notice. -- -- Package name: std_logic_misc -- -- Purpose: This package defines supplemental types, subtypes, -- constants, and functions for the Std_logic_1164 Package. -- -- Author: GWH -- -------------------------------------------------------------------------- package body std_logic_misc is --synopsys synthesis_off type STRN_STD_ULOGIC_TABLE is array (STD_ULOGIC,STRENGTH) of STD_ULOGIC; -------------------------------------------------------------------- -- -- Truth tables for output strength --> STD_ULOGIC lookup -- -------------------------------------------------------------------- -- truth table for output strength --> STD_ULOGIC lookup constant tbl_STRN_STD_ULOGIC: STRN_STD_ULOGIC_TABLE := -- ------------------------------------------------------------------ -- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output| -- ------------------------------------------------------------------ (('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U'), -- | U | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | Z | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | H | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W')); -- | - | -------------------------------------------------------------------- -- -- Truth tables for strength --> STD_ULOGIC mapping ('Z' pass through) -- -------------------------------------------------------------------- -- truth table for output strength --> STD_ULOGIC lookup constant tbl_STRN_STD_ULOGIC_Z: STRN_STD_ULOGIC_TABLE := -- ------------------------------------------------------------------ -- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output| -- ------------------------------------------------------------------ (('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U'), -- | U | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 | ('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- | Z | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | H | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W')); -- | - | --------------------------------------------------------------------- -- -- functions for mapping the STD_(U)LOGIC according to STRENGTH -- --------------------------------------------------------------------- function strength_map(input: STD_ULOGIC; strn: STRENGTH) return STD_LOGIC is -- pragma subpgm_id 387 begin return tbl_STRN_STD_ULOGIC(input, strn); end strength_map; function strength_map_z(input:STD_ULOGIC; strn:STRENGTH) return STD_LOGIC is -- pragma subpgm_id 388 begin return tbl_STRN_STD_ULOGIC_Z(input, strn); end strength_map_z; --------------------------------------------------------------------- -- -- conversion functions for STD_LOGIC_VECTOR and STD_ULOGIC_VECTOR -- --------------------------------------------------------------------- --synopsys synthesis_on --START-!V08 function Drive (V: STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 389 --synopsys synthesis_off alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; --synopsys synthesis_on begin --synopsys synthesis_off return STD_ULOGIC_VECTOR(Value); --synopsys synthesis_on end Drive; --END-!V08 function Drive (V: STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 390 --synopsys synthesis_off alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; --synopsys synthesis_on begin --synopsys synthesis_off return STD_LOGIC_VECTOR(Value); --synopsys synthesis_on end Drive; --synopsys synthesis_off --------------------------------------------------------------------- -- -- conversion functions for sensing various types -- -- (the second argument allows the user to specify the value to -- be returned when the network is undriven) -- --------------------------------------------------------------------- function Sense (V: STD_ULOGIC; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC is -- pragma subpgm_id 391 begin if V = 'Z' then return vZ; elsif V = 'U' then return vU; elsif V = '-' then return vDC; else return V; end if; end Sense; --START-!V08 function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC_VECTOR is -- pragma subpgm_id 392 alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_LOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; --END-!V08 function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_ULOGIC_VECTOR is -- pragma subpgm_id 393 alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_ULOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; --START-!V08 function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC_VECTOR is -- pragma subpgm_id 394 alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_LOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_ULOGIC_VECTOR is -- pragma subpgm_id 395 alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_ULOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; --END-!V08 --------------------------------------------------------------------- -- -- Function: STD_LOGIC_VECTORtoBIT_VECTOR -- -- Purpose: Conversion fun. from STD_LOGIC_VECTOR to BIT_VECTOR -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- --synopsys synthesis_on function STD_LOGIC_VECTORtoBIT_VECTOR (V: STD_LOGIC_VECTOR --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 396 --synopsys synthesis_off alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: BIT_VECTOR (V'length-1 downto 0); --synopsys synthesis_on begin --synopsys synthesis_off for i in Value'range loop case Value(i) is when '0' | 'L' => Result(i) := '0'; when '1' | 'H' => Result(i) := '1'; when 'X' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: X --> 0" severity WARNING; end if; when 'W' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: W --> 0" severity WARNING; end if; when 'Z' => if ( Zflag ) then Result(i) := vZ; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: Z --> 0" severity WARNING; end if; when 'U' => if ( Uflag ) then Result(i) := vU; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: U --> 0" severity WARNING; end if; when '-' => if ( DCflag ) then Result(i) := vDC; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: - --> 0" severity WARNING; end if; end case; end loop; return Result; --synopsys synthesis_on end STD_LOGIC_VECTORtoBIT_VECTOR; --------------------------------------------------------------------- -- -- Function: STD_ULOGIC_VECTORtoBIT_VECTOR -- -- Purpose: Conversion fun. from STD_ULOGIC_VECTOR to BIT_VECTOR -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- function STD_ULOGIC_VECTORtoBIT_VECTOR (V: STD_ULOGIC_VECTOR --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 397 --synopsys synthesis_off alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: BIT_VECTOR (V'length-1 downto 0); --synopsys synthesis_on begin --synopsys synthesis_off for i in Value'range loop case Value(i) is when '0' | 'L' => Result(i) := '0'; when '1' | 'H' => Result(i) := '1'; when 'X' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: X --> 0" severity WARNING; end if; when 'W' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: W --> 0" severity WARNING; end if; when 'Z' => if ( Zflag ) then Result(i) := vZ; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: Z --> 0" severity WARNING; end if; when 'U' => if ( Uflag ) then Result(i) := vU; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: U --> 0" severity WARNING; end if; when '-' => if ( DCflag ) then Result(i) := vDC; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: - --> 0" severity WARNING; end if; end case; end loop; return Result; --synopsys synthesis_on end STD_ULOGIC_VECTORtoBIT_VECTOR; --------------------------------------------------------------------- -- -- Function: STD_ULOGICtoBIT -- -- Purpose: Conversion function from STD_ULOGIC to BIT -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- function STD_ULOGICtoBIT (V: STD_ULOGIC --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 398 variable Result: BIT; begin --synopsys synthesis_off case V is when '0' | 'L' => Result := '0'; when '1' | 'H' => Result := '1'; when 'X' => if ( Xflag ) then Result := vX; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: X --> 0" severity WARNING; end if; when 'W' => if ( Xflag ) then Result := vX; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: W --> 0" severity WARNING; end if; when 'Z' => if ( Zflag ) then Result := vZ; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: Z --> 0" severity WARNING; end if; when 'U' => if ( Uflag ) then Result := vU; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: U --> 0" severity WARNING; end if; when '-' => if ( DCflag ) then Result := vDC; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: - --> 0" severity WARNING; end if; end case; return Result; --synopsys synthesis_on end STD_ULOGICtoBIT; -------------------------------------------------------------------------- --START-!V08 function AND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 399 variable result: STD_LOGIC; begin result := '1'; for i in ARG'range loop result := result and ARG(i); end loop; return result; end; function NAND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 400 begin return not AND_REDUCE(ARG); end; function OR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 401 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result or ARG(i); end loop; return result; end; function NOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 402 begin return not OR_REDUCE(ARG); end; function XOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 403 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result xor ARG(i); end loop; return result; end; function XNOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 404 begin return not XOR_REDUCE(ARG); end; --END-!V08 function AND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 405 variable result: STD_LOGIC; begin result := '1'; for i in ARG'range loop result := result and ARG(i); end loop; return result; end; function NAND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 406 begin return not AND_REDUCE(ARG); end; function OR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 407 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result or ARG(i); end loop; return result; end; function NOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 408 begin return not OR_REDUCE(ARG); end; function XOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 409 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result xor ARG(i); end loop; return result; end; function XNOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 410 begin return not XOR_REDUCE(ARG); end; --synopsys synthesis_off function fun_BUF3S(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC is -- pragma subpgm_id 411 type TRISTATE_TABLE is array(STRENGTH, UX01, UX01) of STD_LOGIC; -- truth table for tristate "buf" function (Enable active Low) constant tbl_BUF3S: TRISTATE_TABLE := -- ---------------------------------------------------- -- | Input U X 0 1 | Enable Strength | -- ---------------------------------|-----------------| ((('U', 'U', 'U', 'U'), --| U X01 | ('U', 'X', 'X', 'X'), --| X X01 | ('Z', 'Z', 'Z', 'Z'), --| 0 X01 | ('U', 'X', '0', '1')), --| 1 X01 | (('U', 'U', 'U', 'U'), --| U X0H | ('U', 'X', 'X', 'X'), --| X X0H | ('Z', 'Z', 'Z', 'Z'), --| 0 X0H | ('U', 'X', '0', 'H')), --| 1 X0H | (('U', 'U', 'U', 'U'), --| U XL1 | ('U', 'X', 'X', 'X'), --| X XL1 | ('Z', 'Z', 'Z', 'Z'), --| 0 XL1 | ('U', 'X', 'L', '1')), --| 1 XL1 | (('U', 'U', 'U', 'Z'), --| U X0Z | ('U', 'X', 'X', 'Z'), --| X X0Z | ('Z', 'Z', 'Z', 'Z'), --| 0 X0Z | ('U', 'X', '0', 'Z')), --| 1 X0Z | (('U', 'U', 'U', 'U'), --| U XZ1 | ('U', 'X', 'X', 'X'), --| X XZ1 | ('Z', 'Z', 'Z', 'Z'), --| 0 XZ1 | ('U', 'X', 'Z', '1')), --| 1 XZ1 | (('U', 'U', 'U', 'U'), --| U WLH | ('U', 'W', 'W', 'W'), --| X WLH | ('Z', 'Z', 'Z', 'Z'), --| 0 WLH | ('U', 'W', 'L', 'H')), --| 1 WLH | (('U', 'U', 'U', 'U'), --| U WLZ | ('U', 'W', 'W', 'Z'), --| X WLZ | ('Z', 'Z', 'Z', 'Z'), --| 0 WLZ | ('U', 'W', 'L', 'Z')), --| 1 WLZ | (('U', 'U', 'U', 'U'), --| U WZH | ('U', 'W', 'W', 'W'), --| X WZH | ('Z', 'Z', 'Z', 'Z'), --| 0 WZH | ('U', 'W', 'Z', 'H')), --| 1 WZH | (('U', 'U', 'U', 'U'), --| U W0H | ('U', 'W', 'W', 'W'), --| X W0H | ('Z', 'Z', 'Z', 'Z'), --| 0 W0H | ('U', 'W', '0', 'H')), --| 1 W0H | (('U', 'U', 'U', 'U'), --| U WL1 | ('U', 'W', 'W', 'W'), --| X WL1 | ('Z', 'Z', 'Z', 'Z'), --| 0 WL1 | ('U', 'W', 'L', '1')));--| 1 WL1 | begin return tbl_BUF3S(Strn, Enable, Input); end fun_BUF3S; function fun_BUF3SL(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC is -- pragma subpgm_id 412 type TRISTATE_TABLE is array(STRENGTH, UX01, UX01) of STD_LOGIC; -- truth table for tristate "buf" function (Enable active Low) constant tbl_BUF3SL: TRISTATE_TABLE := -- ---------------------------------------------------- -- | Input U X 0 1 | Enable Strength | -- ---------------------------------|-----------------| ((('U', 'U', 'U', 'U'), --| U X01 | ('U', 'X', 'X', 'X'), --| X X01 | ('U', 'X', '0', '1'), --| 0 X01 | ('Z', 'Z', 'Z', 'Z')), --| 1 X01 | (('U', 'U', 'U', 'U'), --| U X0H | ('U', 'X', 'X', 'X'), --| X X0H | ('U', 'X', '0', 'H'), --| 0 X0H | ('Z', 'Z', 'Z', 'Z')), --| 1 X0H | (('U', 'U', 'U', 'U'), --| U XL1 | ('U', 'X', 'X', 'X'), --| X XL1 | ('U', 'X', 'L', '1'), --| 0 XL1 | ('Z', 'Z', 'Z', 'Z')), --| 1 XL1 | (('U', 'U', 'U', 'Z'), --| U X0Z | ('U', 'X', 'X', 'Z'), --| X X0Z | ('U', 'X', '0', 'Z'), --| 0 X0Z | ('Z', 'Z', 'Z', 'Z')), --| 1 X0Z | (('U', 'U', 'U', 'U'), --| U XZ1 | ('U', 'X', 'X', 'X'), --| X XZ1 | ('U', 'X', 'Z', '1'), --| 0 XZ1 | ('Z', 'Z', 'Z', 'Z')), --| 1 XZ1 | (('U', 'U', 'U', 'U'), --| U WLH | ('U', 'W', 'W', 'W'), --| X WLH | ('U', 'W', 'L', 'H'), --| 0 WLH | ('Z', 'Z', 'Z', 'Z')), --| 1 WLH | (('U', 'U', 'U', 'U'), --| U WLZ | ('U', 'W', 'W', 'Z'), --| X WLZ | ('U', 'W', 'L', 'Z'), --| 0 WLZ | ('Z', 'Z', 'Z', 'Z')), --| 1 WLZ | (('U', 'U', 'U', 'U'), --| U WZH | ('U', 'W', 'W', 'W'), --| X WZH | ('U', 'W', 'Z', 'H'), --| 0 WZH | ('Z', 'Z', 'Z', 'Z')), --| 1 WZH | (('U', 'U', 'U', 'U'), --| U W0H | ('U', 'W', 'W', 'W'), --| X W0H | ('U', 'W', '0', 'H'), --| 0 W0H | ('Z', 'Z', 'Z', 'Z')), --| 1 W0H | (('U', 'U', 'U', 'U'), --| U WL1 | ('U', 'W', 'W', 'W'), --| X WL1 | ('U', 'W', 'L', '1'), --| 0 WL1 | ('Z', 'Z', 'Z', 'Z')));--| 1 WL1 | begin return tbl_BUF3SL(Strn, Enable, Input); end fun_BUF3SL; function fun_MUX2x1(Input0, Input1, Sel: UX01) return UX01 is -- pragma subpgm_id 413 type MUX_TABLE is array (UX01, UX01, UX01) of UX01; -- truth table for "MUX2x1" function constant tbl_MUX2x1: MUX_TABLE := -------------------------------------------- --| In0 'U' 'X' '0' '1' | Sel In1 | -------------------------------------------- ((('U', 'U', 'U', 'U'), --| 'U' 'U' | ('U', 'U', 'U', 'U'), --| 'X' 'U' | ('U', 'X', '0', '1'), --| '0' 'U' | ('U', 'U', 'U', 'U')), --| '1' 'U' | (('U', 'X', 'U', 'U'), --| 'U' 'X' | ('U', 'X', 'X', 'X'), --| 'X' 'X' | ('U', 'X', '0', '1'), --| '0' 'X' | ('X', 'X', 'X', 'X')), --| '1' 'X' | (('U', 'U', '0', 'U'), --| 'U' '0' | ('U', 'X', '0', 'X'), --| 'X' '0' | ('U', 'X', '0', '1'), --| '0' '0' | ('0', '0', '0', '0')), --| '1' '0' | (('U', 'U', 'U', '1'), --| 'U' '1' | ('U', 'X', 'X', '1'), --| 'X' '1' | ('U', 'X', '0', '1'), --| '0' '1' | ('1', '1', '1', '1')));--| '1' '1' | begin return tbl_MUX2x1(Input1, Sel, Input0); end fun_MUX2x1; function fun_MAJ23(Input0, Input1, Input2: UX01) return UX01 is -- pragma subpgm_id 414 type MAJ23_TABLE is array (UX01, UX01, UX01) of UX01; ---------------------------------------------------------------------------- -- The "tbl_MAJ23" truth table return 1 if the majority of three -- inputs is 1, a 0 if the majority is 0, a X if unknown, and a U if -- uninitialized. ---------------------------------------------------------------------------- constant tbl_MAJ23: MAJ23_TABLE := -------------------------------------------- --| In0 'U' 'X' '0' '1' | In1 In2 | -------------------------------------------- ((('U', 'U', 'U', 'U'), --| 'U' 'U' | ('U', 'U', 'U', 'U'), --| 'X' 'U' | ('U', 'U', '0', 'U'), --| '0' 'U' | ('U', 'U', 'U', '1')), --| '1' 'U' | (('U', 'U', 'U', 'U'), --| 'U' 'X' | ('U', 'X', 'X', 'X'), --| 'X' 'X' | ('U', 'X', '0', 'X'), --| '0' 'X' | ('U', 'X', 'X', '1')), --| '1' 'X' | (('U', 'U', '0', 'U'), --| 'U' '0' | ('U', 'X', '0', 'X'), --| 'X' '0' | ('0', '0', '0', '0'), --| '0' '0' | ('U', 'X', '0', '1')), --| '1' '0' | (('U', 'U', 'U', '1'), --| 'U' '1' | ('U', 'X', 'X', '1'), --| 'X' '1' | ('U', 'X', '0', '1'), --| '0' '1' | ('1', '1', '1', '1')));--| '1' '1' | begin return tbl_MAJ23(Input0, Input1, Input2); end fun_MAJ23; function fun_WiredX(Input0, Input1: STD_ULOGIC) return STD_LOGIC is -- pragma subpgm_id 415 TYPE stdlogic_table IS ARRAY(STD_ULOGIC, STD_ULOGIC) OF STD_LOGIC; -- truth table for "WiredX" function ------------------------------------------------------------------- -- resolution function ------------------------------------------------------------------- CONSTANT resolution_table : stdlogic_table := ( -- --------------------------------------------------------- -- | U X 0 1 Z W L H - | | -- --------------------------------------------------------- ( 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U' ), -- | U | ( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | X | ( 'U', 'X', '0', 'X', '0', '0', '0', '0', 'X' ), -- | 0 | ( 'U', 'X', 'X', '1', '1', '1', '1', '1', 'X' ), -- | 1 | ( 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', 'X' ), -- | Z | ( 'U', 'X', '0', '1', 'W', 'W', 'W', 'W', 'X' ), -- | W | ( 'U', 'X', '0', '1', 'L', 'W', 'L', 'W', 'X' ), -- | L | ( 'U', 'X', '0', '1', 'H', 'W', 'W', 'H', 'X' ), -- | H | ( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ));-- | - | begin return resolution_table(Input0, Input1); end fun_WiredX; --synopsys synthesis_on end;
-- ################################################################################################# -- # << NEO430 - Arithmetical/Logical Unit >> # -- # ********************************************************************************************* # -- # Main data processing ALU and operand registers. DADD instruction is not supported! # -- # ********************************************************************************************* # -- # BSD 3-Clause License # -- # # -- # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -- # # -- # Redistribution and use in source and binary forms, with or without modification, are # -- # permitted provided that the following conditions are met: # -- # # -- # 1. Redistributions of source code must retain the above copyright notice, this list of # -- # conditions and the following disclaimer. # -- # # -- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -- # conditions and the following disclaimer in the documentation and/or other materials # -- # provided with the distribution. # -- # # -- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -- # endorse or promote products derived from this software without specific prior written # -- # permission. # -- # # -- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -- # OF THE POSSIBILITY OF SUCH DAMAGE. # -- # ********************************************************************************************* # -- # The NEO430 Processor - https://github.com/stnolting/neo430 # -- ################################################################################################# library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library neo430; use neo430.neo430_package.all; entity neo430_alu is port ( -- global control -- clk_i : in std_ulogic; -- global clock, rising edge -- operands -- reg_i : in std_ulogic_vector(15 downto 0); -- data from reg file mem_i : in std_ulogic_vector(15 downto 0); -- data from memory sreg_i : in std_ulogic_vector(15 downto 0); -- current SR -- control -- ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- results -- data_o : out std_ulogic_vector(15 downto 0); -- result flag_o : out std_ulogic_vector(04 downto 0) -- new ALU flags ); end neo430_alu; architecture neo430_alu_rtl of neo430_alu is signal op_data : std_ulogic_vector(15 downto 0); -- operand data signal op_a_ff : std_ulogic_vector(15 downto 0); -- operand register A signal op_b_ff : std_ulogic_vector(15 downto 0); -- operand register B signal add_res : std_ulogic_vector(17 downto 0); -- adder/subtractor kernel result signal alu_res : std_ulogic_vector(15 downto 0); -- alu result signal data_res : std_ulogic_vector(15 downto 0); -- final alu result signal zero : std_ulogic; -- zero detector signal negative : std_ulogic; -- sign detector signal parity : std_ulogic; -- parity detector begin -- Input Operand Selection -------------------------------------------------- -- ----------------------------------------------------------------------------- op_data <= reg_i when (ctrl_i(ctrl_alu_in_sel_c) = '0') else mem_i;-- when (ctrl_i(ctrl_alu_bw_c) = '0') else (x"00" & mem_i(7 downto 0)); -- Operand Registers -------------------------------------------------------- -- ----------------------------------------------------------------------------- operand_register: process(clk_i) begin if rising_edge(clk_i) then -- operand registers -- if (ctrl_i(ctrl_alu_opa_wr_c) = '1') then op_a_ff <= op_data; end if; if (ctrl_i(ctrl_alu_opb_wr_c) = '1') then op_b_ff <= op_data; end if; end if; end process operand_register; -- Binary Arithmetic Core --------------------------------------------------- -- ----------------------------------------------------------------------------- binary_arithmetic_core: process(ctrl_i, op_a_ff, op_b_ff, sreg_i) variable op_a_v : std_ulogic_vector(15 downto 0); variable carry_v : std_ulogic; variable carry_null_v : std_ulogic; variable a_lo_v, a_hi_v : std_ulogic_vector(8 downto 0); variable b_lo_v, b_hi_v : std_ulogic_vector(8 downto 0); variable add_lo_v, add_hi_v : std_ulogic_vector(8 downto 0); variable carry_in_v : std_ulogic_vector(0 downto 0); variable ova_16_v, ova_8_v : std_ulogic; begin -- add/sub control (for operand A= -- if (ctrl_i(ctrl_alu_cmd3_c downto ctrl_alu_cmd0_c) = alu_add_c) or (ctrl_i(ctrl_alu_cmd3_c downto ctrl_alu_cmd0_c) = alu_addc_c) then -- addition op_a_v := op_a_ff; carry_null_v := '0'; else -- subtraction op_a_v := not op_a_ff; carry_null_v := '1'; end if; -- carry input -- if (ctrl_i(ctrl_alu_cmd3_c downto ctrl_alu_cmd0_c) = alu_addc_c) or (ctrl_i(ctrl_alu_cmd3_c downto ctrl_alu_cmd0_c) = alu_subc_c) then -- use carry in carry_in_v(0) := sreg_i(sreg_c_c); else carry_in_v(0) := carry_null_v; -- set default NO ACTIVE CARRY input end if; -- operands -- a_lo_v := '0' & op_a_v(07 downto 0); a_hi_v := '0' & op_a_v(15 downto 8); b_lo_v := '0' & op_b_ff(07 downto 0); b_hi_v := '0' & op_b_ff(15 downto 8); -- adder core -- add_lo_v := std_ulogic_vector(unsigned(a_lo_v) + unsigned(b_lo_v) + unsigned(carry_in_v(0 downto 0))); add_hi_v := std_ulogic_vector(unsigned(a_hi_v) + unsigned(b_hi_v) + unsigned(add_lo_v(8 downto 8))); -- overflow logic for the actual ADDER CORE (thx Edward!): plus + plus = minus || minus + minus = plus -- ova_16_v := ((not op_a_v(15)) and (not op_b_ff(15)) and add_hi_v(7)) or (op_a_v(15) and op_b_ff(15) and (not add_hi_v(7))); ova_8_v := ((not op_a_v(7)) and (not op_b_ff(7)) and add_lo_v(7)) or (op_a_v(7) and op_b_ff(7) and (not add_lo_v(7))); -- output -- add_res(15 downto 0) <= add_hi_v(7 downto 0) & add_lo_v(7 downto 0); -- result if (ctrl_i(ctrl_alu_bw_c) = '1') then -- byte mode flags add_res(16) <= add_lo_v(8); add_res(17) <= ova_8_v; else -- word mode flags add_res(16) <= add_hi_v(8); add_res(17) <= ova_16_v; end if; end process binary_arithmetic_core; -- ALU Core ----------------------------------------------------------------- -- ----------------------------------------------------------------------------- alu_core: process(ctrl_i, op_a_ff, op_b_ff, sreg_i, negative, zero, parity, add_res) begin -- defaults -- alu_res <= op_a_ff; flag_o(flag_c_c) <= sreg_i(sreg_c_c); -- keep flag_o(flag_v_c) <= sreg_i(sreg_v_c); -- keep flag_o(flag_n_c) <= negative; -- update flag_o(flag_z_c) <= zero; -- update flag_o(flag_p_c) <= parity; -- update -- function selection -- case ctrl_i(ctrl_alu_cmd3_c downto ctrl_alu_cmd0_c) is when alu_add_c | alu_addc_c | alu_sub_c | alu_subc_c | alu_cmp_c => -- alu_add_c : r <= a + b -- alu_addc_c : r <= a + b + c -- alu_sub_c : r <= b - a -- alu_subc_c : r <= b - a - 1 + c -- alu_cmp_c : b - a (no write back, done by ctrl arbiter) alu_res <= add_res(15 downto 0); flag_o(flag_c_c) <= add_res(16); flag_o(flag_v_c) <= add_res(17); when alu_and_c => -- r <= a & b alu_res <= op_a_ff and op_b_ff; flag_o(flag_c_c) <= not zero; flag_o(flag_v_c) <= '0'; when alu_xor_c => -- r <= a xor b alu_res <= op_a_ff xor op_b_ff; flag_o(flag_c_c) <= not zero; flag_o(flag_v_c) <= op_a_ff(15) and op_b_ff(15); -- word mode if (ctrl_i(ctrl_alu_bw_c) = '1') then -- byte mode flag_o(flag_v_c) <= op_a_ff(7) and op_b_ff(7); end if; when alu_bic_c => -- r <= !a & b alu_res <= (not op_a_ff) and op_b_ff; flag_o(flag_c_c) <= sreg_i(sreg_c_c); -- keep flag_o(flag_v_c) <= sreg_i(sreg_v_c); -- keep flag_o(flag_n_c) <= sreg_i(sreg_n_c); -- keep flag_o(flag_z_c) <= sreg_i(sreg_z_c); -- keep when alu_bis_c => -- r <= a | b alu_res <= op_a_ff or op_b_ff; flag_o(flag_c_c) <= sreg_i(sreg_c_c); -- keep flag_o(flag_v_c) <= sreg_i(sreg_v_c); -- keep flag_o(flag_n_c) <= sreg_i(sreg_n_c); -- keep flag_o(flag_z_c) <= sreg_i(sreg_z_c); -- keep when alu_bit_c => -- r <= a & b (no write back, done by ctrl arbiter) alu_res <= op_a_ff and op_b_ff; flag_o(flag_c_c) <= not zero; flag_o(flag_v_c) <= '0'; when alu_rra_c | alu_rrc_c => -- alu_rra_c : r <= a >> 1, rotate right arithmetically -- alu_rrc_c : r <= a >> 1, rotate right through carry if (ctrl_i(ctrl_alu_cmd1_c) = alu_rra_c(1)) then -- alu_rra_c alu_res <= op_a_ff(15) & op_a_ff(15 downto 1); -- word mode if (ctrl_i(ctrl_alu_bw_c) = '1') then -- byte mode alu_res(7) <= op_a_ff(7); end if; else -- alu_rrc_c alu_res <= sreg_i(sreg_c_c) & op_a_ff(15 downto 1); -- word mode if (ctrl_i(ctrl_alu_bw_c) = '1') then -- byte mode alu_res(7) <= sreg_i(sreg_c_c); end if; end if; flag_o(flag_c_c) <= op_a_ff(0); flag_o(flag_v_c) <= '0'; when alu_sxt_c => -- r <= a, sign extend byte for i in 8 to 15 loop alu_res(i) <= op_a_ff(7); end loop; alu_res(7 downto 0) <= op_a_ff(7 downto 0); flag_o(flag_c_c) <= not zero; flag_o(flag_v_c) <= '0'; when alu_swap_c => -- r <= swap bytes of a alu_res <= op_a_ff(7 downto 0) & op_a_ff(15 downto 8); flag_o(flag_c_c) <= sreg_i(sreg_c_c); -- keep flag_o(flag_v_c) <= sreg_i(sreg_v_c); -- keep flag_o(flag_n_c) <= sreg_i(sreg_n_c); -- keep flag_o(flag_z_c) <= sreg_i(sreg_z_c); -- keep when alu_mov_c => -- r <= a alu_res <= op_a_ff; flag_o(flag_c_c) <= sreg_i(sreg_c_c); -- keep flag_o(flag_v_c) <= sreg_i(sreg_v_c); -- keep flag_o(flag_n_c) <= sreg_i(sreg_n_c); -- keep flag_o(flag_z_c) <= sreg_i(sreg_z_c); -- keep when others => -- undefined alu_res <= (others => '-'); flag_o(flag_c_c) <= '-'; flag_o(flag_v_c) <= '-'; flag_o(flag_n_c) <= '-'; flag_o(flag_z_c) <= '-'; flag_o(flag_p_c) <= '-'; end case; end process alu_core; -- Post processing logic ---------------------------------------------------- -- ----------------------------------------------------------------------------- -- word/byte mode mask -- data_res(07 downto 0) <= alu_res(07 downto 0); data_res(15 downto 8) <= alu_res(15 downto 8) when (ctrl_i(ctrl_alu_bw_c) = '0') else x"00"; -- zero flag -- zero <= not or_all_f(data_res); -- parity flag -- parity <= (not xor_all_f(data_res)) when (use_xalu_c = true) else '-'; -- if implemented -- negative flag -- negative <= data_res(7) when (ctrl_i(ctrl_alu_bw_c) = '1') else data_res(15); -- final data output -- data_o <= data_res; end neo430_alu_rtl;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
-- NEED RESULT: ARCH00023: Unassociated composite ports with globally static subtype take on default expression passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00023 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 1.1.1.2 (2) -- -- DESIGN UNIT ORDERING: -- -- GENERIC_STANDARD_TYPES(ARCH00023) -- ENT00023_Test_Bench(ARCH00023_Test_Bench) -- -- REVISION HISTORY: -- -- 26-JUN-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; architecture ARCH00023 of GENERIC_STANDARD_TYPES is begin L1 : block port ( i_bit_vector_1, i_bit_vector_2 : bit_vector := c_st_bit_vector_1 ; i_string_1, i_string_2 : string := c_st_string_1 ; i_t_rec1_1, i_t_rec1_2 : t_rec1 := c_st_rec1_1 ; i_st_rec1_1, i_st_rec1_2 : st_rec1 := c_st_rec1_1 ; i_t_rec2_1, i_t_rec2_2 : t_rec2 := c_st_rec2_1 ; i_st_rec2_1, i_st_rec2_2 : st_rec2 := c_st_rec2_1 ; i_t_rec3_1, i_t_rec3_2 : t_rec3 := c_st_rec3_1 ; i_st_rec3_1, i_st_rec3_2 : st_rec3 := c_st_rec3_1 ; i_t_arr1_1, i_t_arr1_2 : t_arr1 := c_st_arr1_1 ; i_st_arr1_1, i_st_arr1_2 : st_arr1 := c_st_arr1_1 ; i_t_arr2_1, i_t_arr2_2 : t_arr2 := c_st_arr2_1 ; i_st_arr2_1, i_st_arr2_2 : st_arr2 := c_st_arr2_1 ; i_t_arr3_1, i_t_arr3_2 : t_arr3 := c_st_arr3_1 ; i_st_arr3_1, i_st_arr3_2 : st_arr3 := c_st_arr3_1 ) ; port map ( open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open, open ) ; begin process variable correct : boolean := true ; begin correct := correct and i_bit_vector_1 = c_st_bit_vector_1 and i_bit_vector_2 = c_st_bit_vector_1 ; correct := correct and i_string_1 = c_st_string_1 and i_string_2 = c_st_string_1 ; correct := correct and i_t_rec1_1 = c_st_rec1_1 and i_t_rec1_2 = c_st_rec1_1 ; correct := correct and i_st_rec1_1 = c_st_rec1_1 and i_st_rec1_2 = c_st_rec1_1 ; correct := correct and i_t_rec2_1 = c_st_rec2_1 and i_t_rec2_2 = c_st_rec2_1 ; correct := correct and i_st_rec2_1 = c_st_rec2_1 and i_st_rec2_2 = c_st_rec2_1 ; correct := correct and i_t_rec3_1 = c_st_rec3_1 and i_t_rec3_2 = c_st_rec3_1 ; correct := correct and i_st_rec3_1 = c_st_rec3_1 and i_st_rec3_2 = c_st_rec3_1 ; correct := correct and i_t_arr1_1 = c_st_arr1_1 and i_t_arr1_2 = c_st_arr1_1 ; correct := correct and i_st_arr1_1 = c_st_arr1_1 and i_st_arr1_2 = c_st_arr1_1 ; correct := correct and i_t_arr2_1 = c_st_arr2_1 and i_t_arr2_2 = c_st_arr2_1 ; correct := correct and i_st_arr2_1 = c_st_arr2_1 and i_st_arr2_2 = c_st_arr2_1 ; correct := correct and i_t_arr3_1 = c_st_arr3_1 and i_t_arr3_2 = c_st_arr3_1 ; correct := correct and i_st_arr3_1 = c_st_arr3_1 and i_st_arr3_2 = c_st_arr3_1 ; test_report ( "ARCH00023" , "Unassociated composite ports with globally static subtype" & " take on default expression" , correct) ; wait ; end process ; end block L1 ; end ARCH00023 ; -- entity ENT00023_Test_Bench is end ENT00023_Test_Bench ; -- architecture ARCH00023_Test_Bench of ENT00023_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.GENERIC_STANDARD_TYPES ( ARCH00023 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00023_Test_Bench ;
library ieee; use ieee.std_logic_1164.all; entity sequencer is generic ( seq : string ); port ( clk : in std_logic; data : out std_logic ); end entity sequencer; architecture rtl of sequencer is signal index : natural := seq'low; signal ch : character; function to_bit (a : in character) return std_logic is variable ret : std_logic; begin case a is when '0' | '_' => ret := '0'; when '1' | '-' => ret := '1'; when others => ret := 'X'; end case; return ret; end function to_bit; begin process (clk) is begin if rising_edge(clk) then if (index < seq'high) then index <= index + 1; end if; end if; end process; ch <= seq(index); data <= to_bit(ch); end architecture rtl; library ieee; use ieee.std_logic_1164.all; entity issue is port ( clk : in std_logic ); end entity issue; architecture psl of issue is component sequencer is generic ( seq : string ); port ( clk : in std_logic; data : out std_logic ); end component sequencer; signal a, b : std_logic; begin -- 01234567890 SEQ_A : sequencer generic map ("__-_-______") port map (clk, a); SEQ_B : sequencer generic map ("_____-_-___") port map (clk, b); -- All is sensitive to rising edge of clk default clock is rising_edge(clk); -- This assertion holds NEXT_EVENT_a : assert always (a -> next_e[3 to 5] (b)); end architecture psl;
entity test is end test; architecture only of test is type small is range 1 to 3; begin -- only p: process begin -- process p assert small'low = 1 report "TEST FAILED T low" severity FAILURE; report "TEST PASSED T low" severity NOTE; wait; end process p; end only;
entity test is end test; architecture only of test is type small is range 1 to 3; begin -- only p: process begin -- process p assert small'low = 1 report "TEST FAILED T low" severity FAILURE; report "TEST PASSED T low" severity NOTE; wait; end process p; end only;
entity test is end test; architecture only of test is type small is range 1 to 3; begin -- only p: process begin -- process p assert small'low = 1 report "TEST FAILED T low" severity FAILURE; report "TEST PASSED T low" severity NOTE; wait; end process p; end only;
------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; package conv_pkg is constant simulating : boolean := false -- synopsys translate_off or true -- synopsys translate_on ; constant xlUnsigned : integer := 1; constant xlSigned : integer := 2; constant xlFloat : integer := 3; constant xlWrap : integer := 1; constant xlSaturate : integer := 2; constant xlTruncate : integer := 1; constant xlRound : integer := 2; constant xlRoundBanker : integer := 3; constant xlAddMode : integer := 1; constant xlSubMode : integer := 2; attribute black_box : boolean; attribute syn_black_box : boolean; attribute fpga_dont_touch: string; attribute box_type : string; attribute keep : string; attribute syn_keep : boolean; function std_logic_vector_to_unsigned(inp : std_logic_vector) return unsigned; function unsigned_to_std_logic_vector(inp : unsigned) return std_logic_vector; function std_logic_vector_to_signed(inp : std_logic_vector) return signed; function signed_to_std_logic_vector(inp : signed) return std_logic_vector; function unsigned_to_signed(inp : unsigned) return signed; function signed_to_unsigned(inp : signed) return unsigned; function pos(inp : std_logic_vector; arith : INTEGER) return boolean; function all_same(inp: std_logic_vector) return boolean; function all_zeros(inp: std_logic_vector) return boolean; function is_point_five(inp: std_logic_vector) return boolean; function all_ones(inp: std_logic_vector) return boolean; function convert_type (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith, quantization, overflow : INTEGER) return std_logic_vector; function cast (inp : std_logic_vector; old_bin_pt, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function shift_division_result(quotient, fraction: std_logic_vector; fraction_width, shift_value, shift_dir: INTEGER) return std_logic_vector; function shift_op (inp: std_logic_vector; result_width, shift_value, shift_dir: INTEGER) return std_logic_vector; function vec_slice (inp : std_logic_vector; upper, lower : INTEGER) return std_logic_vector; function s2u_slice (inp : signed; upper, lower : INTEGER) return unsigned; function u2u_slice (inp : unsigned; upper, lower : INTEGER) return unsigned; function s2s_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return signed; function u2s_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return signed; function s2u_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return unsigned; function u2u_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return unsigned; function u2v_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return std_logic_vector; function s2v_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return std_logic_vector; function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function max_signed(width : INTEGER) return std_logic_vector; function min_signed(width : INTEGER) return std_logic_vector; function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER; function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER) return INTEGER; function sign_ext(inp : std_logic_vector; new_width : INTEGER) return std_logic_vector; function zero_ext(inp : std_logic_vector; new_width : INTEGER) return std_logic_vector; function zero_ext(inp : std_logic; new_width : INTEGER) return std_logic_vector; function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER) return std_logic_vector; function align_input(inp : std_logic_vector; old_width, delta, new_arith, new_width: INTEGER) return std_logic_vector; function pad_LSB(inp : std_logic_vector; new_width: integer) return std_logic_vector; function pad_LSB(inp : std_logic_vector; new_width, arith : integer) return std_logic_vector; function max(L, R: INTEGER) return INTEGER; function min(L, R: INTEGER) return INTEGER; function "="(left,right: STRING) return boolean; function boolean_to_signed (inp : boolean; width: integer) return signed; function boolean_to_unsigned (inp : boolean; width: integer) return unsigned; function boolean_to_vector (inp : boolean) return std_logic_vector; function std_logic_to_vector (inp : std_logic) return std_logic_vector; function integer_to_std_logic_vector (inp : integer; width, arith : integer) return std_logic_vector; function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer) return integer; function std_logic_to_integer(constant inp : std_logic := '0') return integer; function bin_string_element_to_std_logic_vector (inp : string; width, index : integer) return std_logic_vector; function bin_string_to_std_logic_vector (inp : string) return std_logic_vector; function hex_string_to_std_logic_vector (inp : string; width : integer) return std_logic_vector; function makeZeroBinStr (width : integer) return STRING; function and_reduce(inp: std_logic_vector) return std_logic; -- synopsys translate_off function is_binary_string_invalid (inp : string) return boolean; function is_binary_string_undefined (inp : string) return boolean; function is_XorU(inp : std_logic_vector) return boolean; function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer) return real; function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer) return real; function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer) return std_logic_vector; function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer) return std_logic_vector; constant display_precision : integer := 20; function real_to_string (inp : real) return string; function valid_bin_string(inp : string) return boolean; function std_logic_vector_to_bin_string(inp : std_logic_vector) return string; function std_logic_to_bin_string(inp : std_logic) return string; function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer) return string; function real_to_bin_string(inp : real; width, bin_pt, arith : integer) return string; type stdlogic_to_char_t is array(std_logic) of character; constant to_char : stdlogic_to_char_t := ( 'U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-'); -- synopsys translate_on end conv_pkg; package body conv_pkg is function std_logic_vector_to_unsigned(inp : std_logic_vector) return unsigned is begin return unsigned (inp); end; function unsigned_to_std_logic_vector(inp : unsigned) return std_logic_vector is begin return std_logic_vector(inp); end; function std_logic_vector_to_signed(inp : std_logic_vector) return signed is begin return signed (inp); end; function signed_to_std_logic_vector(inp : signed) return std_logic_vector is begin return std_logic_vector(inp); end; function unsigned_to_signed (inp : unsigned) return signed is begin return signed(std_logic_vector(inp)); end; function signed_to_unsigned (inp : signed) return unsigned is begin return unsigned(std_logic_vector(inp)); end; function pos(inp : std_logic_vector; arith : INTEGER) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); begin vec := inp; if arith = xlUnsigned then return true; else if vec(width-1) = '0' then return true; else return false; end if; end if; return true; end; function max_signed(width : INTEGER) return std_logic_vector is variable ones : std_logic_vector(width-2 downto 0); variable result : std_logic_vector(width-1 downto 0); begin ones := (others => '1'); result(width-1) := '0'; result(width-2 downto 0) := ones; return result; end; function min_signed(width : INTEGER) return std_logic_vector is variable zeros : std_logic_vector(width-2 downto 0); variable result : std_logic_vector(width-1 downto 0); begin zeros := (others => '0'); result(width-1) := '1'; result(width-2 downto 0) := zeros; return result; end; function and_reduce(inp: std_logic_vector) return std_logic is variable result: std_logic; constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); begin vec := inp; result := vec(0); if width > 1 then for i in 1 to width-1 loop result := result and vec(i); end loop; end if; return result; end; function all_same(inp: std_logic_vector) return boolean is variable result: boolean; constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); begin vec := inp; result := true; if width > 0 then for i in 1 to width-1 loop if vec(i) /= vec(0) then result := false; end if; end loop; end if; return result; end; function all_zeros(inp: std_logic_vector) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable zero : std_logic_vector(width-1 downto 0); variable result : boolean; begin zero := (others => '0'); vec := inp; -- synopsys translate_off if (is_XorU(vec)) then return false; end if; -- synopsys translate_on if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(zero)) then result := true; else result := false; end if; return result; end; function is_point_five(inp: std_logic_vector) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable result : boolean; begin vec := inp; -- synopsys translate_off if (is_XorU(vec)) then return false; end if; -- synopsys translate_on if (width > 1) then if ((vec(width-1) = '1') and (all_zeros(vec(width-2 downto 0)) = true)) then result := true; else result := false; end if; else if (vec(width-1) = '1') then result := true; else result := false; end if; end if; return result; end; function all_ones(inp: std_logic_vector) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable one : std_logic_vector(width-1 downto 0); variable result : boolean; begin one := (others => '1'); vec := inp; -- synopsys translate_off if (is_XorU(vec)) then return false; end if; -- synopsys translate_on if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(one)) then result := true; else result := false; end if; return result; end; function full_precision_num_width(quantization, overflow, old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return integer is variable result : integer; begin result := old_width + 2; return result; end; function quantized_num_width(quantization, overflow, old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return integer is variable right_of_dp, left_of_dp, result : integer; begin right_of_dp := max(new_bin_pt, old_bin_pt); left_of_dp := max((new_width - new_bin_pt), (old_width - old_bin_pt)); result := (old_width + 2) + (new_bin_pt - old_bin_pt); return result; end; function convert_type (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith, quantization, overflow : INTEGER) return std_logic_vector is constant fp_width : integer := full_precision_num_width(quantization, overflow, old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith); constant fp_bin_pt : integer := old_bin_pt; constant fp_arith : integer := old_arith; variable full_precision_result : std_logic_vector(fp_width-1 downto 0); constant q_width : integer := quantized_num_width(quantization, overflow, old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith); constant q_bin_pt : integer := new_bin_pt; constant q_arith : integer := old_arith; variable quantized_result : std_logic_vector(q_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin result := (others => '0'); full_precision_result := cast(inp, old_bin_pt, fp_width, fp_bin_pt, fp_arith); if (quantization = xlRound) then quantized_result := round_towards_inf(full_precision_result, fp_width, fp_bin_pt, fp_arith, q_width, q_bin_pt, q_arith); elsif (quantization = xlRoundBanker) then quantized_result := round_towards_even(full_precision_result, fp_width, fp_bin_pt, fp_arith, q_width, q_bin_pt, q_arith); else quantized_result := trunc(full_precision_result, fp_width, fp_bin_pt, fp_arith, q_width, q_bin_pt, q_arith); end if; if (overflow = xlSaturate) then result := saturation_arith(quantized_result, q_width, q_bin_pt, q_arith, new_width, new_bin_pt, new_arith); else result := wrap_arith(quantized_result, q_width, q_bin_pt, q_arith, new_width, new_bin_pt, new_arith); end if; return result; end; function cast (inp : std_logic_vector; old_bin_pt, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant old_width : integer := inp'length; constant left_of_dp : integer := (new_width - new_bin_pt) - (old_width - old_bin_pt); constant right_of_dp : integer := (new_bin_pt - old_bin_pt); variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); variable j : integer; begin vec := inp; for i in new_width-1 downto 0 loop j := i - right_of_dp; if ( j > old_width-1) then if (new_arith = xlUnsigned) then result(i) := '0'; else result(i) := vec(old_width-1); end if; elsif ( j >= 0) then result(i) := vec(j); else result(i) := '0'; end if; end loop; return result; end; function shift_division_result(quotient, fraction: std_logic_vector; fraction_width, shift_value, shift_dir: INTEGER) return std_logic_vector is constant q_width : integer := quotient'length; constant f_width : integer := fraction'length; constant vec_MSB : integer := q_width+f_width-1; constant result_MSB : integer := q_width+fraction_width-1; constant result_LSB : integer := vec_MSB-result_MSB; variable vec : std_logic_vector(vec_MSB downto 0); variable result : std_logic_vector(result_MSB downto 0); begin vec := ( quotient & fraction ); if shift_dir = 1 then for i in vec_MSB downto 0 loop if (i < shift_value) then vec(i) := '0'; else vec(i) := vec(i-shift_value); end if; end loop; else for i in 0 to vec_MSB loop if (i > vec_MSB-shift_value) then vec(i) := vec(vec_MSB); else vec(i) := vec(i+shift_value); end if; end loop; end if; result := vec(vec_MSB downto result_LSB); return result; end; function shift_op (inp: std_logic_vector; result_width, shift_value, shift_dir: INTEGER) return std_logic_vector is constant inp_width : integer := inp'length; constant vec_MSB : integer := inp_width-1; constant result_MSB : integer := result_width-1; constant result_LSB : integer := vec_MSB-result_MSB; variable vec : std_logic_vector(vec_MSB downto 0); variable result : std_logic_vector(result_MSB downto 0); begin vec := inp; if shift_dir = 1 then for i in vec_MSB downto 0 loop if (i < shift_value) then vec(i) := '0'; else vec(i) := vec(i-shift_value); end if; end loop; else for i in 0 to vec_MSB loop if (i > vec_MSB-shift_value) then vec(i) := vec(vec_MSB); else vec(i) := vec(i+shift_value); end if; end loop; end if; result := vec(vec_MSB downto result_LSB); return result; end; function vec_slice (inp : std_logic_vector; upper, lower : INTEGER) return std_logic_vector is begin return inp(upper downto lower); end; function s2u_slice (inp : signed; upper, lower : INTEGER) return unsigned is begin return unsigned(vec_slice(std_logic_vector(inp), upper, lower)); end; function u2u_slice (inp : unsigned; upper, lower : INTEGER) return unsigned is begin return unsigned(vec_slice(std_logic_vector(inp), upper, lower)); end; function s2s_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return signed is begin return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned)); end; function s2u_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return unsigned is begin return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned)); end; function u2s_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return signed is begin return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned)); end; function u2u_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return unsigned is begin return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned)); end; function u2v_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return std_logic_vector is begin return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned); end; function s2v_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return std_logic_vector is begin return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned); end; function boolean_to_signed (inp : boolean; width : integer) return signed is variable result : signed(width - 1 downto 0); begin result := (others => '0'); if inp then result(0) := '1'; else result(0) := '0'; end if; return result; end; function boolean_to_unsigned (inp : boolean; width : integer) return unsigned is variable result : unsigned(width - 1 downto 0); begin result := (others => '0'); if inp then result(0) := '1'; else result(0) := '0'; end if; return result; end; function boolean_to_vector (inp : boolean) return std_logic_vector is variable result : std_logic_vector(1 - 1 downto 0); begin result := (others => '0'); if inp then result(0) := '1'; else result(0) := '0'; end if; return result; end; function std_logic_to_vector (inp : std_logic) return std_logic_vector is variable result : std_logic_vector(1 - 1 downto 0); begin result(0) := inp; return result; end; function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant right_of_dp : integer := (old_bin_pt - new_bin_pt); variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if right_of_dp >= 0 then if new_arith = xlUnsigned then result := zero_ext(vec(old_width-1 downto right_of_dp), new_width); else result := sign_ext(vec(old_width-1 downto right_of_dp), new_width); end if; else if new_arith = xlUnsigned then result := zero_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); else result := sign_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); end if; end if; return result; end; function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant right_of_dp : integer := (old_bin_pt - new_bin_pt); constant expected_new_width : integer := old_width - right_of_dp + 1; variable vec : std_logic_vector(old_width-1 downto 0); variable one_or_zero : std_logic_vector(new_width-1 downto 0); variable truncated_val : std_logic_vector(new_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if right_of_dp >= 0 then if new_arith = xlUnsigned then truncated_val := zero_ext(vec(old_width-1 downto right_of_dp), new_width); else truncated_val := sign_ext(vec(old_width-1 downto right_of_dp), new_width); end if; else if new_arith = xlUnsigned then truncated_val := zero_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); else truncated_val := sign_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); end if; end if; one_or_zero := (others => '0'); if (new_arith = xlSigned) then if (vec(old_width-1) = '0') then one_or_zero(0) := '1'; end if; if (right_of_dp >= 2) and (right_of_dp <= old_width) then if (all_zeros(vec(right_of_dp-2 downto 0)) = false) then one_or_zero(0) := '1'; end if; end if; if (right_of_dp >= 1) and (right_of_dp <= old_width) then if vec(right_of_dp-1) = '0' then one_or_zero(0) := '0'; end if; else one_or_zero(0) := '0'; end if; else if (right_of_dp >= 1) and (right_of_dp <= old_width) then one_or_zero(0) := vec(right_of_dp-1); end if; end if; if new_arith = xlSigned then result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) + std_logic_vector_to_signed(one_or_zero)); else result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) + std_logic_vector_to_unsigned(one_or_zero)); end if; return result; end; function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant right_of_dp : integer := (old_bin_pt - new_bin_pt); constant expected_new_width : integer := old_width - right_of_dp + 1; variable vec : std_logic_vector(old_width-1 downto 0); variable one_or_zero : std_logic_vector(new_width-1 downto 0); variable truncated_val : std_logic_vector(new_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if right_of_dp >= 0 then if new_arith = xlUnsigned then truncated_val := zero_ext(vec(old_width-1 downto right_of_dp), new_width); else truncated_val := sign_ext(vec(old_width-1 downto right_of_dp), new_width); end if; else if new_arith = xlUnsigned then truncated_val := zero_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); else truncated_val := sign_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); end if; end if; one_or_zero := (others => '0'); if (right_of_dp >= 1) and (right_of_dp <= old_width) then if (is_point_five(vec(right_of_dp-1 downto 0)) = false) then one_or_zero(0) := vec(right_of_dp-1); else one_or_zero(0) := vec(right_of_dp); end if; end if; if new_arith = xlSigned then result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) + std_logic_vector_to_signed(one_or_zero)); else result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) + std_logic_vector_to_unsigned(one_or_zero)); end if; return result; end; function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant left_of_dp : integer := (old_width - old_bin_pt) - (new_width - new_bin_pt); variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); variable overflow : boolean; begin vec := inp; overflow := true; result := (others => '0'); if (new_width >= old_width) then overflow := false; end if; if ((old_arith = xlSigned and new_arith = xlSigned) and (old_width > new_width)) then if all_same(vec(old_width-1 downto new_width-1)) then overflow := false; end if; end if; if (old_arith = xlSigned and new_arith = xlUnsigned) then if (old_width > new_width) then if all_zeros(vec(old_width-1 downto new_width)) then overflow := false; end if; else if (old_width = new_width) then if (vec(new_width-1) = '0') then overflow := false; end if; end if; end if; end if; if (old_arith = xlUnsigned and new_arith = xlUnsigned) then if (old_width > new_width) then if all_zeros(vec(old_width-1 downto new_width)) then overflow := false; end if; else if (old_width = new_width) then overflow := false; end if; end if; end if; if ((old_arith = xlUnsigned and new_arith = xlSigned) and (old_width > new_width)) then if all_same(vec(old_width-1 downto new_width-1)) then overflow := false; end if; end if; if overflow then if new_arith = xlSigned then if vec(old_width-1) = '0' then result := max_signed(new_width); else result := min_signed(new_width); end if; else if ((old_arith = xlSigned) and vec(old_width-1) = '1') then result := (others => '0'); else result := (others => '1'); end if; end if; else if (old_arith = xlSigned) and (new_arith = xlUnsigned) then if (vec(old_width-1) = '1') then vec := (others => '0'); end if; end if; if new_width <= old_width then result := vec(new_width-1 downto 0); else if new_arith = xlUnsigned then result := zero_ext(vec, new_width); else result := sign_ext(vec, new_width); end if; end if; end if; return result; end; function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is variable result : std_logic_vector(new_width-1 downto 0); variable result_arith : integer; begin if (old_arith = xlSigned) and (new_arith = xlUnsigned) then result_arith := xlSigned; end if; result := cast(inp, old_bin_pt, new_width, new_bin_pt, result_arith); return result; end; function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER is begin return max(a_bin_pt, b_bin_pt); end; function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER) return INTEGER is begin return max(a_width - a_bin_pt, b_width - b_bin_pt); end; function pad_LSB(inp : std_logic_vector; new_width: integer) return STD_LOGIC_VECTOR is constant orig_width : integer := inp'length; variable vec : std_logic_vector(orig_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); variable pos : integer; constant pad_pos : integer := new_width - orig_width - 1; begin vec := inp; pos := new_width-1; if (new_width >= orig_width) then for i in orig_width-1 downto 0 loop result(pos) := vec(i); pos := pos - 1; end loop; if pad_pos >= 0 then for i in pad_pos downto 0 loop result(i) := '0'; end loop; end if; end if; return result; end; function sign_ext(inp : std_logic_vector; new_width : INTEGER) return std_logic_vector is constant old_width : integer := inp'length; variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if new_width >= old_width then result(old_width-1 downto 0) := vec; if new_width-1 >= old_width then for i in new_width-1 downto old_width loop result(i) := vec(old_width-1); end loop; end if; else result(new_width-1 downto 0) := vec(new_width-1 downto 0); end if; return result; end; function zero_ext(inp : std_logic_vector; new_width : INTEGER) return std_logic_vector is constant old_width : integer := inp'length; variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if new_width >= old_width then result(old_width-1 downto 0) := vec; if new_width-1 >= old_width then for i in new_width-1 downto old_width loop result(i) := '0'; end loop; end if; else result(new_width-1 downto 0) := vec(new_width-1 downto 0); end if; return result; end; function zero_ext(inp : std_logic; new_width : INTEGER) return std_logic_vector is variable result : std_logic_vector(new_width-1 downto 0); begin result(0) := inp; for i in new_width-1 downto 1 loop result(i) := '0'; end loop; return result; end; function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER) return std_logic_vector is constant orig_width : integer := inp'length; variable vec : std_logic_vector(orig_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if arith = xlUnsigned then result := zero_ext(vec, new_width); else result := sign_ext(vec, new_width); end if; return result; end; function pad_LSB(inp : std_logic_vector; new_width, arith: integer) return STD_LOGIC_VECTOR is constant orig_width : integer := inp'length; variable vec : std_logic_vector(orig_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); variable pos : integer; begin vec := inp; pos := new_width-1; if (arith = xlUnsigned) then result(pos) := '0'; pos := pos - 1; else result(pos) := vec(orig_width-1); pos := pos - 1; end if; if (new_width >= orig_width) then for i in orig_width-1 downto 0 loop result(pos) := vec(i); pos := pos - 1; end loop; if pos >= 0 then for i in pos downto 0 loop result(i) := '0'; end loop; end if; end if; return result; end; function align_input(inp : std_logic_vector; old_width, delta, new_arith, new_width: INTEGER) return std_logic_vector is variable vec : std_logic_vector(old_width-1 downto 0); variable padded_inp : std_logic_vector((old_width + delta)-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if delta > 0 then padded_inp := pad_LSB(vec, old_width+delta); result := extend_MSB(padded_inp, new_width, new_arith); else result := extend_MSB(vec, new_width, new_arith); end if; return result; end; function max(L, R: INTEGER) return INTEGER is begin if L > R then return L; else return R; end if; end; function min(L, R: INTEGER) return INTEGER is begin if L < R then return L; else return R; end if; end; function "="(left,right: STRING) return boolean is begin if (left'length /= right'length) then return false; else test : for i in 1 to left'length loop if left(i) /= right(i) then return false; end if; end loop test; return true; end if; end; -- synopsys translate_off function is_binary_string_invalid (inp : string) return boolean is variable vec : string(1 to inp'length); variable result : boolean; begin vec := inp; result := false; for i in 1 to vec'length loop if ( vec(i) = 'X' ) then result := true; end if; end loop; return result; end; function is_binary_string_undefined (inp : string) return boolean is variable vec : string(1 to inp'length); variable result : boolean; begin vec := inp; result := false; for i in 1 to vec'length loop if ( vec(i) = 'U' ) then result := true; end if; end loop; return result; end; function is_XorU(inp : std_logic_vector) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable result : boolean; begin vec := inp; result := false; for i in 0 to width-1 loop if (vec(i) = 'U') or (vec(i) = 'X') then result := true; end if; end loop; return result; end; function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer) return real is variable vec : std_logic_vector(inp'length-1 downto 0); variable result, shift_val, undefined_real : real; variable neg_num : boolean; begin vec := inp; result := 0.0; neg_num := false; if vec(inp'length-1) = '1' then neg_num := true; end if; for i in 0 to inp'length-1 loop if vec(i) = 'U' or vec(i) = 'X' then return undefined_real; end if; if arith = xlSigned then if neg_num then if vec(i) = '0' then result := result + 2.0**i; end if; else if vec(i) = '1' then result := result + 2.0**i; end if; end if; else if vec(i) = '1' then result := result + 2.0**i; end if; end if; end loop; if arith = xlSigned then if neg_num then result := result + 1.0; result := result * (-1.0); end if; end if; shift_val := 2.0**(-1*bin_pt); result := result * shift_val; return result; end; function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer) return real is variable result : real := 0.0; begin if inp = '1' then result := 1.0; end if; if arith = xlSigned then assert false report "It doesn't make sense to convert a 1 bit number to a signed real."; end if; return result; end; -- synopsys translate_on function integer_to_std_logic_vector (inp : integer; width, arith : integer) return std_logic_vector is variable result : std_logic_vector(width-1 downto 0); variable unsigned_val : unsigned(width-1 downto 0); variable signed_val : signed(width-1 downto 0); begin if (arith = xlSigned) then signed_val := to_signed(inp, width); result := signed_to_std_logic_vector(signed_val); else unsigned_val := to_unsigned(inp, width); result := unsigned_to_std_logic_vector(unsigned_val); end if; return result; end; function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer) return integer is constant width : integer := inp'length; variable unsigned_val : unsigned(width-1 downto 0); variable signed_val : signed(width-1 downto 0); variable result : integer; begin if (arith = xlSigned) then signed_val := std_logic_vector_to_signed(inp); result := to_integer(signed_val); else unsigned_val := std_logic_vector_to_unsigned(inp); result := to_integer(unsigned_val); end if; return result; end; function std_logic_to_integer(constant inp : std_logic := '0') return integer is begin if inp = '1' then return 1; else return 0; end if; end; function makeZeroBinStr (width : integer) return STRING is variable result : string(1 to width+3); begin result(1) := '0'; result(2) := 'b'; for i in 3 to width+2 loop result(i) := '0'; end loop; result(width+3) := '.'; return result; end; -- synopsys translate_off function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer) return std_logic_vector is variable result : std_logic_vector(width-1 downto 0); begin result := (others => '0'); return result; end; function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer) return std_logic_vector is variable real_val : real; variable int_val : integer; variable result : std_logic_vector(width-1 downto 0) := (others => '0'); variable unsigned_val : unsigned(width-1 downto 0) := (others => '0'); variable signed_val : signed(width-1 downto 0) := (others => '0'); begin real_val := inp; int_val := integer(real_val * 2.0**(bin_pt)); if (arith = xlSigned) then signed_val := to_signed(int_val, width); result := signed_to_std_logic_vector(signed_val); else unsigned_val := to_unsigned(int_val, width); result := unsigned_to_std_logic_vector(unsigned_val); end if; return result; end; -- synopsys translate_on function valid_bin_string (inp : string) return boolean is variable vec : string(1 to inp'length); begin vec := inp; if (vec(1) = '0' and vec(2) = 'b') then return true; else return false; end if; end; function hex_string_to_std_logic_vector(inp: string; width : integer) return std_logic_vector is constant strlen : integer := inp'LENGTH; variable result : std_logic_vector(width-1 downto 0); variable bitval : std_logic_vector((strlen*4)-1 downto 0); variable posn : integer; variable ch : character; variable vec : string(1 to strlen); begin vec := inp; result := (others => '0'); posn := (strlen*4)-1; for i in 1 to strlen loop ch := vec(i); case ch is when '0' => bitval(posn downto posn-3) := "0000"; when '1' => bitval(posn downto posn-3) := "0001"; when '2' => bitval(posn downto posn-3) := "0010"; when '3' => bitval(posn downto posn-3) := "0011"; when '4' => bitval(posn downto posn-3) := "0100"; when '5' => bitval(posn downto posn-3) := "0101"; when '6' => bitval(posn downto posn-3) := "0110"; when '7' => bitval(posn downto posn-3) := "0111"; when '8' => bitval(posn downto posn-3) := "1000"; when '9' => bitval(posn downto posn-3) := "1001"; when 'A' | 'a' => bitval(posn downto posn-3) := "1010"; when 'B' | 'b' => bitval(posn downto posn-3) := "1011"; when 'C' | 'c' => bitval(posn downto posn-3) := "1100"; when 'D' | 'd' => bitval(posn downto posn-3) := "1101"; when 'E' | 'e' => bitval(posn downto posn-3) := "1110"; when 'F' | 'f' => bitval(posn downto posn-3) := "1111"; when others => bitval(posn downto posn-3) := "XXXX"; -- synopsys translate_off ASSERT false REPORT "Invalid hex value" SEVERITY ERROR; -- synopsys translate_on end case; posn := posn - 4; end loop; if (width <= strlen*4) then result := bitval(width-1 downto 0); else result((strlen*4)-1 downto 0) := bitval; end if; return result; end; function bin_string_to_std_logic_vector (inp : string) return std_logic_vector is variable pos : integer; variable vec : string(1 to inp'length); variable result : std_logic_vector(inp'length-1 downto 0); begin vec := inp; pos := inp'length-1; result := (others => '0'); for i in 1 to vec'length loop -- synopsys translate_off if (pos < 0) and (vec(i) = '0' or vec(i) = '1' or vec(i) = 'X' or vec(i) = 'U') then assert false report "Input string is larger than output std_logic_vector. Truncating output."; return result; end if; -- synopsys translate_on if vec(i) = '0' then result(pos) := '0'; pos := pos - 1; end if; if vec(i) = '1' then result(pos) := '1'; pos := pos - 1; end if; -- synopsys translate_off if (vec(i) = 'X' or vec(i) = 'U') then result(pos) := 'U'; pos := pos - 1; end if; -- synopsys translate_on end loop; return result; end; function bin_string_element_to_std_logic_vector (inp : string; width, index : integer) return std_logic_vector is constant str_width : integer := width + 4; constant inp_len : integer := inp'length; constant num_elements : integer := (inp_len + 1)/str_width; constant reverse_index : integer := (num_elements-1) - index; variable left_pos : integer; variable right_pos : integer; variable vec : string(1 to inp'length); variable result : std_logic_vector(width-1 downto 0); begin vec := inp; result := (others => '0'); if (reverse_index = 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then left_pos := 1; right_pos := width + 3; result := bin_string_to_std_logic_vector(vec(left_pos to right_pos)); end if; if (reverse_index > 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then left_pos := (reverse_index * str_width) + 1; right_pos := left_pos + width + 2; result := bin_string_to_std_logic_vector(vec(left_pos to right_pos)); end if; return result; end; -- synopsys translate_off function std_logic_vector_to_bin_string(inp : std_logic_vector) return string is variable vec : std_logic_vector(1 to inp'length); variable result : string(vec'range); begin vec := inp; for i in vec'range loop result(i) := to_char(vec(i)); end loop; return result; end; function std_logic_to_bin_string(inp : std_logic) return string is variable result : string(1 to 3); begin result(1) := '0'; result(2) := 'b'; result(3) := to_char(inp); return result; end; function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer) return string is variable width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable str_pos : integer; variable result : string(1 to width+3); begin vec := inp; str_pos := 1; result(str_pos) := '0'; str_pos := 2; result(str_pos) := 'b'; str_pos := 3; for i in width-1 downto 0 loop if (((width+3) - bin_pt) = str_pos) then result(str_pos) := '.'; str_pos := str_pos + 1; end if; result(str_pos) := to_char(vec(i)); str_pos := str_pos + 1; end loop; if (bin_pt = 0) then result(str_pos) := '.'; end if; return result; end; function real_to_bin_string(inp : real; width, bin_pt, arith : integer) return string is variable result : string(1 to width); variable vec : std_logic_vector(width-1 downto 0); begin vec := real_to_std_logic_vector(inp, width, bin_pt, arith); result := std_logic_vector_to_bin_string(vec); return result; end; function real_to_string (inp : real) return string is variable result : string(1 to display_precision) := (others => ' '); begin result(real'image(inp)'range) := real'image(inp); return result; end; -- synopsys translate_on end conv_pkg; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- -- synopsys translate_off library unisim; use unisim.vcomponents.all; -- synopsys translate_on library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity srl17e is generic (width : integer:=16; latency : integer :=8); port (clk : in std_logic; ce : in std_logic; d : in std_logic_vector(width-1 downto 0); q : out std_logic_vector(width-1 downto 0)); end srl17e; architecture structural of srl17e is component SRL16E port (D : in STD_ULOGIC; CE : in STD_ULOGIC; CLK : in STD_ULOGIC; A0 : in STD_ULOGIC; A1 : in STD_ULOGIC; A2 : in STD_ULOGIC; A3 : in STD_ULOGIC; Q : out STD_ULOGIC); end component; attribute syn_black_box of SRL16E : component is true; attribute fpga_dont_touch of SRL16E : component is "true"; component FDE port( Q : out STD_ULOGIC; D : in STD_ULOGIC; C : in STD_ULOGIC; CE : in STD_ULOGIC); end component; attribute syn_black_box of FDE : component is true; attribute fpga_dont_touch of FDE : component is "true"; constant a : std_logic_vector(4 downto 0) := integer_to_std_logic_vector(latency-2,5,xlSigned); signal d_delayed : std_logic_vector(width-1 downto 0); signal srl16_out : std_logic_vector(width-1 downto 0); begin d_delayed <= d after 200 ps; reg_array : for i in 0 to width-1 generate srl16_used: if latency > 1 generate u1 : srl16e port map(clk => clk, d => d_delayed(i), q => srl16_out(i), ce => ce, a0 => a(0), a1 => a(1), a2 => a(2), a3 => a(3)); end generate; srl16_not_used: if latency <= 1 generate srl16_out(i) <= d_delayed(i); end generate; fde_used: if latency /= 0 generate u2 : fde port map(c => clk, d => srl16_out(i), q => q(i), ce => ce); end generate; fde_not_used: if latency = 0 generate q(i) <= srl16_out(i); end generate; end generate; end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity synth_reg is generic (width : integer := 8; latency : integer := 1); port (i : in std_logic_vector(width-1 downto 0); ce : in std_logic; clr : in std_logic; clk : in std_logic; o : out std_logic_vector(width-1 downto 0)); end synth_reg; architecture structural of synth_reg is component srl17e generic (width : integer:=16; latency : integer :=8); port (clk : in std_logic; ce : in std_logic; d : in std_logic_vector(width-1 downto 0); q : out std_logic_vector(width-1 downto 0)); end component; function calc_num_srl17es (latency : integer) return integer is variable remaining_latency : integer; variable result : integer; begin result := latency / 17; remaining_latency := latency - (result * 17); if (remaining_latency /= 0) then result := result + 1; end if; return result; end; constant complete_num_srl17es : integer := latency / 17; constant num_srl17es : integer := calc_num_srl17es(latency); constant remaining_latency : integer := latency - (complete_num_srl17es * 17); type register_array is array (num_srl17es downto 0) of std_logic_vector(width-1 downto 0); signal z : register_array; begin z(0) <= i; complete_ones : if complete_num_srl17es > 0 generate srl17e_array: for i in 0 to complete_num_srl17es-1 generate delay_comp : srl17e generic map (width => width, latency => 17) port map (clk => clk, ce => ce, d => z(i), q => z(i+1)); end generate; end generate; partial_one : if remaining_latency > 0 generate last_srl17e : srl17e generic map (width => width, latency => remaining_latency) port map (clk => clk, ce => ce, d => z(num_srl17es-1), q => z(num_srl17es)); end generate; o <= z(num_srl17es); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity synth_reg_reg is generic (width : integer := 8; latency : integer := 1); port (i : in std_logic_vector(width-1 downto 0); ce : in std_logic; clr : in std_logic; clk : in std_logic; o : out std_logic_vector(width-1 downto 0)); end synth_reg_reg; architecture behav of synth_reg_reg is type reg_array_type is array (latency-1 downto 0) of std_logic_vector(width -1 downto 0); signal reg_bank : reg_array_type := (others => (others => '0')); signal reg_bank_in : reg_array_type := (others => (others => '0')); attribute syn_allow_retiming : boolean; attribute syn_srlstyle : string; attribute syn_allow_retiming of reg_bank : signal is true; attribute syn_allow_retiming of reg_bank_in : signal is true; attribute syn_srlstyle of reg_bank : signal is "registers"; attribute syn_srlstyle of reg_bank_in : signal is "registers"; begin latency_eq_0: if latency = 0 generate o <= i; end generate latency_eq_0; latency_gt_0: if latency >= 1 generate o <= reg_bank(latency-1); reg_bank_in(0) <= i; loop_gen: for idx in latency-2 downto 0 generate reg_bank_in(idx+1) <= reg_bank(idx); end generate loop_gen; sync_loop: for sync_idx in latency-1 downto 0 generate sync_proc: process (clk) begin if clk'event and clk = '1' then if clr = '1' then reg_bank_in <= (others => (others => '0')); elsif ce = '1' then reg_bank(sync_idx) <= reg_bank_in(sync_idx); end if; end if; end process sync_proc; end generate sync_loop; end generate latency_gt_0; end behav; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- -- synopsys translate_off library unisim; use unisim.vcomponents.all; -- synopsys translate_on library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity single_reg_w_init is generic ( width: integer := 8; init_index: integer := 0; init_value: bit_vector := b"0000" ); port ( i: in std_logic_vector(width - 1 downto 0); ce: in std_logic; clr: in std_logic; clk: in std_logic; o: out std_logic_vector(width - 1 downto 0) ); end single_reg_w_init; architecture structural of single_reg_w_init is function build_init_const(width: integer; init_index: integer; init_value: bit_vector) return std_logic_vector is variable result: std_logic_vector(width - 1 downto 0); begin if init_index = 0 then result := (others => '0'); elsif init_index = 1 then result := (others => '0'); result(0) := '1'; else result := to_stdlogicvector(init_value); end if; return result; end; component fdre port ( q: out std_ulogic; d: in std_ulogic; c: in std_ulogic; ce: in std_ulogic; r: in std_ulogic ); end component; attribute syn_black_box of fdre: component is true; attribute fpga_dont_touch of fdre: component is "true"; component fdse port ( q: out std_ulogic; d: in std_ulogic; c: in std_ulogic; ce: in std_ulogic; s: in std_ulogic ); end component; attribute syn_black_box of fdse: component is true; attribute fpga_dont_touch of fdse: component is "true"; constant init_const: std_logic_vector(width - 1 downto 0) := build_init_const(width, init_index, init_value); begin fd_prim_array: for index in 0 to width - 1 generate bit_is_0: if (init_const(index) = '0') generate fdre_comp: fdre port map ( c => clk, d => i(index), q => o(index), ce => ce, r => clr ); end generate; bit_is_1: if (init_const(index) = '1') generate fdse_comp: fdse port map ( c => clk, d => i(index), q => o(index), ce => ce, s => clr ); end generate; end generate; end architecture structural; -- synopsys translate_off library unisim; use unisim.vcomponents.all; -- synopsys translate_on library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity synth_reg_w_init is generic ( width: integer := 8; init_index: integer := 0; init_value: bit_vector := b"0000"; latency: integer := 1 ); port ( i: in std_logic_vector(width - 1 downto 0); ce: in std_logic; clr: in std_logic; clk: in std_logic; o: out std_logic_vector(width - 1 downto 0) ); end synth_reg_w_init; architecture structural of synth_reg_w_init is component single_reg_w_init generic ( width: integer := 8; init_index: integer := 0; init_value: bit_vector := b"0000" ); port ( i: in std_logic_vector(width - 1 downto 0); ce: in std_logic; clr: in std_logic; clk: in std_logic; o: out std_logic_vector(width - 1 downto 0) ); end component; signal dly_i: std_logic_vector((latency + 1) * width - 1 downto 0); signal dly_clr: std_logic; begin latency_eq_0: if (latency = 0) generate o <= i; end generate; latency_gt_0: if (latency >= 1) generate dly_i((latency + 1) * width - 1 downto latency * width) <= i after 200 ps; dly_clr <= clr after 200 ps; fd_array: for index in latency downto 1 generate reg_comp: single_reg_w_init generic map ( width => width, init_index => init_index, init_value => init_value ) port map ( clk => clk, i => dly_i((index + 1) * width - 1 downto index * width), o => dly_i(index * width - 1 downto (index - 1) * width), ce => ce, clr => dly_clr ); end generate; o <= dly_i(width - 1 downto 0); end generate; end structural; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; entity constant_963ed6358a is port ( op : out std_logic_vector((1 - 1) downto 0); clk : in std_logic; ce : in std_logic; clr : in std_logic); end constant_963ed6358a; architecture behavior of constant_963ed6358a is begin op <= "0"; end behavior; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; entity mcode_block_f4d0462e0e is port ( plbrst : in std_logic_vector((1 - 1) downto 0); plbabus : in std_logic_vector((32 - 1) downto 0); plbpavalid : in std_logic_vector((1 - 1) downto 0); plbrnw : in std_logic_vector((1 - 1) downto 0); plbwrdbus : in std_logic_vector((32 - 1) downto 0); rddata : in std_logic_vector((32 - 1) downto 0); addrpref : in std_logic_vector((20 - 1) downto 0); wrdbusreg : out std_logic_vector((32 - 1) downto 0); addrack : out std_logic_vector((1 - 1) downto 0); rdcomp : out std_logic_vector((1 - 1) downto 0); wrdack : out std_logic_vector((1 - 1) downto 0); bankaddr : out std_logic_vector((2 - 1) downto 0); rnwreg : out std_logic_vector((1 - 1) downto 0); rddack : out std_logic_vector((1 - 1) downto 0); rddbus : out std_logic_vector((32 - 1) downto 0); linearaddr : out std_logic_vector((8 - 1) downto 0); clk : in std_logic; ce : in std_logic; clr : in std_logic); end mcode_block_f4d0462e0e; architecture behavior of mcode_block_f4d0462e0e is signal plbrst_1_110: unsigned((1 - 1) downto 0); signal plbabus_1_118: unsigned((32 - 1) downto 0); signal plbpavalid_1_127: unsigned((1 - 1) downto 0); signal plbrnw_1_139: unsigned((1 - 1) downto 0); signal plbwrdbus_1_147: unsigned((32 - 1) downto 0); signal rddata_1_158: unsigned((32 - 1) downto 0); signal addrpref_1_166: unsigned((20 - 1) downto 0); signal plbrstreg_12_24_next: boolean; signal plbrstreg_12_24: boolean := false; signal plbabusreg_13_25_next: unsigned((32 - 1) downto 0); signal plbabusreg_13_25: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000"; signal plbpavalidreg_14_28_next: boolean; signal plbpavalidreg_14_28: boolean := false; signal plbrnwreg_15_24_next: unsigned((1 - 1) downto 0); signal plbrnwreg_15_24: unsigned((1 - 1) downto 0) := "0"; signal plbwrdbusreg_16_27_next: unsigned((32 - 1) downto 0); signal plbwrdbusreg_16_27: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000"; signal avalidreg_28_23_next: boolean; signal avalidreg_28_23: boolean := false; signal ps1reg_39_20_next: boolean; signal ps1reg_39_20: boolean := false; signal psreg_47_19_next: boolean; signal psreg_47_19: boolean := false; type array_type_rdcompdelay_58_25 is array (0 to (3 - 1)) of unsigned((1 - 1) downto 0); signal rdcompdelay_58_25: array_type_rdcompdelay_58_25 := ( "0", "0", "0"); signal rdcompdelay_58_25_front_din: unsigned((1 - 1) downto 0); signal rdcompdelay_58_25_back: unsigned((1 - 1) downto 0); signal rdcompdelay_58_25_push_front_pop_back_en: std_logic; signal rdcompreg_62_23_next: unsigned((1 - 1) downto 0); signal rdcompreg_62_23: unsigned((1 - 1) downto 0) := "0"; signal rddackreg_66_23_next: unsigned((1 - 1) downto 0); signal rddackreg_66_23: unsigned((1 - 1) downto 0) := "0"; signal wrdackreg_70_23_next: unsigned((1 - 1) downto 0); signal wrdackreg_70_23: unsigned((1 - 1) downto 0) := "0"; signal rddbusreg_84_23_next: unsigned((32 - 1) downto 0); signal rddbusreg_84_23: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000"; signal bankaddr_20_1_slice: unsigned((2 - 1) downto 0); signal linearaddr_21_1_slice: unsigned((8 - 1) downto 0); signal addrpref_in_32_1_slice: unsigned((20 - 1) downto 0); signal rel_33_4: boolean; signal ps1_join_33_1: boolean; signal ps_42_1_bit: boolean; signal bitnot_49_49: boolean; signal bitnot_49_73: boolean; signal bit_49_49: boolean; signal addrack_49_1_convert: unsigned((1 - 1) downto 0); signal bit_55_43: unsigned((1 - 1) downto 0); signal bitnot_72_35: unsigned((1 - 1) downto 0); signal wrdackreg_72_1_bit: unsigned((1 - 1) downto 0); signal rdsel_76_1_bit: unsigned((1 - 1) downto 0); signal rel_78_4: boolean; signal rddbus1_join_78_1: unsigned((32 - 1) downto 0); signal plbwrdbusreg_97_1_slice: unsigned((32 - 1) downto 0); signal plbrstreg_12_24_next_x_000000: boolean; signal plbpavalidreg_14_28_next_x_000000: boolean; begin plbrst_1_110 <= std_logic_vector_to_unsigned(plbrst); plbabus_1_118 <= std_logic_vector_to_unsigned(plbabus); plbpavalid_1_127 <= std_logic_vector_to_unsigned(plbpavalid); plbrnw_1_139 <= std_logic_vector_to_unsigned(plbrnw); plbwrdbus_1_147 <= std_logic_vector_to_unsigned(plbwrdbus); rddata_1_158 <= std_logic_vector_to_unsigned(rddata); addrpref_1_166 <= std_logic_vector_to_unsigned(addrpref); proc_plbrstreg_12_24: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbrstreg_12_24 <= plbrstreg_12_24_next; end if; end if; end process proc_plbrstreg_12_24; proc_plbabusreg_13_25: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbabusreg_13_25 <= plbabusreg_13_25_next; end if; end if; end process proc_plbabusreg_13_25; proc_plbpavalidreg_14_28: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbpavalidreg_14_28 <= plbpavalidreg_14_28_next; end if; end if; end process proc_plbpavalidreg_14_28; proc_plbrnwreg_15_24: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbrnwreg_15_24 <= plbrnwreg_15_24_next; end if; end if; end process proc_plbrnwreg_15_24; proc_plbwrdbusreg_16_27: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbwrdbusreg_16_27 <= plbwrdbusreg_16_27_next; end if; end if; end process proc_plbwrdbusreg_16_27; proc_avalidreg_28_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then avalidreg_28_23 <= avalidreg_28_23_next; end if; end if; end process proc_avalidreg_28_23; proc_ps1reg_39_20: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then ps1reg_39_20 <= ps1reg_39_20_next; end if; end if; end process proc_ps1reg_39_20; proc_psreg_47_19: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then psreg_47_19 <= psreg_47_19_next; end if; end if; end process proc_psreg_47_19; rdcompdelay_58_25_back <= rdcompdelay_58_25(2); proc_rdcompdelay_58_25: process (clk) is variable i: integer; begin if (clk'event and (clk = '1')) then if ((ce = '1') and (rdcompdelay_58_25_push_front_pop_back_en = '1')) then for i in 2 downto 1 loop rdcompdelay_58_25(i) <= rdcompdelay_58_25(i-1); end loop; rdcompdelay_58_25(0) <= rdcompdelay_58_25_front_din; end if; end if; end process proc_rdcompdelay_58_25; proc_rdcompreg_62_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then rdcompreg_62_23 <= rdcompreg_62_23_next; end if; end if; end process proc_rdcompreg_62_23; proc_rddackreg_66_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then rddackreg_66_23 <= rddackreg_66_23_next; end if; end if; end process proc_rddackreg_66_23; proc_wrdackreg_70_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then wrdackreg_70_23 <= wrdackreg_70_23_next; end if; end if; end process proc_wrdackreg_70_23; proc_rddbusreg_84_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then rddbusreg_84_23 <= rddbusreg_84_23_next; end if; end if; end process proc_rddbusreg_84_23; bankaddr_20_1_slice <= u2u_slice(plbabusreg_13_25, 11, 10); linearaddr_21_1_slice <= u2u_slice(plbabusreg_13_25, 9, 2); addrpref_in_32_1_slice <= u2u_slice(plbabusreg_13_25, 31, 12); rel_33_4 <= addrpref_in_32_1_slice = addrpref_1_166; proc_if_33_1: process (rel_33_4) is begin if rel_33_4 then ps1_join_33_1 <= true; else ps1_join_33_1 <= false; end if; end process proc_if_33_1; ps_42_1_bit <= ((boolean_to_vector(ps1_join_33_1) and boolean_to_vector(plbpavalidreg_14_28)) = "1"); bitnot_49_49 <= ((not boolean_to_vector(plbrstreg_12_24)) = "1"); bitnot_49_73 <= ((not boolean_to_vector(psreg_47_19)) = "1"); bit_49_49 <= ((boolean_to_vector(bitnot_49_49) and boolean_to_vector(ps_42_1_bit) and boolean_to_vector(bitnot_49_73)) = "1"); addrack_49_1_convert <= u2u_cast(std_logic_vector_to_unsigned(boolean_to_vector(bit_49_49)), 0, 1, 0); bit_55_43 <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_49_1_convert) and unsigned_to_std_logic_vector(plbrnwreg_15_24)); bitnot_72_35 <= std_logic_vector_to_unsigned(not unsigned_to_std_logic_vector(plbrnwreg_15_24)); wrdackreg_72_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_49_1_convert) and unsigned_to_std_logic_vector(bitnot_72_35)); rdsel_76_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(rdcompdelay_58_25_back) or unsigned_to_std_logic_vector(rdcompreg_62_23)); rel_78_4 <= rdsel_76_1_bit = std_logic_vector_to_unsigned("1"); proc_if_78_1: process (rddata_1_158, rel_78_4) is begin if rel_78_4 then rddbus1_join_78_1 <= rddata_1_158; else rddbus1_join_78_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); end if; end process proc_if_78_1; plbwrdbusreg_97_1_slice <= u2u_slice(plbwrdbus_1_147, 31, 0); plbrstreg_12_24_next_x_000000 <= (plbrst_1_110 /= "0"); plbrstreg_12_24_next <= plbrstreg_12_24_next_x_000000; plbabusreg_13_25_next <= plbabus_1_118; plbpavalidreg_14_28_next_x_000000 <= (plbpavalid_1_127 /= "0"); plbpavalidreg_14_28_next <= plbpavalidreg_14_28_next_x_000000; plbrnwreg_15_24_next <= plbrnw_1_139; plbwrdbusreg_16_27_next <= plbwrdbusreg_97_1_slice; avalidreg_28_23_next <= plbpavalidreg_14_28; ps1reg_39_20_next <= ps1_join_33_1; psreg_47_19_next <= ps_42_1_bit; rdcompdelay_58_25_front_din <= bit_55_43; rdcompdelay_58_25_push_front_pop_back_en <= '1'; rdcompreg_62_23_next <= rdcompdelay_58_25_back; rddackreg_66_23_next <= rdcompreg_62_23; wrdackreg_70_23_next <= wrdackreg_72_1_bit; rddbusreg_84_23_next <= rddbus1_join_78_1; wrdbusreg <= unsigned_to_std_logic_vector(plbwrdbusreg_16_27); addrack <= unsigned_to_std_logic_vector(addrack_49_1_convert); rdcomp <= unsigned_to_std_logic_vector(rdcompreg_62_23); wrdack <= unsigned_to_std_logic_vector(wrdackreg_70_23); bankaddr <= unsigned_to_std_logic_vector(bankaddr_20_1_slice); rnwreg <= unsigned_to_std_logic_vector(plbrnwreg_15_24); rddack <= unsigned_to_std_logic_vector(rddackreg_66_23); rddbus <= unsigned_to_std_logic_vector(rddbusreg_84_23); linearaddr <= unsigned_to_std_logic_vector(linearaddr_21_1_slice); end behavior; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; entity mcode_block_189a35de81 is port ( wrdbus : in std_logic_vector((32 - 1) downto 0); bankaddr : in std_logic_vector((2 - 1) downto 0); linearaddr : in std_logic_vector((8 - 1) downto 0); rnwreg : in std_logic_vector((1 - 1) downto 0); addrack : in std_logic_vector((1 - 1) downto 0); read_bank_out : out std_logic_vector((32 - 1) downto 0); clk : in std_logic; ce : in std_logic; clr : in std_logic); end mcode_block_189a35de81; architecture behavior of mcode_block_189a35de81 is signal wrdbus_1_39: unsigned((32 - 1) downto 0); signal bankaddr_1_47: unsigned((2 - 1) downto 0); signal linearaddr_1_57: unsigned((8 - 1) downto 0); signal rnwreg_1_69: unsigned((1 - 1) downto 0); signal addrack_1_77: unsigned((1 - 1) downto 0); signal read_bank_out_reg_53_31_next: unsigned((32 - 1) downto 0); signal read_bank_out_reg_53_31: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000"; signal bankaddr_reg_56_26_next: unsigned((2 - 1) downto 0); signal bankaddr_reg_56_26: unsigned((2 - 1) downto 0) := "00"; signal opcode_21_1_concat: unsigned((12 - 1) downto 0); signal rel_58_4: boolean; signal rel_61_8: boolean; signal rel_64_8: boolean; signal rel_67_8: boolean; signal read_bank_out_reg_join_58_1: unsigned((32 - 1) downto 0); begin wrdbus_1_39 <= std_logic_vector_to_unsigned(wrdbus); bankaddr_1_47 <= std_logic_vector_to_unsigned(bankaddr); linearaddr_1_57 <= std_logic_vector_to_unsigned(linearaddr); rnwreg_1_69 <= std_logic_vector_to_unsigned(rnwreg); addrack_1_77 <= std_logic_vector_to_unsigned(addrack); proc_read_bank_out_reg_53_31: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then read_bank_out_reg_53_31 <= read_bank_out_reg_53_31_next; end if; end if; end process proc_read_bank_out_reg_53_31; proc_bankaddr_reg_56_26: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then bankaddr_reg_56_26 <= bankaddr_reg_56_26_next; end if; end if; end process proc_bankaddr_reg_56_26; opcode_21_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_1_77) & unsigned_to_std_logic_vector(rnwreg_1_69) & unsigned_to_std_logic_vector(bankaddr_1_47) & unsigned_to_std_logic_vector(linearaddr_1_57)); rel_58_4 <= bankaddr_reg_56_26 = std_logic_vector_to_unsigned("00"); rel_61_8 <= bankaddr_reg_56_26 = std_logic_vector_to_unsigned("01"); rel_64_8 <= bankaddr_reg_56_26 = std_logic_vector_to_unsigned("10"); rel_67_8 <= bankaddr_reg_56_26 = std_logic_vector_to_unsigned("11"); proc_if_58_1: process (read_bank_out_reg_53_31, rel_58_4, rel_61_8, rel_64_8, rel_67_8) is begin if rel_58_4 then read_bank_out_reg_join_58_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); elsif rel_61_8 then read_bank_out_reg_join_58_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); elsif rel_64_8 then read_bank_out_reg_join_58_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); elsif rel_67_8 then read_bank_out_reg_join_58_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); else read_bank_out_reg_join_58_1 <= read_bank_out_reg_53_31; end if; end process proc_if_58_1; read_bank_out_reg_53_31_next <= read_bank_out_reg_join_58_1; bankaddr_reg_56_26_next <= bankaddr_1_47; read_bank_out <= unsigned_to_std_logic_vector(read_bank_out_reg_53_31); end behavior; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; entity concat_d0d1b9533e is port ( in0 : in std_logic_vector((8 - 1) downto 0); in1 : in std_logic_vector((8 - 1) downto 0); in2 : in std_logic_vector((8 - 1) downto 0); y : out std_logic_vector((24 - 1) downto 0); clk : in std_logic; ce : in std_logic; clr : in std_logic); end concat_d0d1b9533e; architecture behavior of concat_d0d1b9533e is signal in0_1_23: unsigned((8 - 1) downto 0); signal in1_1_27: unsigned((8 - 1) downto 0); signal in2_1_31: unsigned((8 - 1) downto 0); signal y_2_1_concat: unsigned((24 - 1) downto 0); begin in0_1_23 <= std_logic_vector_to_unsigned(in0); in1_1_27 <= std_logic_vector_to_unsigned(in1); in2_1_31 <= std_logic_vector_to_unsigned(in2); y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27) & unsigned_to_std_logic_vector(in2_1_31)); y <= unsigned_to_std_logic_vector(y_2_1_concat); end behavior; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity xlregister is generic (d_width : integer := 5; init_value : bit_vector := b"00"); port (d : in std_logic_vector (d_width-1 downto 0); rst : in std_logic_vector(0 downto 0) := "0"; en : in std_logic_vector(0 downto 0) := "1"; ce : in std_logic; clk : in std_logic; q : out std_logic_vector (d_width-1 downto 0)); end xlregister; architecture behavior of xlregister is component synth_reg_w_init generic (width : integer; init_index : integer; init_value : bit_vector; latency : integer); port (i : in std_logic_vector(width-1 downto 0); ce : in std_logic; clr : in std_logic; clk : in std_logic; o : out std_logic_vector(width-1 downto 0)); end component; -- synopsys translate_off signal real_d, real_q : real; -- synopsys translate_on signal internal_clr : std_logic; signal internal_ce : std_logic; begin internal_clr <= rst(0) and ce; internal_ce <= en(0) and ce; synth_reg_inst : synth_reg_w_init generic map (width => d_width, init_index => 2, init_value => init_value, latency => 1) port map (i => d, ce => internal_ce, clr => internal_clr, clk => clk, o => q); end architecture behavior; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.conv_pkg.all; entity xlslice is generic ( new_msb : integer := 9; new_lsb : integer := 1; x_width : integer := 16; y_width : integer := 8); port ( x : in std_logic_vector (x_width-1 downto 0); y : out std_logic_vector (y_width-1 downto 0)); end xlslice; architecture behavior of xlslice is begin y <= x(new_msb downto new_lsb); end behavior; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "sg_xsvi_fanin/EDK Processor" entity edk_processor_entity_a5d11af1bf is port ( plb_abus: in std_logic_vector(31 downto 0); plb_ce_1: in std_logic; plb_clk_1: in std_logic; plb_pavalid: in std_logic; plb_rnw: in std_logic; plb_wrdbus: in std_logic_vector(31 downto 0); sg_plb_addrpref: in std_logic_vector(19 downto 0); splb_rst: in std_logic; constant5_x0: out std_logic; plb_decode_x0: out std_logic; plb_decode_x1: out std_logic; plb_decode_x2: out std_logic; plb_decode_x3: out std_logic; plb_decode_x4: out std_logic_vector(31 downto 0) ); end edk_processor_entity_a5d11af1bf; architecture structural of edk_processor_entity_a5d11af1bf is signal bankaddr: std_logic_vector(1 downto 0); signal linearaddr: std_logic_vector(7 downto 0); signal plb_abus_net_x0: std_logic_vector(31 downto 0); signal plb_ce_1_sg_x0: std_logic; signal plb_clk_1_sg_x0: std_logic; signal plb_pavalid_net_x0: std_logic; signal plb_rnw_net_x0: std_logic; signal plb_wrdbus_net_x0: std_logic_vector(31 downto 0); signal rddata: std_logic_vector(31 downto 0); signal rnwreg: std_logic; signal sg_plb_addrpref_net_x0: std_logic_vector(19 downto 0); signal sl_addrack_x0: std_logic; signal sl_rdcomp_x0: std_logic; signal sl_rddack_x0: std_logic; signal sl_rddbus_x0: std_logic_vector(31 downto 0); signal sl_wait_x0: std_logic; signal sl_wrdack_x0: std_logic; signal splb_rst_net_x0: std_logic; signal wrdbusreg: std_logic_vector(31 downto 0); begin plb_abus_net_x0 <= plb_abus; plb_ce_1_sg_x0 <= plb_ce_1; plb_clk_1_sg_x0 <= plb_clk_1; plb_pavalid_net_x0 <= plb_pavalid; plb_rnw_net_x0 <= plb_rnw; plb_wrdbus_net_x0 <= plb_wrdbus; sg_plb_addrpref_net_x0 <= sg_plb_addrpref; splb_rst_net_x0 <= splb_rst; constant5_x0 <= sl_wait_x0; plb_decode_x0 <= sl_addrack_x0; plb_decode_x1 <= sl_rdcomp_x0; plb_decode_x2 <= sl_wrdack_x0; plb_decode_x3 <= sl_rddack_x0; plb_decode_x4 <= sl_rddbus_x0; constant5: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => sl_wait_x0 ); plb_decode: entity work.mcode_block_f4d0462e0e port map ( addrpref => sg_plb_addrpref_net_x0, ce => plb_ce_1_sg_x0, clk => plb_clk_1_sg_x0, clr => '0', plbabus => plb_abus_net_x0, plbpavalid(0) => plb_pavalid_net_x0, plbrnw(0) => plb_rnw_net_x0, plbrst(0) => splb_rst_net_x0, plbwrdbus => plb_wrdbus_net_x0, rddata => rddata, addrack(0) => sl_addrack_x0, bankaddr => bankaddr, linearaddr => linearaddr, rdcomp(0) => sl_rdcomp_x0, rddack(0) => sl_rddack_x0, rddbus => sl_rddbus_x0, rnwreg(0) => rnwreg, wrdack(0) => sl_wrdack_x0, wrdbusreg => wrdbusreg ); plb_memmap: entity work.mcode_block_189a35de81 port map ( addrack(0) => sl_addrack_x0, bankaddr => bankaddr, ce => plb_ce_1_sg_x0, clk => plb_clk_1_sg_x0, clr => '0', linearaddr => linearaddr, rnwreg(0) => rnwreg, wrdbus => wrdbusreg, read_bank_out => rddata ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "sg_xsvi_fanin" entity sg_xsvi_fanin is port ( active_video_i: in std_logic; ce_1: in std_logic; clk_1: in std_logic; hblank_i: in std_logic; hsync_i: in std_logic; plb_abus: in std_logic_vector(31 downto 0); plb_ce_1: in std_logic; plb_clk_1: in std_logic; plb_pavalid: in std_logic; plb_rnw: in std_logic; plb_wrdbus: in std_logic_vector(31 downto 0); sg_plb_addrpref: in std_logic_vector(19 downto 0); splb_rst: in std_logic; vblank_i: in std_logic; video_data_i: in std_logic_vector(23 downto 0); vsync_i: in std_logic; active_video_o: out std_logic; hblank_o: out std_logic; hsync_o: out std_logic; sl_addrack: out std_logic; sl_rdcomp: out std_logic; sl_rddack: out std_logic; sl_rddbus: out std_logic_vector(31 downto 0); sl_wait: out std_logic; sl_wrcomp: out std_logic; sl_wrdack: out std_logic; vblank_o: out std_logic; video_data_o: out std_logic_vector(23 downto 0); vsync_o: out std_logic ); end sg_xsvi_fanin; architecture structural of sg_xsvi_fanin is attribute core_generation_info: string; attribute core_generation_info of structural : architecture is "sg_xsvi_fanin,sysgen_core,{clock_period=10.00000000,clocking=Clock_Enables,sample_periods=1.00000000000 1.00000000000,testbench=0,total_blocks=102,xilinx_bit_slice_extractor_block=3,xilinx_bus_concatenator_block=1,xilinx_constant_block_block=1,xilinx_edk_processor_block=1,xilinx_gateway_in_block=12,xilinx_gateway_out_block=13,xilinx_mcode_block_block=2,xilinx_register_block=8,xilinx_system_generator_block=1,}"; signal active_video_i_net: std_logic; signal active_video_o_net: std_logic; signal blue: std_logic_vector(7 downto 0); signal ce_1_sg_x0: std_logic; signal clk_1_sg_x0: std_logic; signal green: std_logic_vector(7 downto 0); signal hblank_i_net: std_logic; signal hblank_o_net: std_logic; signal hsync_i_net: std_logic; signal hsync_o_net: std_logic; signal plb_abus_net: std_logic_vector(31 downto 0); signal plb_ce_1_sg_x1: std_logic; signal plb_clk_1_sg_x1: std_logic; signal plb_pavalid_net: std_logic; signal plb_rnw_net: std_logic; signal plb_wrdbus_net: std_logic_vector(31 downto 0); signal red: std_logic_vector(7 downto 0); signal register5_q_net: std_logic_vector(7 downto 0); signal register6_q_net: std_logic_vector(7 downto 0); signal register7_q_net: std_logic_vector(7 downto 0); signal sg_plb_addrpref_net: std_logic_vector(19 downto 0); signal sl_addrack_net: std_logic; signal sl_rdcomp_net: std_logic; signal sl_rddack_net: std_logic; signal sl_rddbus_net: std_logic_vector(31 downto 0); signal sl_wait_net: std_logic; signal sl_wrdack_x1: std_logic; signal splb_rst_net: std_logic; signal vblank_i_net: std_logic; signal vblank_o_net: std_logic; signal video_data_i_net: std_logic_vector(23 downto 0); signal video_data_o_net: std_logic_vector(23 downto 0); signal vsync_i_net: std_logic; signal vsync_o_net: std_logic; begin active_video_i_net <= active_video_i; ce_1_sg_x0 <= ce_1; clk_1_sg_x0 <= clk_1; hblank_i_net <= hblank_i; hsync_i_net <= hsync_i; plb_abus_net <= plb_abus; plb_ce_1_sg_x1 <= plb_ce_1; plb_clk_1_sg_x1 <= plb_clk_1; plb_pavalid_net <= plb_pavalid; plb_rnw_net <= plb_rnw; plb_wrdbus_net <= plb_wrdbus; sg_plb_addrpref_net <= sg_plb_addrpref; splb_rst_net <= splb_rst; vblank_i_net <= vblank_i; video_data_i_net <= video_data_i; vsync_i_net <= vsync_i; active_video_o <= active_video_o_net; hblank_o <= hblank_o_net; hsync_o <= hsync_o_net; sl_addrack <= sl_addrack_net; sl_rdcomp <= sl_rdcomp_net; sl_rddack <= sl_rddack_net; sl_rddbus <= sl_rddbus_net; sl_wait <= sl_wait_net; sl_wrcomp <= sl_wrdack_x1; sl_wrdack <= sl_wrdack_x1; vblank_o <= vblank_o_net; video_data_o <= video_data_o_net; vsync_o <= vsync_o_net; concat: entity work.concat_d0d1b9533e port map ( ce => '0', clk => '0', clr => '0', in0 => register5_q_net, in1 => register6_q_net, in2 => register7_q_net, y => video_data_o_net ); edk_processor_a5d11af1bf: entity work.edk_processor_entity_a5d11af1bf port map ( plb_abus => plb_abus_net, plb_ce_1 => plb_ce_1_sg_x1, plb_clk_1 => plb_clk_1_sg_x1, plb_pavalid => plb_pavalid_net, plb_rnw => plb_rnw_net, plb_wrdbus => plb_wrdbus_net, sg_plb_addrpref => sg_plb_addrpref_net, splb_rst => splb_rst_net, constant5_x0 => sl_wait_net, plb_decode_x0 => sl_addrack_net, plb_decode_x1 => sl_rdcomp_net, plb_decode_x2 => sl_wrdack_x1, plb_decode_x3 => sl_rddack_net, plb_decode_x4 => sl_rddbus_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => vsync_i_net, en => "1", rst => "0", q(0) => vsync_o_net ); register2: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => hsync_i_net, en => "1", rst => "0", q(0) => hsync_o_net ); register3: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => vblank_i_net, en => "1", rst => "0", q(0) => vblank_o_net ); register4: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => hblank_i_net, en => "1", rst => "0", q(0) => hblank_o_net ); register5: entity work.xlregister generic map ( d_width => 8, init_value => b"00000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => red, en => "1", rst => "0", q => register5_q_net ); register6: entity work.xlregister generic map ( d_width => 8, init_value => b"00000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => green, en => "1", rst => "0", q => register6_q_net ); register7: entity work.xlregister generic map ( d_width => 8, init_value => b"00000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => blue, en => "1", rst => "0", q => register7_q_net ); register_x0: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => active_video_i_net, en => "1", rst => "0", q(0) => active_video_o_net ); slice15downto8: entity work.xlslice generic map ( new_lsb => 8, new_msb => 15, x_width => 24, y_width => 8 ) port map ( x => video_data_i_net, y => green ); slice23downto16: entity work.xlslice generic map ( new_lsb => 16, new_msb => 23, x_width => 24, y_width => 8 ) port map ( x => video_data_i_net, y => red ); slice7downto0: entity work.xlslice generic map ( new_lsb => 0, new_msb => 7, x_width => 24, y_width => 8 ) port map ( x => video_data_i_net, y => blue ); end structural;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj ZJ3fEMF2Eg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494 1mvb9OIoIew9S5frQi8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2 oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM aU3uU6qaXWsFaGyQrek= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+ 6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms 6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj mKjKwY2xZcfJ/srndO8S7QOgA1cW3PG5z/BUIxX97bocLtxDa3aPkk/LZprZljgyNzZTGF6gcxBz LkEl/7f+3dcASD/i/KgBKq3OUZC517cIUJosom5xIrZP7ogbipLoucJRrZx4D/63Rac668WQR2tt XvXehOwJ/AHEp9jRPsQutrYAu3FxtGUXHsjpDuvlEQWTsec5sqEPiTB0mY6D9KTNmi47dKqUZBs+ tiYcW3MN0wSJWz0yWRlxkDOwIe8+BZhC6+qQaKz3JYut2IWLtkVrNtVa7iVztyRq0IT2GGOk9SRF zTZkv+gi8C2R/hrHkTCKcdU8xmWsQwsIv2Q1jCBEOLi6wOOosy71Lb5Ha1hxrI32m2Piyx4SnJPj n0alaIYwa5EF4wS17Evgj6P25nPfldkUvpg11cL9XFhy7sgEDKefrr22sA0/VpWWLjshH6EftVjo 7Hf9nyRYEdVapyPoEuQtTc6tIvm79PMCT+E+SZwQKcnanExfZ6otWVGF96w0z9WQWco3ELpYCZ1J +AdiwlLaM9oSfzThSi/jCqkjP0P0pl1PJTenRvHceFK3dUVi9AwxEnUSStNItyWSFwtpPhM8Z2be Hv00vEG6vQXmfTimbRm1jCp+DuADtufxpcmxPkqMwMXKZZ0EoY+/Oqavo2fwwP2ryTV8RP/939vZ N6JhMaktAxeu1pM3CnTA9YDhKlnNGvOzv5tTiJXC7gylsEhvN1KNnm/fDH1VyVaQcz3lAdMu5FNG n/wc+JiSvRjuUY2CM/9JXsljSkRSk+1YrJClkyKsQLh8pWQmirP4fCWPXtz/GtXQiL2TdjrxMvOS uKAPiN5GCbbv/3rIThnX0eVkxcqtSgccb+M+eEvEAaAHHdQdHc1a/KIsoofCKoNgXhQs/r5l3Hbt Nwaxn8GrWsqeZlhezEfIm4h04cqMp3P+D263KmwjF0iCoAjhVA7O8D2FZroVsQEHPwrCZO2BdqKP JESdF0BWIBuBbLP4uT6Nqvxm8OFIxkgz7s0KaohyhkPd8MS+5E14L49jF6VZhJl4RPPxUTXXRvPB 6bcmAfDovSDKyiRD++Uy2U/xTMgybhiAgywdOdnmaly9s520Py/KUqjdIO8Jw0WzoZAdG+AhSZy+ jOCZAtbs7UtsHmVqeyjy3n8Gd7kO4EgdiPLRJCxV4BqSpbqlaLlnxESaN7Og1mDdQb7nY9U6817F G0tWKUi3rBtn4CJ4lUoTpOJzBqqp91W8wKUKfHjWa+RcOUwaRBJKTXvMEB+QyMlCcngxhRO8iFuA vWmQ7ppu90QnuRRs6hLLCiaY9GdqtAca8q28LxLxBQDkmn/I62cvWM884VLSIRZSAK/DkQOgdVSF qq6UnXQBlcfPPjYpCGL35Po+4jFDophkxI5hl0vx0+PoNINbMxW6Sgv611+Tj37V5ufsF5xmWaRf NVYyCyY9WgE3yeMdzA5yowj9/PBFM2IYYQfZLdPMRAqMo/+KSQxdye4ZP9pn6fdXjo6H1aa2/HS6 Wk22Q4Y51bZyfbtsmDZZhulQvt0fVsj16NB+lbLHhsyISN2J1hR5j3zXUkHQw+ql57El5w9e9NaH 1kfTu4+orNjoeFouZo+xOStzfCb/2+r2JYQxVdYB2UX7gTh2+4RAVJDj7uju6bwwJ6iPKf51p8Bt E5RoJPzGgy4O8FihSOQvXtffu30vBmmkZFx9Akuw1D3rpWYDmhZMNKVFV67D9OLvv6gSTyHvQMTD lIbKIUcR0BZwgeOdXpduN6Dba6gKQnfnfE3oTl7MBW8fFknHrKLYAcFf7d3kDl2IA19vybGg941Y 0OV0i/wpGMxrWn2epjateQG+9qQbs8lc+Vioh5CIVlSVDw69DUshAaYcKURRj0SO0hd6y3qfdCTL 2RggY0OtrI/0JYVk6p1ETm71ZSlMonp56L/d4M6caj+JpMbuQswziTYJ7fXtQG0AAIe3F1RdlDmf w2LbxXPsE8gvHjQI3JeZ5Me11+ei+90vUQm+1dFBUMggWSOzhohGWOP4DBRt3XA2RCjTXUDQXJsf 5tHKzjz1TzW2krB4lPB7d07vMNI7DPM0aZ3XztW7Jw+xkYElV7vMmKMz1nd0Az73O5smjneNpEMI DLf58mcIyvWSw5eajT9CAIwVdkvbQxfGTKZGdGwHk2wJL8py2xoKQ8IZkBkDYQSL1+gUn8KcWlkw Z8so7NHwQwJh6i1XUZUBnL9A+teyhOQla6pEdIzCANG0J+IkDrfT8UpLfPz4yQTQgsyLmvbPp6Qx jFwsTQt8OXu2/7poCv0UKwYuA7AHVfZqPoV1yzAYEZL+xTSis8MMwVqpTxYIO21pPt4eI54Y0sMg ylycsfNowCYSxKc+9IdlySefyorHA0V+aFYTABSq4UXFowM7wZRs/1VyZnKZJpAIjnlt3rD/d8y4 ximpTa+Uh2UCO+GfH9XMHIMVEGCwVsZ/MvNh/pTWNh0YKHnJqyjtrA/G+clmO30FP+P/kIBBqHGT 9HBg/npfwVV9+fn3CSAAZ/Kj/rGrpUF2be9znnqm1Y2jB5cku5UX3a6eXxkwVLfMuEuLfcvJzByZ la9gH/VXAM3frasuugoGCFhZvNSCpjmAMmcixbrgFdUKjcigEw7aHRzElgs1eX2iToW7/jjnVU+9 Du/x7/3wLpmbn78PVWrHDYjoPgcw4SgIG0j07z35lcYyxQPx5Zwm2/m0FLzBwKlzspsQa9oBl599 Svg6e6D1WoE2Gc8hqXKMbRAvB4mD6fGPYzLvvv2Ccz8WEAg9eAuvktDLVHz6vbzSkqPAH0q4mboe yi1gUNct+ecX5mOyS+gc5MiyIIWfW6oSczaaKIAelGYZls08qBF2XpwK34XzEj5O4mnVQP3kn1ko fYdV5yiFVzbDHcFyG+phdxMqYCtQoqrQqX4tCpvVv4nq/vlLUtDaAySuRFh3HPM3nizMbglhIDVm 6N3giXlxHRJ4aK1lfULcA6M3jbEUwW5v4zkPQ0g8qsnMRJB80wWFXolObMOkLlOe8GuvU13rwM76 lpS8Jy4tq4A2e5/eaUXoZ5/0+uk1owrB/PUM7JUDtkZtD4UUAPOUlysbxJecDvCEd1+xjvK5gK5S cnf8SrVOneQJQiJ5O/Nn6oQY6S/7jX06OVw4S91h1rV+4qubSaSi/1pdIUpWu3oHxb36TEmVJFUc 1iOkWnqtg5RlYrwhfeKAvrCbH/p4v+Dr5O6E0vIpMh1WBYD8tEjGgqsg0lZlo9V96PvPP5WextoF PWCqMC3tQ39qT5I9dfEUtrMokdUP+yn/LNR54NFG22iU37cXN5AapRKtutJdPt3E0T6Tb1EVhE0f kUllBhGSoW5rpjONyX9Xv0NNrAZ7n70liRkcrHgn19OhUNOoR1im5VoNq2mgotV0R7obyFnYTvxG m9akp21ITeWhNaqyG+qm+uv+5wX0PzqSDUxejfKkqHXc8fATwXI1yUKUJ8LkhRW6gsYSJqmm4XHH rhDk254i37tUpR1zgjnov5RUhDQdbEmiW1DNZgyGTeaIDP1O3qs14Lqx947N5g4iwKMCkaFBNWOw ZyBZ/jAaMscMmifltLh1GRP6sO34mkLUlh+JmVoogMtDmQTYIvJECpk0dJjrFbCoGJQZNyhFKrOF zmF6CEGsQfKtO6S04m5K6h1U19ilL8wlQkZgz7GodQ5VFzAb747RHq1vZDc1IC2aXwlpbNvXbBvy VtkMUXAF577/v2QByJ5JDu321TcidCLN2b43f3DhtyR6Vz7Y5XRf8czEpFBypnF+HGNqSF5ssrIB Api4fVrd3hmKZzqdzhE6NnfQKk5qymU/JXK0Q3GKGEvLhb4NyFMjTMT8+w/lOwwFa0kh+Gm1JIEe 80k47GOHirSUREWdh1EU06Frda5Sa2ruGCDxmSIOg7nj30s0hqs6ckF/helao4rm+xHZOFsyxwzD w+l1WqEI23ptTykeYfOCyaBTMh97Hq4bQIsYGjHh4DRadOoyuwsSeFYueqCMs1PrlLMhzSoyohdK OlWK/ubEnSp5fR/Vx02XlAiTRa2D8doqJEiDlDLrCDFESGPwL7tWy60V7XxQeu5tUsnxI4DUn4N3 B9fTV7srsSmZbEqqKucXn9lwKp9j6IkQAahMHeeTVLOjR5mhF/EVbhFGKMlW8U6ZJN9G3s0rMpAj UvmK1tgOJWZWwLoCFM6hUc8QIyvkE8NenW3fGFbvAd7le2U1UnBbnZEsRyxlN7Z/vxAo+L+cf/uO r4r2kt4b0XszZIMZ/SL2EaUNbUufmipggINQPXWAnn44zrYuO8y8VPALUI2w0vInK93ls1oh4fPG HziXDiYRkpOC09JOqA7iaLs+hl/05OREcBiJjXmh54lJQ/rJk4uQO6H61FLUKxFjTeeiJebYE1nK 1WIiPe1lkybqvhKTPmX74Zk+nBoaxR9Q4nAOxfmzbJik9snEl6e+yDQEhDBarQvc4t8glluuzJIw F+MkT4juCneslIIlWt5wL7e8MIii9UCuLIn+GfUjObQqliYFtiuxH9E/ito8FXDW6K3NlXvp6Wfc JgoTNr9E+/c9xM/+mERmP6Sh8Nh2HRxiTpFfYLInhEj0LYo23y550pXFF2asFwy82y7gh4B9aICF aKhyEWzBeOM5+1FSB6qVo5yD3Ujw0FVB7yuU4DiVygPyJHnULRlGnC0hZs4jG8bqPSvFGCiXsrBR +y6lEiLujYIYN6FjG1QRdgDw9SGlTEmk/rlBruo+ub9LvDioCMx58UWQ69WmtUXaVsmawfOTe0gK 6M9rQHxSaTcynngzMZZqYNnUsMmhFSAAUNfpzv48JEM9T419iJ6rlVdTeC5pkN6QvmbgVHQ801XK /xfn4EnAkcQbgcNLEPPb+Uz4TO71w7ucCLK7aO3GYDEwyS0yFERnghuvr2q9cdoAPHhloZoNCMqB gEGd2Exou914q92VcSpu+pkX+xHuCj16Gcvy4PNo5aYeIpypoHTyubZS0hB5pUnmCCg9wBofCJ4D ttv7qZ/AXHYD2e0jDxC+ncN/4qHiF2o9UAxNIXdlqXnrnbtUmVbklgfpMFLkh6Rir+IC7IYO05pE eC5WIj1odLfT2QbTEGhZ0IhK8m6h469p8eXlK6tzSVnWxPAzPjTomDGpN9dftr0JbeXQj3JCaH5I aXodpUbux3yAh0nTOOsEUHzmYOl2NnImGPf+xT0nSW/D9I3OCiQSgOAUzpR0edMvWCmTeTRGDqp/ ug+Qi+VRNrhnhQv3uCLgEnlLh8Ig0nXBZswsiIZseLAJ1HVZIHo15LKX1o4sJHWP93YtMDKgq2yv Htfs5w/4XzzYpMjhh+FB6m3Vw/c9fE7PmYqpzPnf+66R2HUS9THmihsqsS72Y+t9AX9Q+5HCV4nc lB/11G0UeO5AVN9IvjXzqkgQH1K/hlwwiXHoAWvcBdVA4oyvxjv8E8rrGx2J6zSbpBWCR5UQH70S jy/X3NlDyeB6j9oxBeEjBWJZX7DJN7xKaXplxKjtkUuOBq9oqSiyrerMPF/R4jVAMYSeht2zSwa+ 5PocX8X1dKl9TmTBjKmjH5wJFy3mrEM9ZelHd9XRQIZcOyE8QYPzxp0NYuXrlAww4sjoSIWqPW6L gEHWL8Ngd6R7keFqq5SqPgtYFom2eQ2YAaCq6x4cw09HAKxAog9TkldwnExBMVXYcuybzTUEgIy9 HeNpV4BUbRoEqexgNHHLNKLcisI3KBM/3h8rlXwar/armCooAmsmZ21KcI2MIF3dbMpzMHhhYUWp zVW0jbhyqqyTOFABw+a90YxIm/wY42xs6TFuwmGLu2+xX+Y/W7XBtoIbaJtpxFNALLmpuW0DZuF+ O+Kv1DW/Ur1ZDSSMKbEq2FaS43WlxWUlDBdk9Ac6m0JcZW8W1gnpmHBidwGp6qUMxz+utYI42EO/ xRvFZ0ekgNzu37MkkBTyNnHadMBWqVuTWm/rXbSDOSRonQvz+RO4ST1eQ2KYbS/47pv4HCgjdJbq EvEJVa1wgX+r+dNd3WMwvd9xXN1zXoedUaHDc3Kp3mKFsBug0QW0xJGdGVyS33T4CnW6W1ngbwKB Ncp7lI+IodcnTyZN5BelE+ZYiM2RvOjp7/xYWYsmpHvRCz+5+x0sTnE8IqPYM0fAtGZjWNOtf0FT QWqxFcGxHrqwwqP9PEUzFr5JquWjKNYJkFj7X3KZXiUZ2yyCMNxj6WFOraF7AyZovgpZy51GjfC8 cSJ6Q0srEYZpeF9nRhwIuUqd8NQoMCMQSnbC9vGIxB+3593zZPc1F0zgV/rkjKgd8DcCjCZpED9w XDEk3CqY8MGD4tEB9rEeHK3FGX9jrksS8qyorGgvL2HLEVQxAIz3qBH+NpPIc26TaP7tu10kYcZz gwH6nDWdntelTTG4im/5Np53yf+qAYr+bWnv20djRe7qv8EXkB+j8kBbDNik9OuUlhjjXOXyeVj4 mzyx2QaeTk/w6/ogZW8YpnodVGAQN/kxU2GOqOmPQ56wRfwpE85BX0DC0FRK8GLs3BFg+8Bbxuhy YK/XWr0KR6/0vzxT+roCcewwTkDiaZrhMOuZRN2S3p4x1t/5sLy01B3+muYY3aII3xHMGfJ2XqLw KeD7vWgC7Mw4dfau4MRMvgO+zAiala9guqwrWnuO6HctgPYqL6QXhnIjncKUsuDfR8h7qViWnPSS EMOBjnEtX7r2/A6G7/h7M2w8FFtmluESgzcsaZYXVRAg4RTaHhBuPSY3ZSWnzKZrZgTU6ERaeQag BVL1Eq/Msq4S4uj4/HsrsHG5j7VZfUgQ3JayqXpcwd4M4mvRg1WkXAwUAqCEI0SHdOc7MztpcMUk GS06j4SP2ZdXMlt+pBzQkDeVkrJs4I+7F04aAowMiX4qcCm2alSzruI9OcaVFnLwb6BS842Bi39I x8fMoVx5XTRXNZVt6+qQt9LO4nOiCseHEk/wKcC7QYiAbyD3030+GRNny6Hm3KgsmrzT4W2RcwL3 dEfHaYALY87qGP+xjvb6oOdAZzVQaH5phmYp9c0wfb6ibyEcEwm1pxVDN4OS6Sb3xlA47tb0MWcv a0lzdeMthgNhD/lj5qzZuxzEa4ztylIuj2itKAxv7dgiLmGCOkAprhsjdMIXCz36Dr3hg9+CNIV8 LMZXRKwhZXTpOfN0KFn7iYMCOVzAJouzZvfk8cuy4XrUiEVa+P9puuYDsL1Aa7qke1+H8mD38Nvm y/a/cNYBTQ9W9TrvlZwp1mMuhScONw1go1GpXgpmqsdXZI+CBL8IBfr5+bWBSHu+P/BeF/UQhGv5 3zO3f27O9ZKC58p2VmUA7OFucgBjTvvM3j1y8hUt3PVIVnx9DUGIHwmFdtUAgAFmfbQOfxS9fi1g 9OE2MWQ+bEd8YoLnS21zVCPrSfx/VhT0N/WrozbLb/wKYSqCaLiLuD/sibvr2OWe8ee5IEh/jZZq fh7Soy3MQD6ec/swph4MHh//pgqanKumJV/bN8oyqLC0Gy+4jxr21bmKrs/64l18j1Z5heuXAbOE gB3XsTPcyay4cLNFaeR6Av/yZNawfOnQH8cxq47X3Edj2lN5lKAKc6m2V/h+dhXA6v1AbwdTDZ9w 02zuIUhSnIKWkhNfisSa6LJ4WWE9lkNwDLKgfpZIqV11qAWYAX7OVAk90bRkFfhgk6i/NWvkp6wx SvrpNcevxs7LOA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj ZJ3fEMF2Eg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494 1mvb9OIoIew9S5frQi8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2 oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM aU3uU6qaXWsFaGyQrek= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+ 6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms 6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj mKjKwY2xZcfJ/srndO8S7QOgA1cW3PG5z/BUIxX97bocLtxDa3aPkk/LZprZljgyNzZTGF6gcxBz LkEl/7f+3dcASD/i/KgBKq3OUZC517cIUJosom5xIrZP7ogbipLoucJRrZx4D/63Rac668WQR2tt XvXehOwJ/AHEp9jRPsQutrYAu3FxtGUXHsjpDuvlEQWTsec5sqEPiTB0mY6D9KTNmi47dKqUZBs+ tiYcW3MN0wSJWz0yWRlxkDOwIe8+BZhC6+qQaKz3JYut2IWLtkVrNtVa7iVztyRq0IT2GGOk9SRF zTZkv+gi8C2R/hrHkTCKcdU8xmWsQwsIv2Q1jCBEOLi6wOOosy71Lb5Ha1hxrI32m2Piyx4SnJPj n0alaIYwa5EF4wS17Evgj6P25nPfldkUvpg11cL9XFhy7sgEDKefrr22sA0/VpWWLjshH6EftVjo 7Hf9nyRYEdVapyPoEuQtTc6tIvm79PMCT+E+SZwQKcnanExfZ6otWVGF96w0z9WQWco3ELpYCZ1J +AdiwlLaM9oSfzThSi/jCqkjP0P0pl1PJTenRvHceFK3dUVi9AwxEnUSStNItyWSFwtpPhM8Z2be Hv00vEG6vQXmfTimbRm1jCp+DuADtufxpcmxPkqMwMXKZZ0EoY+/Oqavo2fwwP2ryTV8RP/939vZ N6JhMaktAxeu1pM3CnTA9YDhKlnNGvOzv5tTiJXC7gylsEhvN1KNnm/fDH1VyVaQcz3lAdMu5FNG n/wc+JiSvRjuUY2CM/9JXsljSkRSk+1YrJClkyKsQLh8pWQmirP4fCWPXtz/GtXQiL2TdjrxMvOS uKAPiN5GCbbv/3rIThnX0eVkxcqtSgccb+M+eEvEAaAHHdQdHc1a/KIsoofCKoNgXhQs/r5l3Hbt Nwaxn8GrWsqeZlhezEfIm4h04cqMp3P+D263KmwjF0iCoAjhVA7O8D2FZroVsQEHPwrCZO2BdqKP JESdF0BWIBuBbLP4uT6Nqvxm8OFIxkgz7s0KaohyhkPd8MS+5E14L49jF6VZhJl4RPPxUTXXRvPB 6bcmAfDovSDKyiRD++Uy2U/xTMgybhiAgywdOdnmaly9s520Py/KUqjdIO8Jw0WzoZAdG+AhSZy+ jOCZAtbs7UtsHmVqeyjy3n8Gd7kO4EgdiPLRJCxV4BqSpbqlaLlnxESaN7Og1mDdQb7nY9U6817F G0tWKUi3rBtn4CJ4lUoTpOJzBqqp91W8wKUKfHjWa+RcOUwaRBJKTXvMEB+QyMlCcngxhRO8iFuA vWmQ7ppu90QnuRRs6hLLCiaY9GdqtAca8q28LxLxBQDkmn/I62cvWM884VLSIRZSAK/DkQOgdVSF qq6UnXQBlcfPPjYpCGL35Po+4jFDophkxI5hl0vx0+PoNINbMxW6Sgv611+Tj37V5ufsF5xmWaRf NVYyCyY9WgE3yeMdzA5yowj9/PBFM2IYYQfZLdPMRAqMo/+KSQxdye4ZP9pn6fdXjo6H1aa2/HS6 Wk22Q4Y51bZyfbtsmDZZhulQvt0fVsj16NB+lbLHhsyISN2J1hR5j3zXUkHQw+ql57El5w9e9NaH 1kfTu4+orNjoeFouZo+xOStzfCb/2+r2JYQxVdYB2UX7gTh2+4RAVJDj7uju6bwwJ6iPKf51p8Bt E5RoJPzGgy4O8FihSOQvXtffu30vBmmkZFx9Akuw1D3rpWYDmhZMNKVFV67D9OLvv6gSTyHvQMTD lIbKIUcR0BZwgeOdXpduN6Dba6gKQnfnfE3oTl7MBW8fFknHrKLYAcFf7d3kDl2IA19vybGg941Y 0OV0i/wpGMxrWn2epjateQG+9qQbs8lc+Vioh5CIVlSVDw69DUshAaYcKURRj0SO0hd6y3qfdCTL 2RggY0OtrI/0JYVk6p1ETm71ZSlMonp56L/d4M6caj+JpMbuQswziTYJ7fXtQG0AAIe3F1RdlDmf w2LbxXPsE8gvHjQI3JeZ5Me11+ei+90vUQm+1dFBUMggWSOzhohGWOP4DBRt3XA2RCjTXUDQXJsf 5tHKzjz1TzW2krB4lPB7d07vMNI7DPM0aZ3XztW7Jw+xkYElV7vMmKMz1nd0Az73O5smjneNpEMI DLf58mcIyvWSw5eajT9CAIwVdkvbQxfGTKZGdGwHk2wJL8py2xoKQ8IZkBkDYQSL1+gUn8KcWlkw Z8so7NHwQwJh6i1XUZUBnL9A+teyhOQla6pEdIzCANG0J+IkDrfT8UpLfPz4yQTQgsyLmvbPp6Qx jFwsTQt8OXu2/7poCv0UKwYuA7AHVfZqPoV1yzAYEZL+xTSis8MMwVqpTxYIO21pPt4eI54Y0sMg ylycsfNowCYSxKc+9IdlySefyorHA0V+aFYTABSq4UXFowM7wZRs/1VyZnKZJpAIjnlt3rD/d8y4 ximpTa+Uh2UCO+GfH9XMHIMVEGCwVsZ/MvNh/pTWNh0YKHnJqyjtrA/G+clmO30FP+P/kIBBqHGT 9HBg/npfwVV9+fn3CSAAZ/Kj/rGrpUF2be9znnqm1Y2jB5cku5UX3a6eXxkwVLfMuEuLfcvJzByZ la9gH/VXAM3frasuugoGCFhZvNSCpjmAMmcixbrgFdUKjcigEw7aHRzElgs1eX2iToW7/jjnVU+9 Du/x7/3wLpmbn78PVWrHDYjoPgcw4SgIG0j07z35lcYyxQPx5Zwm2/m0FLzBwKlzspsQa9oBl599 Svg6e6D1WoE2Gc8hqXKMbRAvB4mD6fGPYzLvvv2Ccz8WEAg9eAuvktDLVHz6vbzSkqPAH0q4mboe yi1gUNct+ecX5mOyS+gc5MiyIIWfW6oSczaaKIAelGYZls08qBF2XpwK34XzEj5O4mnVQP3kn1ko fYdV5yiFVzbDHcFyG+phdxMqYCtQoqrQqX4tCpvVv4nq/vlLUtDaAySuRFh3HPM3nizMbglhIDVm 6N3giXlxHRJ4aK1lfULcA6M3jbEUwW5v4zkPQ0g8qsnMRJB80wWFXolObMOkLlOe8GuvU13rwM76 lpS8Jy4tq4A2e5/eaUXoZ5/0+uk1owrB/PUM7JUDtkZtD4UUAPOUlysbxJecDvCEd1+xjvK5gK5S cnf8SrVOneQJQiJ5O/Nn6oQY6S/7jX06OVw4S91h1rV+4qubSaSi/1pdIUpWu3oHxb36TEmVJFUc 1iOkWnqtg5RlYrwhfeKAvrCbH/p4v+Dr5O6E0vIpMh1WBYD8tEjGgqsg0lZlo9V96PvPP5WextoF PWCqMC3tQ39qT5I9dfEUtrMokdUP+yn/LNR54NFG22iU37cXN5AapRKtutJdPt3E0T6Tb1EVhE0f kUllBhGSoW5rpjONyX9Xv0NNrAZ7n70liRkcrHgn19OhUNOoR1im5VoNq2mgotV0R7obyFnYTvxG m9akp21ITeWhNaqyG+qm+uv+5wX0PzqSDUxejfKkqHXc8fATwXI1yUKUJ8LkhRW6gsYSJqmm4XHH rhDk254i37tUpR1zgjnov5RUhDQdbEmiW1DNZgyGTeaIDP1O3qs14Lqx947N5g4iwKMCkaFBNWOw ZyBZ/jAaMscMmifltLh1GRP6sO34mkLUlh+JmVoogMtDmQTYIvJECpk0dJjrFbCoGJQZNyhFKrOF zmF6CEGsQfKtO6S04m5K6h1U19ilL8wlQkZgz7GodQ5VFzAb747RHq1vZDc1IC2aXwlpbNvXbBvy VtkMUXAF577/v2QByJ5JDu321TcidCLN2b43f3DhtyR6Vz7Y5XRf8czEpFBypnF+HGNqSF5ssrIB Api4fVrd3hmKZzqdzhE6NnfQKk5qymU/JXK0Q3GKGEvLhb4NyFMjTMT8+w/lOwwFa0kh+Gm1JIEe 80k47GOHirSUREWdh1EU06Frda5Sa2ruGCDxmSIOg7nj30s0hqs6ckF/helao4rm+xHZOFsyxwzD w+l1WqEI23ptTykeYfOCyaBTMh97Hq4bQIsYGjHh4DRadOoyuwsSeFYueqCMs1PrlLMhzSoyohdK OlWK/ubEnSp5fR/Vx02XlAiTRa2D8doqJEiDlDLrCDFESGPwL7tWy60V7XxQeu5tUsnxI4DUn4N3 B9fTV7srsSmZbEqqKucXn9lwKp9j6IkQAahMHeeTVLOjR5mhF/EVbhFGKMlW8U6ZJN9G3s0rMpAj UvmK1tgOJWZWwLoCFM6hUc8QIyvkE8NenW3fGFbvAd7le2U1UnBbnZEsRyxlN7Z/vxAo+L+cf/uO r4r2kt4b0XszZIMZ/SL2EaUNbUufmipggINQPXWAnn44zrYuO8y8VPALUI2w0vInK93ls1oh4fPG HziXDiYRkpOC09JOqA7iaLs+hl/05OREcBiJjXmh54lJQ/rJk4uQO6H61FLUKxFjTeeiJebYE1nK 1WIiPe1lkybqvhKTPmX74Zk+nBoaxR9Q4nAOxfmzbJik9snEl6e+yDQEhDBarQvc4t8glluuzJIw F+MkT4juCneslIIlWt5wL7e8MIii9UCuLIn+GfUjObQqliYFtiuxH9E/ito8FXDW6K3NlXvp6Wfc JgoTNr9E+/c9xM/+mERmP6Sh8Nh2HRxiTpFfYLInhEj0LYo23y550pXFF2asFwy82y7gh4B9aICF aKhyEWzBeOM5+1FSB6qVo5yD3Ujw0FVB7yuU4DiVygPyJHnULRlGnC0hZs4jG8bqPSvFGCiXsrBR +y6lEiLujYIYN6FjG1QRdgDw9SGlTEmk/rlBruo+ub9LvDioCMx58UWQ69WmtUXaVsmawfOTe0gK 6M9rQHxSaTcynngzMZZqYNnUsMmhFSAAUNfpzv48JEM9T419iJ6rlVdTeC5pkN6QvmbgVHQ801XK /xfn4EnAkcQbgcNLEPPb+Uz4TO71w7ucCLK7aO3GYDEwyS0yFERnghuvr2q9cdoAPHhloZoNCMqB gEGd2Exou914q92VcSpu+pkX+xHuCj16Gcvy4PNo5aYeIpypoHTyubZS0hB5pUnmCCg9wBofCJ4D ttv7qZ/AXHYD2e0jDxC+ncN/4qHiF2o9UAxNIXdlqXnrnbtUmVbklgfpMFLkh6Rir+IC7IYO05pE eC5WIj1odLfT2QbTEGhZ0IhK8m6h469p8eXlK6tzSVnWxPAzPjTomDGpN9dftr0JbeXQj3JCaH5I aXodpUbux3yAh0nTOOsEUHzmYOl2NnImGPf+xT0nSW/D9I3OCiQSgOAUzpR0edMvWCmTeTRGDqp/ ug+Qi+VRNrhnhQv3uCLgEnlLh8Ig0nXBZswsiIZseLAJ1HVZIHo15LKX1o4sJHWP93YtMDKgq2yv Htfs5w/4XzzYpMjhh+FB6m3Vw/c9fE7PmYqpzPnf+66R2HUS9THmihsqsS72Y+t9AX9Q+5HCV4nc lB/11G0UeO5AVN9IvjXzqkgQH1K/hlwwiXHoAWvcBdVA4oyvxjv8E8rrGx2J6zSbpBWCR5UQH70S jy/X3NlDyeB6j9oxBeEjBWJZX7DJN7xKaXplxKjtkUuOBq9oqSiyrerMPF/R4jVAMYSeht2zSwa+ 5PocX8X1dKl9TmTBjKmjH5wJFy3mrEM9ZelHd9XRQIZcOyE8QYPzxp0NYuXrlAww4sjoSIWqPW6L gEHWL8Ngd6R7keFqq5SqPgtYFom2eQ2YAaCq6x4cw09HAKxAog9TkldwnExBMVXYcuybzTUEgIy9 HeNpV4BUbRoEqexgNHHLNKLcisI3KBM/3h8rlXwar/armCooAmsmZ21KcI2MIF3dbMpzMHhhYUWp zVW0jbhyqqyTOFABw+a90YxIm/wY42xs6TFuwmGLu2+xX+Y/W7XBtoIbaJtpxFNALLmpuW0DZuF+ O+Kv1DW/Ur1ZDSSMKbEq2FaS43WlxWUlDBdk9Ac6m0JcZW8W1gnpmHBidwGp6qUMxz+utYI42EO/ xRvFZ0ekgNzu37MkkBTyNnHadMBWqVuTWm/rXbSDOSRonQvz+RO4ST1eQ2KYbS/47pv4HCgjdJbq EvEJVa1wgX+r+dNd3WMwvd9xXN1zXoedUaHDc3Kp3mKFsBug0QW0xJGdGVyS33T4CnW6W1ngbwKB Ncp7lI+IodcnTyZN5BelE+ZYiM2RvOjp7/xYWYsmpHvRCz+5+x0sTnE8IqPYM0fAtGZjWNOtf0FT QWqxFcGxHrqwwqP9PEUzFr5JquWjKNYJkFj7X3KZXiUZ2yyCMNxj6WFOraF7AyZovgpZy51GjfC8 cSJ6Q0srEYZpeF9nRhwIuUqd8NQoMCMQSnbC9vGIxB+3593zZPc1F0zgV/rkjKgd8DcCjCZpED9w XDEk3CqY8MGD4tEB9rEeHK3FGX9jrksS8qyorGgvL2HLEVQxAIz3qBH+NpPIc26TaP7tu10kYcZz gwH6nDWdntelTTG4im/5Np53yf+qAYr+bWnv20djRe7qv8EXkB+j8kBbDNik9OuUlhjjXOXyeVj4 mzyx2QaeTk/w6/ogZW8YpnodVGAQN/kxU2GOqOmPQ56wRfwpE85BX0DC0FRK8GLs3BFg+8Bbxuhy YK/XWr0KR6/0vzxT+roCcewwTkDiaZrhMOuZRN2S3p4x1t/5sLy01B3+muYY3aII3xHMGfJ2XqLw KeD7vWgC7Mw4dfau4MRMvgO+zAiala9guqwrWnuO6HctgPYqL6QXhnIjncKUsuDfR8h7qViWnPSS EMOBjnEtX7r2/A6G7/h7M2w8FFtmluESgzcsaZYXVRAg4RTaHhBuPSY3ZSWnzKZrZgTU6ERaeQag BVL1Eq/Msq4S4uj4/HsrsHG5j7VZfUgQ3JayqXpcwd4M4mvRg1WkXAwUAqCEI0SHdOc7MztpcMUk GS06j4SP2ZdXMlt+pBzQkDeVkrJs4I+7F04aAowMiX4qcCm2alSzruI9OcaVFnLwb6BS842Bi39I x8fMoVx5XTRXNZVt6+qQt9LO4nOiCseHEk/wKcC7QYiAbyD3030+GRNny6Hm3KgsmrzT4W2RcwL3 dEfHaYALY87qGP+xjvb6oOdAZzVQaH5phmYp9c0wfb6ibyEcEwm1pxVDN4OS6Sb3xlA47tb0MWcv a0lzdeMthgNhD/lj5qzZuxzEa4ztylIuj2itKAxv7dgiLmGCOkAprhsjdMIXCz36Dr3hg9+CNIV8 LMZXRKwhZXTpOfN0KFn7iYMCOVzAJouzZvfk8cuy4XrUiEVa+P9puuYDsL1Aa7qke1+H8mD38Nvm y/a/cNYBTQ9W9TrvlZwp1mMuhScONw1go1GpXgpmqsdXZI+CBL8IBfr5+bWBSHu+P/BeF/UQhGv5 3zO3f27O9ZKC58p2VmUA7OFucgBjTvvM3j1y8hUt3PVIVnx9DUGIHwmFdtUAgAFmfbQOfxS9fi1g 9OE2MWQ+bEd8YoLnS21zVCPrSfx/VhT0N/WrozbLb/wKYSqCaLiLuD/sibvr2OWe8ee5IEh/jZZq fh7Soy3MQD6ec/swph4MHh//pgqanKumJV/bN8oyqLC0Gy+4jxr21bmKrs/64l18j1Z5heuXAbOE gB3XsTPcyay4cLNFaeR6Av/yZNawfOnQH8cxq47X3Edj2lN5lKAKc6m2V/h+dhXA6v1AbwdTDZ9w 02zuIUhSnIKWkhNfisSa6LJ4WWE9lkNwDLKgfpZIqV11qAWYAX7OVAk90bRkFfhgk6i/NWvkp6wx SvrpNcevxs7LOA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj ZJ3fEMF2Eg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494 1mvb9OIoIew9S5frQi8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2 oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM aU3uU6qaXWsFaGyQrek= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+ 6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms 6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj mKjKwY2xZcfJ/srndO8S7QOgA1cW3PG5z/BUIxX97bocLtxDa3aPkk/LZprZljgyNzZTGF6gcxBz LkEl/7f+3dcASD/i/KgBKq3OUZC517cIUJosom5xIrZP7ogbipLoucJRrZx4D/63Rac668WQR2tt XvXehOwJ/AHEp9jRPsQutrYAu3FxtGUXHsjpDuvlEQWTsec5sqEPiTB0mY6D9KTNmi47dKqUZBs+ tiYcW3MN0wSJWz0yWRlxkDOwIe8+BZhC6+qQaKz3JYut2IWLtkVrNtVa7iVztyRq0IT2GGOk9SRF zTZkv+gi8C2R/hrHkTCKcdU8xmWsQwsIv2Q1jCBEOLi6wOOosy71Lb5Ha1hxrI32m2Piyx4SnJPj n0alaIYwa5EF4wS17Evgj6P25nPfldkUvpg11cL9XFhy7sgEDKefrr22sA0/VpWWLjshH6EftVjo 7Hf9nyRYEdVapyPoEuQtTc6tIvm79PMCT+E+SZwQKcnanExfZ6otWVGF96w0z9WQWco3ELpYCZ1J +AdiwlLaM9oSfzThSi/jCqkjP0P0pl1PJTenRvHceFK3dUVi9AwxEnUSStNItyWSFwtpPhM8Z2be Hv00vEG6vQXmfTimbRm1jCp+DuADtufxpcmxPkqMwMXKZZ0EoY+/Oqavo2fwwP2ryTV8RP/939vZ N6JhMaktAxeu1pM3CnTA9YDhKlnNGvOzv5tTiJXC7gylsEhvN1KNnm/fDH1VyVaQcz3lAdMu5FNG n/wc+JiSvRjuUY2CM/9JXsljSkRSk+1YrJClkyKsQLh8pWQmirP4fCWPXtz/GtXQiL2TdjrxMvOS uKAPiN5GCbbv/3rIThnX0eVkxcqtSgccb+M+eEvEAaAHHdQdHc1a/KIsoofCKoNgXhQs/r5l3Hbt Nwaxn8GrWsqeZlhezEfIm4h04cqMp3P+D263KmwjF0iCoAjhVA7O8D2FZroVsQEHPwrCZO2BdqKP JESdF0BWIBuBbLP4uT6Nqvxm8OFIxkgz7s0KaohyhkPd8MS+5E14L49jF6VZhJl4RPPxUTXXRvPB 6bcmAfDovSDKyiRD++Uy2U/xTMgybhiAgywdOdnmaly9s520Py/KUqjdIO8Jw0WzoZAdG+AhSZy+ jOCZAtbs7UtsHmVqeyjy3n8Gd7kO4EgdiPLRJCxV4BqSpbqlaLlnxESaN7Og1mDdQb7nY9U6817F G0tWKUi3rBtn4CJ4lUoTpOJzBqqp91W8wKUKfHjWa+RcOUwaRBJKTXvMEB+QyMlCcngxhRO8iFuA vWmQ7ppu90QnuRRs6hLLCiaY9GdqtAca8q28LxLxBQDkmn/I62cvWM884VLSIRZSAK/DkQOgdVSF qq6UnXQBlcfPPjYpCGL35Po+4jFDophkxI5hl0vx0+PoNINbMxW6Sgv611+Tj37V5ufsF5xmWaRf NVYyCyY9WgE3yeMdzA5yowj9/PBFM2IYYQfZLdPMRAqMo/+KSQxdye4ZP9pn6fdXjo6H1aa2/HS6 Wk22Q4Y51bZyfbtsmDZZhulQvt0fVsj16NB+lbLHhsyISN2J1hR5j3zXUkHQw+ql57El5w9e9NaH 1kfTu4+orNjoeFouZo+xOStzfCb/2+r2JYQxVdYB2UX7gTh2+4RAVJDj7uju6bwwJ6iPKf51p8Bt E5RoJPzGgy4O8FihSOQvXtffu30vBmmkZFx9Akuw1D3rpWYDmhZMNKVFV67D9OLvv6gSTyHvQMTD lIbKIUcR0BZwgeOdXpduN6Dba6gKQnfnfE3oTl7MBW8fFknHrKLYAcFf7d3kDl2IA19vybGg941Y 0OV0i/wpGMxrWn2epjateQG+9qQbs8lc+Vioh5CIVlSVDw69DUshAaYcKURRj0SO0hd6y3qfdCTL 2RggY0OtrI/0JYVk6p1ETm71ZSlMonp56L/d4M6caj+JpMbuQswziTYJ7fXtQG0AAIe3F1RdlDmf w2LbxXPsE8gvHjQI3JeZ5Me11+ei+90vUQm+1dFBUMggWSOzhohGWOP4DBRt3XA2RCjTXUDQXJsf 5tHKzjz1TzW2krB4lPB7d07vMNI7DPM0aZ3XztW7Jw+xkYElV7vMmKMz1nd0Az73O5smjneNpEMI DLf58mcIyvWSw5eajT9CAIwVdkvbQxfGTKZGdGwHk2wJL8py2xoKQ8IZkBkDYQSL1+gUn8KcWlkw Z8so7NHwQwJh6i1XUZUBnL9A+teyhOQla6pEdIzCANG0J+IkDrfT8UpLfPz4yQTQgsyLmvbPp6Qx jFwsTQt8OXu2/7poCv0UKwYuA7AHVfZqPoV1yzAYEZL+xTSis8MMwVqpTxYIO21pPt4eI54Y0sMg ylycsfNowCYSxKc+9IdlySefyorHA0V+aFYTABSq4UXFowM7wZRs/1VyZnKZJpAIjnlt3rD/d8y4 ximpTa+Uh2UCO+GfH9XMHIMVEGCwVsZ/MvNh/pTWNh0YKHnJqyjtrA/G+clmO30FP+P/kIBBqHGT 9HBg/npfwVV9+fn3CSAAZ/Kj/rGrpUF2be9znnqm1Y2jB5cku5UX3a6eXxkwVLfMuEuLfcvJzByZ la9gH/VXAM3frasuugoGCFhZvNSCpjmAMmcixbrgFdUKjcigEw7aHRzElgs1eX2iToW7/jjnVU+9 Du/x7/3wLpmbn78PVWrHDYjoPgcw4SgIG0j07z35lcYyxQPx5Zwm2/m0FLzBwKlzspsQa9oBl599 Svg6e6D1WoE2Gc8hqXKMbRAvB4mD6fGPYzLvvv2Ccz8WEAg9eAuvktDLVHz6vbzSkqPAH0q4mboe yi1gUNct+ecX5mOyS+gc5MiyIIWfW6oSczaaKIAelGYZls08qBF2XpwK34XzEj5O4mnVQP3kn1ko fYdV5yiFVzbDHcFyG+phdxMqYCtQoqrQqX4tCpvVv4nq/vlLUtDaAySuRFh3HPM3nizMbglhIDVm 6N3giXlxHRJ4aK1lfULcA6M3jbEUwW5v4zkPQ0g8qsnMRJB80wWFXolObMOkLlOe8GuvU13rwM76 lpS8Jy4tq4A2e5/eaUXoZ5/0+uk1owrB/PUM7JUDtkZtD4UUAPOUlysbxJecDvCEd1+xjvK5gK5S cnf8SrVOneQJQiJ5O/Nn6oQY6S/7jX06OVw4S91h1rV+4qubSaSi/1pdIUpWu3oHxb36TEmVJFUc 1iOkWnqtg5RlYrwhfeKAvrCbH/p4v+Dr5O6E0vIpMh1WBYD8tEjGgqsg0lZlo9V96PvPP5WextoF PWCqMC3tQ39qT5I9dfEUtrMokdUP+yn/LNR54NFG22iU37cXN5AapRKtutJdPt3E0T6Tb1EVhE0f kUllBhGSoW5rpjONyX9Xv0NNrAZ7n70liRkcrHgn19OhUNOoR1im5VoNq2mgotV0R7obyFnYTvxG m9akp21ITeWhNaqyG+qm+uv+5wX0PzqSDUxejfKkqHXc8fATwXI1yUKUJ8LkhRW6gsYSJqmm4XHH rhDk254i37tUpR1zgjnov5RUhDQdbEmiW1DNZgyGTeaIDP1O3qs14Lqx947N5g4iwKMCkaFBNWOw ZyBZ/jAaMscMmifltLh1GRP6sO34mkLUlh+JmVoogMtDmQTYIvJECpk0dJjrFbCoGJQZNyhFKrOF zmF6CEGsQfKtO6S04m5K6h1U19ilL8wlQkZgz7GodQ5VFzAb747RHq1vZDc1IC2aXwlpbNvXbBvy VtkMUXAF577/v2QByJ5JDu321TcidCLN2b43f3DhtyR6Vz7Y5XRf8czEpFBypnF+HGNqSF5ssrIB Api4fVrd3hmKZzqdzhE6NnfQKk5qymU/JXK0Q3GKGEvLhb4NyFMjTMT8+w/lOwwFa0kh+Gm1JIEe 80k47GOHirSUREWdh1EU06Frda5Sa2ruGCDxmSIOg7nj30s0hqs6ckF/helao4rm+xHZOFsyxwzD w+l1WqEI23ptTykeYfOCyaBTMh97Hq4bQIsYGjHh4DRadOoyuwsSeFYueqCMs1PrlLMhzSoyohdK OlWK/ubEnSp5fR/Vx02XlAiTRa2D8doqJEiDlDLrCDFESGPwL7tWy60V7XxQeu5tUsnxI4DUn4N3 B9fTV7srsSmZbEqqKucXn9lwKp9j6IkQAahMHeeTVLOjR5mhF/EVbhFGKMlW8U6ZJN9G3s0rMpAj UvmK1tgOJWZWwLoCFM6hUc8QIyvkE8NenW3fGFbvAd7le2U1UnBbnZEsRyxlN7Z/vxAo+L+cf/uO r4r2kt4b0XszZIMZ/SL2EaUNbUufmipggINQPXWAnn44zrYuO8y8VPALUI2w0vInK93ls1oh4fPG HziXDiYRkpOC09JOqA7iaLs+hl/05OREcBiJjXmh54lJQ/rJk4uQO6H61FLUKxFjTeeiJebYE1nK 1WIiPe1lkybqvhKTPmX74Zk+nBoaxR9Q4nAOxfmzbJik9snEl6e+yDQEhDBarQvc4t8glluuzJIw F+MkT4juCneslIIlWt5wL7e8MIii9UCuLIn+GfUjObQqliYFtiuxH9E/ito8FXDW6K3NlXvp6Wfc JgoTNr9E+/c9xM/+mERmP6Sh8Nh2HRxiTpFfYLInhEj0LYo23y550pXFF2asFwy82y7gh4B9aICF aKhyEWzBeOM5+1FSB6qVo5yD3Ujw0FVB7yuU4DiVygPyJHnULRlGnC0hZs4jG8bqPSvFGCiXsrBR +y6lEiLujYIYN6FjG1QRdgDw9SGlTEmk/rlBruo+ub9LvDioCMx58UWQ69WmtUXaVsmawfOTe0gK 6M9rQHxSaTcynngzMZZqYNnUsMmhFSAAUNfpzv48JEM9T419iJ6rlVdTeC5pkN6QvmbgVHQ801XK /xfn4EnAkcQbgcNLEPPb+Uz4TO71w7ucCLK7aO3GYDEwyS0yFERnghuvr2q9cdoAPHhloZoNCMqB gEGd2Exou914q92VcSpu+pkX+xHuCj16Gcvy4PNo5aYeIpypoHTyubZS0hB5pUnmCCg9wBofCJ4D ttv7qZ/AXHYD2e0jDxC+ncN/4qHiF2o9UAxNIXdlqXnrnbtUmVbklgfpMFLkh6Rir+IC7IYO05pE eC5WIj1odLfT2QbTEGhZ0IhK8m6h469p8eXlK6tzSVnWxPAzPjTomDGpN9dftr0JbeXQj3JCaH5I aXodpUbux3yAh0nTOOsEUHzmYOl2NnImGPf+xT0nSW/D9I3OCiQSgOAUzpR0edMvWCmTeTRGDqp/ ug+Qi+VRNrhnhQv3uCLgEnlLh8Ig0nXBZswsiIZseLAJ1HVZIHo15LKX1o4sJHWP93YtMDKgq2yv Htfs5w/4XzzYpMjhh+FB6m3Vw/c9fE7PmYqpzPnf+66R2HUS9THmihsqsS72Y+t9AX9Q+5HCV4nc lB/11G0UeO5AVN9IvjXzqkgQH1K/hlwwiXHoAWvcBdVA4oyvxjv8E8rrGx2J6zSbpBWCR5UQH70S jy/X3NlDyeB6j9oxBeEjBWJZX7DJN7xKaXplxKjtkUuOBq9oqSiyrerMPF/R4jVAMYSeht2zSwa+ 5PocX8X1dKl9TmTBjKmjH5wJFy3mrEM9ZelHd9XRQIZcOyE8QYPzxp0NYuXrlAww4sjoSIWqPW6L gEHWL8Ngd6R7keFqq5SqPgtYFom2eQ2YAaCq6x4cw09HAKxAog9TkldwnExBMVXYcuybzTUEgIy9 HeNpV4BUbRoEqexgNHHLNKLcisI3KBM/3h8rlXwar/armCooAmsmZ21KcI2MIF3dbMpzMHhhYUWp zVW0jbhyqqyTOFABw+a90YxIm/wY42xs6TFuwmGLu2+xX+Y/W7XBtoIbaJtpxFNALLmpuW0DZuF+ O+Kv1DW/Ur1ZDSSMKbEq2FaS43WlxWUlDBdk9Ac6m0JcZW8W1gnpmHBidwGp6qUMxz+utYI42EO/ xRvFZ0ekgNzu37MkkBTyNnHadMBWqVuTWm/rXbSDOSRonQvz+RO4ST1eQ2KYbS/47pv4HCgjdJbq EvEJVa1wgX+r+dNd3WMwvd9xXN1zXoedUaHDc3Kp3mKFsBug0QW0xJGdGVyS33T4CnW6W1ngbwKB Ncp7lI+IodcnTyZN5BelE+ZYiM2RvOjp7/xYWYsmpHvRCz+5+x0sTnE8IqPYM0fAtGZjWNOtf0FT QWqxFcGxHrqwwqP9PEUzFr5JquWjKNYJkFj7X3KZXiUZ2yyCMNxj6WFOraF7AyZovgpZy51GjfC8 cSJ6Q0srEYZpeF9nRhwIuUqd8NQoMCMQSnbC9vGIxB+3593zZPc1F0zgV/rkjKgd8DcCjCZpED9w XDEk3CqY8MGD4tEB9rEeHK3FGX9jrksS8qyorGgvL2HLEVQxAIz3qBH+NpPIc26TaP7tu10kYcZz gwH6nDWdntelTTG4im/5Np53yf+qAYr+bWnv20djRe7qv8EXkB+j8kBbDNik9OuUlhjjXOXyeVj4 mzyx2QaeTk/w6/ogZW8YpnodVGAQN/kxU2GOqOmPQ56wRfwpE85BX0DC0FRK8GLs3BFg+8Bbxuhy YK/XWr0KR6/0vzxT+roCcewwTkDiaZrhMOuZRN2S3p4x1t/5sLy01B3+muYY3aII3xHMGfJ2XqLw KeD7vWgC7Mw4dfau4MRMvgO+zAiala9guqwrWnuO6HctgPYqL6QXhnIjncKUsuDfR8h7qViWnPSS EMOBjnEtX7r2/A6G7/h7M2w8FFtmluESgzcsaZYXVRAg4RTaHhBuPSY3ZSWnzKZrZgTU6ERaeQag BVL1Eq/Msq4S4uj4/HsrsHG5j7VZfUgQ3JayqXpcwd4M4mvRg1WkXAwUAqCEI0SHdOc7MztpcMUk GS06j4SP2ZdXMlt+pBzQkDeVkrJs4I+7F04aAowMiX4qcCm2alSzruI9OcaVFnLwb6BS842Bi39I x8fMoVx5XTRXNZVt6+qQt9LO4nOiCseHEk/wKcC7QYiAbyD3030+GRNny6Hm3KgsmrzT4W2RcwL3 dEfHaYALY87qGP+xjvb6oOdAZzVQaH5phmYp9c0wfb6ibyEcEwm1pxVDN4OS6Sb3xlA47tb0MWcv a0lzdeMthgNhD/lj5qzZuxzEa4ztylIuj2itKAxv7dgiLmGCOkAprhsjdMIXCz36Dr3hg9+CNIV8 LMZXRKwhZXTpOfN0KFn7iYMCOVzAJouzZvfk8cuy4XrUiEVa+P9puuYDsL1Aa7qke1+H8mD38Nvm y/a/cNYBTQ9W9TrvlZwp1mMuhScONw1go1GpXgpmqsdXZI+CBL8IBfr5+bWBSHu+P/BeF/UQhGv5 3zO3f27O9ZKC58p2VmUA7OFucgBjTvvM3j1y8hUt3PVIVnx9DUGIHwmFdtUAgAFmfbQOfxS9fi1g 9OE2MWQ+bEd8YoLnS21zVCPrSfx/VhT0N/WrozbLb/wKYSqCaLiLuD/sibvr2OWe8ee5IEh/jZZq fh7Soy3MQD6ec/swph4MHh//pgqanKumJV/bN8oyqLC0Gy+4jxr21bmKrs/64l18j1Z5heuXAbOE gB3XsTPcyay4cLNFaeR6Av/yZNawfOnQH8cxq47X3Edj2lN5lKAKc6m2V/h+dhXA6v1AbwdTDZ9w 02zuIUhSnIKWkhNfisSa6LJ4WWE9lkNwDLKgfpZIqV11qAWYAX7OVAk90bRkFfhgk6i/NWvkp6wx SvrpNcevxs7LOA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj ZJ3fEMF2Eg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494 1mvb9OIoIew9S5frQi8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2 oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM aU3uU6qaXWsFaGyQrek= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+ 6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms 6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj mKjKwY2xZcfJ/srndO8S7QOgA1cW3PG5z/BUIxX97bocLtxDa3aPkk/LZprZljgyNzZTGF6gcxBz LkEl/7f+3dcASD/i/KgBKq3OUZC517cIUJosom5xIrZP7ogbipLoucJRrZx4D/63Rac668WQR2tt XvXehOwJ/AHEp9jRPsQutrYAu3FxtGUXHsjpDuvlEQWTsec5sqEPiTB0mY6D9KTNmi47dKqUZBs+ tiYcW3MN0wSJWz0yWRlxkDOwIe8+BZhC6+qQaKz3JYut2IWLtkVrNtVa7iVztyRq0IT2GGOk9SRF zTZkv+gi8C2R/hrHkTCKcdU8xmWsQwsIv2Q1jCBEOLi6wOOosy71Lb5Ha1hxrI32m2Piyx4SnJPj n0alaIYwa5EF4wS17Evgj6P25nPfldkUvpg11cL9XFhy7sgEDKefrr22sA0/VpWWLjshH6EftVjo 7Hf9nyRYEdVapyPoEuQtTc6tIvm79PMCT+E+SZwQKcnanExfZ6otWVGF96w0z9WQWco3ELpYCZ1J +AdiwlLaM9oSfzThSi/jCqkjP0P0pl1PJTenRvHceFK3dUVi9AwxEnUSStNItyWSFwtpPhM8Z2be Hv00vEG6vQXmfTimbRm1jCp+DuADtufxpcmxPkqMwMXKZZ0EoY+/Oqavo2fwwP2ryTV8RP/939vZ N6JhMaktAxeu1pM3CnTA9YDhKlnNGvOzv5tTiJXC7gylsEhvN1KNnm/fDH1VyVaQcz3lAdMu5FNG n/wc+JiSvRjuUY2CM/9JXsljSkRSk+1YrJClkyKsQLh8pWQmirP4fCWPXtz/GtXQiL2TdjrxMvOS uKAPiN5GCbbv/3rIThnX0eVkxcqtSgccb+M+eEvEAaAHHdQdHc1a/KIsoofCKoNgXhQs/r5l3Hbt Nwaxn8GrWsqeZlhezEfIm4h04cqMp3P+D263KmwjF0iCoAjhVA7O8D2FZroVsQEHPwrCZO2BdqKP JESdF0BWIBuBbLP4uT6Nqvxm8OFIxkgz7s0KaohyhkPd8MS+5E14L49jF6VZhJl4RPPxUTXXRvPB 6bcmAfDovSDKyiRD++Uy2U/xTMgybhiAgywdOdnmaly9s520Py/KUqjdIO8Jw0WzoZAdG+AhSZy+ jOCZAtbs7UtsHmVqeyjy3n8Gd7kO4EgdiPLRJCxV4BqSpbqlaLlnxESaN7Og1mDdQb7nY9U6817F G0tWKUi3rBtn4CJ4lUoTpOJzBqqp91W8wKUKfHjWa+RcOUwaRBJKTXvMEB+QyMlCcngxhRO8iFuA vWmQ7ppu90QnuRRs6hLLCiaY9GdqtAca8q28LxLxBQDkmn/I62cvWM884VLSIRZSAK/DkQOgdVSF qq6UnXQBlcfPPjYpCGL35Po+4jFDophkxI5hl0vx0+PoNINbMxW6Sgv611+Tj37V5ufsF5xmWaRf NVYyCyY9WgE3yeMdzA5yowj9/PBFM2IYYQfZLdPMRAqMo/+KSQxdye4ZP9pn6fdXjo6H1aa2/HS6 Wk22Q4Y51bZyfbtsmDZZhulQvt0fVsj16NB+lbLHhsyISN2J1hR5j3zXUkHQw+ql57El5w9e9NaH 1kfTu4+orNjoeFouZo+xOStzfCb/2+r2JYQxVdYB2UX7gTh2+4RAVJDj7uju6bwwJ6iPKf51p8Bt E5RoJPzGgy4O8FihSOQvXtffu30vBmmkZFx9Akuw1D3rpWYDmhZMNKVFV67D9OLvv6gSTyHvQMTD lIbKIUcR0BZwgeOdXpduN6Dba6gKQnfnfE3oTl7MBW8fFknHrKLYAcFf7d3kDl2IA19vybGg941Y 0OV0i/wpGMxrWn2epjateQG+9qQbs8lc+Vioh5CIVlSVDw69DUshAaYcKURRj0SO0hd6y3qfdCTL 2RggY0OtrI/0JYVk6p1ETm71ZSlMonp56L/d4M6caj+JpMbuQswziTYJ7fXtQG0AAIe3F1RdlDmf w2LbxXPsE8gvHjQI3JeZ5Me11+ei+90vUQm+1dFBUMggWSOzhohGWOP4DBRt3XA2RCjTXUDQXJsf 5tHKzjz1TzW2krB4lPB7d07vMNI7DPM0aZ3XztW7Jw+xkYElV7vMmKMz1nd0Az73O5smjneNpEMI DLf58mcIyvWSw5eajT9CAIwVdkvbQxfGTKZGdGwHk2wJL8py2xoKQ8IZkBkDYQSL1+gUn8KcWlkw Z8so7NHwQwJh6i1XUZUBnL9A+teyhOQla6pEdIzCANG0J+IkDrfT8UpLfPz4yQTQgsyLmvbPp6Qx jFwsTQt8OXu2/7poCv0UKwYuA7AHVfZqPoV1yzAYEZL+xTSis8MMwVqpTxYIO21pPt4eI54Y0sMg ylycsfNowCYSxKc+9IdlySefyorHA0V+aFYTABSq4UXFowM7wZRs/1VyZnKZJpAIjnlt3rD/d8y4 ximpTa+Uh2UCO+GfH9XMHIMVEGCwVsZ/MvNh/pTWNh0YKHnJqyjtrA/G+clmO30FP+P/kIBBqHGT 9HBg/npfwVV9+fn3CSAAZ/Kj/rGrpUF2be9znnqm1Y2jB5cku5UX3a6eXxkwVLfMuEuLfcvJzByZ la9gH/VXAM3frasuugoGCFhZvNSCpjmAMmcixbrgFdUKjcigEw7aHRzElgs1eX2iToW7/jjnVU+9 Du/x7/3wLpmbn78PVWrHDYjoPgcw4SgIG0j07z35lcYyxQPx5Zwm2/m0FLzBwKlzspsQa9oBl599 Svg6e6D1WoE2Gc8hqXKMbRAvB4mD6fGPYzLvvv2Ccz8WEAg9eAuvktDLVHz6vbzSkqPAH0q4mboe yi1gUNct+ecX5mOyS+gc5MiyIIWfW6oSczaaKIAelGYZls08qBF2XpwK34XzEj5O4mnVQP3kn1ko fYdV5yiFVzbDHcFyG+phdxMqYCtQoqrQqX4tCpvVv4nq/vlLUtDaAySuRFh3HPM3nizMbglhIDVm 6N3giXlxHRJ4aK1lfULcA6M3jbEUwW5v4zkPQ0g8qsnMRJB80wWFXolObMOkLlOe8GuvU13rwM76 lpS8Jy4tq4A2e5/eaUXoZ5/0+uk1owrB/PUM7JUDtkZtD4UUAPOUlysbxJecDvCEd1+xjvK5gK5S cnf8SrVOneQJQiJ5O/Nn6oQY6S/7jX06OVw4S91h1rV+4qubSaSi/1pdIUpWu3oHxb36TEmVJFUc 1iOkWnqtg5RlYrwhfeKAvrCbH/p4v+Dr5O6E0vIpMh1WBYD8tEjGgqsg0lZlo9V96PvPP5WextoF PWCqMC3tQ39qT5I9dfEUtrMokdUP+yn/LNR54NFG22iU37cXN5AapRKtutJdPt3E0T6Tb1EVhE0f kUllBhGSoW5rpjONyX9Xv0NNrAZ7n70liRkcrHgn19OhUNOoR1im5VoNq2mgotV0R7obyFnYTvxG m9akp21ITeWhNaqyG+qm+uv+5wX0PzqSDUxejfKkqHXc8fATwXI1yUKUJ8LkhRW6gsYSJqmm4XHH rhDk254i37tUpR1zgjnov5RUhDQdbEmiW1DNZgyGTeaIDP1O3qs14Lqx947N5g4iwKMCkaFBNWOw ZyBZ/jAaMscMmifltLh1GRP6sO34mkLUlh+JmVoogMtDmQTYIvJECpk0dJjrFbCoGJQZNyhFKrOF zmF6CEGsQfKtO6S04m5K6h1U19ilL8wlQkZgz7GodQ5VFzAb747RHq1vZDc1IC2aXwlpbNvXbBvy VtkMUXAF577/v2QByJ5JDu321TcidCLN2b43f3DhtyR6Vz7Y5XRf8czEpFBypnF+HGNqSF5ssrIB Api4fVrd3hmKZzqdzhE6NnfQKk5qymU/JXK0Q3GKGEvLhb4NyFMjTMT8+w/lOwwFa0kh+Gm1JIEe 80k47GOHirSUREWdh1EU06Frda5Sa2ruGCDxmSIOg7nj30s0hqs6ckF/helao4rm+xHZOFsyxwzD w+l1WqEI23ptTykeYfOCyaBTMh97Hq4bQIsYGjHh4DRadOoyuwsSeFYueqCMs1PrlLMhzSoyohdK OlWK/ubEnSp5fR/Vx02XlAiTRa2D8doqJEiDlDLrCDFESGPwL7tWy60V7XxQeu5tUsnxI4DUn4N3 B9fTV7srsSmZbEqqKucXn9lwKp9j6IkQAahMHeeTVLOjR5mhF/EVbhFGKMlW8U6ZJN9G3s0rMpAj UvmK1tgOJWZWwLoCFM6hUc8QIyvkE8NenW3fGFbvAd7le2U1UnBbnZEsRyxlN7Z/vxAo+L+cf/uO r4r2kt4b0XszZIMZ/SL2EaUNbUufmipggINQPXWAnn44zrYuO8y8VPALUI2w0vInK93ls1oh4fPG HziXDiYRkpOC09JOqA7iaLs+hl/05OREcBiJjXmh54lJQ/rJk4uQO6H61FLUKxFjTeeiJebYE1nK 1WIiPe1lkybqvhKTPmX74Zk+nBoaxR9Q4nAOxfmzbJik9snEl6e+yDQEhDBarQvc4t8glluuzJIw F+MkT4juCneslIIlWt5wL7e8MIii9UCuLIn+GfUjObQqliYFtiuxH9E/ito8FXDW6K3NlXvp6Wfc JgoTNr9E+/c9xM/+mERmP6Sh8Nh2HRxiTpFfYLInhEj0LYo23y550pXFF2asFwy82y7gh4B9aICF aKhyEWzBeOM5+1FSB6qVo5yD3Ujw0FVB7yuU4DiVygPyJHnULRlGnC0hZs4jG8bqPSvFGCiXsrBR +y6lEiLujYIYN6FjG1QRdgDw9SGlTEmk/rlBruo+ub9LvDioCMx58UWQ69WmtUXaVsmawfOTe0gK 6M9rQHxSaTcynngzMZZqYNnUsMmhFSAAUNfpzv48JEM9T419iJ6rlVdTeC5pkN6QvmbgVHQ801XK /xfn4EnAkcQbgcNLEPPb+Uz4TO71w7ucCLK7aO3GYDEwyS0yFERnghuvr2q9cdoAPHhloZoNCMqB gEGd2Exou914q92VcSpu+pkX+xHuCj16Gcvy4PNo5aYeIpypoHTyubZS0hB5pUnmCCg9wBofCJ4D ttv7qZ/AXHYD2e0jDxC+ncN/4qHiF2o9UAxNIXdlqXnrnbtUmVbklgfpMFLkh6Rir+IC7IYO05pE eC5WIj1odLfT2QbTEGhZ0IhK8m6h469p8eXlK6tzSVnWxPAzPjTomDGpN9dftr0JbeXQj3JCaH5I aXodpUbux3yAh0nTOOsEUHzmYOl2NnImGPf+xT0nSW/D9I3OCiQSgOAUzpR0edMvWCmTeTRGDqp/ ug+Qi+VRNrhnhQv3uCLgEnlLh8Ig0nXBZswsiIZseLAJ1HVZIHo15LKX1o4sJHWP93YtMDKgq2yv Htfs5w/4XzzYpMjhh+FB6m3Vw/c9fE7PmYqpzPnf+66R2HUS9THmihsqsS72Y+t9AX9Q+5HCV4nc lB/11G0UeO5AVN9IvjXzqkgQH1K/hlwwiXHoAWvcBdVA4oyvxjv8E8rrGx2J6zSbpBWCR5UQH70S jy/X3NlDyeB6j9oxBeEjBWJZX7DJN7xKaXplxKjtkUuOBq9oqSiyrerMPF/R4jVAMYSeht2zSwa+ 5PocX8X1dKl9TmTBjKmjH5wJFy3mrEM9ZelHd9XRQIZcOyE8QYPzxp0NYuXrlAww4sjoSIWqPW6L gEHWL8Ngd6R7keFqq5SqPgtYFom2eQ2YAaCq6x4cw09HAKxAog9TkldwnExBMVXYcuybzTUEgIy9 HeNpV4BUbRoEqexgNHHLNKLcisI3KBM/3h8rlXwar/armCooAmsmZ21KcI2MIF3dbMpzMHhhYUWp zVW0jbhyqqyTOFABw+a90YxIm/wY42xs6TFuwmGLu2+xX+Y/W7XBtoIbaJtpxFNALLmpuW0DZuF+ O+Kv1DW/Ur1ZDSSMKbEq2FaS43WlxWUlDBdk9Ac6m0JcZW8W1gnpmHBidwGp6qUMxz+utYI42EO/ xRvFZ0ekgNzu37MkkBTyNnHadMBWqVuTWm/rXbSDOSRonQvz+RO4ST1eQ2KYbS/47pv4HCgjdJbq EvEJVa1wgX+r+dNd3WMwvd9xXN1zXoedUaHDc3Kp3mKFsBug0QW0xJGdGVyS33T4CnW6W1ngbwKB Ncp7lI+IodcnTyZN5BelE+ZYiM2RvOjp7/xYWYsmpHvRCz+5+x0sTnE8IqPYM0fAtGZjWNOtf0FT QWqxFcGxHrqwwqP9PEUzFr5JquWjKNYJkFj7X3KZXiUZ2yyCMNxj6WFOraF7AyZovgpZy51GjfC8 cSJ6Q0srEYZpeF9nRhwIuUqd8NQoMCMQSnbC9vGIxB+3593zZPc1F0zgV/rkjKgd8DcCjCZpED9w XDEk3CqY8MGD4tEB9rEeHK3FGX9jrksS8qyorGgvL2HLEVQxAIz3qBH+NpPIc26TaP7tu10kYcZz gwH6nDWdntelTTG4im/5Np53yf+qAYr+bWnv20djRe7qv8EXkB+j8kBbDNik9OuUlhjjXOXyeVj4 mzyx2QaeTk/w6/ogZW8YpnodVGAQN/kxU2GOqOmPQ56wRfwpE85BX0DC0FRK8GLs3BFg+8Bbxuhy YK/XWr0KR6/0vzxT+roCcewwTkDiaZrhMOuZRN2S3p4x1t/5sLy01B3+muYY3aII3xHMGfJ2XqLw KeD7vWgC7Mw4dfau4MRMvgO+zAiala9guqwrWnuO6HctgPYqL6QXhnIjncKUsuDfR8h7qViWnPSS EMOBjnEtX7r2/A6G7/h7M2w8FFtmluESgzcsaZYXVRAg4RTaHhBuPSY3ZSWnzKZrZgTU6ERaeQag BVL1Eq/Msq4S4uj4/HsrsHG5j7VZfUgQ3JayqXpcwd4M4mvRg1WkXAwUAqCEI0SHdOc7MztpcMUk GS06j4SP2ZdXMlt+pBzQkDeVkrJs4I+7F04aAowMiX4qcCm2alSzruI9OcaVFnLwb6BS842Bi39I x8fMoVx5XTRXNZVt6+qQt9LO4nOiCseHEk/wKcC7QYiAbyD3030+GRNny6Hm3KgsmrzT4W2RcwL3 dEfHaYALY87qGP+xjvb6oOdAZzVQaH5phmYp9c0wfb6ibyEcEwm1pxVDN4OS6Sb3xlA47tb0MWcv a0lzdeMthgNhD/lj5qzZuxzEa4ztylIuj2itKAxv7dgiLmGCOkAprhsjdMIXCz36Dr3hg9+CNIV8 LMZXRKwhZXTpOfN0KFn7iYMCOVzAJouzZvfk8cuy4XrUiEVa+P9puuYDsL1Aa7qke1+H8mD38Nvm y/a/cNYBTQ9W9TrvlZwp1mMuhScONw1go1GpXgpmqsdXZI+CBL8IBfr5+bWBSHu+P/BeF/UQhGv5 3zO3f27O9ZKC58p2VmUA7OFucgBjTvvM3j1y8hUt3PVIVnx9DUGIHwmFdtUAgAFmfbQOfxS9fi1g 9OE2MWQ+bEd8YoLnS21zVCPrSfx/VhT0N/WrozbLb/wKYSqCaLiLuD/sibvr2OWe8ee5IEh/jZZq fh7Soy3MQD6ec/swph4MHh//pgqanKumJV/bN8oyqLC0Gy+4jxr21bmKrs/64l18j1Z5heuXAbOE gB3XsTPcyay4cLNFaeR6Av/yZNawfOnQH8cxq47X3Edj2lN5lKAKc6m2V/h+dhXA6v1AbwdTDZ9w 02zuIUhSnIKWkhNfisSa6LJ4WWE9lkNwDLKgfpZIqV11qAWYAX7OVAk90bRkFfhgk6i/NWvkp6wx SvrpNcevxs7LOA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj ZJ3fEMF2Eg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494 1mvb9OIoIew9S5frQi8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2 oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM aU3uU6qaXWsFaGyQrek= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+ 6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms 6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj mKjKwY2xZcfJ/srndO8S7QOgA1cW3PG5z/BUIxX97bocLtxDa3aPkk/LZprZljgyNzZTGF6gcxBz LkEl/7f+3dcASD/i/KgBKq3OUZC517cIUJosom5xIrZP7ogbipLoucJRrZx4D/63Rac668WQR2tt XvXehOwJ/AHEp9jRPsQutrYAu3FxtGUXHsjpDuvlEQWTsec5sqEPiTB0mY6D9KTNmi47dKqUZBs+ tiYcW3MN0wSJWz0yWRlxkDOwIe8+BZhC6+qQaKz3JYut2IWLtkVrNtVa7iVztyRq0IT2GGOk9SRF zTZkv+gi8C2R/hrHkTCKcdU8xmWsQwsIv2Q1jCBEOLi6wOOosy71Lb5Ha1hxrI32m2Piyx4SnJPj n0alaIYwa5EF4wS17Evgj6P25nPfldkUvpg11cL9XFhy7sgEDKefrr22sA0/VpWWLjshH6EftVjo 7Hf9nyRYEdVapyPoEuQtTc6tIvm79PMCT+E+SZwQKcnanExfZ6otWVGF96w0z9WQWco3ELpYCZ1J +AdiwlLaM9oSfzThSi/jCqkjP0P0pl1PJTenRvHceFK3dUVi9AwxEnUSStNItyWSFwtpPhM8Z2be Hv00vEG6vQXmfTimbRm1jCp+DuADtufxpcmxPkqMwMXKZZ0EoY+/Oqavo2fwwP2ryTV8RP/939vZ N6JhMaktAxeu1pM3CnTA9YDhKlnNGvOzv5tTiJXC7gylsEhvN1KNnm/fDH1VyVaQcz3lAdMu5FNG n/wc+JiSvRjuUY2CM/9JXsljSkRSk+1YrJClkyKsQLh8pWQmirP4fCWPXtz/GtXQiL2TdjrxMvOS uKAPiN5GCbbv/3rIThnX0eVkxcqtSgccb+M+eEvEAaAHHdQdHc1a/KIsoofCKoNgXhQs/r5l3Hbt Nwaxn8GrWsqeZlhezEfIm4h04cqMp3P+D263KmwjF0iCoAjhVA7O8D2FZroVsQEHPwrCZO2BdqKP JESdF0BWIBuBbLP4uT6Nqvxm8OFIxkgz7s0KaohyhkPd8MS+5E14L49jF6VZhJl4RPPxUTXXRvPB 6bcmAfDovSDKyiRD++Uy2U/xTMgybhiAgywdOdnmaly9s520Py/KUqjdIO8Jw0WzoZAdG+AhSZy+ jOCZAtbs7UtsHmVqeyjy3n8Gd7kO4EgdiPLRJCxV4BqSpbqlaLlnxESaN7Og1mDdQb7nY9U6817F G0tWKUi3rBtn4CJ4lUoTpOJzBqqp91W8wKUKfHjWa+RcOUwaRBJKTXvMEB+QyMlCcngxhRO8iFuA vWmQ7ppu90QnuRRs6hLLCiaY9GdqtAca8q28LxLxBQDkmn/I62cvWM884VLSIRZSAK/DkQOgdVSF qq6UnXQBlcfPPjYpCGL35Po+4jFDophkxI5hl0vx0+PoNINbMxW6Sgv611+Tj37V5ufsF5xmWaRf NVYyCyY9WgE3yeMdzA5yowj9/PBFM2IYYQfZLdPMRAqMo/+KSQxdye4ZP9pn6fdXjo6H1aa2/HS6 Wk22Q4Y51bZyfbtsmDZZhulQvt0fVsj16NB+lbLHhsyISN2J1hR5j3zXUkHQw+ql57El5w9e9NaH 1kfTu4+orNjoeFouZo+xOStzfCb/2+r2JYQxVdYB2UX7gTh2+4RAVJDj7uju6bwwJ6iPKf51p8Bt E5RoJPzGgy4O8FihSOQvXtffu30vBmmkZFx9Akuw1D3rpWYDmhZMNKVFV67D9OLvv6gSTyHvQMTD lIbKIUcR0BZwgeOdXpduN6Dba6gKQnfnfE3oTl7MBW8fFknHrKLYAcFf7d3kDl2IA19vybGg941Y 0OV0i/wpGMxrWn2epjateQG+9qQbs8lc+Vioh5CIVlSVDw69DUshAaYcKURRj0SO0hd6y3qfdCTL 2RggY0OtrI/0JYVk6p1ETm71ZSlMonp56L/d4M6caj+JpMbuQswziTYJ7fXtQG0AAIe3F1RdlDmf w2LbxXPsE8gvHjQI3JeZ5Me11+ei+90vUQm+1dFBUMggWSOzhohGWOP4DBRt3XA2RCjTXUDQXJsf 5tHKzjz1TzW2krB4lPB7d07vMNI7DPM0aZ3XztW7Jw+xkYElV7vMmKMz1nd0Az73O5smjneNpEMI DLf58mcIyvWSw5eajT9CAIwVdkvbQxfGTKZGdGwHk2wJL8py2xoKQ8IZkBkDYQSL1+gUn8KcWlkw Z8so7NHwQwJh6i1XUZUBnL9A+teyhOQla6pEdIzCANG0J+IkDrfT8UpLfPz4yQTQgsyLmvbPp6Qx jFwsTQt8OXu2/7poCv0UKwYuA7AHVfZqPoV1yzAYEZL+xTSis8MMwVqpTxYIO21pPt4eI54Y0sMg ylycsfNowCYSxKc+9IdlySefyorHA0V+aFYTABSq4UXFowM7wZRs/1VyZnKZJpAIjnlt3rD/d8y4 ximpTa+Uh2UCO+GfH9XMHIMVEGCwVsZ/MvNh/pTWNh0YKHnJqyjtrA/G+clmO30FP+P/kIBBqHGT 9HBg/npfwVV9+fn3CSAAZ/Kj/rGrpUF2be9znnqm1Y2jB5cku5UX3a6eXxkwVLfMuEuLfcvJzByZ la9gH/VXAM3frasuugoGCFhZvNSCpjmAMmcixbrgFdUKjcigEw7aHRzElgs1eX2iToW7/jjnVU+9 Du/x7/3wLpmbn78PVWrHDYjoPgcw4SgIG0j07z35lcYyxQPx5Zwm2/m0FLzBwKlzspsQa9oBl599 Svg6e6D1WoE2Gc8hqXKMbRAvB4mD6fGPYzLvvv2Ccz8WEAg9eAuvktDLVHz6vbzSkqPAH0q4mboe yi1gUNct+ecX5mOyS+gc5MiyIIWfW6oSczaaKIAelGYZls08qBF2XpwK34XzEj5O4mnVQP3kn1ko fYdV5yiFVzbDHcFyG+phdxMqYCtQoqrQqX4tCpvVv4nq/vlLUtDaAySuRFh3HPM3nizMbglhIDVm 6N3giXlxHRJ4aK1lfULcA6M3jbEUwW5v4zkPQ0g8qsnMRJB80wWFXolObMOkLlOe8GuvU13rwM76 lpS8Jy4tq4A2e5/eaUXoZ5/0+uk1owrB/PUM7JUDtkZtD4UUAPOUlysbxJecDvCEd1+xjvK5gK5S cnf8SrVOneQJQiJ5O/Nn6oQY6S/7jX06OVw4S91h1rV+4qubSaSi/1pdIUpWu3oHxb36TEmVJFUc 1iOkWnqtg5RlYrwhfeKAvrCbH/p4v+Dr5O6E0vIpMh1WBYD8tEjGgqsg0lZlo9V96PvPP5WextoF PWCqMC3tQ39qT5I9dfEUtrMokdUP+yn/LNR54NFG22iU37cXN5AapRKtutJdPt3E0T6Tb1EVhE0f kUllBhGSoW5rpjONyX9Xv0NNrAZ7n70liRkcrHgn19OhUNOoR1im5VoNq2mgotV0R7obyFnYTvxG m9akp21ITeWhNaqyG+qm+uv+5wX0PzqSDUxejfKkqHXc8fATwXI1yUKUJ8LkhRW6gsYSJqmm4XHH rhDk254i37tUpR1zgjnov5RUhDQdbEmiW1DNZgyGTeaIDP1O3qs14Lqx947N5g4iwKMCkaFBNWOw ZyBZ/jAaMscMmifltLh1GRP6sO34mkLUlh+JmVoogMtDmQTYIvJECpk0dJjrFbCoGJQZNyhFKrOF zmF6CEGsQfKtO6S04m5K6h1U19ilL8wlQkZgz7GodQ5VFzAb747RHq1vZDc1IC2aXwlpbNvXbBvy VtkMUXAF577/v2QByJ5JDu321TcidCLN2b43f3DhtyR6Vz7Y5XRf8czEpFBypnF+HGNqSF5ssrIB Api4fVrd3hmKZzqdzhE6NnfQKk5qymU/JXK0Q3GKGEvLhb4NyFMjTMT8+w/lOwwFa0kh+Gm1JIEe 80k47GOHirSUREWdh1EU06Frda5Sa2ruGCDxmSIOg7nj30s0hqs6ckF/helao4rm+xHZOFsyxwzD w+l1WqEI23ptTykeYfOCyaBTMh97Hq4bQIsYGjHh4DRadOoyuwsSeFYueqCMs1PrlLMhzSoyohdK OlWK/ubEnSp5fR/Vx02XlAiTRa2D8doqJEiDlDLrCDFESGPwL7tWy60V7XxQeu5tUsnxI4DUn4N3 B9fTV7srsSmZbEqqKucXn9lwKp9j6IkQAahMHeeTVLOjR5mhF/EVbhFGKMlW8U6ZJN9G3s0rMpAj UvmK1tgOJWZWwLoCFM6hUc8QIyvkE8NenW3fGFbvAd7le2U1UnBbnZEsRyxlN7Z/vxAo+L+cf/uO r4r2kt4b0XszZIMZ/SL2EaUNbUufmipggINQPXWAnn44zrYuO8y8VPALUI2w0vInK93ls1oh4fPG HziXDiYRkpOC09JOqA7iaLs+hl/05OREcBiJjXmh54lJQ/rJk4uQO6H61FLUKxFjTeeiJebYE1nK 1WIiPe1lkybqvhKTPmX74Zk+nBoaxR9Q4nAOxfmzbJik9snEl6e+yDQEhDBarQvc4t8glluuzJIw F+MkT4juCneslIIlWt5wL7e8MIii9UCuLIn+GfUjObQqliYFtiuxH9E/ito8FXDW6K3NlXvp6Wfc JgoTNr9E+/c9xM/+mERmP6Sh8Nh2HRxiTpFfYLInhEj0LYo23y550pXFF2asFwy82y7gh4B9aICF aKhyEWzBeOM5+1FSB6qVo5yD3Ujw0FVB7yuU4DiVygPyJHnULRlGnC0hZs4jG8bqPSvFGCiXsrBR +y6lEiLujYIYN6FjG1QRdgDw9SGlTEmk/rlBruo+ub9LvDioCMx58UWQ69WmtUXaVsmawfOTe0gK 6M9rQHxSaTcynngzMZZqYNnUsMmhFSAAUNfpzv48JEM9T419iJ6rlVdTeC5pkN6QvmbgVHQ801XK /xfn4EnAkcQbgcNLEPPb+Uz4TO71w7ucCLK7aO3GYDEwyS0yFERnghuvr2q9cdoAPHhloZoNCMqB gEGd2Exou914q92VcSpu+pkX+xHuCj16Gcvy4PNo5aYeIpypoHTyubZS0hB5pUnmCCg9wBofCJ4D ttv7qZ/AXHYD2e0jDxC+ncN/4qHiF2o9UAxNIXdlqXnrnbtUmVbklgfpMFLkh6Rir+IC7IYO05pE eC5WIj1odLfT2QbTEGhZ0IhK8m6h469p8eXlK6tzSVnWxPAzPjTomDGpN9dftr0JbeXQj3JCaH5I aXodpUbux3yAh0nTOOsEUHzmYOl2NnImGPf+xT0nSW/D9I3OCiQSgOAUzpR0edMvWCmTeTRGDqp/ ug+Qi+VRNrhnhQv3uCLgEnlLh8Ig0nXBZswsiIZseLAJ1HVZIHo15LKX1o4sJHWP93YtMDKgq2yv Htfs5w/4XzzYpMjhh+FB6m3Vw/c9fE7PmYqpzPnf+66R2HUS9THmihsqsS72Y+t9AX9Q+5HCV4nc lB/11G0UeO5AVN9IvjXzqkgQH1K/hlwwiXHoAWvcBdVA4oyvxjv8E8rrGx2J6zSbpBWCR5UQH70S jy/X3NlDyeB6j9oxBeEjBWJZX7DJN7xKaXplxKjtkUuOBq9oqSiyrerMPF/R4jVAMYSeht2zSwa+ 5PocX8X1dKl9TmTBjKmjH5wJFy3mrEM9ZelHd9XRQIZcOyE8QYPzxp0NYuXrlAww4sjoSIWqPW6L gEHWL8Ngd6R7keFqq5SqPgtYFom2eQ2YAaCq6x4cw09HAKxAog9TkldwnExBMVXYcuybzTUEgIy9 HeNpV4BUbRoEqexgNHHLNKLcisI3KBM/3h8rlXwar/armCooAmsmZ21KcI2MIF3dbMpzMHhhYUWp zVW0jbhyqqyTOFABw+a90YxIm/wY42xs6TFuwmGLu2+xX+Y/W7XBtoIbaJtpxFNALLmpuW0DZuF+ O+Kv1DW/Ur1ZDSSMKbEq2FaS43WlxWUlDBdk9Ac6m0JcZW8W1gnpmHBidwGp6qUMxz+utYI42EO/ xRvFZ0ekgNzu37MkkBTyNnHadMBWqVuTWm/rXbSDOSRonQvz+RO4ST1eQ2KYbS/47pv4HCgjdJbq EvEJVa1wgX+r+dNd3WMwvd9xXN1zXoedUaHDc3Kp3mKFsBug0QW0xJGdGVyS33T4CnW6W1ngbwKB Ncp7lI+IodcnTyZN5BelE+ZYiM2RvOjp7/xYWYsmpHvRCz+5+x0sTnE8IqPYM0fAtGZjWNOtf0FT QWqxFcGxHrqwwqP9PEUzFr5JquWjKNYJkFj7X3KZXiUZ2yyCMNxj6WFOraF7AyZovgpZy51GjfC8 cSJ6Q0srEYZpeF9nRhwIuUqd8NQoMCMQSnbC9vGIxB+3593zZPc1F0zgV/rkjKgd8DcCjCZpED9w XDEk3CqY8MGD4tEB9rEeHK3FGX9jrksS8qyorGgvL2HLEVQxAIz3qBH+NpPIc26TaP7tu10kYcZz gwH6nDWdntelTTG4im/5Np53yf+qAYr+bWnv20djRe7qv8EXkB+j8kBbDNik9OuUlhjjXOXyeVj4 mzyx2QaeTk/w6/ogZW8YpnodVGAQN/kxU2GOqOmPQ56wRfwpE85BX0DC0FRK8GLs3BFg+8Bbxuhy YK/XWr0KR6/0vzxT+roCcewwTkDiaZrhMOuZRN2S3p4x1t/5sLy01B3+muYY3aII3xHMGfJ2XqLw KeD7vWgC7Mw4dfau4MRMvgO+zAiala9guqwrWnuO6HctgPYqL6QXhnIjncKUsuDfR8h7qViWnPSS EMOBjnEtX7r2/A6G7/h7M2w8FFtmluESgzcsaZYXVRAg4RTaHhBuPSY3ZSWnzKZrZgTU6ERaeQag BVL1Eq/Msq4S4uj4/HsrsHG5j7VZfUgQ3JayqXpcwd4M4mvRg1WkXAwUAqCEI0SHdOc7MztpcMUk GS06j4SP2ZdXMlt+pBzQkDeVkrJs4I+7F04aAowMiX4qcCm2alSzruI9OcaVFnLwb6BS842Bi39I x8fMoVx5XTRXNZVt6+qQt9LO4nOiCseHEk/wKcC7QYiAbyD3030+GRNny6Hm3KgsmrzT4W2RcwL3 dEfHaYALY87qGP+xjvb6oOdAZzVQaH5phmYp9c0wfb6ibyEcEwm1pxVDN4OS6Sb3xlA47tb0MWcv a0lzdeMthgNhD/lj5qzZuxzEa4ztylIuj2itKAxv7dgiLmGCOkAprhsjdMIXCz36Dr3hg9+CNIV8 LMZXRKwhZXTpOfN0KFn7iYMCOVzAJouzZvfk8cuy4XrUiEVa+P9puuYDsL1Aa7qke1+H8mD38Nvm y/a/cNYBTQ9W9TrvlZwp1mMuhScONw1go1GpXgpmqsdXZI+CBL8IBfr5+bWBSHu+P/BeF/UQhGv5 3zO3f27O9ZKC58p2VmUA7OFucgBjTvvM3j1y8hUt3PVIVnx9DUGIHwmFdtUAgAFmfbQOfxS9fi1g 9OE2MWQ+bEd8YoLnS21zVCPrSfx/VhT0N/WrozbLb/wKYSqCaLiLuD/sibvr2OWe8ee5IEh/jZZq fh7Soy3MQD6ec/swph4MHh//pgqanKumJV/bN8oyqLC0Gy+4jxr21bmKrs/64l18j1Z5heuXAbOE gB3XsTPcyay4cLNFaeR6Av/yZNawfOnQH8cxq47X3Edj2lN5lKAKc6m2V/h+dhXA6v1AbwdTDZ9w 02zuIUhSnIKWkhNfisSa6LJ4WWE9lkNwDLKgfpZIqV11qAWYAX7OVAk90bRkFfhgk6i/NWvkp6wx SvrpNcevxs7LOA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj ZJ3fEMF2Eg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494 1mvb9OIoIew9S5frQi8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2 oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM aU3uU6qaXWsFaGyQrek= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+ 6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms 6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj mKjKwY2xZcfJ/srndO8S7QOgA1cW3PG5z/BUIxX97bocLtxDa3aPkk/LZprZljgyNzZTGF6gcxBz LkEl/7f+3dcASD/i/KgBKq3OUZC517cIUJosom5xIrZP7ogbipLoucJRrZx4D/63Rac668WQR2tt XvXehOwJ/AHEp9jRPsQutrYAu3FxtGUXHsjpDuvlEQWTsec5sqEPiTB0mY6D9KTNmi47dKqUZBs+ tiYcW3MN0wSJWz0yWRlxkDOwIe8+BZhC6+qQaKz3JYut2IWLtkVrNtVa7iVztyRq0IT2GGOk9SRF zTZkv+gi8C2R/hrHkTCKcdU8xmWsQwsIv2Q1jCBEOLi6wOOosy71Lb5Ha1hxrI32m2Piyx4SnJPj n0alaIYwa5EF4wS17Evgj6P25nPfldkUvpg11cL9XFhy7sgEDKefrr22sA0/VpWWLjshH6EftVjo 7Hf9nyRYEdVapyPoEuQtTc6tIvm79PMCT+E+SZwQKcnanExfZ6otWVGF96w0z9WQWco3ELpYCZ1J +AdiwlLaM9oSfzThSi/jCqkjP0P0pl1PJTenRvHceFK3dUVi9AwxEnUSStNItyWSFwtpPhM8Z2be Hv00vEG6vQXmfTimbRm1jCp+DuADtufxpcmxPkqMwMXKZZ0EoY+/Oqavo2fwwP2ryTV8RP/939vZ N6JhMaktAxeu1pM3CnTA9YDhKlnNGvOzv5tTiJXC7gylsEhvN1KNnm/fDH1VyVaQcz3lAdMu5FNG n/wc+JiSvRjuUY2CM/9JXsljSkRSk+1YrJClkyKsQLh8pWQmirP4fCWPXtz/GtXQiL2TdjrxMvOS uKAPiN5GCbbv/3rIThnX0eVkxcqtSgccb+M+eEvEAaAHHdQdHc1a/KIsoofCKoNgXhQs/r5l3Hbt Nwaxn8GrWsqeZlhezEfIm4h04cqMp3P+D263KmwjF0iCoAjhVA7O8D2FZroVsQEHPwrCZO2BdqKP JESdF0BWIBuBbLP4uT6Nqvxm8OFIxkgz7s0KaohyhkPd8MS+5E14L49jF6VZhJl4RPPxUTXXRvPB 6bcmAfDovSDKyiRD++Uy2U/xTMgybhiAgywdOdnmaly9s520Py/KUqjdIO8Jw0WzoZAdG+AhSZy+ jOCZAtbs7UtsHmVqeyjy3n8Gd7kO4EgdiPLRJCxV4BqSpbqlaLlnxESaN7Og1mDdQb7nY9U6817F G0tWKUi3rBtn4CJ4lUoTpOJzBqqp91W8wKUKfHjWa+RcOUwaRBJKTXvMEB+QyMlCcngxhRO8iFuA vWmQ7ppu90QnuRRs6hLLCiaY9GdqtAca8q28LxLxBQDkmn/I62cvWM884VLSIRZSAK/DkQOgdVSF qq6UnXQBlcfPPjYpCGL35Po+4jFDophkxI5hl0vx0+PoNINbMxW6Sgv611+Tj37V5ufsF5xmWaRf NVYyCyY9WgE3yeMdzA5yowj9/PBFM2IYYQfZLdPMRAqMo/+KSQxdye4ZP9pn6fdXjo6H1aa2/HS6 Wk22Q4Y51bZyfbtsmDZZhulQvt0fVsj16NB+lbLHhsyISN2J1hR5j3zXUkHQw+ql57El5w9e9NaH 1kfTu4+orNjoeFouZo+xOStzfCb/2+r2JYQxVdYB2UX7gTh2+4RAVJDj7uju6bwwJ6iPKf51p8Bt E5RoJPzGgy4O8FihSOQvXtffu30vBmmkZFx9Akuw1D3rpWYDmhZMNKVFV67D9OLvv6gSTyHvQMTD lIbKIUcR0BZwgeOdXpduN6Dba6gKQnfnfE3oTl7MBW8fFknHrKLYAcFf7d3kDl2IA19vybGg941Y 0OV0i/wpGMxrWn2epjateQG+9qQbs8lc+Vioh5CIVlSVDw69DUshAaYcKURRj0SO0hd6y3qfdCTL 2RggY0OtrI/0JYVk6p1ETm71ZSlMonp56L/d4M6caj+JpMbuQswziTYJ7fXtQG0AAIe3F1RdlDmf w2LbxXPsE8gvHjQI3JeZ5Me11+ei+90vUQm+1dFBUMggWSOzhohGWOP4DBRt3XA2RCjTXUDQXJsf 5tHKzjz1TzW2krB4lPB7d07vMNI7DPM0aZ3XztW7Jw+xkYElV7vMmKMz1nd0Az73O5smjneNpEMI DLf58mcIyvWSw5eajT9CAIwVdkvbQxfGTKZGdGwHk2wJL8py2xoKQ8IZkBkDYQSL1+gUn8KcWlkw Z8so7NHwQwJh6i1XUZUBnL9A+teyhOQla6pEdIzCANG0J+IkDrfT8UpLfPz4yQTQgsyLmvbPp6Qx jFwsTQt8OXu2/7poCv0UKwYuA7AHVfZqPoV1yzAYEZL+xTSis8MMwVqpTxYIO21pPt4eI54Y0sMg ylycsfNowCYSxKc+9IdlySefyorHA0V+aFYTABSq4UXFowM7wZRs/1VyZnKZJpAIjnlt3rD/d8y4 ximpTa+Uh2UCO+GfH9XMHIMVEGCwVsZ/MvNh/pTWNh0YKHnJqyjtrA/G+clmO30FP+P/kIBBqHGT 9HBg/npfwVV9+fn3CSAAZ/Kj/rGrpUF2be9znnqm1Y2jB5cku5UX3a6eXxkwVLfMuEuLfcvJzByZ la9gH/VXAM3frasuugoGCFhZvNSCpjmAMmcixbrgFdUKjcigEw7aHRzElgs1eX2iToW7/jjnVU+9 Du/x7/3wLpmbn78PVWrHDYjoPgcw4SgIG0j07z35lcYyxQPx5Zwm2/m0FLzBwKlzspsQa9oBl599 Svg6e6D1WoE2Gc8hqXKMbRAvB4mD6fGPYzLvvv2Ccz8WEAg9eAuvktDLVHz6vbzSkqPAH0q4mboe yi1gUNct+ecX5mOyS+gc5MiyIIWfW6oSczaaKIAelGYZls08qBF2XpwK34XzEj5O4mnVQP3kn1ko fYdV5yiFVzbDHcFyG+phdxMqYCtQoqrQqX4tCpvVv4nq/vlLUtDaAySuRFh3HPM3nizMbglhIDVm 6N3giXlxHRJ4aK1lfULcA6M3jbEUwW5v4zkPQ0g8qsnMRJB80wWFXolObMOkLlOe8GuvU13rwM76 lpS8Jy4tq4A2e5/eaUXoZ5/0+uk1owrB/PUM7JUDtkZtD4UUAPOUlysbxJecDvCEd1+xjvK5gK5S cnf8SrVOneQJQiJ5O/Nn6oQY6S/7jX06OVw4S91h1rV+4qubSaSi/1pdIUpWu3oHxb36TEmVJFUc 1iOkWnqtg5RlYrwhfeKAvrCbH/p4v+Dr5O6E0vIpMh1WBYD8tEjGgqsg0lZlo9V96PvPP5WextoF PWCqMC3tQ39qT5I9dfEUtrMokdUP+yn/LNR54NFG22iU37cXN5AapRKtutJdPt3E0T6Tb1EVhE0f kUllBhGSoW5rpjONyX9Xv0NNrAZ7n70liRkcrHgn19OhUNOoR1im5VoNq2mgotV0R7obyFnYTvxG m9akp21ITeWhNaqyG+qm+uv+5wX0PzqSDUxejfKkqHXc8fATwXI1yUKUJ8LkhRW6gsYSJqmm4XHH rhDk254i37tUpR1zgjnov5RUhDQdbEmiW1DNZgyGTeaIDP1O3qs14Lqx947N5g4iwKMCkaFBNWOw ZyBZ/jAaMscMmifltLh1GRP6sO34mkLUlh+JmVoogMtDmQTYIvJECpk0dJjrFbCoGJQZNyhFKrOF zmF6CEGsQfKtO6S04m5K6h1U19ilL8wlQkZgz7GodQ5VFzAb747RHq1vZDc1IC2aXwlpbNvXbBvy VtkMUXAF577/v2QByJ5JDu321TcidCLN2b43f3DhtyR6Vz7Y5XRf8czEpFBypnF+HGNqSF5ssrIB Api4fVrd3hmKZzqdzhE6NnfQKk5qymU/JXK0Q3GKGEvLhb4NyFMjTMT8+w/lOwwFa0kh+Gm1JIEe 80k47GOHirSUREWdh1EU06Frda5Sa2ruGCDxmSIOg7nj30s0hqs6ckF/helao4rm+xHZOFsyxwzD w+l1WqEI23ptTykeYfOCyaBTMh97Hq4bQIsYGjHh4DRadOoyuwsSeFYueqCMs1PrlLMhzSoyohdK OlWK/ubEnSp5fR/Vx02XlAiTRa2D8doqJEiDlDLrCDFESGPwL7tWy60V7XxQeu5tUsnxI4DUn4N3 B9fTV7srsSmZbEqqKucXn9lwKp9j6IkQAahMHeeTVLOjR5mhF/EVbhFGKMlW8U6ZJN9G3s0rMpAj UvmK1tgOJWZWwLoCFM6hUc8QIyvkE8NenW3fGFbvAd7le2U1UnBbnZEsRyxlN7Z/vxAo+L+cf/uO r4r2kt4b0XszZIMZ/SL2EaUNbUufmipggINQPXWAnn44zrYuO8y8VPALUI2w0vInK93ls1oh4fPG HziXDiYRkpOC09JOqA7iaLs+hl/05OREcBiJjXmh54lJQ/rJk4uQO6H61FLUKxFjTeeiJebYE1nK 1WIiPe1lkybqvhKTPmX74Zk+nBoaxR9Q4nAOxfmzbJik9snEl6e+yDQEhDBarQvc4t8glluuzJIw F+MkT4juCneslIIlWt5wL7e8MIii9UCuLIn+GfUjObQqliYFtiuxH9E/ito8FXDW6K3NlXvp6Wfc JgoTNr9E+/c9xM/+mERmP6Sh8Nh2HRxiTpFfYLInhEj0LYo23y550pXFF2asFwy82y7gh4B9aICF aKhyEWzBeOM5+1FSB6qVo5yD3Ujw0FVB7yuU4DiVygPyJHnULRlGnC0hZs4jG8bqPSvFGCiXsrBR +y6lEiLujYIYN6FjG1QRdgDw9SGlTEmk/rlBruo+ub9LvDioCMx58UWQ69WmtUXaVsmawfOTe0gK 6M9rQHxSaTcynngzMZZqYNnUsMmhFSAAUNfpzv48JEM9T419iJ6rlVdTeC5pkN6QvmbgVHQ801XK /xfn4EnAkcQbgcNLEPPb+Uz4TO71w7ucCLK7aO3GYDEwyS0yFERnghuvr2q9cdoAPHhloZoNCMqB gEGd2Exou914q92VcSpu+pkX+xHuCj16Gcvy4PNo5aYeIpypoHTyubZS0hB5pUnmCCg9wBofCJ4D ttv7qZ/AXHYD2e0jDxC+ncN/4qHiF2o9UAxNIXdlqXnrnbtUmVbklgfpMFLkh6Rir+IC7IYO05pE eC5WIj1odLfT2QbTEGhZ0IhK8m6h469p8eXlK6tzSVnWxPAzPjTomDGpN9dftr0JbeXQj3JCaH5I aXodpUbux3yAh0nTOOsEUHzmYOl2NnImGPf+xT0nSW/D9I3OCiQSgOAUzpR0edMvWCmTeTRGDqp/ ug+Qi+VRNrhnhQv3uCLgEnlLh8Ig0nXBZswsiIZseLAJ1HVZIHo15LKX1o4sJHWP93YtMDKgq2yv Htfs5w/4XzzYpMjhh+FB6m3Vw/c9fE7PmYqpzPnf+66R2HUS9THmihsqsS72Y+t9AX9Q+5HCV4nc lB/11G0UeO5AVN9IvjXzqkgQH1K/hlwwiXHoAWvcBdVA4oyvxjv8E8rrGx2J6zSbpBWCR5UQH70S jy/X3NlDyeB6j9oxBeEjBWJZX7DJN7xKaXplxKjtkUuOBq9oqSiyrerMPF/R4jVAMYSeht2zSwa+ 5PocX8X1dKl9TmTBjKmjH5wJFy3mrEM9ZelHd9XRQIZcOyE8QYPzxp0NYuXrlAww4sjoSIWqPW6L gEHWL8Ngd6R7keFqq5SqPgtYFom2eQ2YAaCq6x4cw09HAKxAog9TkldwnExBMVXYcuybzTUEgIy9 HeNpV4BUbRoEqexgNHHLNKLcisI3KBM/3h8rlXwar/armCooAmsmZ21KcI2MIF3dbMpzMHhhYUWp zVW0jbhyqqyTOFABw+a90YxIm/wY42xs6TFuwmGLu2+xX+Y/W7XBtoIbaJtpxFNALLmpuW0DZuF+ O+Kv1DW/Ur1ZDSSMKbEq2FaS43WlxWUlDBdk9Ac6m0JcZW8W1gnpmHBidwGp6qUMxz+utYI42EO/ xRvFZ0ekgNzu37MkkBTyNnHadMBWqVuTWm/rXbSDOSRonQvz+RO4ST1eQ2KYbS/47pv4HCgjdJbq EvEJVa1wgX+r+dNd3WMwvd9xXN1zXoedUaHDc3Kp3mKFsBug0QW0xJGdGVyS33T4CnW6W1ngbwKB Ncp7lI+IodcnTyZN5BelE+ZYiM2RvOjp7/xYWYsmpHvRCz+5+x0sTnE8IqPYM0fAtGZjWNOtf0FT QWqxFcGxHrqwwqP9PEUzFr5JquWjKNYJkFj7X3KZXiUZ2yyCMNxj6WFOraF7AyZovgpZy51GjfC8 cSJ6Q0srEYZpeF9nRhwIuUqd8NQoMCMQSnbC9vGIxB+3593zZPc1F0zgV/rkjKgd8DcCjCZpED9w XDEk3CqY8MGD4tEB9rEeHK3FGX9jrksS8qyorGgvL2HLEVQxAIz3qBH+NpPIc26TaP7tu10kYcZz gwH6nDWdntelTTG4im/5Np53yf+qAYr+bWnv20djRe7qv8EXkB+j8kBbDNik9OuUlhjjXOXyeVj4 mzyx2QaeTk/w6/ogZW8YpnodVGAQN/kxU2GOqOmPQ56wRfwpE85BX0DC0FRK8GLs3BFg+8Bbxuhy YK/XWr0KR6/0vzxT+roCcewwTkDiaZrhMOuZRN2S3p4x1t/5sLy01B3+muYY3aII3xHMGfJ2XqLw KeD7vWgC7Mw4dfau4MRMvgO+zAiala9guqwrWnuO6HctgPYqL6QXhnIjncKUsuDfR8h7qViWnPSS EMOBjnEtX7r2/A6G7/h7M2w8FFtmluESgzcsaZYXVRAg4RTaHhBuPSY3ZSWnzKZrZgTU6ERaeQag BVL1Eq/Msq4S4uj4/HsrsHG5j7VZfUgQ3JayqXpcwd4M4mvRg1WkXAwUAqCEI0SHdOc7MztpcMUk GS06j4SP2ZdXMlt+pBzQkDeVkrJs4I+7F04aAowMiX4qcCm2alSzruI9OcaVFnLwb6BS842Bi39I x8fMoVx5XTRXNZVt6+qQt9LO4nOiCseHEk/wKcC7QYiAbyD3030+GRNny6Hm3KgsmrzT4W2RcwL3 dEfHaYALY87qGP+xjvb6oOdAZzVQaH5phmYp9c0wfb6ibyEcEwm1pxVDN4OS6Sb3xlA47tb0MWcv a0lzdeMthgNhD/lj5qzZuxzEa4ztylIuj2itKAxv7dgiLmGCOkAprhsjdMIXCz36Dr3hg9+CNIV8 LMZXRKwhZXTpOfN0KFn7iYMCOVzAJouzZvfk8cuy4XrUiEVa+P9puuYDsL1Aa7qke1+H8mD38Nvm y/a/cNYBTQ9W9TrvlZwp1mMuhScONw1go1GpXgpmqsdXZI+CBL8IBfr5+bWBSHu+P/BeF/UQhGv5 3zO3f27O9ZKC58p2VmUA7OFucgBjTvvM3j1y8hUt3PVIVnx9DUGIHwmFdtUAgAFmfbQOfxS9fi1g 9OE2MWQ+bEd8YoLnS21zVCPrSfx/VhT0N/WrozbLb/wKYSqCaLiLuD/sibvr2OWe8ee5IEh/jZZq fh7Soy3MQD6ec/swph4MHh//pgqanKumJV/bN8oyqLC0Gy+4jxr21bmKrs/64l18j1Z5heuXAbOE gB3XsTPcyay4cLNFaeR6Av/yZNawfOnQH8cxq47X3Edj2lN5lKAKc6m2V/h+dhXA6v1AbwdTDZ9w 02zuIUhSnIKWkhNfisSa6LJ4WWE9lkNwDLKgfpZIqV11qAWYAX7OVAk90bRkFfhgk6i/NWvkp6wx SvrpNcevxs7LOA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj ZJ3fEMF2Eg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494 1mvb9OIoIew9S5frQi8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2 oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM aU3uU6qaXWsFaGyQrek= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+ 6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms 6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj mKjKwY2xZcfJ/srndO8S7QOgA1cW3PG5z/BUIxX97bocLtxDa3aPkk/LZprZljgyNzZTGF6gcxBz LkEl/7f+3dcASD/i/KgBKq3OUZC517cIUJosom5xIrZP7ogbipLoucJRrZx4D/63Rac668WQR2tt XvXehOwJ/AHEp9jRPsQutrYAu3FxtGUXHsjpDuvlEQWTsec5sqEPiTB0mY6D9KTNmi47dKqUZBs+ tiYcW3MN0wSJWz0yWRlxkDOwIe8+BZhC6+qQaKz3JYut2IWLtkVrNtVa7iVztyRq0IT2GGOk9SRF zTZkv+gi8C2R/hrHkTCKcdU8xmWsQwsIv2Q1jCBEOLi6wOOosy71Lb5Ha1hxrI32m2Piyx4SnJPj n0alaIYwa5EF4wS17Evgj6P25nPfldkUvpg11cL9XFhy7sgEDKefrr22sA0/VpWWLjshH6EftVjo 7Hf9nyRYEdVapyPoEuQtTc6tIvm79PMCT+E+SZwQKcnanExfZ6otWVGF96w0z9WQWco3ELpYCZ1J +AdiwlLaM9oSfzThSi/jCqkjP0P0pl1PJTenRvHceFK3dUVi9AwxEnUSStNItyWSFwtpPhM8Z2be Hv00vEG6vQXmfTimbRm1jCp+DuADtufxpcmxPkqMwMXKZZ0EoY+/Oqavo2fwwP2ryTV8RP/939vZ N6JhMaktAxeu1pM3CnTA9YDhKlnNGvOzv5tTiJXC7gylsEhvN1KNnm/fDH1VyVaQcz3lAdMu5FNG n/wc+JiSvRjuUY2CM/9JXsljSkRSk+1YrJClkyKsQLh8pWQmirP4fCWPXtz/GtXQiL2TdjrxMvOS uKAPiN5GCbbv/3rIThnX0eVkxcqtSgccb+M+eEvEAaAHHdQdHc1a/KIsoofCKoNgXhQs/r5l3Hbt Nwaxn8GrWsqeZlhezEfIm4h04cqMp3P+D263KmwjF0iCoAjhVA7O8D2FZroVsQEHPwrCZO2BdqKP JESdF0BWIBuBbLP4uT6Nqvxm8OFIxkgz7s0KaohyhkPd8MS+5E14L49jF6VZhJl4RPPxUTXXRvPB 6bcmAfDovSDKyiRD++Uy2U/xTMgybhiAgywdOdnmaly9s520Py/KUqjdIO8Jw0WzoZAdG+AhSZy+ jOCZAtbs7UtsHmVqeyjy3n8Gd7kO4EgdiPLRJCxV4BqSpbqlaLlnxESaN7Og1mDdQb7nY9U6817F G0tWKUi3rBtn4CJ4lUoTpOJzBqqp91W8wKUKfHjWa+RcOUwaRBJKTXvMEB+QyMlCcngxhRO8iFuA vWmQ7ppu90QnuRRs6hLLCiaY9GdqtAca8q28LxLxBQDkmn/I62cvWM884VLSIRZSAK/DkQOgdVSF qq6UnXQBlcfPPjYpCGL35Po+4jFDophkxI5hl0vx0+PoNINbMxW6Sgv611+Tj37V5ufsF5xmWaRf NVYyCyY9WgE3yeMdzA5yowj9/PBFM2IYYQfZLdPMRAqMo/+KSQxdye4ZP9pn6fdXjo6H1aa2/HS6 Wk22Q4Y51bZyfbtsmDZZhulQvt0fVsj16NB+lbLHhsyISN2J1hR5j3zXUkHQw+ql57El5w9e9NaH 1kfTu4+orNjoeFouZo+xOStzfCb/2+r2JYQxVdYB2UX7gTh2+4RAVJDj7uju6bwwJ6iPKf51p8Bt E5RoJPzGgy4O8FihSOQvXtffu30vBmmkZFx9Akuw1D3rpWYDmhZMNKVFV67D9OLvv6gSTyHvQMTD lIbKIUcR0BZwgeOdXpduN6Dba6gKQnfnfE3oTl7MBW8fFknHrKLYAcFf7d3kDl2IA19vybGg941Y 0OV0i/wpGMxrWn2epjateQG+9qQbs8lc+Vioh5CIVlSVDw69DUshAaYcKURRj0SO0hd6y3qfdCTL 2RggY0OtrI/0JYVk6p1ETm71ZSlMonp56L/d4M6caj+JpMbuQswziTYJ7fXtQG0AAIe3F1RdlDmf w2LbxXPsE8gvHjQI3JeZ5Me11+ei+90vUQm+1dFBUMggWSOzhohGWOP4DBRt3XA2RCjTXUDQXJsf 5tHKzjz1TzW2krB4lPB7d07vMNI7DPM0aZ3XztW7Jw+xkYElV7vMmKMz1nd0Az73O5smjneNpEMI DLf58mcIyvWSw5eajT9CAIwVdkvbQxfGTKZGdGwHk2wJL8py2xoKQ8IZkBkDYQSL1+gUn8KcWlkw Z8so7NHwQwJh6i1XUZUBnL9A+teyhOQla6pEdIzCANG0J+IkDrfT8UpLfPz4yQTQgsyLmvbPp6Qx jFwsTQt8OXu2/7poCv0UKwYuA7AHVfZqPoV1yzAYEZL+xTSis8MMwVqpTxYIO21pPt4eI54Y0sMg ylycsfNowCYSxKc+9IdlySefyorHA0V+aFYTABSq4UXFowM7wZRs/1VyZnKZJpAIjnlt3rD/d8y4 ximpTa+Uh2UCO+GfH9XMHIMVEGCwVsZ/MvNh/pTWNh0YKHnJqyjtrA/G+clmO30FP+P/kIBBqHGT 9HBg/npfwVV9+fn3CSAAZ/Kj/rGrpUF2be9znnqm1Y2jB5cku5UX3a6eXxkwVLfMuEuLfcvJzByZ la9gH/VXAM3frasuugoGCFhZvNSCpjmAMmcixbrgFdUKjcigEw7aHRzElgs1eX2iToW7/jjnVU+9 Du/x7/3wLpmbn78PVWrHDYjoPgcw4SgIG0j07z35lcYyxQPx5Zwm2/m0FLzBwKlzspsQa9oBl599 Svg6e6D1WoE2Gc8hqXKMbRAvB4mD6fGPYzLvvv2Ccz8WEAg9eAuvktDLVHz6vbzSkqPAH0q4mboe yi1gUNct+ecX5mOyS+gc5MiyIIWfW6oSczaaKIAelGYZls08qBF2XpwK34XzEj5O4mnVQP3kn1ko fYdV5yiFVzbDHcFyG+phdxMqYCtQoqrQqX4tCpvVv4nq/vlLUtDaAySuRFh3HPM3nizMbglhIDVm 6N3giXlxHRJ4aK1lfULcA6M3jbEUwW5v4zkPQ0g8qsnMRJB80wWFXolObMOkLlOe8GuvU13rwM76 lpS8Jy4tq4A2e5/eaUXoZ5/0+uk1owrB/PUM7JUDtkZtD4UUAPOUlysbxJecDvCEd1+xjvK5gK5S cnf8SrVOneQJQiJ5O/Nn6oQY6S/7jX06OVw4S91h1rV+4qubSaSi/1pdIUpWu3oHxb36TEmVJFUc 1iOkWnqtg5RlYrwhfeKAvrCbH/p4v+Dr5O6E0vIpMh1WBYD8tEjGgqsg0lZlo9V96PvPP5WextoF PWCqMC3tQ39qT5I9dfEUtrMokdUP+yn/LNR54NFG22iU37cXN5AapRKtutJdPt3E0T6Tb1EVhE0f kUllBhGSoW5rpjONyX9Xv0NNrAZ7n70liRkcrHgn19OhUNOoR1im5VoNq2mgotV0R7obyFnYTvxG m9akp21ITeWhNaqyG+qm+uv+5wX0PzqSDUxejfKkqHXc8fATwXI1yUKUJ8LkhRW6gsYSJqmm4XHH rhDk254i37tUpR1zgjnov5RUhDQdbEmiW1DNZgyGTeaIDP1O3qs14Lqx947N5g4iwKMCkaFBNWOw ZyBZ/jAaMscMmifltLh1GRP6sO34mkLUlh+JmVoogMtDmQTYIvJECpk0dJjrFbCoGJQZNyhFKrOF zmF6CEGsQfKtO6S04m5K6h1U19ilL8wlQkZgz7GodQ5VFzAb747RHq1vZDc1IC2aXwlpbNvXbBvy VtkMUXAF577/v2QByJ5JDu321TcidCLN2b43f3DhtyR6Vz7Y5XRf8czEpFBypnF+HGNqSF5ssrIB Api4fVrd3hmKZzqdzhE6NnfQKk5qymU/JXK0Q3GKGEvLhb4NyFMjTMT8+w/lOwwFa0kh+Gm1JIEe 80k47GOHirSUREWdh1EU06Frda5Sa2ruGCDxmSIOg7nj30s0hqs6ckF/helao4rm+xHZOFsyxwzD w+l1WqEI23ptTykeYfOCyaBTMh97Hq4bQIsYGjHh4DRadOoyuwsSeFYueqCMs1PrlLMhzSoyohdK OlWK/ubEnSp5fR/Vx02XlAiTRa2D8doqJEiDlDLrCDFESGPwL7tWy60V7XxQeu5tUsnxI4DUn4N3 B9fTV7srsSmZbEqqKucXn9lwKp9j6IkQAahMHeeTVLOjR5mhF/EVbhFGKMlW8U6ZJN9G3s0rMpAj UvmK1tgOJWZWwLoCFM6hUc8QIyvkE8NenW3fGFbvAd7le2U1UnBbnZEsRyxlN7Z/vxAo+L+cf/uO r4r2kt4b0XszZIMZ/SL2EaUNbUufmipggINQPXWAnn44zrYuO8y8VPALUI2w0vInK93ls1oh4fPG HziXDiYRkpOC09JOqA7iaLs+hl/05OREcBiJjXmh54lJQ/rJk4uQO6H61FLUKxFjTeeiJebYE1nK 1WIiPe1lkybqvhKTPmX74Zk+nBoaxR9Q4nAOxfmzbJik9snEl6e+yDQEhDBarQvc4t8glluuzJIw F+MkT4juCneslIIlWt5wL7e8MIii9UCuLIn+GfUjObQqliYFtiuxH9E/ito8FXDW6K3NlXvp6Wfc JgoTNr9E+/c9xM/+mERmP6Sh8Nh2HRxiTpFfYLInhEj0LYo23y550pXFF2asFwy82y7gh4B9aICF aKhyEWzBeOM5+1FSB6qVo5yD3Ujw0FVB7yuU4DiVygPyJHnULRlGnC0hZs4jG8bqPSvFGCiXsrBR +y6lEiLujYIYN6FjG1QRdgDw9SGlTEmk/rlBruo+ub9LvDioCMx58UWQ69WmtUXaVsmawfOTe0gK 6M9rQHxSaTcynngzMZZqYNnUsMmhFSAAUNfpzv48JEM9T419iJ6rlVdTeC5pkN6QvmbgVHQ801XK /xfn4EnAkcQbgcNLEPPb+Uz4TO71w7ucCLK7aO3GYDEwyS0yFERnghuvr2q9cdoAPHhloZoNCMqB gEGd2Exou914q92VcSpu+pkX+xHuCj16Gcvy4PNo5aYeIpypoHTyubZS0hB5pUnmCCg9wBofCJ4D ttv7qZ/AXHYD2e0jDxC+ncN/4qHiF2o9UAxNIXdlqXnrnbtUmVbklgfpMFLkh6Rir+IC7IYO05pE eC5WIj1odLfT2QbTEGhZ0IhK8m6h469p8eXlK6tzSVnWxPAzPjTomDGpN9dftr0JbeXQj3JCaH5I aXodpUbux3yAh0nTOOsEUHzmYOl2NnImGPf+xT0nSW/D9I3OCiQSgOAUzpR0edMvWCmTeTRGDqp/ ug+Qi+VRNrhnhQv3uCLgEnlLh8Ig0nXBZswsiIZseLAJ1HVZIHo15LKX1o4sJHWP93YtMDKgq2yv Htfs5w/4XzzYpMjhh+FB6m3Vw/c9fE7PmYqpzPnf+66R2HUS9THmihsqsS72Y+t9AX9Q+5HCV4nc lB/11G0UeO5AVN9IvjXzqkgQH1K/hlwwiXHoAWvcBdVA4oyvxjv8E8rrGx2J6zSbpBWCR5UQH70S jy/X3NlDyeB6j9oxBeEjBWJZX7DJN7xKaXplxKjtkUuOBq9oqSiyrerMPF/R4jVAMYSeht2zSwa+ 5PocX8X1dKl9TmTBjKmjH5wJFy3mrEM9ZelHd9XRQIZcOyE8QYPzxp0NYuXrlAww4sjoSIWqPW6L gEHWL8Ngd6R7keFqq5SqPgtYFom2eQ2YAaCq6x4cw09HAKxAog9TkldwnExBMVXYcuybzTUEgIy9 HeNpV4BUbRoEqexgNHHLNKLcisI3KBM/3h8rlXwar/armCooAmsmZ21KcI2MIF3dbMpzMHhhYUWp zVW0jbhyqqyTOFABw+a90YxIm/wY42xs6TFuwmGLu2+xX+Y/W7XBtoIbaJtpxFNALLmpuW0DZuF+ O+Kv1DW/Ur1ZDSSMKbEq2FaS43WlxWUlDBdk9Ac6m0JcZW8W1gnpmHBidwGp6qUMxz+utYI42EO/ xRvFZ0ekgNzu37MkkBTyNnHadMBWqVuTWm/rXbSDOSRonQvz+RO4ST1eQ2KYbS/47pv4HCgjdJbq EvEJVa1wgX+r+dNd3WMwvd9xXN1zXoedUaHDc3Kp3mKFsBug0QW0xJGdGVyS33T4CnW6W1ngbwKB Ncp7lI+IodcnTyZN5BelE+ZYiM2RvOjp7/xYWYsmpHvRCz+5+x0sTnE8IqPYM0fAtGZjWNOtf0FT QWqxFcGxHrqwwqP9PEUzFr5JquWjKNYJkFj7X3KZXiUZ2yyCMNxj6WFOraF7AyZovgpZy51GjfC8 cSJ6Q0srEYZpeF9nRhwIuUqd8NQoMCMQSnbC9vGIxB+3593zZPc1F0zgV/rkjKgd8DcCjCZpED9w XDEk3CqY8MGD4tEB9rEeHK3FGX9jrksS8qyorGgvL2HLEVQxAIz3qBH+NpPIc26TaP7tu10kYcZz gwH6nDWdntelTTG4im/5Np53yf+qAYr+bWnv20djRe7qv8EXkB+j8kBbDNik9OuUlhjjXOXyeVj4 mzyx2QaeTk/w6/ogZW8YpnodVGAQN/kxU2GOqOmPQ56wRfwpE85BX0DC0FRK8GLs3BFg+8Bbxuhy YK/XWr0KR6/0vzxT+roCcewwTkDiaZrhMOuZRN2S3p4x1t/5sLy01B3+muYY3aII3xHMGfJ2XqLw KeD7vWgC7Mw4dfau4MRMvgO+zAiala9guqwrWnuO6HctgPYqL6QXhnIjncKUsuDfR8h7qViWnPSS EMOBjnEtX7r2/A6G7/h7M2w8FFtmluESgzcsaZYXVRAg4RTaHhBuPSY3ZSWnzKZrZgTU6ERaeQag BVL1Eq/Msq4S4uj4/HsrsHG5j7VZfUgQ3JayqXpcwd4M4mvRg1WkXAwUAqCEI0SHdOc7MztpcMUk GS06j4SP2ZdXMlt+pBzQkDeVkrJs4I+7F04aAowMiX4qcCm2alSzruI9OcaVFnLwb6BS842Bi39I x8fMoVx5XTRXNZVt6+qQt9LO4nOiCseHEk/wKcC7QYiAbyD3030+GRNny6Hm3KgsmrzT4W2RcwL3 dEfHaYALY87qGP+xjvb6oOdAZzVQaH5phmYp9c0wfb6ibyEcEwm1pxVDN4OS6Sb3xlA47tb0MWcv a0lzdeMthgNhD/lj5qzZuxzEa4ztylIuj2itKAxv7dgiLmGCOkAprhsjdMIXCz36Dr3hg9+CNIV8 LMZXRKwhZXTpOfN0KFn7iYMCOVzAJouzZvfk8cuy4XrUiEVa+P9puuYDsL1Aa7qke1+H8mD38Nvm y/a/cNYBTQ9W9TrvlZwp1mMuhScONw1go1GpXgpmqsdXZI+CBL8IBfr5+bWBSHu+P/BeF/UQhGv5 3zO3f27O9ZKC58p2VmUA7OFucgBjTvvM3j1y8hUt3PVIVnx9DUGIHwmFdtUAgAFmfbQOfxS9fi1g 9OE2MWQ+bEd8YoLnS21zVCPrSfx/VhT0N/WrozbLb/wKYSqCaLiLuD/sibvr2OWe8ee5IEh/jZZq fh7Soy3MQD6ec/swph4MHh//pgqanKumJV/bN8oyqLC0Gy+4jxr21bmKrs/64l18j1Z5heuXAbOE gB3XsTPcyay4cLNFaeR6Av/yZNawfOnQH8cxq47X3Edj2lN5lKAKc6m2V/h+dhXA6v1AbwdTDZ9w 02zuIUhSnIKWkhNfisSa6LJ4WWE9lkNwDLKgfpZIqV11qAWYAX7OVAk90bRkFfhgk6i/NWvkp6wx SvrpNcevxs7LOA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj ZJ3fEMF2Eg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494 1mvb9OIoIew9S5frQi8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2 oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM aU3uU6qaXWsFaGyQrek= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+ 6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms 6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block iXdONubG+SYUpFk1+3xjbTmoWUUth5YI3Atb1aEXZ+saXE5+BGO3fPH5sUZBPpBGvC0XNFvrYkWj mKjKwY2xZcfJ/srndO8S7QOgA1cW3PG5z/BUIxX97bocLtxDa3aPkk/LZprZljgyNzZTGF6gcxBz LkEl/7f+3dcASD/i/KgBKq3OUZC517cIUJosom5xIrZP7ogbipLoucJRrZx4D/63Rac668WQR2tt XvXehOwJ/AHEp9jRPsQutrYAu3FxtGUXHsjpDuvlEQWTsec5sqEPiTB0mY6D9KTNmi47dKqUZBs+ tiYcW3MN0wSJWz0yWRlxkDOwIe8+BZhC6+qQaKz3JYut2IWLtkVrNtVa7iVztyRq0IT2GGOk9SRF zTZkv+gi8C2R/hrHkTCKcdU8xmWsQwsIv2Q1jCBEOLi6wOOosy71Lb5Ha1hxrI32m2Piyx4SnJPj n0alaIYwa5EF4wS17Evgj6P25nPfldkUvpg11cL9XFhy7sgEDKefrr22sA0/VpWWLjshH6EftVjo 7Hf9nyRYEdVapyPoEuQtTc6tIvm79PMCT+E+SZwQKcnanExfZ6otWVGF96w0z9WQWco3ELpYCZ1J +AdiwlLaM9oSfzThSi/jCqkjP0P0pl1PJTenRvHceFK3dUVi9AwxEnUSStNItyWSFwtpPhM8Z2be Hv00vEG6vQXmfTimbRm1jCp+DuADtufxpcmxPkqMwMXKZZ0EoY+/Oqavo2fwwP2ryTV8RP/939vZ N6JhMaktAxeu1pM3CnTA9YDhKlnNGvOzv5tTiJXC7gylsEhvN1KNnm/fDH1VyVaQcz3lAdMu5FNG n/wc+JiSvRjuUY2CM/9JXsljSkRSk+1YrJClkyKsQLh8pWQmirP4fCWPXtz/GtXQiL2TdjrxMvOS uKAPiN5GCbbv/3rIThnX0eVkxcqtSgccb+M+eEvEAaAHHdQdHc1a/KIsoofCKoNgXhQs/r5l3Hbt Nwaxn8GrWsqeZlhezEfIm4h04cqMp3P+D263KmwjF0iCoAjhVA7O8D2FZroVsQEHPwrCZO2BdqKP JESdF0BWIBuBbLP4uT6Nqvxm8OFIxkgz7s0KaohyhkPd8MS+5E14L49jF6VZhJl4RPPxUTXXRvPB 6bcmAfDovSDKyiRD++Uy2U/xTMgybhiAgywdOdnmaly9s520Py/KUqjdIO8Jw0WzoZAdG+AhSZy+ jOCZAtbs7UtsHmVqeyjy3n8Gd7kO4EgdiPLRJCxV4BqSpbqlaLlnxESaN7Og1mDdQb7nY9U6817F G0tWKUi3rBtn4CJ4lUoTpOJzBqqp91W8wKUKfHjWa+RcOUwaRBJKTXvMEB+QyMlCcngxhRO8iFuA vWmQ7ppu90QnuRRs6hLLCiaY9GdqtAca8q28LxLxBQDkmn/I62cvWM884VLSIRZSAK/DkQOgdVSF qq6UnXQBlcfPPjYpCGL35Po+4jFDophkxI5hl0vx0+PoNINbMxW6Sgv611+Tj37V5ufsF5xmWaRf NVYyCyY9WgE3yeMdzA5yowj9/PBFM2IYYQfZLdPMRAqMo/+KSQxdye4ZP9pn6fdXjo6H1aa2/HS6 Wk22Q4Y51bZyfbtsmDZZhulQvt0fVsj16NB+lbLHhsyISN2J1hR5j3zXUkHQw+ql57El5w9e9NaH 1kfTu4+orNjoeFouZo+xOStzfCb/2+r2JYQxVdYB2UX7gTh2+4RAVJDj7uju6bwwJ6iPKf51p8Bt E5RoJPzGgy4O8FihSOQvXtffu30vBmmkZFx9Akuw1D3rpWYDmhZMNKVFV67D9OLvv6gSTyHvQMTD lIbKIUcR0BZwgeOdXpduN6Dba6gKQnfnfE3oTl7MBW8fFknHrKLYAcFf7d3kDl2IA19vybGg941Y 0OV0i/wpGMxrWn2epjateQG+9qQbs8lc+Vioh5CIVlSVDw69DUshAaYcKURRj0SO0hd6y3qfdCTL 2RggY0OtrI/0JYVk6p1ETm71ZSlMonp56L/d4M6caj+JpMbuQswziTYJ7fXtQG0AAIe3F1RdlDmf w2LbxXPsE8gvHjQI3JeZ5Me11+ei+90vUQm+1dFBUMggWSOzhohGWOP4DBRt3XA2RCjTXUDQXJsf 5tHKzjz1TzW2krB4lPB7d07vMNI7DPM0aZ3XztW7Jw+xkYElV7vMmKMz1nd0Az73O5smjneNpEMI DLf58mcIyvWSw5eajT9CAIwVdkvbQxfGTKZGdGwHk2wJL8py2xoKQ8IZkBkDYQSL1+gUn8KcWlkw Z8so7NHwQwJh6i1XUZUBnL9A+teyhOQla6pEdIzCANG0J+IkDrfT8UpLfPz4yQTQgsyLmvbPp6Qx jFwsTQt8OXu2/7poCv0UKwYuA7AHVfZqPoV1yzAYEZL+xTSis8MMwVqpTxYIO21pPt4eI54Y0sMg ylycsfNowCYSxKc+9IdlySefyorHA0V+aFYTABSq4UXFowM7wZRs/1VyZnKZJpAIjnlt3rD/d8y4 ximpTa+Uh2UCO+GfH9XMHIMVEGCwVsZ/MvNh/pTWNh0YKHnJqyjtrA/G+clmO30FP+P/kIBBqHGT 9HBg/npfwVV9+fn3CSAAZ/Kj/rGrpUF2be9znnqm1Y2jB5cku5UX3a6eXxkwVLfMuEuLfcvJzByZ la9gH/VXAM3frasuugoGCFhZvNSCpjmAMmcixbrgFdUKjcigEw7aHRzElgs1eX2iToW7/jjnVU+9 Du/x7/3wLpmbn78PVWrHDYjoPgcw4SgIG0j07z35lcYyxQPx5Zwm2/m0FLzBwKlzspsQa9oBl599 Svg6e6D1WoE2Gc8hqXKMbRAvB4mD6fGPYzLvvv2Ccz8WEAg9eAuvktDLVHz6vbzSkqPAH0q4mboe yi1gUNct+ecX5mOyS+gc5MiyIIWfW6oSczaaKIAelGYZls08qBF2XpwK34XzEj5O4mnVQP3kn1ko fYdV5yiFVzbDHcFyG+phdxMqYCtQoqrQqX4tCpvVv4nq/vlLUtDaAySuRFh3HPM3nizMbglhIDVm 6N3giXlxHRJ4aK1lfULcA6M3jbEUwW5v4zkPQ0g8qsnMRJB80wWFXolObMOkLlOe8GuvU13rwM76 lpS8Jy4tq4A2e5/eaUXoZ5/0+uk1owrB/PUM7JUDtkZtD4UUAPOUlysbxJecDvCEd1+xjvK5gK5S cnf8SrVOneQJQiJ5O/Nn6oQY6S/7jX06OVw4S91h1rV+4qubSaSi/1pdIUpWu3oHxb36TEmVJFUc 1iOkWnqtg5RlYrwhfeKAvrCbH/p4v+Dr5O6E0vIpMh1WBYD8tEjGgqsg0lZlo9V96PvPP5WextoF PWCqMC3tQ39qT5I9dfEUtrMokdUP+yn/LNR54NFG22iU37cXN5AapRKtutJdPt3E0T6Tb1EVhE0f kUllBhGSoW5rpjONyX9Xv0NNrAZ7n70liRkcrHgn19OhUNOoR1im5VoNq2mgotV0R7obyFnYTvxG m9akp21ITeWhNaqyG+qm+uv+5wX0PzqSDUxejfKkqHXc8fATwXI1yUKUJ8LkhRW6gsYSJqmm4XHH rhDk254i37tUpR1zgjnov5RUhDQdbEmiW1DNZgyGTeaIDP1O3qs14Lqx947N5g4iwKMCkaFBNWOw ZyBZ/jAaMscMmifltLh1GRP6sO34mkLUlh+JmVoogMtDmQTYIvJECpk0dJjrFbCoGJQZNyhFKrOF zmF6CEGsQfKtO6S04m5K6h1U19ilL8wlQkZgz7GodQ5VFzAb747RHq1vZDc1IC2aXwlpbNvXbBvy VtkMUXAF577/v2QByJ5JDu321TcidCLN2b43f3DhtyR6Vz7Y5XRf8czEpFBypnF+HGNqSF5ssrIB Api4fVrd3hmKZzqdzhE6NnfQKk5qymU/JXK0Q3GKGEvLhb4NyFMjTMT8+w/lOwwFa0kh+Gm1JIEe 80k47GOHirSUREWdh1EU06Frda5Sa2ruGCDxmSIOg7nj30s0hqs6ckF/helao4rm+xHZOFsyxwzD w+l1WqEI23ptTykeYfOCyaBTMh97Hq4bQIsYGjHh4DRadOoyuwsSeFYueqCMs1PrlLMhzSoyohdK OlWK/ubEnSp5fR/Vx02XlAiTRa2D8doqJEiDlDLrCDFESGPwL7tWy60V7XxQeu5tUsnxI4DUn4N3 B9fTV7srsSmZbEqqKucXn9lwKp9j6IkQAahMHeeTVLOjR5mhF/EVbhFGKMlW8U6ZJN9G3s0rMpAj UvmK1tgOJWZWwLoCFM6hUc8QIyvkE8NenW3fGFbvAd7le2U1UnBbnZEsRyxlN7Z/vxAo+L+cf/uO r4r2kt4b0XszZIMZ/SL2EaUNbUufmipggINQPXWAnn44zrYuO8y8VPALUI2w0vInK93ls1oh4fPG HziXDiYRkpOC09JOqA7iaLs+hl/05OREcBiJjXmh54lJQ/rJk4uQO6H61FLUKxFjTeeiJebYE1nK 1WIiPe1lkybqvhKTPmX74Zk+nBoaxR9Q4nAOxfmzbJik9snEl6e+yDQEhDBarQvc4t8glluuzJIw F+MkT4juCneslIIlWt5wL7e8MIii9UCuLIn+GfUjObQqliYFtiuxH9E/ito8FXDW6K3NlXvp6Wfc JgoTNr9E+/c9xM/+mERmP6Sh8Nh2HRxiTpFfYLInhEj0LYo23y550pXFF2asFwy82y7gh4B9aICF aKhyEWzBeOM5+1FSB6qVo5yD3Ujw0FVB7yuU4DiVygPyJHnULRlGnC0hZs4jG8bqPSvFGCiXsrBR +y6lEiLujYIYN6FjG1QRdgDw9SGlTEmk/rlBruo+ub9LvDioCMx58UWQ69WmtUXaVsmawfOTe0gK 6M9rQHxSaTcynngzMZZqYNnUsMmhFSAAUNfpzv48JEM9T419iJ6rlVdTeC5pkN6QvmbgVHQ801XK /xfn4EnAkcQbgcNLEPPb+Uz4TO71w7ucCLK7aO3GYDEwyS0yFERnghuvr2q9cdoAPHhloZoNCMqB gEGd2Exou914q92VcSpu+pkX+xHuCj16Gcvy4PNo5aYeIpypoHTyubZS0hB5pUnmCCg9wBofCJ4D ttv7qZ/AXHYD2e0jDxC+ncN/4qHiF2o9UAxNIXdlqXnrnbtUmVbklgfpMFLkh6Rir+IC7IYO05pE eC5WIj1odLfT2QbTEGhZ0IhK8m6h469p8eXlK6tzSVnWxPAzPjTomDGpN9dftr0JbeXQj3JCaH5I aXodpUbux3yAh0nTOOsEUHzmYOl2NnImGPf+xT0nSW/D9I3OCiQSgOAUzpR0edMvWCmTeTRGDqp/ ug+Qi+VRNrhnhQv3uCLgEnlLh8Ig0nXBZswsiIZseLAJ1HVZIHo15LKX1o4sJHWP93YtMDKgq2yv Htfs5w/4XzzYpMjhh+FB6m3Vw/c9fE7PmYqpzPnf+66R2HUS9THmihsqsS72Y+t9AX9Q+5HCV4nc lB/11G0UeO5AVN9IvjXzqkgQH1K/hlwwiXHoAWvcBdVA4oyvxjv8E8rrGx2J6zSbpBWCR5UQH70S jy/X3NlDyeB6j9oxBeEjBWJZX7DJN7xKaXplxKjtkUuOBq9oqSiyrerMPF/R4jVAMYSeht2zSwa+ 5PocX8X1dKl9TmTBjKmjH5wJFy3mrEM9ZelHd9XRQIZcOyE8QYPzxp0NYuXrlAww4sjoSIWqPW6L gEHWL8Ngd6R7keFqq5SqPgtYFom2eQ2YAaCq6x4cw09HAKxAog9TkldwnExBMVXYcuybzTUEgIy9 HeNpV4BUbRoEqexgNHHLNKLcisI3KBM/3h8rlXwar/armCooAmsmZ21KcI2MIF3dbMpzMHhhYUWp zVW0jbhyqqyTOFABw+a90YxIm/wY42xs6TFuwmGLu2+xX+Y/W7XBtoIbaJtpxFNALLmpuW0DZuF+ O+Kv1DW/Ur1ZDSSMKbEq2FaS43WlxWUlDBdk9Ac6m0JcZW8W1gnpmHBidwGp6qUMxz+utYI42EO/ xRvFZ0ekgNzu37MkkBTyNnHadMBWqVuTWm/rXbSDOSRonQvz+RO4ST1eQ2KYbS/47pv4HCgjdJbq EvEJVa1wgX+r+dNd3WMwvd9xXN1zXoedUaHDc3Kp3mKFsBug0QW0xJGdGVyS33T4CnW6W1ngbwKB Ncp7lI+IodcnTyZN5BelE+ZYiM2RvOjp7/xYWYsmpHvRCz+5+x0sTnE8IqPYM0fAtGZjWNOtf0FT QWqxFcGxHrqwwqP9PEUzFr5JquWjKNYJkFj7X3KZXiUZ2yyCMNxj6WFOraF7AyZovgpZy51GjfC8 cSJ6Q0srEYZpeF9nRhwIuUqd8NQoMCMQSnbC9vGIxB+3593zZPc1F0zgV/rkjKgd8DcCjCZpED9w XDEk3CqY8MGD4tEB9rEeHK3FGX9jrksS8qyorGgvL2HLEVQxAIz3qBH+NpPIc26TaP7tu10kYcZz gwH6nDWdntelTTG4im/5Np53yf+qAYr+bWnv20djRe7qv8EXkB+j8kBbDNik9OuUlhjjXOXyeVj4 mzyx2QaeTk/w6/ogZW8YpnodVGAQN/kxU2GOqOmPQ56wRfwpE85BX0DC0FRK8GLs3BFg+8Bbxuhy YK/XWr0KR6/0vzxT+roCcewwTkDiaZrhMOuZRN2S3p4x1t/5sLy01B3+muYY3aII3xHMGfJ2XqLw KeD7vWgC7Mw4dfau4MRMvgO+zAiala9guqwrWnuO6HctgPYqL6QXhnIjncKUsuDfR8h7qViWnPSS EMOBjnEtX7r2/A6G7/h7M2w8FFtmluESgzcsaZYXVRAg4RTaHhBuPSY3ZSWnzKZrZgTU6ERaeQag BVL1Eq/Msq4S4uj4/HsrsHG5j7VZfUgQ3JayqXpcwd4M4mvRg1WkXAwUAqCEI0SHdOc7MztpcMUk GS06j4SP2ZdXMlt+pBzQkDeVkrJs4I+7F04aAowMiX4qcCm2alSzruI9OcaVFnLwb6BS842Bi39I x8fMoVx5XTRXNZVt6+qQt9LO4nOiCseHEk/wKcC7QYiAbyD3030+GRNny6Hm3KgsmrzT4W2RcwL3 dEfHaYALY87qGP+xjvb6oOdAZzVQaH5phmYp9c0wfb6ibyEcEwm1pxVDN4OS6Sb3xlA47tb0MWcv a0lzdeMthgNhD/lj5qzZuxzEa4ztylIuj2itKAxv7dgiLmGCOkAprhsjdMIXCz36Dr3hg9+CNIV8 LMZXRKwhZXTpOfN0KFn7iYMCOVzAJouzZvfk8cuy4XrUiEVa+P9puuYDsL1Aa7qke1+H8mD38Nvm y/a/cNYBTQ9W9TrvlZwp1mMuhScONw1go1GpXgpmqsdXZI+CBL8IBfr5+bWBSHu+P/BeF/UQhGv5 3zO3f27O9ZKC58p2VmUA7OFucgBjTvvM3j1y8hUt3PVIVnx9DUGIHwmFdtUAgAFmfbQOfxS9fi1g 9OE2MWQ+bEd8YoLnS21zVCPrSfx/VhT0N/WrozbLb/wKYSqCaLiLuD/sibvr2OWe8ee5IEh/jZZq fh7Soy3MQD6ec/swph4MHh//pgqanKumJV/bN8oyqLC0Gy+4jxr21bmKrs/64l18j1Z5heuXAbOE gB3XsTPcyay4cLNFaeR6Av/yZNawfOnQH8cxq47X3Edj2lN5lKAKc6m2V/h+dhXA6v1AbwdTDZ9w 02zuIUhSnIKWkhNfisSa6LJ4WWE9lkNwDLKgfpZIqV11qAWYAX7OVAk90bRkFfhgk6i/NWvkp6wx SvrpNcevxs7LOA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block g8/8e7iWmwWVIhdWKn9UA3Oi+EV2zr6WM7ed+L4FEp3r5GMOI3U3su42Dr7oCAffBFexVVTl3RqD X2zR3G56fQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block h9qO4Q9rOYob/UPRzM9rYG1nW0zYgYuu8wkxSxCJaE2yWGl/DPjOCo0L2+ow0qa7l/NsOXigG+kl HUMzDqlNT9iYQxjqk1iYSIwnHTOsWMjaDarSNidXxNezKCJNt8/SVCjilz6roldZ1kW/Fff3kmT7 YVTvszSkLPgbT9vFViY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p9tajOxAo7TxWTy3+CwcgR95/NITNrDy1XQrA0nCYyHg2kLB5xlIIlNRU5RbUw2V5sKeD8hu6cpB kKqSOic1oGn9mOlxZfCWCWocUep8w9TTM4alS6Pl7Z2jPCye3ms/TnaBh2jF56ZZzQ4IaQy8AzSJ zMM3r4WrRmcJxRkiw1tr6yTuXMbhD70ly+iVxiWJhzgkFp1PG3oJ5EHpRqNfSzIbsV7b4tU4xC32 sAwSB5OYCVtl7vZ1uiXS2R9i6Ufatst/J3SzaOBmfYSrM8PupqTnSYG9EP5V7EmRZY8x1ZOw9N/I TosuIcS9T4Nmcn143dFZqrBPLfy/+YF3vRErMg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XcjppJKjZueCXbveEam1kpKuvzaShxMPTKd3GQ5Sn6KeExgSqO4HDaufcsKgT4Ry07wenmZa7wWt ccDZE+Via2U4aU2JIzy0kYffeTGpo6NQ5T625KFgTgijat/V431eFmCtw9rP0DX9QMqKxuTdWQLl 4POdSBsVAj4LWB2L3RU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KkW5HDayltxMCDSbS1VxpD7XjdDn64r1ntHdZssM732UcZ3VTmfGNPYHKrm/fHBVStciml6ZocEV ZAzsdrrXI9TwuKACwUu5RO/6eX+0rFRdX6AWTUKLMiOqKekjbxmKtrHy1cQwqxow+l8D26PVOEvP w8jjVWonni5eWWL0z9x68QzPHyKO0/qcL4my8ZeBjW+h2RsNMb4FEFIriD9dqGADVd+ZATtwRzhw HKoXaPu5pgUvA/pKH8bwFRNfzLSVJoJDde1OZZgow4gyF41fW2jLgQUP+QWOkDqnIxNeuTOf/kNc lB8WIw5vazSK9I8EO4UXQWw6LpH6vsLNR1XQFQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15520) `protect data_block 4ASCP5LbPr69ZGZ6J8Lo3F2Rf4CSL+UzuUkl3YLEdg7wVVeJ7S2tMpUrPrDstg+XzGpyLMN8EWTh XogyAMYSuo431n5ZADwEgUg498ISWs+q3PvnFFYIx9HBejvlyF5F29tz4DFqXkgICQ5eshNp3N5c uck2qGyZdG7zT7OX98ZfExJncoag2GLppTkF92roMhHCT5tIKb7WZuDSL4xqRAXXM/sTiDBuO2MD piLFrhqjfZ/UMIJusRljo4kxH89NnW38MX8dRqJcA8FOozmW2tFggvqr9C8OazHGYincgzhFokzh gmY3oF7ywBvbPh26b8uxXEIU9AeC3yr66a49YWCaZoHWnnNUv+iWWUNx+mdvnp4hpTDgX3L+XPHW mfVRSjz+GnHt8/dD7g7IBCMFLkGXwjydcaxmt4lnxqoUpK/MRA8mY7oL/ZzKdS5PvqjQKnQ/YKJf JDwcPsgWmigPXOOdfFTgf8NDXDtoBtrccOmN8XAnIcmxrYF/C0qNGN/dyuxlIVqHd6IVVB9tbmZ3 3ptR1W+qFP2njW6Gvd0MOgQOCtXaKObMaBVGU0D7GW2IRfTQ9dg2uobWKBwrRYN5BHs/h5Ic/Id1 6W0J9MSD+E+02dhFaufSBBvXKDsUFdavcuAjniMcQHMHq+VWUx6QEckIl6MXd/LbaHYnMZdzX6tw ir0cLraRdNLj8YIo4EnLxhi//QyONL6WgW7aAwXZ3ZqTZQPV4x/7azKgwwAwoPlK8Abs7gFdmhTd /6qaX8rM7NMtr+TvUiA3NSxiKlehjmzu0rRFQ/eP3Gt5Z9CKzfGY7kthi9UIWLBtsrbPzeyHNulO 6eJrrYxnrlmo9iF4U2Cl4p/VoGTmLExwcRYn/H0kJ6lorP9i0iVv72d0c9EtQjRXuiFT1n9C/s/H ucjyN3E9x7SIexDpKaIP04ru4dH/Py8oDHFUzWIrtYa7y26oMlPqKLUPdabJlbJc3M2ZkFNjrVzp 5uGfZfNtWDlKu/JHqo+1xltfLqfcHd+f/oN/UmLxlGHpUfwlJlh2VZLzBLPh9Wq7y6DtOEPcP4Fg VUG0CSIoNCoiZAKYG68JpMN21A9tAWaL4ZWGauG4aB4xIZrfC+PNRVQsRTPAWOGyf+WeUXMSN5Xk pMF66826P/Ew0TyVLB+C4z3DiQ27qhSRvjmDDsBpO7a7AXkD8uoihVGjCvppZhRJUFtsD4IRYNBw ZKhxA4898o1f1h/ZlWXsEW3TREGS4GLS8jZAbmV24TPjIwOgpGB4YToo8i2+WyJHmEgxClEA2tLI Rmi2DWXcCgIfCoLhkL4WvptPTcN8gCo61becFspRDmKeorOX987gm/5Nm+1lx+LzpsIAhVQ7xLDj OE/dj+Hpu7uVAPepT3S5zgvvQgW5ISp3GAjX7nMlMz8KC7Bw2jSgC6aSfeoa0Azf2IFjeAxwID6e hfnMfmcqbZ0Ous0mjHb8eADi5qhOR0EijosQRfLP3QoWWDtZir8XlsnRz4Sf1dY5Rf8tykL2QX8v MEEH/G8IgORS6TRIwNEEWROyM2FjxMJLVX15xMTWDc+/SJ+UQ6GMlPcd99XXZKP4mi88eZVnH0UA wDonTx9pfm7yaS9RqD0x706UKYGmXbwyzT2N4hs5qVHs1uePNWjxPRONlhAFfshNyDQxjKY453hA BYVJWikJEhPW1YoD1V6a4712hnI8wyBTUpsL7X0lZmp9q5S4ymq/ghOwQUUVDe3ELjKD9eWYrDQU h66gVQCGn5o80VP/w90Oa8zLrlgaOGlGthJ2D4ZYbqKNxgokJrbORbwsddKbYBxMJw9Bhkk/tPtv HI/qRQDLARYPSTaxXMu7+nBa0e83KmQJXIugDEl1vc+31rBwe7EZmoYUeU5mV6YcFcWdcDfsW8K5 jyGL8L/Le7ZCjfr3mWk1tJtoRaMc2bv8j0HwmlScrkd0YuoFI9CQySaN/gMAycRCt9Mv1NmXyuWm AvshBUCGoWlJhUTw4XPetCwS44qy0hsf58g3ke8oSqORSNoPMKD6OvDKRXJb3QPMyTmVZ2zU7U95 fjer6uWWChEJpc/Z05dmRtMed7a8Zqf3iic5OKk6CLh30OXTwbkcs4olGW0c/HxT7xN0rAai9X9o c8AKvxuIEWh3yQbiwojdzHznJ3U3cbAVzzbOYah/fQdcHcePrwZ99UaGnoZddtIfotedYj0IJQ3e soY5GK33mDyWxZ3UpwPViy1zf1y5hzk98Ku1YsG5Ve7i+VaLh6CgoKQFKBIAbJnlUCjRz2lNBTpv H6CFODvO5HRKmRp0hec51Q8cZbMNucENg9t7bj5n0CzfgUTYSwhE/PvCn2powB1mBORhqvdgXApc tX0nBh0/b8euoNWdFHfep/kB6Zkv/fOawt+TEbR91JT7161fVMYxRzSZuBa4/SOykmLVLBEd+DXF 3WOLHsHwjsCu7hlRnGwuS8nJNC2NXunrbebUN28yf07AnbxsHpfk/s3rLC7zgCy/U3zJfAXkuZKB pebAhdH+HH4hRsbuINDQw5xb4kC/i0ljxW4s9DT3/MX0nCVOH1Rc2IBMBriLLnxwJkQ0UBnDLy7N THc5/LUhtNLSPlSvgXJsu2jACx8cEpYkcWJmqdw8RKBGTXgQmlnnLkH9GQ/XZFjMFWJA8YCP+rs+ knxX28L2rSwKwdteT1+DjHpiDB+aBb6ROaAfkGq9pAPJFSMkYZP2eY+jWtdQN+YuaNDlVGgO9Dsb 4kDV4GwqVauoPsC8Qk39uarlq2txkAP076xt8eD4Cw3HCVEOz9JCCJLCooW9hrmu/03rq20W90Bj leTP1HFGz71P0F83SCF3sccVavXZdBIypSIQCuesRwGqJqgMPc1boCoTfwmofsJSJF8tY43C8ctY ah3DZ2+CI4zwygvEKk/me3rN6apIYHpp0mBMKGHrvKKfq9XTmJ7X+yTFU0s47lU6AJj3gFcEPGjU Us38w21a2HAX7qR9knD3Rue9tLhbUtKkR9qfI481ABJjDQXtAZWCBrGO1uyFqlMOeNT+X2PjNAXx U4WvYEkJRuLO6SC9axiafGbW/M/rORdrHNEuamdJljRdSLlgxzKD85bYLvP+jyEmwMZ1TI/7gsqm BzRDnTqj2EEAoPhO740rN1NBd3sxPBQ7uBChk3fW1DBdDlyWZXtJvet6qfV69yg6kiSy0aii3x74 2PKKCcql34ZdSYWFh/RFIUTF5LrowmlbHQb0Fhxf8aR1z0sIBjQq6jQk6MLhgD0eXs1ViJsJ1I+o zOEfkLUydQBAK2mBfVSfuh67DQCgLf2T3sSiicLvlFD4daWyj7taPFMLmakr7blLGgvD1EZnogGs DZoTToyxLgrAGBcf5vRTak1X5Xy2BdXM5g2mQS0o9D4LQ5DaPP+EdU0Obq48jZGG+SdoUjpyEWL3 PVcx6v1IIOUCThHeiN0rwsGmR+oQ6hX9oDcpC4mDXCuX0LXxxgx5MGR0s1sCt9OeG78H5TgUBDTD Ptw74GOM95D1PsXbz3rhE/n0Vmb8CePEx/r3GuYOX3+jI7P21f8PbRAfRX7qrvQo1hinERlohnvr jfXrDOdLTJRhfcpHhnjiYNQZcK7C68acLDtFTxh7JWBO9fODcYxpSFmBuEgTVGJfJyvQWQUjmuR7 tifcXrTJwDVRYTZ07QVpR+aWDRAWYVtunj3OavrnfAfe5eAIlMeCcwnWfY5htuMP4YfeEi9HdlhW gBWZaACeUwlbm23J4bGd+8HUY51zGAoQcR3klnmEthn2QXNSuLTpjezqecqCBuvz+vxaSnLpnQVP D9oFmY26HJAWQl09PO8ghfctCgbQIjaFJDderGfQShoV+Uqhj5h2eTwG2SSV/dUWyNXth3ZenMdI uXL4W5Xc4ZtUP1U+brw84nW07kr6Uw6BJlf25Bp20ARZAVPAx01fyL8+H0xQP4PzspI6JJGEbKvz /8MDp0SYmRVfohA0KR34/n1TMfhjjmbkRHN4UxQ5qCSjRjTm5l2K8dGZXOUMSEcl7qpU8icLAQAS zef8wl5V/w91ON0aMZC8wUgYFC6u/JE1ulCAlcxOH4NeGrNWGd5PJj8pkfsekp2j8+rvf6LqXZDH eYQGGR5OitkExnm6kPTIwOwgKSv5to3AKtMIqJ9cCTlJFt3VHJcp/HXO02IjUcyD7lBzmaP5eGCp 6OLfU2lN4B9KKxRtLDM22f0/s9ZSfiXMp1rigsrrQjIwDBscA+mXjEDOkyT2pTDDGMJkUlWvVJDq A/XlMavdygcm9KlHPwWW/KZDqUy5E6jpGn69tkGUo3J/9v5FX+wxwcBxPH7QFpvBRlsZUDDHBkNs R/cDvaPkA30Wrx8PvHCxcWWD5Yypy3fRi8mmb8Nx0POccHavGQwoqe7x8C16xrTLcnJn8jL5mux3 GJBjBj+lJ1KZBBzwp13DxcYpPG1SQbpf7LATbSVxwEX/8MiQpghoC58AI5PPwVz1pnN4HOJfFLci xJ+SciJU4Da3PlCumGTpsjI/Q9idKivl7akloIkuzC762hgtpQ1xbNUa1ULPsJSyu0H06IHXspN4 ovI0yE/u+/tEUtPRMmeZAlSlbudlX25bh217bVyUCpYAi+d+5KdyirCgQgmtYI7a/jNXryTprUNJ 73HtKjnLxXFUEZUYIKUMygTzhlOUD1h4X6wt25Iu0JPuevQCWhd/NnUmMxtZ52NX38iS/Cj/VoW3 I/9IDiqLaxKSUPSZRoGUT1N8+P+30VVzYS22ouAbCO1cDbmEQG795GSNihtgIoUBk5k42g2BWUxy f9Dy7lkOSXiAzMqT0xc1pmBjZ/Dc6IsBVJwCXvI/Lsoz1VyV6ni35btck7haJDqqQh/70sLrwq1R 7bTssUdjF+vAeZkQ4Me2PBj5bomGHnENclIsAh0Lf5DKIkZMsZq+O387DbPPakz3jeMs7I06zNQv cy1oDa/onHi2WrjehfnqT5O1/aK7r5WKX9YyaEL5uArocyI8kerRipDEI/ji2QYf4KteOp0fpV6G 327gxwEzeEtK3a12c0wsCQdG6h/EY0oaZHVw9spFPjg8ADyc9VoYCgbByiuvLMJjK9pLuL+B3SG+ FQ7ghmnEKcaJj6YzePtCtCIw1GTdo+O7gtrOzqBbtExZ8XIRFFexeAXvlq9Ig5D1G3q1kWauXezH 9p0A73jAyzVAzjzchNWbJUh3OIsXzFOqisYsdVtXX6+RiWGXN7uZsJtEWqkxQwLyZ4/BMZbMRJTj +aJRR7u/jrbM/UCIYLFCtNTF8fSgynUtCklzywfGq4InnESqviDa7za5KYxRRI6Sw8eL6xTU6q6+ SHoU/MLkVyNE4rVqml3V0p5xuCjqYndEZK7OtPf4pmLhljnBq9x+wwJ2tMs8xvISGd8zqmF8u6xy Vgc1wel7LwHG7JMExyZ+5KBw91GY6jxOAe+HMTP9T1i6HuUwYpi43RE6gtn8dx734Z8HNQ5UvvPD 21+V9XKYNBwftkna+9WAUTaqbxBp9F4TmJNWQF2MvYxKem00xWK9j5oEtuo66z/rC++/+n+GrKyb Ff0T5/vRwoyajF+Ky47jgtW/0DpoxmU3sD2cKBSCtjcqFqZOyanR4Rs4V635yIZn30TrkN6QOHDU AE1qk+x1zig/KbqpUG18dJI2DKAjUssdqI0UrbWEyiDL1ujbNnbp9OkeX7vLdIfUMwX4OoJY2fEJ tEGfBPwLvLIG3yc6zRR0vM3wDaZsdEDMhUkofQ7J181uhQZjSRLkwrXP88MuJWZwAtAn11oKv9AW cFoN7NLzQmlLJx6N8F8EgGb+c66AlCVIjPHU6WYrUcPBw1JYrgFbuBWSEH1lhItwYROc1ZOttY/J Tnyt+PVWM3LTn2K95phDPH1xZQozLDVQLybAIScW0nnNYDyyuvOOmOTVCrKq280ccrhiRliH66OM LCfdr5ZhYdg34CxWNACDHT/qlZDoMCYRJV0+0I1WUPN8jcNp24uhODL06kVj+808jiStyECSMd22 a6CyqyPYgqRsVF0vTutm7e/DHdXAA6SBgryO5z8EI39F1UI3/8zuCTJSdKd741I4qBOzEmkSahqD FUkweSOTp8M7AAUeOkDatl6peOMI8oei4GAgNP59a2uI5yY9q07Iwtxg8R/uRfVrBzsu9E0yAZAf nKkffTT+GS8gIGeGaCDBoFvSCpYzsbMjrdwUqmPbV+/ggagvH/9waxQpBpNjwzLOKFRrPni2jAqR hU9L8C6xpvRKF7JbhZ4Q/n6Ntfct31IdW8fcoGKMFI/e/uLKuRHAGclUWGsqJv44xXBCwIJz1fBO i9sxSyGMLTjzZCXVL1YRYML3emzdxgyDXcJBfgskjjLzgK9dlQTEt17ef9e0Yw9f1oK2QUC9cQUJ T3zrP1RXu9725hdb91OajF+Mj+9kIq57zSAyV7uLWhGiqcWWsPhFeE+0SzkoRplreiKWi7Eb/D4T xuLHIf2mqySrQG+rM8ZtKEkJEAFPhrE155YRjR/Ph7p2WWDsaDfD90341KX+uZJm0t8rzC0KTtHw LFRoHaksxkNOQDxZpFGyNbUhVP5lqXpOS/tpw33cWWfcIkoLyFuZ2Dj+K6t1mMXrQqtqBlBKP8/Z pXfihvtuzFl0bi6a+zVqommLA2MjrDw+5M9zoczdDuI8PraflkJ29yKbIA1Ws1ltr9d9lbF9qW5w mQR6m6aW3g8i3t+AJ96Z1X45cMkdcHolv5dSrtgrSxxABUzwao7Rv5+iRw2CFIZp46Ge13Jibk7B 8kUAlFhfIaEZloGR0/ifd9SB/8LOnNYS1QgwPChRXe4gahAWl29MGPaL/BCpYg/WVU788zqZRV/F PoplI5DOufShFs8uxNxkvEkH+5gH7yKdbFeKLZz9pqaEGsCsVR7c/dTwGkpNJhnnLR6ztTprJ6IQ uclLW9UIWpnQv/HTE/WZN+xIjwWIUcvF9alRsIdtTiKRsw4e9fI3Fw6+mQ92V1EmZCqC1w+nA8QC uGN9BmxsHGDlQKGe42IGZk2jbp+XQpr5jTQbltPJAE8SSA4c3fsFqXA01JyABdlk2W8nMPSARae7 ERMlIWFnb6BPdz0x9nkUehQhmduqzxUzrUu7kixzRRe3eAWP9dX7NCy4Ri/aV4Im2Aagdu/LqX0g bzuZ89MtDEPyWwELZtm6PFQtYR2AhhzhAYOjty/QD9hxyBU2LWHzTaxw6QQyzyXeDQINqTfGS722 yWJ1vX6fry0QL9evejfBUoS4U/Ghp/2HlH7RrAVUgx62wZiQmYDRLtrcRa1v9rATO4UxrthmFBbp DpMrPhDCSZNU2z0bmkQTwkbIe1JmLuzCSdHwQWWaiZ3/o21gVuS8eJkA63lQ2NZ+rRXB/E3NbCDn 7GJvHoDOsMd8hbfyCJTsUNkbk6DCLu6LHBZfAZntatlLrTf3aXMvPOvLyqMqlQGFaxQWYcaIrtVN tOOZJzwfedlCNxjjGOOAGQ2wniTacB96c29vYQoZcA9Q9dG6VNHVqikDNApMQvxmxd7OQpYS/MaC mN9mfUY7NoEqP6E5WdH9Q/JGCimFC/HvQQT37GjVriF46+/RAsYxpdGjC7SHX3Ra+Atl7XsqY2Sq gzrvNy47CZP5DSwl7TNBVRlRi/yguHlsUTrn7zMPTRVQZqUxdhzQMbQm4GD3tbwje9Ev8TYeb8oz hoCzm/zus/PJh8VIB6TtD9q6rvj9Wb24OLI9Dlgb6zQCbSbO/ekafrr/NsAV0CXvv00xisL7BK11 UQR6/py3dnqRE4mKNaeM1ZqkRqP+e5V17ZkBdDjva4xcRp1S2zQNglwyqJru2Ad4vGYpk1J6Yufw kOokc2df9DcUuFNd3Xav2F+ceLb3AVh7tAOug4KD9+X0a+BEDZ8X4txoXqVeKaM9rsAWRmM/SQ2V 5WL2EZLkCGcNX55kb9iSviGniZqRVYHg6v6UJTOofuI+4AIqx4FbOPThmgEnUPiH59tNfo0WelWK y6ZUr2/lTKB26qI84jGm5rJ+6Flr7T1QvucyCPWMP9MwFMe6KXGSsall0fc5yo6LVx7Ussyd7U51 aawdXZjB7jCAi0m2QQnOWMUySv1XcvP4MEafK3Odti0bm5cCzalSCYGC3NxXAF8z2RjepNZz5WYk Y3+YLa2EU4Co9FGtjBCakPgYBlpplaZLKO1UA+ArJlUNmouyXLco5U7SBakTfgBFy+7yOSwzFrzt ppa+PizfnRx8Vf+KLGUoYVvx7EDPVpm54OTCKTen6WYohlfuQ/3h8GN9P+2CKxsRpP35N0VaPQW/ QQ1ACl9wNaWu6Kq/A+0gdU3EQh4r4YDAfPHq5XwNyqovk5s+lYVh5XOGbiCA0dgp4h2FtGFcc6xQ 8uIHxPs7zg7MCS1Ku7AiSnrX9neqgZMJ8OlGri2JWAu+C3MgaLSu2X+2lbErTngB9BQ/+eO3HwU5 2ix06M5YM19OuPNf6OT3xhom+a18AAEtgIBufuOirhvzsfluW+Gh4I6YJUnqWyi3urK+iTtW0y5t FCW3UPTBVSy5vCUEGOlqNTTQrdfyEOX60/4hCDwnoX+djSDNFkZvGlHhDDUYJFALxnl1GXXRY5u5 mFlu6K5oqucPAyyryA6qTSqlN/sf8GSH2zLVgGvuODrPNuSmJOOUJ3CRcMMlOlswjoEY+P9jL8Q/ yL+RLCq7kINh3xF2/6J6cuETqxbf3XbmE7Bk1kppc94C3dv/LbLWSlRAdkXj9nblOTwtZJiA4R+m BOFnaims3n7vS/yalo4jGQFhbSFn5WHbtSeUsOS1ZTxOuYZQCA+BDbidim+P6F9Hnfhfq06FbJZ/ ECN4NCxpkz09WlRy8A5hb+El9YUT2i6uajcg5tlMZAzaLm5gyUYykO8cFFnpoz38+MDBrEVVLwas 3AV7ypfY86FzVMYGHQ9l92pnns6hTy6JHMHe5mHFbYdnvxwA1D1K3zC26+uC16VRqUbgAxiqkkQb oRHFG4XxbDt9Ekc4CgcHt3QTpt+Z/HEsj/I2KRtfHerkjQJzSWyW53S5JSLJxCSLGfrdttuNwJq9 8vUXhMOchDrz5Lgcmz8upJiskogo/RD3GTwE2O2T5Ac7vyGvPCocWkzMtvoV3fLYXF2LRaA5jP4P KJ5vsNtX4WPI3y6LLctMpR4bLI24AwBojykshsH85ethiGi+4gNgO90X7c6dFbVOfqEnQe1/1QLI F7Epj9jDLN6uEI69hGe53rB9azLtlqOmOSXMJvxCN8/WbIgG6UuDM77MGcCuzBD6JGMeZ5kWiXKi /MXbriC3mMY07wPTucHlQp0oBV/fZUWjVRFETZQdGIr19u8jzv2fKayM0GdPcHLMIfWnAPQqE/To qeHLH7TIFn9iXxMCtGDS9UQDd9hih9EkmLZBfJ4+uRx8+2/fP8w3dQqAV7AX0dum7gc2H+2/E6I/ DUl9rkUj6EW1t33PB+Sm4BY2Df8f4ZJNGB4VJdKYPikvtQ+JluBtfHf83kIigtTpwBEFq8t+/tPi ISO174ilmcpPqSklMNkRdCmQyuDEElFJtSGewf+i/mQYknAmDr/Iwy87mXKCDFlukY8EMHHu5uVp E24qWu0qwhyirPozLkbq1s88UdrOHJqs3Q1AYd7GLtgZZVLVS/vJVkn6NJHdwM8ywqObb5OQ9rmG ogArOIphgPyGQpkrivJWm15l5Bp3n1nZzaOVAdG30J5KSdm0EOKbuQQOjtbwesfRGhcEva0Glmcg ZpgMu/QXZQSKuT+9fwyLlQe8Sktwh+bA5EzenH4SDfqTEyqxHypGXWCyZZMv7t8ZIIaU7yCQZRHp K2qZJWP5blfph6g7Ac5WjruKODkzZOyBVGaVIgLB7ibmhIeK/RQOMUhMF2/WMzV8vzQsDHSV9txJ gwqinDBMaSqZZmwqSjgt9MNaWvOFQqIufd2uHtqcha1VOk7C0Sy2raGj05KYBxsps9PGhZesKNEe jPx3dVG3UetVvHVv7+mBgKcrX9dTKzVYBqRu+gUHo2O1WjpRaK3JsbuU443vJcBW+3BJIspC4WN9 GzjjzrlIxMML75wl0VTBgT0wlmnGI/RHRD8Bru8dhTjiNIG6LY9XLekA/6VWOme1lfd40jpiKa8l UgolRrMGBXYl2E8QWq8F5OZkwLKPSSfo4rWlwX80etkwOISvtLLJ5j/TNXvlkVNBfdVLoWdGsM8J KLDYlYSZHMxapFU5cpflZ7cU+Pr3ru++ExGhpSQQTPICVIrBwSHBG+Pm97rB+lfBbCDrzFmPAvbd 9bXKHgGzpSYN3na+ATEi4i8mq5Qcsb4PY1Lg3+upf6qhkKIxHYflCR9xGquOlYZI2gal41qZHQt6 QhUXzt0L7t5qIwdtK1P1atyTtO1pVaSwg6kYx0hH0PK+W3bbANGV1tZUtJ0jqEKpEHY9g0up7oAt +Q1A0R+LOslXnJMOnGD7KYZcoo2u0yaJ+9hRFNDR4pwL5AymE3LMFTnai5KP+Bl4HAYRnG9bFYRX IpkcjWZ/bIijk4ZyTeAlQHQM4Tuxxjv0FThY/PlMHWd5zxWy8cdAwUCw8AhFrASRcDqHcabScBM/ cA4mb4nZW6y5zEFcuI9FeKdDOFN5Xe9ElrTaz9/UKCGnMI3LCqck8rvhF2e/zxR9XwvPaOEiHoqA FC1gALp3NrioxBOrSmfWJgB0Pe67U+CYMLwDq1ksRyJE5iczBxJe1/tlhqqnj2Jxn0DYQ3vpu4ur ypSK1A6C1feo6uft/99Vvbv1ByvD7h8G+FnHgJVy/Nc2zcd7GtesLJlnFQYfqUu89NdC2njYTI7T WlEwphI/6IS0r2wMZyGuOgmG270ePa4/Tb3o+9PAjyOmIBA6O+bTSSIvVsP271zwrWOT4l4J3KcQ lGGLTrXjNmZCq0cO4kFa0fq8JyB/3Xd2WhEMdFx2bZY63QGZ7ysqXxpduU1DnBqIJeGJcPZmcvqq FMO4nunHo+SsiFwhs0bKnHtb5LFT07eaXjtpMHTrrG9G0FO2IS3EI/ZsjhcxW5+yQ80uZWv6p05G vWj8a7vGVTgeNEUXflX6urrTzYAqrzUOlTZofqITNhLZSn5w5bj1jYSHpSXSvlY2rdfJpvWtd9Jw 3ueVVCkLumHf3m1EALkh4muIco6aIGKD7EOq9BZ+AdBMfouzDXcoaGtnV5rW9kueEpi+qm+i++Z2 1P2AGF9gdz5oi70Yd5b7SmGGnhqFe2Qsp3wWiI+X+H690BFLoRUb+npj77IjSd06s/0q0gux060m DFdpY1xEGT79Z3yERp80QC2mLH/U5RZHDj3rEIzfAqL6x1gn6m+OGyba7/xVIfZP9wxYsw78unHP cVGsmZmhbuc16KYYRXE9ySRVH8oQ5D1nZc06lrqd1Mx8cQ1nPHazP0Dgon1PSdpTY0bfqy/9zdF9 bWKHpQOnqYpJSXamGDouOBE0p36PO5zSN5/rXqsb4t0cuC9c/dJtDPBcls2BWzkKtbj9HCRo65mX 1SriZ/tVyAW7EKka6NtBlYN7C0xSjR9/c+yvCGs3x2/R9tTY6FS+D7Airz6zTRij0/p9yCHPm0yW naxFWHWmr4rBd4mxRtNX2I7xhtP86oIybb5knys3z4N9IYGDcT5SOw3rcymKFKRwrAiY6W0nEXL+ otUOXPMXNrPPlJdz5GsqYtpf2BiWrpfE+yWlZ7fSpZifA1YLz7THPT2mqvbOdw6JzGOLCnlM0Oeh 3wol/e+TIJEZ4WYYHx+m/YI+TW0h2r2eUQ0i/5XE9RD0EbEaAI9x9/WQJX9V8UXubZ895ViQSO6Z iO4yaiNsdXINQ5jrMQPrj98VT5UJOPI1BUOXTV1ZTRIRXrnbQJduD0cRgOjGjQc1Q6J9c/WE+Qwt lhk+Vd7C6YU55Bigt0d0kFaYhJoFo4jfcGpixEgzOrXBek6CoItptED1upcrcOz0Jk53u2PB1XAg fhUfQFGRsmLLdX5qRTj/FHmP21yJBVpF98ai7FDX/2lolwZfdlwQ6AWyYSzSsdbnWu41jjhbYmyB j5se47cSg+r2OFS3k605g+0MtHSqOP9NejtDtJlk0NUiwhj/ddqiFjAtfq0dQN7+SBWR4XUnvY18 81fbdaiovVtV6QI8/bG8aXjcHbO0WtdjZmae7Rw90AM0FZT5yiAgPqtlFGqaGMzuZByRgJQ3XUcm 9zSyUR8tQPJBn3iDrUDdN/JiRxsYChwXy93w1Kfqf/tb26nlItZCZAfj99YaTYmoxBYVvXop2G2L Prv5ZaeSwAe/zVGfpJUH3pqghHGCm7P15ilzX7/+H58KARcs2x4b0WN9EyGp9TryGExfyVosx9yw pG0xFpLOC9DR++HsMeys0CAgevKpVsXmm8qJmTSyUT7vsJLPF9iPhuv2hmH0BFTLZNx4pAXfdudB WpblvitFzmP3VWU5FY+4tfLeYcVvxS3E3JYPdUjZxqDaOcvE/Bzu6BFFTZd59TT9Cek4gNn1uRRb iEmo1lr+u+7Ro6PqGmgkcPEKBXj4cQsJ9Yi2KYnmYbqhXz3qMSDjlmmfCcbvTYZDUEd/KdcF5z6f zPCuIUxNuglWn+eZNxUS9vLwTcsRIAepCFH/+AMccRySz2mKshNPadcE9kMzHSyoKqMpX1QwXTmL txYdimjb0tU8VwUBL+7toyUb9KizBzQRdPoLQJ4uUaM9/4gWYvhAKswlBDJK2/hnM1maWF96nmhK HNZvfK2jHSJpWNjX8DOQrhgxyRSiYq+Ykjw7glOIXZoL9JUDLDLaUfriFvzpHOeeynkaHETfiS/2 emjcTIlIsDV2QClyxhwvd6tvQs4q5ZNAQiCv0BDkq6lm3i0bbkZ8hZyyCfXtO1nvCC8NcZNQHaue v/arNY8ahJ1O60Y/KflPe/VP/6mi0OOGwZQ3ziEF4Luh+m2EpNefI/hrTXE6uYaP5SP70t1EDFe/ KrGmUJNvaTOkGobCGs000n89Od57jLZ3hb38SUVpT0AQbgJ8q5NyOVzLr2VILv9k6niHUwAwJsjE hXJlwJUrrWr2/sQrt1mLJksXsfsOYjLSw/U0W24vwycXFT6texqHJSCE7cTKQ96Cc60KO+zCJFJi RuRZup55Mn5NmsHWchoWd/7iRLo5NIvHa0adjsKxA3C4nFCruunk7Sc1MaqDiBZxciJFibGJfDIq OheqY/p+DfBOSoXfs9PyOX4XdIA4CWeFlkEJxCgaTXadB34q31VIzN9EfNRvyzvR61oIrk2pUeC1 fqrnOpamu4+QPiqkuMMM8V1+qxgNLaAuni3pp4rSqcLJ+X1vCNsKWSaz5ZR71ruqI6JqwgUPwMga Hz5+vAXMWYzKH2L8qCT5EiymQcK4BhIFH5WjZKqsjcOG/cF+FrzaEr4mCMI3z5uzHRBLvgCoDJam e9ATWjHA3Sda6Tgzy8K+GWr8wjxfW12EZOJhiczj9K4d0++fEYIF6zAg8bGVIpZzZ7CYQ663Fe8J fQJ/zG38xejOwU75T8/VGYife87kX82Brxu6KckrCSfOXCwfGaeuY50h2h5eBAELJZEfPwzFq1a+ 1fv4ekk2IXArlPnbeTfVO6Z1F1eBP6Swoe3kExwiABcV8l658P+euUZgghSD2ZZkHU/4v0wBUgBs nVeTwDYK+nKb3Ux3ZBzWVyXlvHR3HGCrxPdNNG858NYfVWnufukkCWWZ5xjx+dE8/kNIGUYhXgS7 1w10SYF4tdy6sq5IO8RjLTMdJEVy4mTkCDKd2aznOSnbh9P6jo7s2FCc1OUFMdXUhmBSzKcHfvtz fzZam6CXzF0jKQ6AvGdpjZ6VSkw6WB7NE142b0iSLAiC0kA4l75I3ywc7kHLss0XqLFLagNy229x jtjLk1QVEy4vykNTyqiV98EnbN4VXS8rrxisz4XuYs1HRWFpiXPSw3loGEnavzOqbVgxqswbUnnZ htYzmM8MQbiI2whCANnDGFzq14Hmxobtnag0Oe8so4sPHMsJbx8Hg3CjpTk/8Oev7S/QKuZOfLhd C4/UBHuw7EiQDUZp4A8Qnc9tCGfqXm71FDoQ4mnL+zSGgKjUCzzUcHkHQ/WQw0heSLplri7DdhZJ ppmYB7bYlrNzlPEHTm0UkqTft59BCewqd9eaiFusbfsaLlVSp1FivEKIh/nB4tZm3z6sR/oqoVtb vd1snopVgwxfc3NPM1FMisXK77LdgbFh98RjpkF3Jock1of93xFMaLYhFvxSxTAwzVu9PNHSrWY6 8MYIoRh8uRaYvRJkfRXk1ClhGs31DW/Y5gLqOTFoIgiNoHHS56seunrg3IWDFA+h/Uo7vlADJo8c dEuAMAjFOrZpnRf26x48emcI070oMX1gFxue1agJUsntls+0PxViksg+tBROgU+IhzwIOKT0e8nz bRuHbeiEBAYRP+y7w6tVoH1U9HmAbOyqYWZEs2F+m948+tty9CZ5jIr7Ytx7kG7ERZyOaigoPPsE U7Baxng5fbU4DLmu47htoBPl7ulSZ8ox05lY9vPDrkrX6hYYZ7iZzzW1lYx0pPAZHUAX/TBNRnXr vm1qx2sAUMR5g8kF06C9M7FeTkI9BhHt8AZ9T0lhb668lxoiU754lTAwTmOfdfQx2mdOpA0Hetrz DICni1pBvEs5M4TJK0u6sPRWS8wH8tuWfW3TLaPuqLPTnzRpA45LIyRzysMNOqVh0mvaenKcyJXz 0FpB05MtB5FQa42Bc9uGJkl2G+qvjgyvqf7eCHHMqEw2lwTYR1r0ZTt2kkWp1l+RBCdCfsupTD+L sNDx8bgx46SV9LWamjQzrx1lIDpc8PRD2SOHRm57xeF3UD8gde2lAHc90OecDQ15zuMLKTbkc8EF OBjF9hIfSQMHKFJjTjKSh7p+gvI1vnpaVH5KwhU4V8XeshPlcXy814+lNpoR+CQ+mKa/qQ09sljL s/MTbxX72DHNUZBGMqSjhn9L+xVxWcoIGXGalpQvxTP58rkJGzuVv0nsb+MtA9bpaaW3GewWnVXq u5lF/AZme5sKWgbw9T7nUcu+AsAV4WsjzueNPoUHhPBgEsU94Gmg6lY0m3x3kpBqNeWYSOmEMHCj y5fwpmxi2DtMNV7DZn1sIsYftYIFi5yBCHd0FDxyFF7BlHw9XIGQ7f6evYUnei8PA+Je3bfYSHKO l/mLssfH/yA3EvV6OcC9doK1TOGzkJFH7ot8uIBt6JSrC2jvc8+vMRlbctU7W7N+62P3l+xS1YjE Cpx0P1x+/KDu1zgrQvzAGEpqjXFue1ogOY6td0Mdhv8LtzeRmhWV/c17W+vHevRFfWjsUL47kIGb SP/jBz0hloI9NMByXvJAocqFIJGAJmNP+RI1sk2lPsn53XClOml6eon9F85yEqH/bg29IHfY/Aa3 ltxAp7ldvFkluTG/g4axq1JlE+/nD9nNeoZzE5ll7Yyk/ZS+TuqBln7kBT5iS3SkoSoZbWggiM+9 HDBePp2jJ8Le9Gh1dpJG3M+NQkZHuuiPNCirC2hKB5i8lhrGzbpOTXCSXBAyic59aXhzhWZZqkKJ /iQDnP5ta1rtWGD6CIWEA2ROh+uWep0Je7oSK1Qkpajc+jVW6nAyyTluCvIoPbIxVNy2Lhl9P6Ua xjkkDnqdDfgusr4JNATx3KrqgExQUsWsvCqxZXKdxaj+ILpitWdPSKnFZzRZNclkqUeUxJAet3iq zgM336C1Yo7/bUIx+Jtb7JGly4HIHRxGRJvO97IYSWU2Q04jbNJ6MupS8iG8I3H4ZCWFd4LWKeiH dzx52YBYsyMxRPmisuCMa+mu/B59E++KOjmrPvZTottiTkYQ3QPaP4OkjDyueYoncUK1WNrLfepj kuPggd9m4LvEuQu8SdNv34xiYAZYnXRd37Y5EuhjAA08TfnQBod+eg57BhmfOlsH/V789hIhppwb 3GYas95iSFC4HILlSeqUZED1KNRgBhmBN24f14HR8xrxJHWZBSxv61SV0vK498HDvt0RW6Z5XZL3 XPv6tgY7UEeQOfwtZet9SU+1C0wVVsde4iyzXVEluw+a1h5M2G2gz5N6F3EfLzYtgd41j05BvkI/ tckzP9dnycFcgDicKPPaEnzQx6fGA7T7m/hkVqfUpHkdRvumO4+ndmmGIDX9LFn6fxSPgt4aZmHN KHWPIXKhU8Mt/fQExmiCvEt9tEeuPkCo3OxTXQMQjNnFZcpXp0aiNkk3CIN9NdgifI6TZmTltn5g rzI/xPbj0e7QuUurI+CDR+WMJa+tQezAEbj6Birkb1U0TmXdlyaUYavfpOZptI3hO8KmNMH8PgPp JgmgquIcOEecHh5Ij3BqYBEZ7nwjx9BXGDxgzZYenRivgzFU3m3wNUC+7xhpg7Ast54weJktjwlI Ec2E7sihAg/lpAf1fqQWMr2JJWKhzbX8cUGklHlm2qSS19eCsQSl0VTLTAf6Jitls+GiHqTpO7ev oZ7Im+CqRrwH0GmFstNb0wsYLYBAQF/H1e1z9VfnZf5FqTIY4tc5qZIRI4FPFunahgUrAty0dZ+2 H9Pw8gJOt9qJv7cSzP2ZGl2hHgOpBfnn7V8xyVUUIzER99CF0Bgs13uALhZ2CvS+NDhBy9MA/Djd MjGPMYKC+Woi5Vot8IpClOR9GcPAac4TXR0oGP+PDjWGRHQQdt94EdpFKYOHM1gI6LsJf5xbXBN4 /0OOAPzibY8THBLZnbQAabROp82vDbU3O5SxQygfrUz2PKbztFXWdCjXsK2hUL+C7a4RTqE+QRbo a0KJMBQehMwR1iSz0XRlqinTVNWGd3qoUS1ygF1bM3rPx2qjU+56NecEsgsWrmuwKrhY5ubpgTSM BKpschlLgsrZ63281+5jqrrAvUd8XknJsSAVABwth7FewVD1eV7fDJmk3Rkdr3Pm36fMlVXP6+Hq G4bBC7KwBDeErE5yL71ukeuizlaTlKYa4m1veRoRnKKmxCvaKlpVlPmtztteZkeLhoWGa92fi8r5 AThJHJvInmj8yZm4vIxEat5eevPrTOPpn3zCh+w4HJnlAiTytovl6UXshn6MAqBc82QEvNXVXG/X wgreSlp6YfKUKztApgol37Mw1K8pyHqzHKboKFVN1746D7XtV6n5n1F8c6dTRo3m4sVnjTMOq+EG jxekoXiCFKcr3AK5Rq5TOFwqllfxx0fO3MdRSmgtICZExyTqarWrbWFYmoem4b8OCLivyCemnLhs HQMCDr7oQYJDc1oxFrLLnUo+961/hNgGEzGDDqmIgCgSm7fuQijFz4Ao8J9cIpGTUwxiGqdrAIC1 dpmncCPrLWK6APPYQNh774k4SxsW31eO3psNI5whB3GuZ3G2zUJJKeWvtFcf8Im9xCwOoagpcfUQ enxRq+5CbnDfPgohJEM98RDa1av2AtuyN/lzTXx9hUyTqqE3N4zodasi5vUoKC7fnW6Spv4qGZwU yY9imCRnjHCrrUuku50aNKyKY0ZgIRvW1hazjrsSwglele/r66ZI4JNPUYSOusEhByxZwQoe/ack dMcHYtdMB+f/ju0XiUUDVQxLX5ZaBaJk9V4YG90YEiuGYgC9DBr4tWR0VdFfdzqaDRVpcy5SKvzx 06yFDe8+x//gGELaSZFnLBsliPWhUj88ZVjoUrZoaLO6judmklv75Vg/9rCrf53IR6/936cC8z9b TyG/kjZpAE9EFF2w30PR9qLveZfwlMVZd+Axt6oTYB3YXQzkgahk7JtH0FDYOvT9gXJC+ILJIfzF zyzDpCmoaIwcMVRQF86GmVW0RTpYKzOJqdhAfcqVdW73QrmU4ZzO7sxWYOe5YrIzxRviOMPP9/Hg YpPvDGLxylIjE2AMWC4bI1Gt+S453Hx5oFCNoJETG+epF142TBeI79N6Von14FQQC7Yf4PqphZhE dFeqPAg8Osw1mPc5+PUb7b1ye/gSMPh3EWGSbd1Xx/0Ra/wwBJCmioca2rvtLUBR8TFBzAu3Td1X fPTyIPZ4liKsZiCnAe/uhgtqKXdS9hlPD3lY41UNxgWXK3DK+daK+Rx3AobldEb3WJ5bZKB75CAv U4kwiLKKF4gO4g9f/5M4VgPmYIXFk5OhejHzUZLUmdz/HOA/JoVElRzyRMqfjCstNgxRrX6uHNv0 0cHsfSEbH0UPoXdu3z0dwBnDnV8Ki4zs5/kr3edkQkUIJ4x0K4SA12uWx9wOgfahaiKN+SPxvHKu 84sizWwU8ndBJ6g+0ZkywmtTERRic326xECyxHVx9lf0WdjadYRI/f4AqA2yigQtRfAsRvm0Mjv9 wwL3WJH+76JcIJEzuH76l7skP1ynPkbxascnBFl2dIxdmpSvXNdhS7iP8PdBWz1pC+e6n8llbB4R XpWpKvH75nITzfhpg8qqQ4Q+E0cakKZ01S1rpgOJRESDf4SL5c07Gjb904QREFs1yWwWL5Uj1AEU ezTJM4gO14//SwYBZiLdt05e5XHojxFcmCEWBuXMo9uTBhlq8tAOyEV5HpKILl5mCZGiKCUiM+GU HjmXfe5MN2NnnGLkBr17z9GkfoSUg2iiKltR9M3uLZ3C0IKbcisnW9ufNG1DJ481sevBR6dQyG3A 8fZETe3gQoITHZSnvUdE7Jqgqclw8sM2cZ52d69vBDMJTrL6vRIgXPrlIOoG+VrmFeO0ssSelyfY gVqcrUUKTrFucf9lqKIB7wTMYx3fHBcgIUKfGLYm6MMR37AdL46zX0oiHimLvau7i+aifeLOGiZM R4qgGWzvbfIv3N+0UXSEQqpYWf82aBI2BleXk+a2tbTJzifTm0mrqesMu+ETzGrf2gsKUIkhNfv8 jtCP15HNUkHcdml3TFqGaJRdofiESNecp/kV68nur22up34QCSZtNYTOGlbyHdsO6Xd17voWwK39 VroXhrlVrhAiPJ86tluEAFA8t/X+NelPZFYLswtCNH21pKpFxG4EZomYWty7y9yDAidRPQIFG5Xd NXoj1PzLch1/ei9n3IxXNbEfbF+4GTX25drexSlB9H34J4kaCr+/Dii5jDYpkVc4g/hS34l0vrwp DhAgG++sPJbVFvXK+o29CAIfzBF0V7FNH+5x4Hl/724qnKCfVZwPGGFf0y7ZGX1q7Ekn3osg2DKG R16ZOKFP/iesxibO9u+w8PUbeTiPCIWLuTYFrZ6VcKux9JLpYiUOj+tG2+mKI5doLQ06zcO3TfP3 aAi+6LwtaLErtuyubAbJrNK9dXaPfnh+t4tw4J9k0a0lHxHLI8kusuwHt1T7d+Du0MpIz8HDemif NMUsxIXuhp4JQuuFoPVknCDSdKxJF76rbOKBrXXKv7JeVTGf9bI7XD41BPdJjhayiIAb14JAoOpO h8+bscaZnJAPTa2homZfxx50pJd8jJfVR99CAp3Bp0Efqb5Qs9/boa12HSmnmAcE31MctOEF5+oM 2sPMt3KwNCFv0+bPfLSshGHUe1FzqSk2dsdyG3C0anrzV4KqFml9lY9sXKmhDaTAH6tQJeOcUqzf jeCmTnt2LJr6exRjINhVg0XJl2ljVmYsvcKBavVKsQb59lr4qkjAQoaolP8NAXwr5XywYoYuEDSK JxCLWotcdYVnEWNpijkbw0PyVRRqf5rHWD1RMN01ci38Pu5EMo5TY6oPp9hrMq2kVFsv+NaeZZfa 0i9bg54MNnS4U4nZtY71LSAUL5tCUjsFKjwWV4+hp40+3qyg+C+FzdF6wfbUhov4ELh7CPsT5kB0 9C/CnOVPdJDxLkTwfZMtxoCnXRmHbkbMMKrXfATyNU5zqPgLc7jb4+J/ExUcDNmJFA80GIfSNkMP DL61o5jav1nBcGqWCz3Kj08/4E1vXQLP9crM3joF8KbN3Z5HPPFQJzHk3+b3bHO1xNMI3JdllSz4 DN6kWWfOxozoF7rvffL9079N4HH2AHT9aNxbgO+FgE3MQ5BWlSksLmFx6LZLw461toVnN7iWCwOk o4cFWVRf3P9/G6UShpoufGnaBIfWyUUGAGZV29BExGMiXR4A8DIWVth0nKTEL0YXNYLpVbFdSu8Q V+j0Z+qy0FW1g8f/zMvQ3RqqRGy69Lh0hbBURuK7L4weUhJK1iMV7ehP6FWfnlKXB8JHHkJ+DDMt k9FqBxagpuDu7KPyPxnYG+At6LVFQwf0QTtaBlWicLYcMQZFfY/JcrWy8R4ICLau1rMNYQrI1sOO FhnxdW6r/Ck5CHehELkYNiNxAZyrBUlpUS5XYH6vVk0b4khUmq+b2FiAXRmVDsgj7c5SPECpQSMc vlHJqGLxNLr9eoLbK5ScDNPMxLruyZLZkXCcRHlrA8oIj4o1TSeRBwIVKK+EUHyZI7YFbfHrmLBO cMsLIwg9YsZXdqzJW5Yi2jsIf8PJtLU55GmbRoP5rZ5EP74pgd6BLiEY2hguLkF04Gbs/hY1XLqA v+tmbfMW/mdHsSjfhnKtoCTteOHKPQ7bbkqbsinqPGK8EMq3Rj8VFr8dvNyu9VufrUzuLoFav+sg zv6oXZUU5lJAiRnwpSUnx8WdRysJBhrfiVBU9Apu3h9MKB9DmOheRaIi9uf2vUPbQ/eqSWOaiCbg jBkSznjnv5RY/l8CJoNCNfD406s9fDw5SDSTgW1NztliqkOKFq5QTGTaRY2JmZI66BupBJm4ofXS xWUZu08VnTzxQKV8KMGrf0GCQvwoU/w9lC0p28vG8pZouwqGxyCMO3NoKGcBI+tRJI0B4RGar1GO 9j9d6nxGx36gafs87IN3TQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block g8/8e7iWmwWVIhdWKn9UA3Oi+EV2zr6WM7ed+L4FEp3r5GMOI3U3su42Dr7oCAffBFexVVTl3RqD X2zR3G56fQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block h9qO4Q9rOYob/UPRzM9rYG1nW0zYgYuu8wkxSxCJaE2yWGl/DPjOCo0L2+ow0qa7l/NsOXigG+kl HUMzDqlNT9iYQxjqk1iYSIwnHTOsWMjaDarSNidXxNezKCJNt8/SVCjilz6roldZ1kW/Fff3kmT7 YVTvszSkLPgbT9vFViY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p9tajOxAo7TxWTy3+CwcgR95/NITNrDy1XQrA0nCYyHg2kLB5xlIIlNRU5RbUw2V5sKeD8hu6cpB kKqSOic1oGn9mOlxZfCWCWocUep8w9TTM4alS6Pl7Z2jPCye3ms/TnaBh2jF56ZZzQ4IaQy8AzSJ zMM3r4WrRmcJxRkiw1tr6yTuXMbhD70ly+iVxiWJhzgkFp1PG3oJ5EHpRqNfSzIbsV7b4tU4xC32 sAwSB5OYCVtl7vZ1uiXS2R9i6Ufatst/J3SzaOBmfYSrM8PupqTnSYG9EP5V7EmRZY8x1ZOw9N/I TosuIcS9T4Nmcn143dFZqrBPLfy/+YF3vRErMg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XcjppJKjZueCXbveEam1kpKuvzaShxMPTKd3GQ5Sn6KeExgSqO4HDaufcsKgT4Ry07wenmZa7wWt ccDZE+Via2U4aU2JIzy0kYffeTGpo6NQ5T625KFgTgijat/V431eFmCtw9rP0DX9QMqKxuTdWQLl 4POdSBsVAj4LWB2L3RU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KkW5HDayltxMCDSbS1VxpD7XjdDn64r1ntHdZssM732UcZ3VTmfGNPYHKrm/fHBVStciml6ZocEV ZAzsdrrXI9TwuKACwUu5RO/6eX+0rFRdX6AWTUKLMiOqKekjbxmKtrHy1cQwqxow+l8D26PVOEvP w8jjVWonni5eWWL0z9x68QzPHyKO0/qcL4my8ZeBjW+h2RsNMb4FEFIriD9dqGADVd+ZATtwRzhw HKoXaPu5pgUvA/pKH8bwFRNfzLSVJoJDde1OZZgow4gyF41fW2jLgQUP+QWOkDqnIxNeuTOf/kNc lB8WIw5vazSK9I8EO4UXQWw6LpH6vsLNR1XQFQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15520) `protect data_block 4ASCP5LbPr69ZGZ6J8Lo3F2Rf4CSL+UzuUkl3YLEdg7wVVeJ7S2tMpUrPrDstg+XzGpyLMN8EWTh XogyAMYSuo431n5ZADwEgUg498ISWs+q3PvnFFYIx9HBejvlyF5F29tz4DFqXkgICQ5eshNp3N5c uck2qGyZdG7zT7OX98ZfExJncoag2GLppTkF92roMhHCT5tIKb7WZuDSL4xqRAXXM/sTiDBuO2MD piLFrhqjfZ/UMIJusRljo4kxH89NnW38MX8dRqJcA8FOozmW2tFggvqr9C8OazHGYincgzhFokzh gmY3oF7ywBvbPh26b8uxXEIU9AeC3yr66a49YWCaZoHWnnNUv+iWWUNx+mdvnp4hpTDgX3L+XPHW mfVRSjz+GnHt8/dD7g7IBCMFLkGXwjydcaxmt4lnxqoUpK/MRA8mY7oL/ZzKdS5PvqjQKnQ/YKJf JDwcPsgWmigPXOOdfFTgf8NDXDtoBtrccOmN8XAnIcmxrYF/C0qNGN/dyuxlIVqHd6IVVB9tbmZ3 3ptR1W+qFP2njW6Gvd0MOgQOCtXaKObMaBVGU0D7GW2IRfTQ9dg2uobWKBwrRYN5BHs/h5Ic/Id1 6W0J9MSD+E+02dhFaufSBBvXKDsUFdavcuAjniMcQHMHq+VWUx6QEckIl6MXd/LbaHYnMZdzX6tw ir0cLraRdNLj8YIo4EnLxhi//QyONL6WgW7aAwXZ3ZqTZQPV4x/7azKgwwAwoPlK8Abs7gFdmhTd /6qaX8rM7NMtr+TvUiA3NSxiKlehjmzu0rRFQ/eP3Gt5Z9CKzfGY7kthi9UIWLBtsrbPzeyHNulO 6eJrrYxnrlmo9iF4U2Cl4p/VoGTmLExwcRYn/H0kJ6lorP9i0iVv72d0c9EtQjRXuiFT1n9C/s/H ucjyN3E9x7SIexDpKaIP04ru4dH/Py8oDHFUzWIrtYa7y26oMlPqKLUPdabJlbJc3M2ZkFNjrVzp 5uGfZfNtWDlKu/JHqo+1xltfLqfcHd+f/oN/UmLxlGHpUfwlJlh2VZLzBLPh9Wq7y6DtOEPcP4Fg VUG0CSIoNCoiZAKYG68JpMN21A9tAWaL4ZWGauG4aB4xIZrfC+PNRVQsRTPAWOGyf+WeUXMSN5Xk pMF66826P/Ew0TyVLB+C4z3DiQ27qhSRvjmDDsBpO7a7AXkD8uoihVGjCvppZhRJUFtsD4IRYNBw ZKhxA4898o1f1h/ZlWXsEW3TREGS4GLS8jZAbmV24TPjIwOgpGB4YToo8i2+WyJHmEgxClEA2tLI Rmi2DWXcCgIfCoLhkL4WvptPTcN8gCo61becFspRDmKeorOX987gm/5Nm+1lx+LzpsIAhVQ7xLDj OE/dj+Hpu7uVAPepT3S5zgvvQgW5ISp3GAjX7nMlMz8KC7Bw2jSgC6aSfeoa0Azf2IFjeAxwID6e hfnMfmcqbZ0Ous0mjHb8eADi5qhOR0EijosQRfLP3QoWWDtZir8XlsnRz4Sf1dY5Rf8tykL2QX8v MEEH/G8IgORS6TRIwNEEWROyM2FjxMJLVX15xMTWDc+/SJ+UQ6GMlPcd99XXZKP4mi88eZVnH0UA wDonTx9pfm7yaS9RqD0x706UKYGmXbwyzT2N4hs5qVHs1uePNWjxPRONlhAFfshNyDQxjKY453hA BYVJWikJEhPW1YoD1V6a4712hnI8wyBTUpsL7X0lZmp9q5S4ymq/ghOwQUUVDe3ELjKD9eWYrDQU h66gVQCGn5o80VP/w90Oa8zLrlgaOGlGthJ2D4ZYbqKNxgokJrbORbwsddKbYBxMJw9Bhkk/tPtv HI/qRQDLARYPSTaxXMu7+nBa0e83KmQJXIugDEl1vc+31rBwe7EZmoYUeU5mV6YcFcWdcDfsW8K5 jyGL8L/Le7ZCjfr3mWk1tJtoRaMc2bv8j0HwmlScrkd0YuoFI9CQySaN/gMAycRCt9Mv1NmXyuWm AvshBUCGoWlJhUTw4XPetCwS44qy0hsf58g3ke8oSqORSNoPMKD6OvDKRXJb3QPMyTmVZ2zU7U95 fjer6uWWChEJpc/Z05dmRtMed7a8Zqf3iic5OKk6CLh30OXTwbkcs4olGW0c/HxT7xN0rAai9X9o c8AKvxuIEWh3yQbiwojdzHznJ3U3cbAVzzbOYah/fQdcHcePrwZ99UaGnoZddtIfotedYj0IJQ3e soY5GK33mDyWxZ3UpwPViy1zf1y5hzk98Ku1YsG5Ve7i+VaLh6CgoKQFKBIAbJnlUCjRz2lNBTpv H6CFODvO5HRKmRp0hec51Q8cZbMNucENg9t7bj5n0CzfgUTYSwhE/PvCn2powB1mBORhqvdgXApc tX0nBh0/b8euoNWdFHfep/kB6Zkv/fOawt+TEbR91JT7161fVMYxRzSZuBa4/SOykmLVLBEd+DXF 3WOLHsHwjsCu7hlRnGwuS8nJNC2NXunrbebUN28yf07AnbxsHpfk/s3rLC7zgCy/U3zJfAXkuZKB pebAhdH+HH4hRsbuINDQw5xb4kC/i0ljxW4s9DT3/MX0nCVOH1Rc2IBMBriLLnxwJkQ0UBnDLy7N THc5/LUhtNLSPlSvgXJsu2jACx8cEpYkcWJmqdw8RKBGTXgQmlnnLkH9GQ/XZFjMFWJA8YCP+rs+ knxX28L2rSwKwdteT1+DjHpiDB+aBb6ROaAfkGq9pAPJFSMkYZP2eY+jWtdQN+YuaNDlVGgO9Dsb 4kDV4GwqVauoPsC8Qk39uarlq2txkAP076xt8eD4Cw3HCVEOz9JCCJLCooW9hrmu/03rq20W90Bj leTP1HFGz71P0F83SCF3sccVavXZdBIypSIQCuesRwGqJqgMPc1boCoTfwmofsJSJF8tY43C8ctY ah3DZ2+CI4zwygvEKk/me3rN6apIYHpp0mBMKGHrvKKfq9XTmJ7X+yTFU0s47lU6AJj3gFcEPGjU Us38w21a2HAX7qR9knD3Rue9tLhbUtKkR9qfI481ABJjDQXtAZWCBrGO1uyFqlMOeNT+X2PjNAXx U4WvYEkJRuLO6SC9axiafGbW/M/rORdrHNEuamdJljRdSLlgxzKD85bYLvP+jyEmwMZ1TI/7gsqm BzRDnTqj2EEAoPhO740rN1NBd3sxPBQ7uBChk3fW1DBdDlyWZXtJvet6qfV69yg6kiSy0aii3x74 2PKKCcql34ZdSYWFh/RFIUTF5LrowmlbHQb0Fhxf8aR1z0sIBjQq6jQk6MLhgD0eXs1ViJsJ1I+o zOEfkLUydQBAK2mBfVSfuh67DQCgLf2T3sSiicLvlFD4daWyj7taPFMLmakr7blLGgvD1EZnogGs DZoTToyxLgrAGBcf5vRTak1X5Xy2BdXM5g2mQS0o9D4LQ5DaPP+EdU0Obq48jZGG+SdoUjpyEWL3 PVcx6v1IIOUCThHeiN0rwsGmR+oQ6hX9oDcpC4mDXCuX0LXxxgx5MGR0s1sCt9OeG78H5TgUBDTD Ptw74GOM95D1PsXbz3rhE/n0Vmb8CePEx/r3GuYOX3+jI7P21f8PbRAfRX7qrvQo1hinERlohnvr jfXrDOdLTJRhfcpHhnjiYNQZcK7C68acLDtFTxh7JWBO9fODcYxpSFmBuEgTVGJfJyvQWQUjmuR7 tifcXrTJwDVRYTZ07QVpR+aWDRAWYVtunj3OavrnfAfe5eAIlMeCcwnWfY5htuMP4YfeEi9HdlhW gBWZaACeUwlbm23J4bGd+8HUY51zGAoQcR3klnmEthn2QXNSuLTpjezqecqCBuvz+vxaSnLpnQVP D9oFmY26HJAWQl09PO8ghfctCgbQIjaFJDderGfQShoV+Uqhj5h2eTwG2SSV/dUWyNXth3ZenMdI uXL4W5Xc4ZtUP1U+brw84nW07kr6Uw6BJlf25Bp20ARZAVPAx01fyL8+H0xQP4PzspI6JJGEbKvz /8MDp0SYmRVfohA0KR34/n1TMfhjjmbkRHN4UxQ5qCSjRjTm5l2K8dGZXOUMSEcl7qpU8icLAQAS zef8wl5V/w91ON0aMZC8wUgYFC6u/JE1ulCAlcxOH4NeGrNWGd5PJj8pkfsekp2j8+rvf6LqXZDH eYQGGR5OitkExnm6kPTIwOwgKSv5to3AKtMIqJ9cCTlJFt3VHJcp/HXO02IjUcyD7lBzmaP5eGCp 6OLfU2lN4B9KKxRtLDM22f0/s9ZSfiXMp1rigsrrQjIwDBscA+mXjEDOkyT2pTDDGMJkUlWvVJDq A/XlMavdygcm9KlHPwWW/KZDqUy5E6jpGn69tkGUo3J/9v5FX+wxwcBxPH7QFpvBRlsZUDDHBkNs R/cDvaPkA30Wrx8PvHCxcWWD5Yypy3fRi8mmb8Nx0POccHavGQwoqe7x8C16xrTLcnJn8jL5mux3 GJBjBj+lJ1KZBBzwp13DxcYpPG1SQbpf7LATbSVxwEX/8MiQpghoC58AI5PPwVz1pnN4HOJfFLci xJ+SciJU4Da3PlCumGTpsjI/Q9idKivl7akloIkuzC762hgtpQ1xbNUa1ULPsJSyu0H06IHXspN4 ovI0yE/u+/tEUtPRMmeZAlSlbudlX25bh217bVyUCpYAi+d+5KdyirCgQgmtYI7a/jNXryTprUNJ 73HtKjnLxXFUEZUYIKUMygTzhlOUD1h4X6wt25Iu0JPuevQCWhd/NnUmMxtZ52NX38iS/Cj/VoW3 I/9IDiqLaxKSUPSZRoGUT1N8+P+30VVzYS22ouAbCO1cDbmEQG795GSNihtgIoUBk5k42g2BWUxy f9Dy7lkOSXiAzMqT0xc1pmBjZ/Dc6IsBVJwCXvI/Lsoz1VyV6ni35btck7haJDqqQh/70sLrwq1R 7bTssUdjF+vAeZkQ4Me2PBj5bomGHnENclIsAh0Lf5DKIkZMsZq+O387DbPPakz3jeMs7I06zNQv cy1oDa/onHi2WrjehfnqT5O1/aK7r5WKX9YyaEL5uArocyI8kerRipDEI/ji2QYf4KteOp0fpV6G 327gxwEzeEtK3a12c0wsCQdG6h/EY0oaZHVw9spFPjg8ADyc9VoYCgbByiuvLMJjK9pLuL+B3SG+ FQ7ghmnEKcaJj6YzePtCtCIw1GTdo+O7gtrOzqBbtExZ8XIRFFexeAXvlq9Ig5D1G3q1kWauXezH 9p0A73jAyzVAzjzchNWbJUh3OIsXzFOqisYsdVtXX6+RiWGXN7uZsJtEWqkxQwLyZ4/BMZbMRJTj +aJRR7u/jrbM/UCIYLFCtNTF8fSgynUtCklzywfGq4InnESqviDa7za5KYxRRI6Sw8eL6xTU6q6+ SHoU/MLkVyNE4rVqml3V0p5xuCjqYndEZK7OtPf4pmLhljnBq9x+wwJ2tMs8xvISGd8zqmF8u6xy Vgc1wel7LwHG7JMExyZ+5KBw91GY6jxOAe+HMTP9T1i6HuUwYpi43RE6gtn8dx734Z8HNQ5UvvPD 21+V9XKYNBwftkna+9WAUTaqbxBp9F4TmJNWQF2MvYxKem00xWK9j5oEtuo66z/rC++/+n+GrKyb Ff0T5/vRwoyajF+Ky47jgtW/0DpoxmU3sD2cKBSCtjcqFqZOyanR4Rs4V635yIZn30TrkN6QOHDU AE1qk+x1zig/KbqpUG18dJI2DKAjUssdqI0UrbWEyiDL1ujbNnbp9OkeX7vLdIfUMwX4OoJY2fEJ tEGfBPwLvLIG3yc6zRR0vM3wDaZsdEDMhUkofQ7J181uhQZjSRLkwrXP88MuJWZwAtAn11oKv9AW cFoN7NLzQmlLJx6N8F8EgGb+c66AlCVIjPHU6WYrUcPBw1JYrgFbuBWSEH1lhItwYROc1ZOttY/J Tnyt+PVWM3LTn2K95phDPH1xZQozLDVQLybAIScW0nnNYDyyuvOOmOTVCrKq280ccrhiRliH66OM LCfdr5ZhYdg34CxWNACDHT/qlZDoMCYRJV0+0I1WUPN8jcNp24uhODL06kVj+808jiStyECSMd22 a6CyqyPYgqRsVF0vTutm7e/DHdXAA6SBgryO5z8EI39F1UI3/8zuCTJSdKd741I4qBOzEmkSahqD FUkweSOTp8M7AAUeOkDatl6peOMI8oei4GAgNP59a2uI5yY9q07Iwtxg8R/uRfVrBzsu9E0yAZAf nKkffTT+GS8gIGeGaCDBoFvSCpYzsbMjrdwUqmPbV+/ggagvH/9waxQpBpNjwzLOKFRrPni2jAqR hU9L8C6xpvRKF7JbhZ4Q/n6Ntfct31IdW8fcoGKMFI/e/uLKuRHAGclUWGsqJv44xXBCwIJz1fBO i9sxSyGMLTjzZCXVL1YRYML3emzdxgyDXcJBfgskjjLzgK9dlQTEt17ef9e0Yw9f1oK2QUC9cQUJ T3zrP1RXu9725hdb91OajF+Mj+9kIq57zSAyV7uLWhGiqcWWsPhFeE+0SzkoRplreiKWi7Eb/D4T xuLHIf2mqySrQG+rM8ZtKEkJEAFPhrE155YRjR/Ph7p2WWDsaDfD90341KX+uZJm0t8rzC0KTtHw LFRoHaksxkNOQDxZpFGyNbUhVP5lqXpOS/tpw33cWWfcIkoLyFuZ2Dj+K6t1mMXrQqtqBlBKP8/Z pXfihvtuzFl0bi6a+zVqommLA2MjrDw+5M9zoczdDuI8PraflkJ29yKbIA1Ws1ltr9d9lbF9qW5w mQR6m6aW3g8i3t+AJ96Z1X45cMkdcHolv5dSrtgrSxxABUzwao7Rv5+iRw2CFIZp46Ge13Jibk7B 8kUAlFhfIaEZloGR0/ifd9SB/8LOnNYS1QgwPChRXe4gahAWl29MGPaL/BCpYg/WVU788zqZRV/F PoplI5DOufShFs8uxNxkvEkH+5gH7yKdbFeKLZz9pqaEGsCsVR7c/dTwGkpNJhnnLR6ztTprJ6IQ uclLW9UIWpnQv/HTE/WZN+xIjwWIUcvF9alRsIdtTiKRsw4e9fI3Fw6+mQ92V1EmZCqC1w+nA8QC uGN9BmxsHGDlQKGe42IGZk2jbp+XQpr5jTQbltPJAE8SSA4c3fsFqXA01JyABdlk2W8nMPSARae7 ERMlIWFnb6BPdz0x9nkUehQhmduqzxUzrUu7kixzRRe3eAWP9dX7NCy4Ri/aV4Im2Aagdu/LqX0g bzuZ89MtDEPyWwELZtm6PFQtYR2AhhzhAYOjty/QD9hxyBU2LWHzTaxw6QQyzyXeDQINqTfGS722 yWJ1vX6fry0QL9evejfBUoS4U/Ghp/2HlH7RrAVUgx62wZiQmYDRLtrcRa1v9rATO4UxrthmFBbp DpMrPhDCSZNU2z0bmkQTwkbIe1JmLuzCSdHwQWWaiZ3/o21gVuS8eJkA63lQ2NZ+rRXB/E3NbCDn 7GJvHoDOsMd8hbfyCJTsUNkbk6DCLu6LHBZfAZntatlLrTf3aXMvPOvLyqMqlQGFaxQWYcaIrtVN tOOZJzwfedlCNxjjGOOAGQ2wniTacB96c29vYQoZcA9Q9dG6VNHVqikDNApMQvxmxd7OQpYS/MaC mN9mfUY7NoEqP6E5WdH9Q/JGCimFC/HvQQT37GjVriF46+/RAsYxpdGjC7SHX3Ra+Atl7XsqY2Sq gzrvNy47CZP5DSwl7TNBVRlRi/yguHlsUTrn7zMPTRVQZqUxdhzQMbQm4GD3tbwje9Ev8TYeb8oz hoCzm/zus/PJh8VIB6TtD9q6rvj9Wb24OLI9Dlgb6zQCbSbO/ekafrr/NsAV0CXvv00xisL7BK11 UQR6/py3dnqRE4mKNaeM1ZqkRqP+e5V17ZkBdDjva4xcRp1S2zQNglwyqJru2Ad4vGYpk1J6Yufw kOokc2df9DcUuFNd3Xav2F+ceLb3AVh7tAOug4KD9+X0a+BEDZ8X4txoXqVeKaM9rsAWRmM/SQ2V 5WL2EZLkCGcNX55kb9iSviGniZqRVYHg6v6UJTOofuI+4AIqx4FbOPThmgEnUPiH59tNfo0WelWK y6ZUr2/lTKB26qI84jGm5rJ+6Flr7T1QvucyCPWMP9MwFMe6KXGSsall0fc5yo6LVx7Ussyd7U51 aawdXZjB7jCAi0m2QQnOWMUySv1XcvP4MEafK3Odti0bm5cCzalSCYGC3NxXAF8z2RjepNZz5WYk Y3+YLa2EU4Co9FGtjBCakPgYBlpplaZLKO1UA+ArJlUNmouyXLco5U7SBakTfgBFy+7yOSwzFrzt ppa+PizfnRx8Vf+KLGUoYVvx7EDPVpm54OTCKTen6WYohlfuQ/3h8GN9P+2CKxsRpP35N0VaPQW/ QQ1ACl9wNaWu6Kq/A+0gdU3EQh4r4YDAfPHq5XwNyqovk5s+lYVh5XOGbiCA0dgp4h2FtGFcc6xQ 8uIHxPs7zg7MCS1Ku7AiSnrX9neqgZMJ8OlGri2JWAu+C3MgaLSu2X+2lbErTngB9BQ/+eO3HwU5 2ix06M5YM19OuPNf6OT3xhom+a18AAEtgIBufuOirhvzsfluW+Gh4I6YJUnqWyi3urK+iTtW0y5t FCW3UPTBVSy5vCUEGOlqNTTQrdfyEOX60/4hCDwnoX+djSDNFkZvGlHhDDUYJFALxnl1GXXRY5u5 mFlu6K5oqucPAyyryA6qTSqlN/sf8GSH2zLVgGvuODrPNuSmJOOUJ3CRcMMlOlswjoEY+P9jL8Q/ yL+RLCq7kINh3xF2/6J6cuETqxbf3XbmE7Bk1kppc94C3dv/LbLWSlRAdkXj9nblOTwtZJiA4R+m BOFnaims3n7vS/yalo4jGQFhbSFn5WHbtSeUsOS1ZTxOuYZQCA+BDbidim+P6F9Hnfhfq06FbJZ/ ECN4NCxpkz09WlRy8A5hb+El9YUT2i6uajcg5tlMZAzaLm5gyUYykO8cFFnpoz38+MDBrEVVLwas 3AV7ypfY86FzVMYGHQ9l92pnns6hTy6JHMHe5mHFbYdnvxwA1D1K3zC26+uC16VRqUbgAxiqkkQb oRHFG4XxbDt9Ekc4CgcHt3QTpt+Z/HEsj/I2KRtfHerkjQJzSWyW53S5JSLJxCSLGfrdttuNwJq9 8vUXhMOchDrz5Lgcmz8upJiskogo/RD3GTwE2O2T5Ac7vyGvPCocWkzMtvoV3fLYXF2LRaA5jP4P KJ5vsNtX4WPI3y6LLctMpR4bLI24AwBojykshsH85ethiGi+4gNgO90X7c6dFbVOfqEnQe1/1QLI F7Epj9jDLN6uEI69hGe53rB9azLtlqOmOSXMJvxCN8/WbIgG6UuDM77MGcCuzBD6JGMeZ5kWiXKi /MXbriC3mMY07wPTucHlQp0oBV/fZUWjVRFETZQdGIr19u8jzv2fKayM0GdPcHLMIfWnAPQqE/To qeHLH7TIFn9iXxMCtGDS9UQDd9hih9EkmLZBfJ4+uRx8+2/fP8w3dQqAV7AX0dum7gc2H+2/E6I/ DUl9rkUj6EW1t33PB+Sm4BY2Df8f4ZJNGB4VJdKYPikvtQ+JluBtfHf83kIigtTpwBEFq8t+/tPi ISO174ilmcpPqSklMNkRdCmQyuDEElFJtSGewf+i/mQYknAmDr/Iwy87mXKCDFlukY8EMHHu5uVp E24qWu0qwhyirPozLkbq1s88UdrOHJqs3Q1AYd7GLtgZZVLVS/vJVkn6NJHdwM8ywqObb5OQ9rmG ogArOIphgPyGQpkrivJWm15l5Bp3n1nZzaOVAdG30J5KSdm0EOKbuQQOjtbwesfRGhcEva0Glmcg ZpgMu/QXZQSKuT+9fwyLlQe8Sktwh+bA5EzenH4SDfqTEyqxHypGXWCyZZMv7t8ZIIaU7yCQZRHp K2qZJWP5blfph6g7Ac5WjruKODkzZOyBVGaVIgLB7ibmhIeK/RQOMUhMF2/WMzV8vzQsDHSV9txJ gwqinDBMaSqZZmwqSjgt9MNaWvOFQqIufd2uHtqcha1VOk7C0Sy2raGj05KYBxsps9PGhZesKNEe jPx3dVG3UetVvHVv7+mBgKcrX9dTKzVYBqRu+gUHo2O1WjpRaK3JsbuU443vJcBW+3BJIspC4WN9 GzjjzrlIxMML75wl0VTBgT0wlmnGI/RHRD8Bru8dhTjiNIG6LY9XLekA/6VWOme1lfd40jpiKa8l UgolRrMGBXYl2E8QWq8F5OZkwLKPSSfo4rWlwX80etkwOISvtLLJ5j/TNXvlkVNBfdVLoWdGsM8J KLDYlYSZHMxapFU5cpflZ7cU+Pr3ru++ExGhpSQQTPICVIrBwSHBG+Pm97rB+lfBbCDrzFmPAvbd 9bXKHgGzpSYN3na+ATEi4i8mq5Qcsb4PY1Lg3+upf6qhkKIxHYflCR9xGquOlYZI2gal41qZHQt6 QhUXzt0L7t5qIwdtK1P1atyTtO1pVaSwg6kYx0hH0PK+W3bbANGV1tZUtJ0jqEKpEHY9g0up7oAt +Q1A0R+LOslXnJMOnGD7KYZcoo2u0yaJ+9hRFNDR4pwL5AymE3LMFTnai5KP+Bl4HAYRnG9bFYRX IpkcjWZ/bIijk4ZyTeAlQHQM4Tuxxjv0FThY/PlMHWd5zxWy8cdAwUCw8AhFrASRcDqHcabScBM/ cA4mb4nZW6y5zEFcuI9FeKdDOFN5Xe9ElrTaz9/UKCGnMI3LCqck8rvhF2e/zxR9XwvPaOEiHoqA FC1gALp3NrioxBOrSmfWJgB0Pe67U+CYMLwDq1ksRyJE5iczBxJe1/tlhqqnj2Jxn0DYQ3vpu4ur ypSK1A6C1feo6uft/99Vvbv1ByvD7h8G+FnHgJVy/Nc2zcd7GtesLJlnFQYfqUu89NdC2njYTI7T WlEwphI/6IS0r2wMZyGuOgmG270ePa4/Tb3o+9PAjyOmIBA6O+bTSSIvVsP271zwrWOT4l4J3KcQ lGGLTrXjNmZCq0cO4kFa0fq8JyB/3Xd2WhEMdFx2bZY63QGZ7ysqXxpduU1DnBqIJeGJcPZmcvqq FMO4nunHo+SsiFwhs0bKnHtb5LFT07eaXjtpMHTrrG9G0FO2IS3EI/ZsjhcxW5+yQ80uZWv6p05G vWj8a7vGVTgeNEUXflX6urrTzYAqrzUOlTZofqITNhLZSn5w5bj1jYSHpSXSvlY2rdfJpvWtd9Jw 3ueVVCkLumHf3m1EALkh4muIco6aIGKD7EOq9BZ+AdBMfouzDXcoaGtnV5rW9kueEpi+qm+i++Z2 1P2AGF9gdz5oi70Yd5b7SmGGnhqFe2Qsp3wWiI+X+H690BFLoRUb+npj77IjSd06s/0q0gux060m DFdpY1xEGT79Z3yERp80QC2mLH/U5RZHDj3rEIzfAqL6x1gn6m+OGyba7/xVIfZP9wxYsw78unHP cVGsmZmhbuc16KYYRXE9ySRVH8oQ5D1nZc06lrqd1Mx8cQ1nPHazP0Dgon1PSdpTY0bfqy/9zdF9 bWKHpQOnqYpJSXamGDouOBE0p36PO5zSN5/rXqsb4t0cuC9c/dJtDPBcls2BWzkKtbj9HCRo65mX 1SriZ/tVyAW7EKka6NtBlYN7C0xSjR9/c+yvCGs3x2/R9tTY6FS+D7Airz6zTRij0/p9yCHPm0yW naxFWHWmr4rBd4mxRtNX2I7xhtP86oIybb5knys3z4N9IYGDcT5SOw3rcymKFKRwrAiY6W0nEXL+ otUOXPMXNrPPlJdz5GsqYtpf2BiWrpfE+yWlZ7fSpZifA1YLz7THPT2mqvbOdw6JzGOLCnlM0Oeh 3wol/e+TIJEZ4WYYHx+m/YI+TW0h2r2eUQ0i/5XE9RD0EbEaAI9x9/WQJX9V8UXubZ895ViQSO6Z iO4yaiNsdXINQ5jrMQPrj98VT5UJOPI1BUOXTV1ZTRIRXrnbQJduD0cRgOjGjQc1Q6J9c/WE+Qwt lhk+Vd7C6YU55Bigt0d0kFaYhJoFo4jfcGpixEgzOrXBek6CoItptED1upcrcOz0Jk53u2PB1XAg fhUfQFGRsmLLdX5qRTj/FHmP21yJBVpF98ai7FDX/2lolwZfdlwQ6AWyYSzSsdbnWu41jjhbYmyB j5se47cSg+r2OFS3k605g+0MtHSqOP9NejtDtJlk0NUiwhj/ddqiFjAtfq0dQN7+SBWR4XUnvY18 81fbdaiovVtV6QI8/bG8aXjcHbO0WtdjZmae7Rw90AM0FZT5yiAgPqtlFGqaGMzuZByRgJQ3XUcm 9zSyUR8tQPJBn3iDrUDdN/JiRxsYChwXy93w1Kfqf/tb26nlItZCZAfj99YaTYmoxBYVvXop2G2L Prv5ZaeSwAe/zVGfpJUH3pqghHGCm7P15ilzX7/+H58KARcs2x4b0WN9EyGp9TryGExfyVosx9yw pG0xFpLOC9DR++HsMeys0CAgevKpVsXmm8qJmTSyUT7vsJLPF9iPhuv2hmH0BFTLZNx4pAXfdudB WpblvitFzmP3VWU5FY+4tfLeYcVvxS3E3JYPdUjZxqDaOcvE/Bzu6BFFTZd59TT9Cek4gNn1uRRb iEmo1lr+u+7Ro6PqGmgkcPEKBXj4cQsJ9Yi2KYnmYbqhXz3qMSDjlmmfCcbvTYZDUEd/KdcF5z6f zPCuIUxNuglWn+eZNxUS9vLwTcsRIAepCFH/+AMccRySz2mKshNPadcE9kMzHSyoKqMpX1QwXTmL txYdimjb0tU8VwUBL+7toyUb9KizBzQRdPoLQJ4uUaM9/4gWYvhAKswlBDJK2/hnM1maWF96nmhK HNZvfK2jHSJpWNjX8DOQrhgxyRSiYq+Ykjw7glOIXZoL9JUDLDLaUfriFvzpHOeeynkaHETfiS/2 emjcTIlIsDV2QClyxhwvd6tvQs4q5ZNAQiCv0BDkq6lm3i0bbkZ8hZyyCfXtO1nvCC8NcZNQHaue v/arNY8ahJ1O60Y/KflPe/VP/6mi0OOGwZQ3ziEF4Luh+m2EpNefI/hrTXE6uYaP5SP70t1EDFe/ KrGmUJNvaTOkGobCGs000n89Od57jLZ3hb38SUVpT0AQbgJ8q5NyOVzLr2VILv9k6niHUwAwJsjE hXJlwJUrrWr2/sQrt1mLJksXsfsOYjLSw/U0W24vwycXFT6texqHJSCE7cTKQ96Cc60KO+zCJFJi RuRZup55Mn5NmsHWchoWd/7iRLo5NIvHa0adjsKxA3C4nFCruunk7Sc1MaqDiBZxciJFibGJfDIq OheqY/p+DfBOSoXfs9PyOX4XdIA4CWeFlkEJxCgaTXadB34q31VIzN9EfNRvyzvR61oIrk2pUeC1 fqrnOpamu4+QPiqkuMMM8V1+qxgNLaAuni3pp4rSqcLJ+X1vCNsKWSaz5ZR71ruqI6JqwgUPwMga Hz5+vAXMWYzKH2L8qCT5EiymQcK4BhIFH5WjZKqsjcOG/cF+FrzaEr4mCMI3z5uzHRBLvgCoDJam e9ATWjHA3Sda6Tgzy8K+GWr8wjxfW12EZOJhiczj9K4d0++fEYIF6zAg8bGVIpZzZ7CYQ663Fe8J fQJ/zG38xejOwU75T8/VGYife87kX82Brxu6KckrCSfOXCwfGaeuY50h2h5eBAELJZEfPwzFq1a+ 1fv4ekk2IXArlPnbeTfVO6Z1F1eBP6Swoe3kExwiABcV8l658P+euUZgghSD2ZZkHU/4v0wBUgBs nVeTwDYK+nKb3Ux3ZBzWVyXlvHR3HGCrxPdNNG858NYfVWnufukkCWWZ5xjx+dE8/kNIGUYhXgS7 1w10SYF4tdy6sq5IO8RjLTMdJEVy4mTkCDKd2aznOSnbh9P6jo7s2FCc1OUFMdXUhmBSzKcHfvtz fzZam6CXzF0jKQ6AvGdpjZ6VSkw6WB7NE142b0iSLAiC0kA4l75I3ywc7kHLss0XqLFLagNy229x jtjLk1QVEy4vykNTyqiV98EnbN4VXS8rrxisz4XuYs1HRWFpiXPSw3loGEnavzOqbVgxqswbUnnZ htYzmM8MQbiI2whCANnDGFzq14Hmxobtnag0Oe8so4sPHMsJbx8Hg3CjpTk/8Oev7S/QKuZOfLhd C4/UBHuw7EiQDUZp4A8Qnc9tCGfqXm71FDoQ4mnL+zSGgKjUCzzUcHkHQ/WQw0heSLplri7DdhZJ ppmYB7bYlrNzlPEHTm0UkqTft59BCewqd9eaiFusbfsaLlVSp1FivEKIh/nB4tZm3z6sR/oqoVtb vd1snopVgwxfc3NPM1FMisXK77LdgbFh98RjpkF3Jock1of93xFMaLYhFvxSxTAwzVu9PNHSrWY6 8MYIoRh8uRaYvRJkfRXk1ClhGs31DW/Y5gLqOTFoIgiNoHHS56seunrg3IWDFA+h/Uo7vlADJo8c dEuAMAjFOrZpnRf26x48emcI070oMX1gFxue1agJUsntls+0PxViksg+tBROgU+IhzwIOKT0e8nz bRuHbeiEBAYRP+y7w6tVoH1U9HmAbOyqYWZEs2F+m948+tty9CZ5jIr7Ytx7kG7ERZyOaigoPPsE U7Baxng5fbU4DLmu47htoBPl7ulSZ8ox05lY9vPDrkrX6hYYZ7iZzzW1lYx0pPAZHUAX/TBNRnXr vm1qx2sAUMR5g8kF06C9M7FeTkI9BhHt8AZ9T0lhb668lxoiU754lTAwTmOfdfQx2mdOpA0Hetrz DICni1pBvEs5M4TJK0u6sPRWS8wH8tuWfW3TLaPuqLPTnzRpA45LIyRzysMNOqVh0mvaenKcyJXz 0FpB05MtB5FQa42Bc9uGJkl2G+qvjgyvqf7eCHHMqEw2lwTYR1r0ZTt2kkWp1l+RBCdCfsupTD+L sNDx8bgx46SV9LWamjQzrx1lIDpc8PRD2SOHRm57xeF3UD8gde2lAHc90OecDQ15zuMLKTbkc8EF OBjF9hIfSQMHKFJjTjKSh7p+gvI1vnpaVH5KwhU4V8XeshPlcXy814+lNpoR+CQ+mKa/qQ09sljL s/MTbxX72DHNUZBGMqSjhn9L+xVxWcoIGXGalpQvxTP58rkJGzuVv0nsb+MtA9bpaaW3GewWnVXq u5lF/AZme5sKWgbw9T7nUcu+AsAV4WsjzueNPoUHhPBgEsU94Gmg6lY0m3x3kpBqNeWYSOmEMHCj y5fwpmxi2DtMNV7DZn1sIsYftYIFi5yBCHd0FDxyFF7BlHw9XIGQ7f6evYUnei8PA+Je3bfYSHKO l/mLssfH/yA3EvV6OcC9doK1TOGzkJFH7ot8uIBt6JSrC2jvc8+vMRlbctU7W7N+62P3l+xS1YjE Cpx0P1x+/KDu1zgrQvzAGEpqjXFue1ogOY6td0Mdhv8LtzeRmhWV/c17W+vHevRFfWjsUL47kIGb SP/jBz0hloI9NMByXvJAocqFIJGAJmNP+RI1sk2lPsn53XClOml6eon9F85yEqH/bg29IHfY/Aa3 ltxAp7ldvFkluTG/g4axq1JlE+/nD9nNeoZzE5ll7Yyk/ZS+TuqBln7kBT5iS3SkoSoZbWggiM+9 HDBePp2jJ8Le9Gh1dpJG3M+NQkZHuuiPNCirC2hKB5i8lhrGzbpOTXCSXBAyic59aXhzhWZZqkKJ /iQDnP5ta1rtWGD6CIWEA2ROh+uWep0Je7oSK1Qkpajc+jVW6nAyyTluCvIoPbIxVNy2Lhl9P6Ua xjkkDnqdDfgusr4JNATx3KrqgExQUsWsvCqxZXKdxaj+ILpitWdPSKnFZzRZNclkqUeUxJAet3iq zgM336C1Yo7/bUIx+Jtb7JGly4HIHRxGRJvO97IYSWU2Q04jbNJ6MupS8iG8I3H4ZCWFd4LWKeiH dzx52YBYsyMxRPmisuCMa+mu/B59E++KOjmrPvZTottiTkYQ3QPaP4OkjDyueYoncUK1WNrLfepj kuPggd9m4LvEuQu8SdNv34xiYAZYnXRd37Y5EuhjAA08TfnQBod+eg57BhmfOlsH/V789hIhppwb 3GYas95iSFC4HILlSeqUZED1KNRgBhmBN24f14HR8xrxJHWZBSxv61SV0vK498HDvt0RW6Z5XZL3 XPv6tgY7UEeQOfwtZet9SU+1C0wVVsde4iyzXVEluw+a1h5M2G2gz5N6F3EfLzYtgd41j05BvkI/ tckzP9dnycFcgDicKPPaEnzQx6fGA7T7m/hkVqfUpHkdRvumO4+ndmmGIDX9LFn6fxSPgt4aZmHN KHWPIXKhU8Mt/fQExmiCvEt9tEeuPkCo3OxTXQMQjNnFZcpXp0aiNkk3CIN9NdgifI6TZmTltn5g rzI/xPbj0e7QuUurI+CDR+WMJa+tQezAEbj6Birkb1U0TmXdlyaUYavfpOZptI3hO8KmNMH8PgPp JgmgquIcOEecHh5Ij3BqYBEZ7nwjx9BXGDxgzZYenRivgzFU3m3wNUC+7xhpg7Ast54weJktjwlI Ec2E7sihAg/lpAf1fqQWMr2JJWKhzbX8cUGklHlm2qSS19eCsQSl0VTLTAf6Jitls+GiHqTpO7ev oZ7Im+CqRrwH0GmFstNb0wsYLYBAQF/H1e1z9VfnZf5FqTIY4tc5qZIRI4FPFunahgUrAty0dZ+2 H9Pw8gJOt9qJv7cSzP2ZGl2hHgOpBfnn7V8xyVUUIzER99CF0Bgs13uALhZ2CvS+NDhBy9MA/Djd MjGPMYKC+Woi5Vot8IpClOR9GcPAac4TXR0oGP+PDjWGRHQQdt94EdpFKYOHM1gI6LsJf5xbXBN4 /0OOAPzibY8THBLZnbQAabROp82vDbU3O5SxQygfrUz2PKbztFXWdCjXsK2hUL+C7a4RTqE+QRbo a0KJMBQehMwR1iSz0XRlqinTVNWGd3qoUS1ygF1bM3rPx2qjU+56NecEsgsWrmuwKrhY5ubpgTSM BKpschlLgsrZ63281+5jqrrAvUd8XknJsSAVABwth7FewVD1eV7fDJmk3Rkdr3Pm36fMlVXP6+Hq G4bBC7KwBDeErE5yL71ukeuizlaTlKYa4m1veRoRnKKmxCvaKlpVlPmtztteZkeLhoWGa92fi8r5 AThJHJvInmj8yZm4vIxEat5eevPrTOPpn3zCh+w4HJnlAiTytovl6UXshn6MAqBc82QEvNXVXG/X wgreSlp6YfKUKztApgol37Mw1K8pyHqzHKboKFVN1746D7XtV6n5n1F8c6dTRo3m4sVnjTMOq+EG jxekoXiCFKcr3AK5Rq5TOFwqllfxx0fO3MdRSmgtICZExyTqarWrbWFYmoem4b8OCLivyCemnLhs HQMCDr7oQYJDc1oxFrLLnUo+961/hNgGEzGDDqmIgCgSm7fuQijFz4Ao8J9cIpGTUwxiGqdrAIC1 dpmncCPrLWK6APPYQNh774k4SxsW31eO3psNI5whB3GuZ3G2zUJJKeWvtFcf8Im9xCwOoagpcfUQ enxRq+5CbnDfPgohJEM98RDa1av2AtuyN/lzTXx9hUyTqqE3N4zodasi5vUoKC7fnW6Spv4qGZwU yY9imCRnjHCrrUuku50aNKyKY0ZgIRvW1hazjrsSwglele/r66ZI4JNPUYSOusEhByxZwQoe/ack dMcHYtdMB+f/ju0XiUUDVQxLX5ZaBaJk9V4YG90YEiuGYgC9DBr4tWR0VdFfdzqaDRVpcy5SKvzx 06yFDe8+x//gGELaSZFnLBsliPWhUj88ZVjoUrZoaLO6judmklv75Vg/9rCrf53IR6/936cC8z9b TyG/kjZpAE9EFF2w30PR9qLveZfwlMVZd+Axt6oTYB3YXQzkgahk7JtH0FDYOvT9gXJC+ILJIfzF zyzDpCmoaIwcMVRQF86GmVW0RTpYKzOJqdhAfcqVdW73QrmU4ZzO7sxWYOe5YrIzxRviOMPP9/Hg YpPvDGLxylIjE2AMWC4bI1Gt+S453Hx5oFCNoJETG+epF142TBeI79N6Von14FQQC7Yf4PqphZhE dFeqPAg8Osw1mPc5+PUb7b1ye/gSMPh3EWGSbd1Xx/0Ra/wwBJCmioca2rvtLUBR8TFBzAu3Td1X fPTyIPZ4liKsZiCnAe/uhgtqKXdS9hlPD3lY41UNxgWXK3DK+daK+Rx3AobldEb3WJ5bZKB75CAv U4kwiLKKF4gO4g9f/5M4VgPmYIXFk5OhejHzUZLUmdz/HOA/JoVElRzyRMqfjCstNgxRrX6uHNv0 0cHsfSEbH0UPoXdu3z0dwBnDnV8Ki4zs5/kr3edkQkUIJ4x0K4SA12uWx9wOgfahaiKN+SPxvHKu 84sizWwU8ndBJ6g+0ZkywmtTERRic326xECyxHVx9lf0WdjadYRI/f4AqA2yigQtRfAsRvm0Mjv9 wwL3WJH+76JcIJEzuH76l7skP1ynPkbxascnBFl2dIxdmpSvXNdhS7iP8PdBWz1pC+e6n8llbB4R XpWpKvH75nITzfhpg8qqQ4Q+E0cakKZ01S1rpgOJRESDf4SL5c07Gjb904QREFs1yWwWL5Uj1AEU ezTJM4gO14//SwYBZiLdt05e5XHojxFcmCEWBuXMo9uTBhlq8tAOyEV5HpKILl5mCZGiKCUiM+GU HjmXfe5MN2NnnGLkBr17z9GkfoSUg2iiKltR9M3uLZ3C0IKbcisnW9ufNG1DJ481sevBR6dQyG3A 8fZETe3gQoITHZSnvUdE7Jqgqclw8sM2cZ52d69vBDMJTrL6vRIgXPrlIOoG+VrmFeO0ssSelyfY gVqcrUUKTrFucf9lqKIB7wTMYx3fHBcgIUKfGLYm6MMR37AdL46zX0oiHimLvau7i+aifeLOGiZM R4qgGWzvbfIv3N+0UXSEQqpYWf82aBI2BleXk+a2tbTJzifTm0mrqesMu+ETzGrf2gsKUIkhNfv8 jtCP15HNUkHcdml3TFqGaJRdofiESNecp/kV68nur22up34QCSZtNYTOGlbyHdsO6Xd17voWwK39 VroXhrlVrhAiPJ86tluEAFA8t/X+NelPZFYLswtCNH21pKpFxG4EZomYWty7y9yDAidRPQIFG5Xd NXoj1PzLch1/ei9n3IxXNbEfbF+4GTX25drexSlB9H34J4kaCr+/Dii5jDYpkVc4g/hS34l0vrwp DhAgG++sPJbVFvXK+o29CAIfzBF0V7FNH+5x4Hl/724qnKCfVZwPGGFf0y7ZGX1q7Ekn3osg2DKG R16ZOKFP/iesxibO9u+w8PUbeTiPCIWLuTYFrZ6VcKux9JLpYiUOj+tG2+mKI5doLQ06zcO3TfP3 aAi+6LwtaLErtuyubAbJrNK9dXaPfnh+t4tw4J9k0a0lHxHLI8kusuwHt1T7d+Du0MpIz8HDemif NMUsxIXuhp4JQuuFoPVknCDSdKxJF76rbOKBrXXKv7JeVTGf9bI7XD41BPdJjhayiIAb14JAoOpO h8+bscaZnJAPTa2homZfxx50pJd8jJfVR99CAp3Bp0Efqb5Qs9/boa12HSmnmAcE31MctOEF5+oM 2sPMt3KwNCFv0+bPfLSshGHUe1FzqSk2dsdyG3C0anrzV4KqFml9lY9sXKmhDaTAH6tQJeOcUqzf jeCmTnt2LJr6exRjINhVg0XJl2ljVmYsvcKBavVKsQb59lr4qkjAQoaolP8NAXwr5XywYoYuEDSK JxCLWotcdYVnEWNpijkbw0PyVRRqf5rHWD1RMN01ci38Pu5EMo5TY6oPp9hrMq2kVFsv+NaeZZfa 0i9bg54MNnS4U4nZtY71LSAUL5tCUjsFKjwWV4+hp40+3qyg+C+FzdF6wfbUhov4ELh7CPsT5kB0 9C/CnOVPdJDxLkTwfZMtxoCnXRmHbkbMMKrXfATyNU5zqPgLc7jb4+J/ExUcDNmJFA80GIfSNkMP DL61o5jav1nBcGqWCz3Kj08/4E1vXQLP9crM3joF8KbN3Z5HPPFQJzHk3+b3bHO1xNMI3JdllSz4 DN6kWWfOxozoF7rvffL9079N4HH2AHT9aNxbgO+FgE3MQ5BWlSksLmFx6LZLw461toVnN7iWCwOk o4cFWVRf3P9/G6UShpoufGnaBIfWyUUGAGZV29BExGMiXR4A8DIWVth0nKTEL0YXNYLpVbFdSu8Q V+j0Z+qy0FW1g8f/zMvQ3RqqRGy69Lh0hbBURuK7L4weUhJK1iMV7ehP6FWfnlKXB8JHHkJ+DDMt k9FqBxagpuDu7KPyPxnYG+At6LVFQwf0QTtaBlWicLYcMQZFfY/JcrWy8R4ICLau1rMNYQrI1sOO FhnxdW6r/Ck5CHehELkYNiNxAZyrBUlpUS5XYH6vVk0b4khUmq+b2FiAXRmVDsgj7c5SPECpQSMc vlHJqGLxNLr9eoLbK5ScDNPMxLruyZLZkXCcRHlrA8oIj4o1TSeRBwIVKK+EUHyZI7YFbfHrmLBO cMsLIwg9YsZXdqzJW5Yi2jsIf8PJtLU55GmbRoP5rZ5EP74pgd6BLiEY2hguLkF04Gbs/hY1XLqA v+tmbfMW/mdHsSjfhnKtoCTteOHKPQ7bbkqbsinqPGK8EMq3Rj8VFr8dvNyu9VufrUzuLoFav+sg zv6oXZUU5lJAiRnwpSUnx8WdRysJBhrfiVBU9Apu3h9MKB9DmOheRaIi9uf2vUPbQ/eqSWOaiCbg jBkSznjnv5RY/l8CJoNCNfD406s9fDw5SDSTgW1NztliqkOKFq5QTGTaRY2JmZI66BupBJm4ofXS xWUZu08VnTzxQKV8KMGrf0GCQvwoU/w9lC0p28vG8pZouwqGxyCMO3NoKGcBI+tRJI0B4RGar1GO 9j9d6nxGx36gafs87IN3TQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block g8/8e7iWmwWVIhdWKn9UA3Oi+EV2zr6WM7ed+L4FEp3r5GMOI3U3su42Dr7oCAffBFexVVTl3RqD X2zR3G56fQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block h9qO4Q9rOYob/UPRzM9rYG1nW0zYgYuu8wkxSxCJaE2yWGl/DPjOCo0L2+ow0qa7l/NsOXigG+kl HUMzDqlNT9iYQxjqk1iYSIwnHTOsWMjaDarSNidXxNezKCJNt8/SVCjilz6roldZ1kW/Fff3kmT7 YVTvszSkLPgbT9vFViY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p9tajOxAo7TxWTy3+CwcgR95/NITNrDy1XQrA0nCYyHg2kLB5xlIIlNRU5RbUw2V5sKeD8hu6cpB kKqSOic1oGn9mOlxZfCWCWocUep8w9TTM4alS6Pl7Z2jPCye3ms/TnaBh2jF56ZZzQ4IaQy8AzSJ zMM3r4WrRmcJxRkiw1tr6yTuXMbhD70ly+iVxiWJhzgkFp1PG3oJ5EHpRqNfSzIbsV7b4tU4xC32 sAwSB5OYCVtl7vZ1uiXS2R9i6Ufatst/J3SzaOBmfYSrM8PupqTnSYG9EP5V7EmRZY8x1ZOw9N/I TosuIcS9T4Nmcn143dFZqrBPLfy/+YF3vRErMg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XcjppJKjZueCXbveEam1kpKuvzaShxMPTKd3GQ5Sn6KeExgSqO4HDaufcsKgT4Ry07wenmZa7wWt ccDZE+Via2U4aU2JIzy0kYffeTGpo6NQ5T625KFgTgijat/V431eFmCtw9rP0DX9QMqKxuTdWQLl 4POdSBsVAj4LWB2L3RU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KkW5HDayltxMCDSbS1VxpD7XjdDn64r1ntHdZssM732UcZ3VTmfGNPYHKrm/fHBVStciml6ZocEV ZAzsdrrXI9TwuKACwUu5RO/6eX+0rFRdX6AWTUKLMiOqKekjbxmKtrHy1cQwqxow+l8D26PVOEvP w8jjVWonni5eWWL0z9x68QzPHyKO0/qcL4my8ZeBjW+h2RsNMb4FEFIriD9dqGADVd+ZATtwRzhw HKoXaPu5pgUvA/pKH8bwFRNfzLSVJoJDde1OZZgow4gyF41fW2jLgQUP+QWOkDqnIxNeuTOf/kNc lB8WIw5vazSK9I8EO4UXQWw6LpH6vsLNR1XQFQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15520) `protect data_block 4ASCP5LbPr69ZGZ6J8Lo3F2Rf4CSL+UzuUkl3YLEdg7wVVeJ7S2tMpUrPrDstg+XzGpyLMN8EWTh XogyAMYSuo431n5ZADwEgUg498ISWs+q3PvnFFYIx9HBejvlyF5F29tz4DFqXkgICQ5eshNp3N5c uck2qGyZdG7zT7OX98ZfExJncoag2GLppTkF92roMhHCT5tIKb7WZuDSL4xqRAXXM/sTiDBuO2MD piLFrhqjfZ/UMIJusRljo4kxH89NnW38MX8dRqJcA8FOozmW2tFggvqr9C8OazHGYincgzhFokzh gmY3oF7ywBvbPh26b8uxXEIU9AeC3yr66a49YWCaZoHWnnNUv+iWWUNx+mdvnp4hpTDgX3L+XPHW mfVRSjz+GnHt8/dD7g7IBCMFLkGXwjydcaxmt4lnxqoUpK/MRA8mY7oL/ZzKdS5PvqjQKnQ/YKJf JDwcPsgWmigPXOOdfFTgf8NDXDtoBtrccOmN8XAnIcmxrYF/C0qNGN/dyuxlIVqHd6IVVB9tbmZ3 3ptR1W+qFP2njW6Gvd0MOgQOCtXaKObMaBVGU0D7GW2IRfTQ9dg2uobWKBwrRYN5BHs/h5Ic/Id1 6W0J9MSD+E+02dhFaufSBBvXKDsUFdavcuAjniMcQHMHq+VWUx6QEckIl6MXd/LbaHYnMZdzX6tw ir0cLraRdNLj8YIo4EnLxhi//QyONL6WgW7aAwXZ3ZqTZQPV4x/7azKgwwAwoPlK8Abs7gFdmhTd /6qaX8rM7NMtr+TvUiA3NSxiKlehjmzu0rRFQ/eP3Gt5Z9CKzfGY7kthi9UIWLBtsrbPzeyHNulO 6eJrrYxnrlmo9iF4U2Cl4p/VoGTmLExwcRYn/H0kJ6lorP9i0iVv72d0c9EtQjRXuiFT1n9C/s/H ucjyN3E9x7SIexDpKaIP04ru4dH/Py8oDHFUzWIrtYa7y26oMlPqKLUPdabJlbJc3M2ZkFNjrVzp 5uGfZfNtWDlKu/JHqo+1xltfLqfcHd+f/oN/UmLxlGHpUfwlJlh2VZLzBLPh9Wq7y6DtOEPcP4Fg VUG0CSIoNCoiZAKYG68JpMN21A9tAWaL4ZWGauG4aB4xIZrfC+PNRVQsRTPAWOGyf+WeUXMSN5Xk pMF66826P/Ew0TyVLB+C4z3DiQ27qhSRvjmDDsBpO7a7AXkD8uoihVGjCvppZhRJUFtsD4IRYNBw ZKhxA4898o1f1h/ZlWXsEW3TREGS4GLS8jZAbmV24TPjIwOgpGB4YToo8i2+WyJHmEgxClEA2tLI Rmi2DWXcCgIfCoLhkL4WvptPTcN8gCo61becFspRDmKeorOX987gm/5Nm+1lx+LzpsIAhVQ7xLDj OE/dj+Hpu7uVAPepT3S5zgvvQgW5ISp3GAjX7nMlMz8KC7Bw2jSgC6aSfeoa0Azf2IFjeAxwID6e hfnMfmcqbZ0Ous0mjHb8eADi5qhOR0EijosQRfLP3QoWWDtZir8XlsnRz4Sf1dY5Rf8tykL2QX8v MEEH/G8IgORS6TRIwNEEWROyM2FjxMJLVX15xMTWDc+/SJ+UQ6GMlPcd99XXZKP4mi88eZVnH0UA wDonTx9pfm7yaS9RqD0x706UKYGmXbwyzT2N4hs5qVHs1uePNWjxPRONlhAFfshNyDQxjKY453hA BYVJWikJEhPW1YoD1V6a4712hnI8wyBTUpsL7X0lZmp9q5S4ymq/ghOwQUUVDe3ELjKD9eWYrDQU h66gVQCGn5o80VP/w90Oa8zLrlgaOGlGthJ2D4ZYbqKNxgokJrbORbwsddKbYBxMJw9Bhkk/tPtv HI/qRQDLARYPSTaxXMu7+nBa0e83KmQJXIugDEl1vc+31rBwe7EZmoYUeU5mV6YcFcWdcDfsW8K5 jyGL8L/Le7ZCjfr3mWk1tJtoRaMc2bv8j0HwmlScrkd0YuoFI9CQySaN/gMAycRCt9Mv1NmXyuWm AvshBUCGoWlJhUTw4XPetCwS44qy0hsf58g3ke8oSqORSNoPMKD6OvDKRXJb3QPMyTmVZ2zU7U95 fjer6uWWChEJpc/Z05dmRtMed7a8Zqf3iic5OKk6CLh30OXTwbkcs4olGW0c/HxT7xN0rAai9X9o c8AKvxuIEWh3yQbiwojdzHznJ3U3cbAVzzbOYah/fQdcHcePrwZ99UaGnoZddtIfotedYj0IJQ3e soY5GK33mDyWxZ3UpwPViy1zf1y5hzk98Ku1YsG5Ve7i+VaLh6CgoKQFKBIAbJnlUCjRz2lNBTpv H6CFODvO5HRKmRp0hec51Q8cZbMNucENg9t7bj5n0CzfgUTYSwhE/PvCn2powB1mBORhqvdgXApc tX0nBh0/b8euoNWdFHfep/kB6Zkv/fOawt+TEbR91JT7161fVMYxRzSZuBa4/SOykmLVLBEd+DXF 3WOLHsHwjsCu7hlRnGwuS8nJNC2NXunrbebUN28yf07AnbxsHpfk/s3rLC7zgCy/U3zJfAXkuZKB pebAhdH+HH4hRsbuINDQw5xb4kC/i0ljxW4s9DT3/MX0nCVOH1Rc2IBMBriLLnxwJkQ0UBnDLy7N THc5/LUhtNLSPlSvgXJsu2jACx8cEpYkcWJmqdw8RKBGTXgQmlnnLkH9GQ/XZFjMFWJA8YCP+rs+ knxX28L2rSwKwdteT1+DjHpiDB+aBb6ROaAfkGq9pAPJFSMkYZP2eY+jWtdQN+YuaNDlVGgO9Dsb 4kDV4GwqVauoPsC8Qk39uarlq2txkAP076xt8eD4Cw3HCVEOz9JCCJLCooW9hrmu/03rq20W90Bj leTP1HFGz71P0F83SCF3sccVavXZdBIypSIQCuesRwGqJqgMPc1boCoTfwmofsJSJF8tY43C8ctY ah3DZ2+CI4zwygvEKk/me3rN6apIYHpp0mBMKGHrvKKfq9XTmJ7X+yTFU0s47lU6AJj3gFcEPGjU Us38w21a2HAX7qR9knD3Rue9tLhbUtKkR9qfI481ABJjDQXtAZWCBrGO1uyFqlMOeNT+X2PjNAXx U4WvYEkJRuLO6SC9axiafGbW/M/rORdrHNEuamdJljRdSLlgxzKD85bYLvP+jyEmwMZ1TI/7gsqm BzRDnTqj2EEAoPhO740rN1NBd3sxPBQ7uBChk3fW1DBdDlyWZXtJvet6qfV69yg6kiSy0aii3x74 2PKKCcql34ZdSYWFh/RFIUTF5LrowmlbHQb0Fhxf8aR1z0sIBjQq6jQk6MLhgD0eXs1ViJsJ1I+o zOEfkLUydQBAK2mBfVSfuh67DQCgLf2T3sSiicLvlFD4daWyj7taPFMLmakr7blLGgvD1EZnogGs DZoTToyxLgrAGBcf5vRTak1X5Xy2BdXM5g2mQS0o9D4LQ5DaPP+EdU0Obq48jZGG+SdoUjpyEWL3 PVcx6v1IIOUCThHeiN0rwsGmR+oQ6hX9oDcpC4mDXCuX0LXxxgx5MGR0s1sCt9OeG78H5TgUBDTD Ptw74GOM95D1PsXbz3rhE/n0Vmb8CePEx/r3GuYOX3+jI7P21f8PbRAfRX7qrvQo1hinERlohnvr jfXrDOdLTJRhfcpHhnjiYNQZcK7C68acLDtFTxh7JWBO9fODcYxpSFmBuEgTVGJfJyvQWQUjmuR7 tifcXrTJwDVRYTZ07QVpR+aWDRAWYVtunj3OavrnfAfe5eAIlMeCcwnWfY5htuMP4YfeEi9HdlhW gBWZaACeUwlbm23J4bGd+8HUY51zGAoQcR3klnmEthn2QXNSuLTpjezqecqCBuvz+vxaSnLpnQVP D9oFmY26HJAWQl09PO8ghfctCgbQIjaFJDderGfQShoV+Uqhj5h2eTwG2SSV/dUWyNXth3ZenMdI uXL4W5Xc4ZtUP1U+brw84nW07kr6Uw6BJlf25Bp20ARZAVPAx01fyL8+H0xQP4PzspI6JJGEbKvz /8MDp0SYmRVfohA0KR34/n1TMfhjjmbkRHN4UxQ5qCSjRjTm5l2K8dGZXOUMSEcl7qpU8icLAQAS zef8wl5V/w91ON0aMZC8wUgYFC6u/JE1ulCAlcxOH4NeGrNWGd5PJj8pkfsekp2j8+rvf6LqXZDH eYQGGR5OitkExnm6kPTIwOwgKSv5to3AKtMIqJ9cCTlJFt3VHJcp/HXO02IjUcyD7lBzmaP5eGCp 6OLfU2lN4B9KKxRtLDM22f0/s9ZSfiXMp1rigsrrQjIwDBscA+mXjEDOkyT2pTDDGMJkUlWvVJDq A/XlMavdygcm9KlHPwWW/KZDqUy5E6jpGn69tkGUo3J/9v5FX+wxwcBxPH7QFpvBRlsZUDDHBkNs R/cDvaPkA30Wrx8PvHCxcWWD5Yypy3fRi8mmb8Nx0POccHavGQwoqe7x8C16xrTLcnJn8jL5mux3 GJBjBj+lJ1KZBBzwp13DxcYpPG1SQbpf7LATbSVxwEX/8MiQpghoC58AI5PPwVz1pnN4HOJfFLci xJ+SciJU4Da3PlCumGTpsjI/Q9idKivl7akloIkuzC762hgtpQ1xbNUa1ULPsJSyu0H06IHXspN4 ovI0yE/u+/tEUtPRMmeZAlSlbudlX25bh217bVyUCpYAi+d+5KdyirCgQgmtYI7a/jNXryTprUNJ 73HtKjnLxXFUEZUYIKUMygTzhlOUD1h4X6wt25Iu0JPuevQCWhd/NnUmMxtZ52NX38iS/Cj/VoW3 I/9IDiqLaxKSUPSZRoGUT1N8+P+30VVzYS22ouAbCO1cDbmEQG795GSNihtgIoUBk5k42g2BWUxy f9Dy7lkOSXiAzMqT0xc1pmBjZ/Dc6IsBVJwCXvI/Lsoz1VyV6ni35btck7haJDqqQh/70sLrwq1R 7bTssUdjF+vAeZkQ4Me2PBj5bomGHnENclIsAh0Lf5DKIkZMsZq+O387DbPPakz3jeMs7I06zNQv cy1oDa/onHi2WrjehfnqT5O1/aK7r5WKX9YyaEL5uArocyI8kerRipDEI/ji2QYf4KteOp0fpV6G 327gxwEzeEtK3a12c0wsCQdG6h/EY0oaZHVw9spFPjg8ADyc9VoYCgbByiuvLMJjK9pLuL+B3SG+ FQ7ghmnEKcaJj6YzePtCtCIw1GTdo+O7gtrOzqBbtExZ8XIRFFexeAXvlq9Ig5D1G3q1kWauXezH 9p0A73jAyzVAzjzchNWbJUh3OIsXzFOqisYsdVtXX6+RiWGXN7uZsJtEWqkxQwLyZ4/BMZbMRJTj +aJRR7u/jrbM/UCIYLFCtNTF8fSgynUtCklzywfGq4InnESqviDa7za5KYxRRI6Sw8eL6xTU6q6+ SHoU/MLkVyNE4rVqml3V0p5xuCjqYndEZK7OtPf4pmLhljnBq9x+wwJ2tMs8xvISGd8zqmF8u6xy Vgc1wel7LwHG7JMExyZ+5KBw91GY6jxOAe+HMTP9T1i6HuUwYpi43RE6gtn8dx734Z8HNQ5UvvPD 21+V9XKYNBwftkna+9WAUTaqbxBp9F4TmJNWQF2MvYxKem00xWK9j5oEtuo66z/rC++/+n+GrKyb Ff0T5/vRwoyajF+Ky47jgtW/0DpoxmU3sD2cKBSCtjcqFqZOyanR4Rs4V635yIZn30TrkN6QOHDU AE1qk+x1zig/KbqpUG18dJI2DKAjUssdqI0UrbWEyiDL1ujbNnbp9OkeX7vLdIfUMwX4OoJY2fEJ tEGfBPwLvLIG3yc6zRR0vM3wDaZsdEDMhUkofQ7J181uhQZjSRLkwrXP88MuJWZwAtAn11oKv9AW cFoN7NLzQmlLJx6N8F8EgGb+c66AlCVIjPHU6WYrUcPBw1JYrgFbuBWSEH1lhItwYROc1ZOttY/J Tnyt+PVWM3LTn2K95phDPH1xZQozLDVQLybAIScW0nnNYDyyuvOOmOTVCrKq280ccrhiRliH66OM LCfdr5ZhYdg34CxWNACDHT/qlZDoMCYRJV0+0I1WUPN8jcNp24uhODL06kVj+808jiStyECSMd22 a6CyqyPYgqRsVF0vTutm7e/DHdXAA6SBgryO5z8EI39F1UI3/8zuCTJSdKd741I4qBOzEmkSahqD FUkweSOTp8M7AAUeOkDatl6peOMI8oei4GAgNP59a2uI5yY9q07Iwtxg8R/uRfVrBzsu9E0yAZAf nKkffTT+GS8gIGeGaCDBoFvSCpYzsbMjrdwUqmPbV+/ggagvH/9waxQpBpNjwzLOKFRrPni2jAqR hU9L8C6xpvRKF7JbhZ4Q/n6Ntfct31IdW8fcoGKMFI/e/uLKuRHAGclUWGsqJv44xXBCwIJz1fBO i9sxSyGMLTjzZCXVL1YRYML3emzdxgyDXcJBfgskjjLzgK9dlQTEt17ef9e0Yw9f1oK2QUC9cQUJ T3zrP1RXu9725hdb91OajF+Mj+9kIq57zSAyV7uLWhGiqcWWsPhFeE+0SzkoRplreiKWi7Eb/D4T xuLHIf2mqySrQG+rM8ZtKEkJEAFPhrE155YRjR/Ph7p2WWDsaDfD90341KX+uZJm0t8rzC0KTtHw LFRoHaksxkNOQDxZpFGyNbUhVP5lqXpOS/tpw33cWWfcIkoLyFuZ2Dj+K6t1mMXrQqtqBlBKP8/Z pXfihvtuzFl0bi6a+zVqommLA2MjrDw+5M9zoczdDuI8PraflkJ29yKbIA1Ws1ltr9d9lbF9qW5w mQR6m6aW3g8i3t+AJ96Z1X45cMkdcHolv5dSrtgrSxxABUzwao7Rv5+iRw2CFIZp46Ge13Jibk7B 8kUAlFhfIaEZloGR0/ifd9SB/8LOnNYS1QgwPChRXe4gahAWl29MGPaL/BCpYg/WVU788zqZRV/F PoplI5DOufShFs8uxNxkvEkH+5gH7yKdbFeKLZz9pqaEGsCsVR7c/dTwGkpNJhnnLR6ztTprJ6IQ uclLW9UIWpnQv/HTE/WZN+xIjwWIUcvF9alRsIdtTiKRsw4e9fI3Fw6+mQ92V1EmZCqC1w+nA8QC uGN9BmxsHGDlQKGe42IGZk2jbp+XQpr5jTQbltPJAE8SSA4c3fsFqXA01JyABdlk2W8nMPSARae7 ERMlIWFnb6BPdz0x9nkUehQhmduqzxUzrUu7kixzRRe3eAWP9dX7NCy4Ri/aV4Im2Aagdu/LqX0g bzuZ89MtDEPyWwELZtm6PFQtYR2AhhzhAYOjty/QD9hxyBU2LWHzTaxw6QQyzyXeDQINqTfGS722 yWJ1vX6fry0QL9evejfBUoS4U/Ghp/2HlH7RrAVUgx62wZiQmYDRLtrcRa1v9rATO4UxrthmFBbp DpMrPhDCSZNU2z0bmkQTwkbIe1JmLuzCSdHwQWWaiZ3/o21gVuS8eJkA63lQ2NZ+rRXB/E3NbCDn 7GJvHoDOsMd8hbfyCJTsUNkbk6DCLu6LHBZfAZntatlLrTf3aXMvPOvLyqMqlQGFaxQWYcaIrtVN tOOZJzwfedlCNxjjGOOAGQ2wniTacB96c29vYQoZcA9Q9dG6VNHVqikDNApMQvxmxd7OQpYS/MaC mN9mfUY7NoEqP6E5WdH9Q/JGCimFC/HvQQT37GjVriF46+/RAsYxpdGjC7SHX3Ra+Atl7XsqY2Sq gzrvNy47CZP5DSwl7TNBVRlRi/yguHlsUTrn7zMPTRVQZqUxdhzQMbQm4GD3tbwje9Ev8TYeb8oz hoCzm/zus/PJh8VIB6TtD9q6rvj9Wb24OLI9Dlgb6zQCbSbO/ekafrr/NsAV0CXvv00xisL7BK11 UQR6/py3dnqRE4mKNaeM1ZqkRqP+e5V17ZkBdDjva4xcRp1S2zQNglwyqJru2Ad4vGYpk1J6Yufw kOokc2df9DcUuFNd3Xav2F+ceLb3AVh7tAOug4KD9+X0a+BEDZ8X4txoXqVeKaM9rsAWRmM/SQ2V 5WL2EZLkCGcNX55kb9iSviGniZqRVYHg6v6UJTOofuI+4AIqx4FbOPThmgEnUPiH59tNfo0WelWK y6ZUr2/lTKB26qI84jGm5rJ+6Flr7T1QvucyCPWMP9MwFMe6KXGSsall0fc5yo6LVx7Ussyd7U51 aawdXZjB7jCAi0m2QQnOWMUySv1XcvP4MEafK3Odti0bm5cCzalSCYGC3NxXAF8z2RjepNZz5WYk Y3+YLa2EU4Co9FGtjBCakPgYBlpplaZLKO1UA+ArJlUNmouyXLco5U7SBakTfgBFy+7yOSwzFrzt ppa+PizfnRx8Vf+KLGUoYVvx7EDPVpm54OTCKTen6WYohlfuQ/3h8GN9P+2CKxsRpP35N0VaPQW/ QQ1ACl9wNaWu6Kq/A+0gdU3EQh4r4YDAfPHq5XwNyqovk5s+lYVh5XOGbiCA0dgp4h2FtGFcc6xQ 8uIHxPs7zg7MCS1Ku7AiSnrX9neqgZMJ8OlGri2JWAu+C3MgaLSu2X+2lbErTngB9BQ/+eO3HwU5 2ix06M5YM19OuPNf6OT3xhom+a18AAEtgIBufuOirhvzsfluW+Gh4I6YJUnqWyi3urK+iTtW0y5t FCW3UPTBVSy5vCUEGOlqNTTQrdfyEOX60/4hCDwnoX+djSDNFkZvGlHhDDUYJFALxnl1GXXRY5u5 mFlu6K5oqucPAyyryA6qTSqlN/sf8GSH2zLVgGvuODrPNuSmJOOUJ3CRcMMlOlswjoEY+P9jL8Q/ yL+RLCq7kINh3xF2/6J6cuETqxbf3XbmE7Bk1kppc94C3dv/LbLWSlRAdkXj9nblOTwtZJiA4R+m BOFnaims3n7vS/yalo4jGQFhbSFn5WHbtSeUsOS1ZTxOuYZQCA+BDbidim+P6F9Hnfhfq06FbJZ/ ECN4NCxpkz09WlRy8A5hb+El9YUT2i6uajcg5tlMZAzaLm5gyUYykO8cFFnpoz38+MDBrEVVLwas 3AV7ypfY86FzVMYGHQ9l92pnns6hTy6JHMHe5mHFbYdnvxwA1D1K3zC26+uC16VRqUbgAxiqkkQb oRHFG4XxbDt9Ekc4CgcHt3QTpt+Z/HEsj/I2KRtfHerkjQJzSWyW53S5JSLJxCSLGfrdttuNwJq9 8vUXhMOchDrz5Lgcmz8upJiskogo/RD3GTwE2O2T5Ac7vyGvPCocWkzMtvoV3fLYXF2LRaA5jP4P KJ5vsNtX4WPI3y6LLctMpR4bLI24AwBojykshsH85ethiGi+4gNgO90X7c6dFbVOfqEnQe1/1QLI F7Epj9jDLN6uEI69hGe53rB9azLtlqOmOSXMJvxCN8/WbIgG6UuDM77MGcCuzBD6JGMeZ5kWiXKi /MXbriC3mMY07wPTucHlQp0oBV/fZUWjVRFETZQdGIr19u8jzv2fKayM0GdPcHLMIfWnAPQqE/To qeHLH7TIFn9iXxMCtGDS9UQDd9hih9EkmLZBfJ4+uRx8+2/fP8w3dQqAV7AX0dum7gc2H+2/E6I/ DUl9rkUj6EW1t33PB+Sm4BY2Df8f4ZJNGB4VJdKYPikvtQ+JluBtfHf83kIigtTpwBEFq8t+/tPi ISO174ilmcpPqSklMNkRdCmQyuDEElFJtSGewf+i/mQYknAmDr/Iwy87mXKCDFlukY8EMHHu5uVp E24qWu0qwhyirPozLkbq1s88UdrOHJqs3Q1AYd7GLtgZZVLVS/vJVkn6NJHdwM8ywqObb5OQ9rmG ogArOIphgPyGQpkrivJWm15l5Bp3n1nZzaOVAdG30J5KSdm0EOKbuQQOjtbwesfRGhcEva0Glmcg ZpgMu/QXZQSKuT+9fwyLlQe8Sktwh+bA5EzenH4SDfqTEyqxHypGXWCyZZMv7t8ZIIaU7yCQZRHp K2qZJWP5blfph6g7Ac5WjruKODkzZOyBVGaVIgLB7ibmhIeK/RQOMUhMF2/WMzV8vzQsDHSV9txJ gwqinDBMaSqZZmwqSjgt9MNaWvOFQqIufd2uHtqcha1VOk7C0Sy2raGj05KYBxsps9PGhZesKNEe jPx3dVG3UetVvHVv7+mBgKcrX9dTKzVYBqRu+gUHo2O1WjpRaK3JsbuU443vJcBW+3BJIspC4WN9 GzjjzrlIxMML75wl0VTBgT0wlmnGI/RHRD8Bru8dhTjiNIG6LY9XLekA/6VWOme1lfd40jpiKa8l UgolRrMGBXYl2E8QWq8F5OZkwLKPSSfo4rWlwX80etkwOISvtLLJ5j/TNXvlkVNBfdVLoWdGsM8J KLDYlYSZHMxapFU5cpflZ7cU+Pr3ru++ExGhpSQQTPICVIrBwSHBG+Pm97rB+lfBbCDrzFmPAvbd 9bXKHgGzpSYN3na+ATEi4i8mq5Qcsb4PY1Lg3+upf6qhkKIxHYflCR9xGquOlYZI2gal41qZHQt6 QhUXzt0L7t5qIwdtK1P1atyTtO1pVaSwg6kYx0hH0PK+W3bbANGV1tZUtJ0jqEKpEHY9g0up7oAt +Q1A0R+LOslXnJMOnGD7KYZcoo2u0yaJ+9hRFNDR4pwL5AymE3LMFTnai5KP+Bl4HAYRnG9bFYRX IpkcjWZ/bIijk4ZyTeAlQHQM4Tuxxjv0FThY/PlMHWd5zxWy8cdAwUCw8AhFrASRcDqHcabScBM/ cA4mb4nZW6y5zEFcuI9FeKdDOFN5Xe9ElrTaz9/UKCGnMI3LCqck8rvhF2e/zxR9XwvPaOEiHoqA FC1gALp3NrioxBOrSmfWJgB0Pe67U+CYMLwDq1ksRyJE5iczBxJe1/tlhqqnj2Jxn0DYQ3vpu4ur ypSK1A6C1feo6uft/99Vvbv1ByvD7h8G+FnHgJVy/Nc2zcd7GtesLJlnFQYfqUu89NdC2njYTI7T WlEwphI/6IS0r2wMZyGuOgmG270ePa4/Tb3o+9PAjyOmIBA6O+bTSSIvVsP271zwrWOT4l4J3KcQ lGGLTrXjNmZCq0cO4kFa0fq8JyB/3Xd2WhEMdFx2bZY63QGZ7ysqXxpduU1DnBqIJeGJcPZmcvqq FMO4nunHo+SsiFwhs0bKnHtb5LFT07eaXjtpMHTrrG9G0FO2IS3EI/ZsjhcxW5+yQ80uZWv6p05G vWj8a7vGVTgeNEUXflX6urrTzYAqrzUOlTZofqITNhLZSn5w5bj1jYSHpSXSvlY2rdfJpvWtd9Jw 3ueVVCkLumHf3m1EALkh4muIco6aIGKD7EOq9BZ+AdBMfouzDXcoaGtnV5rW9kueEpi+qm+i++Z2 1P2AGF9gdz5oi70Yd5b7SmGGnhqFe2Qsp3wWiI+X+H690BFLoRUb+npj77IjSd06s/0q0gux060m DFdpY1xEGT79Z3yERp80QC2mLH/U5RZHDj3rEIzfAqL6x1gn6m+OGyba7/xVIfZP9wxYsw78unHP cVGsmZmhbuc16KYYRXE9ySRVH8oQ5D1nZc06lrqd1Mx8cQ1nPHazP0Dgon1PSdpTY0bfqy/9zdF9 bWKHpQOnqYpJSXamGDouOBE0p36PO5zSN5/rXqsb4t0cuC9c/dJtDPBcls2BWzkKtbj9HCRo65mX 1SriZ/tVyAW7EKka6NtBlYN7C0xSjR9/c+yvCGs3x2/R9tTY6FS+D7Airz6zTRij0/p9yCHPm0yW naxFWHWmr4rBd4mxRtNX2I7xhtP86oIybb5knys3z4N9IYGDcT5SOw3rcymKFKRwrAiY6W0nEXL+ otUOXPMXNrPPlJdz5GsqYtpf2BiWrpfE+yWlZ7fSpZifA1YLz7THPT2mqvbOdw6JzGOLCnlM0Oeh 3wol/e+TIJEZ4WYYHx+m/YI+TW0h2r2eUQ0i/5XE9RD0EbEaAI9x9/WQJX9V8UXubZ895ViQSO6Z iO4yaiNsdXINQ5jrMQPrj98VT5UJOPI1BUOXTV1ZTRIRXrnbQJduD0cRgOjGjQc1Q6J9c/WE+Qwt lhk+Vd7C6YU55Bigt0d0kFaYhJoFo4jfcGpixEgzOrXBek6CoItptED1upcrcOz0Jk53u2PB1XAg fhUfQFGRsmLLdX5qRTj/FHmP21yJBVpF98ai7FDX/2lolwZfdlwQ6AWyYSzSsdbnWu41jjhbYmyB j5se47cSg+r2OFS3k605g+0MtHSqOP9NejtDtJlk0NUiwhj/ddqiFjAtfq0dQN7+SBWR4XUnvY18 81fbdaiovVtV6QI8/bG8aXjcHbO0WtdjZmae7Rw90AM0FZT5yiAgPqtlFGqaGMzuZByRgJQ3XUcm 9zSyUR8tQPJBn3iDrUDdN/JiRxsYChwXy93w1Kfqf/tb26nlItZCZAfj99YaTYmoxBYVvXop2G2L Prv5ZaeSwAe/zVGfpJUH3pqghHGCm7P15ilzX7/+H58KARcs2x4b0WN9EyGp9TryGExfyVosx9yw pG0xFpLOC9DR++HsMeys0CAgevKpVsXmm8qJmTSyUT7vsJLPF9iPhuv2hmH0BFTLZNx4pAXfdudB WpblvitFzmP3VWU5FY+4tfLeYcVvxS3E3JYPdUjZxqDaOcvE/Bzu6BFFTZd59TT9Cek4gNn1uRRb iEmo1lr+u+7Ro6PqGmgkcPEKBXj4cQsJ9Yi2KYnmYbqhXz3qMSDjlmmfCcbvTYZDUEd/KdcF5z6f zPCuIUxNuglWn+eZNxUS9vLwTcsRIAepCFH/+AMccRySz2mKshNPadcE9kMzHSyoKqMpX1QwXTmL txYdimjb0tU8VwUBL+7toyUb9KizBzQRdPoLQJ4uUaM9/4gWYvhAKswlBDJK2/hnM1maWF96nmhK HNZvfK2jHSJpWNjX8DOQrhgxyRSiYq+Ykjw7glOIXZoL9JUDLDLaUfriFvzpHOeeynkaHETfiS/2 emjcTIlIsDV2QClyxhwvd6tvQs4q5ZNAQiCv0BDkq6lm3i0bbkZ8hZyyCfXtO1nvCC8NcZNQHaue v/arNY8ahJ1O60Y/KflPe/VP/6mi0OOGwZQ3ziEF4Luh+m2EpNefI/hrTXE6uYaP5SP70t1EDFe/ KrGmUJNvaTOkGobCGs000n89Od57jLZ3hb38SUVpT0AQbgJ8q5NyOVzLr2VILv9k6niHUwAwJsjE hXJlwJUrrWr2/sQrt1mLJksXsfsOYjLSw/U0W24vwycXFT6texqHJSCE7cTKQ96Cc60KO+zCJFJi RuRZup55Mn5NmsHWchoWd/7iRLo5NIvHa0adjsKxA3C4nFCruunk7Sc1MaqDiBZxciJFibGJfDIq OheqY/p+DfBOSoXfs9PyOX4XdIA4CWeFlkEJxCgaTXadB34q31VIzN9EfNRvyzvR61oIrk2pUeC1 fqrnOpamu4+QPiqkuMMM8V1+qxgNLaAuni3pp4rSqcLJ+X1vCNsKWSaz5ZR71ruqI6JqwgUPwMga Hz5+vAXMWYzKH2L8qCT5EiymQcK4BhIFH5WjZKqsjcOG/cF+FrzaEr4mCMI3z5uzHRBLvgCoDJam e9ATWjHA3Sda6Tgzy8K+GWr8wjxfW12EZOJhiczj9K4d0++fEYIF6zAg8bGVIpZzZ7CYQ663Fe8J fQJ/zG38xejOwU75T8/VGYife87kX82Brxu6KckrCSfOXCwfGaeuY50h2h5eBAELJZEfPwzFq1a+ 1fv4ekk2IXArlPnbeTfVO6Z1F1eBP6Swoe3kExwiABcV8l658P+euUZgghSD2ZZkHU/4v0wBUgBs nVeTwDYK+nKb3Ux3ZBzWVyXlvHR3HGCrxPdNNG858NYfVWnufukkCWWZ5xjx+dE8/kNIGUYhXgS7 1w10SYF4tdy6sq5IO8RjLTMdJEVy4mTkCDKd2aznOSnbh9P6jo7s2FCc1OUFMdXUhmBSzKcHfvtz fzZam6CXzF0jKQ6AvGdpjZ6VSkw6WB7NE142b0iSLAiC0kA4l75I3ywc7kHLss0XqLFLagNy229x jtjLk1QVEy4vykNTyqiV98EnbN4VXS8rrxisz4XuYs1HRWFpiXPSw3loGEnavzOqbVgxqswbUnnZ htYzmM8MQbiI2whCANnDGFzq14Hmxobtnag0Oe8so4sPHMsJbx8Hg3CjpTk/8Oev7S/QKuZOfLhd C4/UBHuw7EiQDUZp4A8Qnc9tCGfqXm71FDoQ4mnL+zSGgKjUCzzUcHkHQ/WQw0heSLplri7DdhZJ ppmYB7bYlrNzlPEHTm0UkqTft59BCewqd9eaiFusbfsaLlVSp1FivEKIh/nB4tZm3z6sR/oqoVtb vd1snopVgwxfc3NPM1FMisXK77LdgbFh98RjpkF3Jock1of93xFMaLYhFvxSxTAwzVu9PNHSrWY6 8MYIoRh8uRaYvRJkfRXk1ClhGs31DW/Y5gLqOTFoIgiNoHHS56seunrg3IWDFA+h/Uo7vlADJo8c dEuAMAjFOrZpnRf26x48emcI070oMX1gFxue1agJUsntls+0PxViksg+tBROgU+IhzwIOKT0e8nz bRuHbeiEBAYRP+y7w6tVoH1U9HmAbOyqYWZEs2F+m948+tty9CZ5jIr7Ytx7kG7ERZyOaigoPPsE U7Baxng5fbU4DLmu47htoBPl7ulSZ8ox05lY9vPDrkrX6hYYZ7iZzzW1lYx0pPAZHUAX/TBNRnXr vm1qx2sAUMR5g8kF06C9M7FeTkI9BhHt8AZ9T0lhb668lxoiU754lTAwTmOfdfQx2mdOpA0Hetrz DICni1pBvEs5M4TJK0u6sPRWS8wH8tuWfW3TLaPuqLPTnzRpA45LIyRzysMNOqVh0mvaenKcyJXz 0FpB05MtB5FQa42Bc9uGJkl2G+qvjgyvqf7eCHHMqEw2lwTYR1r0ZTt2kkWp1l+RBCdCfsupTD+L sNDx8bgx46SV9LWamjQzrx1lIDpc8PRD2SOHRm57xeF3UD8gde2lAHc90OecDQ15zuMLKTbkc8EF OBjF9hIfSQMHKFJjTjKSh7p+gvI1vnpaVH5KwhU4V8XeshPlcXy814+lNpoR+CQ+mKa/qQ09sljL s/MTbxX72DHNUZBGMqSjhn9L+xVxWcoIGXGalpQvxTP58rkJGzuVv0nsb+MtA9bpaaW3GewWnVXq u5lF/AZme5sKWgbw9T7nUcu+AsAV4WsjzueNPoUHhPBgEsU94Gmg6lY0m3x3kpBqNeWYSOmEMHCj y5fwpmxi2DtMNV7DZn1sIsYftYIFi5yBCHd0FDxyFF7BlHw9XIGQ7f6evYUnei8PA+Je3bfYSHKO l/mLssfH/yA3EvV6OcC9doK1TOGzkJFH7ot8uIBt6JSrC2jvc8+vMRlbctU7W7N+62P3l+xS1YjE Cpx0P1x+/KDu1zgrQvzAGEpqjXFue1ogOY6td0Mdhv8LtzeRmhWV/c17W+vHevRFfWjsUL47kIGb SP/jBz0hloI9NMByXvJAocqFIJGAJmNP+RI1sk2lPsn53XClOml6eon9F85yEqH/bg29IHfY/Aa3 ltxAp7ldvFkluTG/g4axq1JlE+/nD9nNeoZzE5ll7Yyk/ZS+TuqBln7kBT5iS3SkoSoZbWggiM+9 HDBePp2jJ8Le9Gh1dpJG3M+NQkZHuuiPNCirC2hKB5i8lhrGzbpOTXCSXBAyic59aXhzhWZZqkKJ /iQDnP5ta1rtWGD6CIWEA2ROh+uWep0Je7oSK1Qkpajc+jVW6nAyyTluCvIoPbIxVNy2Lhl9P6Ua xjkkDnqdDfgusr4JNATx3KrqgExQUsWsvCqxZXKdxaj+ILpitWdPSKnFZzRZNclkqUeUxJAet3iq zgM336C1Yo7/bUIx+Jtb7JGly4HIHRxGRJvO97IYSWU2Q04jbNJ6MupS8iG8I3H4ZCWFd4LWKeiH dzx52YBYsyMxRPmisuCMa+mu/B59E++KOjmrPvZTottiTkYQ3QPaP4OkjDyueYoncUK1WNrLfepj kuPggd9m4LvEuQu8SdNv34xiYAZYnXRd37Y5EuhjAA08TfnQBod+eg57BhmfOlsH/V789hIhppwb 3GYas95iSFC4HILlSeqUZED1KNRgBhmBN24f14HR8xrxJHWZBSxv61SV0vK498HDvt0RW6Z5XZL3 XPv6tgY7UEeQOfwtZet9SU+1C0wVVsde4iyzXVEluw+a1h5M2G2gz5N6F3EfLzYtgd41j05BvkI/ tckzP9dnycFcgDicKPPaEnzQx6fGA7T7m/hkVqfUpHkdRvumO4+ndmmGIDX9LFn6fxSPgt4aZmHN KHWPIXKhU8Mt/fQExmiCvEt9tEeuPkCo3OxTXQMQjNnFZcpXp0aiNkk3CIN9NdgifI6TZmTltn5g rzI/xPbj0e7QuUurI+CDR+WMJa+tQezAEbj6Birkb1U0TmXdlyaUYavfpOZptI3hO8KmNMH8PgPp JgmgquIcOEecHh5Ij3BqYBEZ7nwjx9BXGDxgzZYenRivgzFU3m3wNUC+7xhpg7Ast54weJktjwlI Ec2E7sihAg/lpAf1fqQWMr2JJWKhzbX8cUGklHlm2qSS19eCsQSl0VTLTAf6Jitls+GiHqTpO7ev oZ7Im+CqRrwH0GmFstNb0wsYLYBAQF/H1e1z9VfnZf5FqTIY4tc5qZIRI4FPFunahgUrAty0dZ+2 H9Pw8gJOt9qJv7cSzP2ZGl2hHgOpBfnn7V8xyVUUIzER99CF0Bgs13uALhZ2CvS+NDhBy9MA/Djd MjGPMYKC+Woi5Vot8IpClOR9GcPAac4TXR0oGP+PDjWGRHQQdt94EdpFKYOHM1gI6LsJf5xbXBN4 /0OOAPzibY8THBLZnbQAabROp82vDbU3O5SxQygfrUz2PKbztFXWdCjXsK2hUL+C7a4RTqE+QRbo a0KJMBQehMwR1iSz0XRlqinTVNWGd3qoUS1ygF1bM3rPx2qjU+56NecEsgsWrmuwKrhY5ubpgTSM BKpschlLgsrZ63281+5jqrrAvUd8XknJsSAVABwth7FewVD1eV7fDJmk3Rkdr3Pm36fMlVXP6+Hq G4bBC7KwBDeErE5yL71ukeuizlaTlKYa4m1veRoRnKKmxCvaKlpVlPmtztteZkeLhoWGa92fi8r5 AThJHJvInmj8yZm4vIxEat5eevPrTOPpn3zCh+w4HJnlAiTytovl6UXshn6MAqBc82QEvNXVXG/X wgreSlp6YfKUKztApgol37Mw1K8pyHqzHKboKFVN1746D7XtV6n5n1F8c6dTRo3m4sVnjTMOq+EG jxekoXiCFKcr3AK5Rq5TOFwqllfxx0fO3MdRSmgtICZExyTqarWrbWFYmoem4b8OCLivyCemnLhs HQMCDr7oQYJDc1oxFrLLnUo+961/hNgGEzGDDqmIgCgSm7fuQijFz4Ao8J9cIpGTUwxiGqdrAIC1 dpmncCPrLWK6APPYQNh774k4SxsW31eO3psNI5whB3GuZ3G2zUJJKeWvtFcf8Im9xCwOoagpcfUQ enxRq+5CbnDfPgohJEM98RDa1av2AtuyN/lzTXx9hUyTqqE3N4zodasi5vUoKC7fnW6Spv4qGZwU yY9imCRnjHCrrUuku50aNKyKY0ZgIRvW1hazjrsSwglele/r66ZI4JNPUYSOusEhByxZwQoe/ack dMcHYtdMB+f/ju0XiUUDVQxLX5ZaBaJk9V4YG90YEiuGYgC9DBr4tWR0VdFfdzqaDRVpcy5SKvzx 06yFDe8+x//gGELaSZFnLBsliPWhUj88ZVjoUrZoaLO6judmklv75Vg/9rCrf53IR6/936cC8z9b TyG/kjZpAE9EFF2w30PR9qLveZfwlMVZd+Axt6oTYB3YXQzkgahk7JtH0FDYOvT9gXJC+ILJIfzF zyzDpCmoaIwcMVRQF86GmVW0RTpYKzOJqdhAfcqVdW73QrmU4ZzO7sxWYOe5YrIzxRviOMPP9/Hg YpPvDGLxylIjE2AMWC4bI1Gt+S453Hx5oFCNoJETG+epF142TBeI79N6Von14FQQC7Yf4PqphZhE dFeqPAg8Osw1mPc5+PUb7b1ye/gSMPh3EWGSbd1Xx/0Ra/wwBJCmioca2rvtLUBR8TFBzAu3Td1X fPTyIPZ4liKsZiCnAe/uhgtqKXdS9hlPD3lY41UNxgWXK3DK+daK+Rx3AobldEb3WJ5bZKB75CAv U4kwiLKKF4gO4g9f/5M4VgPmYIXFk5OhejHzUZLUmdz/HOA/JoVElRzyRMqfjCstNgxRrX6uHNv0 0cHsfSEbH0UPoXdu3z0dwBnDnV8Ki4zs5/kr3edkQkUIJ4x0K4SA12uWx9wOgfahaiKN+SPxvHKu 84sizWwU8ndBJ6g+0ZkywmtTERRic326xECyxHVx9lf0WdjadYRI/f4AqA2yigQtRfAsRvm0Mjv9 wwL3WJH+76JcIJEzuH76l7skP1ynPkbxascnBFl2dIxdmpSvXNdhS7iP8PdBWz1pC+e6n8llbB4R XpWpKvH75nITzfhpg8qqQ4Q+E0cakKZ01S1rpgOJRESDf4SL5c07Gjb904QREFs1yWwWL5Uj1AEU ezTJM4gO14//SwYBZiLdt05e5XHojxFcmCEWBuXMo9uTBhlq8tAOyEV5HpKILl5mCZGiKCUiM+GU HjmXfe5MN2NnnGLkBr17z9GkfoSUg2iiKltR9M3uLZ3C0IKbcisnW9ufNG1DJ481sevBR6dQyG3A 8fZETe3gQoITHZSnvUdE7Jqgqclw8sM2cZ52d69vBDMJTrL6vRIgXPrlIOoG+VrmFeO0ssSelyfY gVqcrUUKTrFucf9lqKIB7wTMYx3fHBcgIUKfGLYm6MMR37AdL46zX0oiHimLvau7i+aifeLOGiZM R4qgGWzvbfIv3N+0UXSEQqpYWf82aBI2BleXk+a2tbTJzifTm0mrqesMu+ETzGrf2gsKUIkhNfv8 jtCP15HNUkHcdml3TFqGaJRdofiESNecp/kV68nur22up34QCSZtNYTOGlbyHdsO6Xd17voWwK39 VroXhrlVrhAiPJ86tluEAFA8t/X+NelPZFYLswtCNH21pKpFxG4EZomYWty7y9yDAidRPQIFG5Xd NXoj1PzLch1/ei9n3IxXNbEfbF+4GTX25drexSlB9H34J4kaCr+/Dii5jDYpkVc4g/hS34l0vrwp DhAgG++sPJbVFvXK+o29CAIfzBF0V7FNH+5x4Hl/724qnKCfVZwPGGFf0y7ZGX1q7Ekn3osg2DKG R16ZOKFP/iesxibO9u+w8PUbeTiPCIWLuTYFrZ6VcKux9JLpYiUOj+tG2+mKI5doLQ06zcO3TfP3 aAi+6LwtaLErtuyubAbJrNK9dXaPfnh+t4tw4J9k0a0lHxHLI8kusuwHt1T7d+Du0MpIz8HDemif NMUsxIXuhp4JQuuFoPVknCDSdKxJF76rbOKBrXXKv7JeVTGf9bI7XD41BPdJjhayiIAb14JAoOpO h8+bscaZnJAPTa2homZfxx50pJd8jJfVR99CAp3Bp0Efqb5Qs9/boa12HSmnmAcE31MctOEF5+oM 2sPMt3KwNCFv0+bPfLSshGHUe1FzqSk2dsdyG3C0anrzV4KqFml9lY9sXKmhDaTAH6tQJeOcUqzf jeCmTnt2LJr6exRjINhVg0XJl2ljVmYsvcKBavVKsQb59lr4qkjAQoaolP8NAXwr5XywYoYuEDSK JxCLWotcdYVnEWNpijkbw0PyVRRqf5rHWD1RMN01ci38Pu5EMo5TY6oPp9hrMq2kVFsv+NaeZZfa 0i9bg54MNnS4U4nZtY71LSAUL5tCUjsFKjwWV4+hp40+3qyg+C+FzdF6wfbUhov4ELh7CPsT5kB0 9C/CnOVPdJDxLkTwfZMtxoCnXRmHbkbMMKrXfATyNU5zqPgLc7jb4+J/ExUcDNmJFA80GIfSNkMP DL61o5jav1nBcGqWCz3Kj08/4E1vXQLP9crM3joF8KbN3Z5HPPFQJzHk3+b3bHO1xNMI3JdllSz4 DN6kWWfOxozoF7rvffL9079N4HH2AHT9aNxbgO+FgE3MQ5BWlSksLmFx6LZLw461toVnN7iWCwOk o4cFWVRf3P9/G6UShpoufGnaBIfWyUUGAGZV29BExGMiXR4A8DIWVth0nKTEL0YXNYLpVbFdSu8Q V+j0Z+qy0FW1g8f/zMvQ3RqqRGy69Lh0hbBURuK7L4weUhJK1iMV7ehP6FWfnlKXB8JHHkJ+DDMt k9FqBxagpuDu7KPyPxnYG+At6LVFQwf0QTtaBlWicLYcMQZFfY/JcrWy8R4ICLau1rMNYQrI1sOO FhnxdW6r/Ck5CHehELkYNiNxAZyrBUlpUS5XYH6vVk0b4khUmq+b2FiAXRmVDsgj7c5SPECpQSMc vlHJqGLxNLr9eoLbK5ScDNPMxLruyZLZkXCcRHlrA8oIj4o1TSeRBwIVKK+EUHyZI7YFbfHrmLBO cMsLIwg9YsZXdqzJW5Yi2jsIf8PJtLU55GmbRoP5rZ5EP74pgd6BLiEY2hguLkF04Gbs/hY1XLqA v+tmbfMW/mdHsSjfhnKtoCTteOHKPQ7bbkqbsinqPGK8EMq3Rj8VFr8dvNyu9VufrUzuLoFav+sg zv6oXZUU5lJAiRnwpSUnx8WdRysJBhrfiVBU9Apu3h9MKB9DmOheRaIi9uf2vUPbQ/eqSWOaiCbg jBkSznjnv5RY/l8CJoNCNfD406s9fDw5SDSTgW1NztliqkOKFq5QTGTaRY2JmZI66BupBJm4ofXS xWUZu08VnTzxQKV8KMGrf0GCQvwoU/w9lC0p28vG8pZouwqGxyCMO3NoKGcBI+tRJI0B4RGar1GO 9j9d6nxGx36gafs87IN3TQ== `protect end_protected
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:08:49 10/06/2010 -- Design Name: -- Module Name: Cont0a9 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Cont0a9 is port ( Load : in STD_LOGIC; Enable : in STD_LOGIC; Rst : in STD_LOGIC; Clk : in STD_LOGIC; Valor : in STD_LOGIC_VECTOR (3 downto 0); TCO : out STD_LOGIC; Cuenta : out STD_LOGIC_VECTOR (3 downto 0)); end Cont0a9; architecture Behavioral of Cont0a9 is signal Cont : integer range 0 to 9; begin process (Rst,Clk,Cont) begin if (Rst = '1') then Cont <= 0; elsif (rising_edge(Clk)) then if (Load = '1') then Cont <= conv_integer(Valor); elsif (Enable = '1') then if Cont = 9 then Cont <= 0; else Cont <= Cont + 1; end if; end if; end if; Cuenta <= conv_std_logic_vector(Cont,4); end process; --Terminal Count Out TCO <= '1' when Cont = 9 else '0'; end Behavioral;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library cycloneiii; use cycloneiii.all; library altera; use altera.all; entity admout is port( clk : in std_logic; -- clk0 dm_h : in std_logic; dm_l : in std_logic; dm_pad : out std_logic -- DQ pad ); end; architecture rtl of admout is component cycloneiii_ddio_out generic( power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; lpm_type : string := "stratixiii_ddio_out" ); port ( datainlo : in std_logic := '0'; datainhi : in std_logic := '0'; clk : in std_logic := '0'; ena : in std_logic := '1'; areset : in std_logic := '0'; sreset : in std_logic := '0'; dataout : out std_logic; dfflo : out std_logic; dffhi : out std_logic-- ; --devclrn : in std_logic := '1'; --devpor : in std_logic := '1' ); end component; component cycloneiii_io_obuf generic( bus_hold : string := "false"; open_drain_output : string := "false"; lpm_type : string := "cycloneiii_io_obuf" ); port( i : in std_logic := '0'; oe : in std_logic := '1'; --devoe : in std_logic := '1'; o : out std_logic; obar : out std_logic--; --seriesterminationcontrol : in std_logic_vector(15 downto 0) := (others => '0') ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dm_reg : std_logic; begin vcc <= '1'; gnd <= (others => '0'); -- DM output register -------------------------------------------------------------- dm_reg0 : cycloneiii_ddio_out generic map( power_up => "high", async_mode => "none", sync_mode => "none", lpm_type => "cycloneiii_ddio_out" ) port map( datainlo => dm_l, datainhi => dm_h, clk => clk, ena => vcc, areset => gnd(0), sreset => gnd(0), dataout => dm_reg--, --dfflo => open, --dffhi => open, --devclrn => vcc, --devpor => vcc ); -- Out buffer (DM) ------------------------------------------------------------------ dm_buf0 : cycloneiii_io_obuf generic map( open_drain_output => "false", bus_hold => "false", lpm_type => "cycloneiii_io_obuf" ) port map( i => dm_reg, oe => vcc, --devoe => vcc, o => dm_pad, obar => open --seriesterminationcontrol => gnd, ); end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library cycloneiii; use cycloneiii.all; library altera; use altera.all; entity admout is port( clk : in std_logic; -- clk0 dm_h : in std_logic; dm_l : in std_logic; dm_pad : out std_logic -- DQ pad ); end; architecture rtl of admout is component cycloneiii_ddio_out generic( power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; lpm_type : string := "stratixiii_ddio_out" ); port ( datainlo : in std_logic := '0'; datainhi : in std_logic := '0'; clk : in std_logic := '0'; ena : in std_logic := '1'; areset : in std_logic := '0'; sreset : in std_logic := '0'; dataout : out std_logic; dfflo : out std_logic; dffhi : out std_logic-- ; --devclrn : in std_logic := '1'; --devpor : in std_logic := '1' ); end component; component cycloneiii_io_obuf generic( bus_hold : string := "false"; open_drain_output : string := "false"; lpm_type : string := "cycloneiii_io_obuf" ); port( i : in std_logic := '0'; oe : in std_logic := '1'; --devoe : in std_logic := '1'; o : out std_logic; obar : out std_logic--; --seriesterminationcontrol : in std_logic_vector(15 downto 0) := (others => '0') ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dm_reg : std_logic; begin vcc <= '1'; gnd <= (others => '0'); -- DM output register -------------------------------------------------------------- dm_reg0 : cycloneiii_ddio_out generic map( power_up => "high", async_mode => "none", sync_mode => "none", lpm_type => "cycloneiii_ddio_out" ) port map( datainlo => dm_l, datainhi => dm_h, clk => clk, ena => vcc, areset => gnd(0), sreset => gnd(0), dataout => dm_reg--, --dfflo => open, --dffhi => open, --devclrn => vcc, --devpor => vcc ); -- Out buffer (DM) ------------------------------------------------------------------ dm_buf0 : cycloneiii_io_obuf generic map( open_drain_output => "false", bus_hold => "false", lpm_type => "cycloneiii_io_obuf" ) port map( i => dm_reg, oe => vcc, --devoe => vcc, o => dm_pad, obar => open --seriesterminationcontrol => gnd, ); end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library cycloneiii; use cycloneiii.all; library altera; use altera.all; entity admout is port( clk : in std_logic; -- clk0 dm_h : in std_logic; dm_l : in std_logic; dm_pad : out std_logic -- DQ pad ); end; architecture rtl of admout is component cycloneiii_ddio_out generic( power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; lpm_type : string := "stratixiii_ddio_out" ); port ( datainlo : in std_logic := '0'; datainhi : in std_logic := '0'; clk : in std_logic := '0'; ena : in std_logic := '1'; areset : in std_logic := '0'; sreset : in std_logic := '0'; dataout : out std_logic; dfflo : out std_logic; dffhi : out std_logic-- ; --devclrn : in std_logic := '1'; --devpor : in std_logic := '1' ); end component; component cycloneiii_io_obuf generic( bus_hold : string := "false"; open_drain_output : string := "false"; lpm_type : string := "cycloneiii_io_obuf" ); port( i : in std_logic := '0'; oe : in std_logic := '1'; --devoe : in std_logic := '1'; o : out std_logic; obar : out std_logic--; --seriesterminationcontrol : in std_logic_vector(15 downto 0) := (others => '0') ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dm_reg : std_logic; begin vcc <= '1'; gnd <= (others => '0'); -- DM output register -------------------------------------------------------------- dm_reg0 : cycloneiii_ddio_out generic map( power_up => "high", async_mode => "none", sync_mode => "none", lpm_type => "cycloneiii_ddio_out" ) port map( datainlo => dm_l, datainhi => dm_h, clk => clk, ena => vcc, areset => gnd(0), sreset => gnd(0), dataout => dm_reg--, --dfflo => open, --dffhi => open, --devclrn => vcc, --devpor => vcc ); -- Out buffer (DM) ------------------------------------------------------------------ dm_buf0 : cycloneiii_io_obuf generic map( open_drain_output => "false", bus_hold => "false", lpm_type => "cycloneiii_io_obuf" ) port map( i => dm_reg, oe => vcc, --devoe => vcc, o => dm_pad, obar => open --seriesterminationcontrol => gnd, ); end;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Tester is port( Audio_Left_in : in std_logic_vector(23 downto 0); Audio_Right_in : in std_logic_vector(23 downto 0); VolCtrl_Left_out_in : in std_logic_vector(23 downto 0); VolCtrl_Right_out_in : in std_logic_vector(23 downto 0); Mux1_VolCtrlORAudio_Left_out : out std_logic_vector(23 downto 0); Mux1_VolCtrlORAudio_Right_out : out std_logic_vector(23 downto 0); Filter_Left_out_in : in std_logic_vector(23 downto 0); Filter_Right_out_in : in std_logic_vector(23 downto 0); Mux2_FilterORMux1_Left_out : out std_logic_vector(23 downto 0); Mux2_FilterORMux1_Right_out : out std_logic_vector(23 downto 0); Balance_Left_out_in : in std_logic_vector(23 downto 0); Balance_Right_out_in : in std_logic_vector(23 downto 0); Mux3_BalanceORMux2_Left_out : out std_logic_vector(23 downto 0); Mux3_BalanceORMux2_Right_out : out std_logic_vector(23 downto 0); Mux_Select_in : in std_logic_vector(2 downto 0)); end Tester; architecture Behavioral of Tester is signal Mux1_VolCtrlORAudio_Left_out_T : std_logic_vector(23 downto 0); signal Mux1_VolCtrlORAudio_Right_out_T : std_logic_vector(23 downto 0); signal Mux2_FilterORMux1_Left_out_T : std_logic_vector(23 downto 0); signal Mux2_FilterORMux1_Right_out_T : std_logic_vector(23 downto 0); begin Mux1_VolCtrlORAudio_Left_out <= Mux1_VolCtrlORAudio_Left_out_T; Mux1_VolCtrlORAudio_Right_out <= Mux1_VolCtrlORAudio_Right_out_T; Mux2_FilterORMux1_Left_out <= Mux2_FilterORMux1_Left_out_T; Mux2_FilterORMux1_Right_out <= Mux2_FilterORMux1_Right_out_T; MUX1 : process(Audio_Left_in, Audio_Right_in, Mux_Select_in(0), VolCtrl_Left_out_in, VolCtrl_Right_out_in) begin if Mux_Select_in(0) = '0' then Mux1_VolCtrlORAudio_Left_out_T <= VolCtrl_Left_out_in; Mux1_VolCtrlORAudio_Right_out_T <= VolCtrl_Right_out_in; else Mux1_VolCtrlORAudio_Left_out_T <= Audio_Left_in; Mux1_VolCtrlORAudio_Right_out_T <= Audio_Right_in; end if; end process; MUX2 : process(Filter_Left_out_in, Filter_Right_out_in, Mux_Select_in(1), Mux1_VolCtrlORAudio_Left_out_T, Mux1_VolCtrlORAudio_Right_out_T) begin if Mux_Select_in(1) = '0' then Mux2_FilterORMux1_Left_out_T <= Filter_Left_out_in; Mux2_FilterORMux1_Right_out_T <= Filter_Right_out_in; else Mux2_FilterORMux1_Left_out_T <= Mux1_VolCtrlORAudio_Left_out_T; Mux2_FilterORMux1_Right_out_T <= Mux1_VolCtrlORAudio_Right_out_T; end if; end process; MUX3 : process (Balance_Left_out_in, Balance_Right_out_in, Mux2_FilterORMux1_Left_out_T, Mux2_FilterORMux1_Right_out_T, Mux_Select_in(2)) begin if Mux_Select_in(2) = '0' then Mux3_BalanceORMux2_Left_out <= Balance_Left_out_in; Mux3_BalanceORMux2_Right_out <= Balance_Right_out_in; else Mux3_BalanceORMux2_Left_out <= Mux2_FilterORMux1_Left_out_T; Mux3_BalanceORMux2_Right_out <= Mux2_FilterORMux1_Right_out_T; end if; end process; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Tester is port( Audio_Left_in : in std_logic_vector(23 downto 0); Audio_Right_in : in std_logic_vector(23 downto 0); VolCtrl_Left_out_in : in std_logic_vector(23 downto 0); VolCtrl_Right_out_in : in std_logic_vector(23 downto 0); Mux1_VolCtrlORAudio_Left_out : out std_logic_vector(23 downto 0); Mux1_VolCtrlORAudio_Right_out : out std_logic_vector(23 downto 0); Filter_Left_out_in : in std_logic_vector(23 downto 0); Filter_Right_out_in : in std_logic_vector(23 downto 0); Mux2_FilterORMux1_Left_out : out std_logic_vector(23 downto 0); Mux2_FilterORMux1_Right_out : out std_logic_vector(23 downto 0); Balance_Left_out_in : in std_logic_vector(23 downto 0); Balance_Right_out_in : in std_logic_vector(23 downto 0); Mux3_BalanceORMux2_Left_out : out std_logic_vector(23 downto 0); Mux3_BalanceORMux2_Right_out : out std_logic_vector(23 downto 0); Mux_Select_in : in std_logic_vector(2 downto 0)); end Tester; architecture Behavioral of Tester is signal Mux1_VolCtrlORAudio_Left_out_T : std_logic_vector(23 downto 0); signal Mux1_VolCtrlORAudio_Right_out_T : std_logic_vector(23 downto 0); signal Mux2_FilterORMux1_Left_out_T : std_logic_vector(23 downto 0); signal Mux2_FilterORMux1_Right_out_T : std_logic_vector(23 downto 0); begin Mux1_VolCtrlORAudio_Left_out <= Mux1_VolCtrlORAudio_Left_out_T; Mux1_VolCtrlORAudio_Right_out <= Mux1_VolCtrlORAudio_Right_out_T; Mux2_FilterORMux1_Left_out <= Mux2_FilterORMux1_Left_out_T; Mux2_FilterORMux1_Right_out <= Mux2_FilterORMux1_Right_out_T; MUX1 : process(Audio_Left_in, Audio_Right_in, Mux_Select_in(0), VolCtrl_Left_out_in, VolCtrl_Right_out_in) begin if Mux_Select_in(0) = '0' then Mux1_VolCtrlORAudio_Left_out_T <= VolCtrl_Left_out_in; Mux1_VolCtrlORAudio_Right_out_T <= VolCtrl_Right_out_in; else Mux1_VolCtrlORAudio_Left_out_T <= Audio_Left_in; Mux1_VolCtrlORAudio_Right_out_T <= Audio_Right_in; end if; end process; MUX2 : process(Filter_Left_out_in, Filter_Right_out_in, Mux_Select_in(1), Mux1_VolCtrlORAudio_Left_out_T, Mux1_VolCtrlORAudio_Right_out_T) begin if Mux_Select_in(1) = '0' then Mux2_FilterORMux1_Left_out_T <= Filter_Left_out_in; Mux2_FilterORMux1_Right_out_T <= Filter_Right_out_in; else Mux2_FilterORMux1_Left_out_T <= Mux1_VolCtrlORAudio_Left_out_T; Mux2_FilterORMux1_Right_out_T <= Mux1_VolCtrlORAudio_Right_out_T; end if; end process; MUX3 : process (Balance_Left_out_in, Balance_Right_out_in, Mux2_FilterORMux1_Left_out_T, Mux2_FilterORMux1_Right_out_T, Mux_Select_in(2)) begin if Mux_Select_in(2) = '0' then Mux3_BalanceORMux2_Left_out <= Balance_Left_out_in; Mux3_BalanceORMux2_Right_out <= Balance_Right_out_in; else Mux3_BalanceORMux2_Left_out <= Mux2_FilterORMux1_Left_out_T; Mux3_BalanceORMux2_Right_out <= Mux2_FilterORMux1_Right_out_T; end if; end process; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Tester is port( Audio_Left_in : in std_logic_vector(23 downto 0); Audio_Right_in : in std_logic_vector(23 downto 0); VolCtrl_Left_out_in : in std_logic_vector(23 downto 0); VolCtrl_Right_out_in : in std_logic_vector(23 downto 0); Mux1_VolCtrlORAudio_Left_out : out std_logic_vector(23 downto 0); Mux1_VolCtrlORAudio_Right_out : out std_logic_vector(23 downto 0); Filter_Left_out_in : in std_logic_vector(23 downto 0); Filter_Right_out_in : in std_logic_vector(23 downto 0); Mux2_FilterORMux1_Left_out : out std_logic_vector(23 downto 0); Mux2_FilterORMux1_Right_out : out std_logic_vector(23 downto 0); Balance_Left_out_in : in std_logic_vector(23 downto 0); Balance_Right_out_in : in std_logic_vector(23 downto 0); Mux3_BalanceORMux2_Left_out : out std_logic_vector(23 downto 0); Mux3_BalanceORMux2_Right_out : out std_logic_vector(23 downto 0); Mux_Select_in : in std_logic_vector(2 downto 0)); end Tester; architecture Behavioral of Tester is signal Mux1_VolCtrlORAudio_Left_out_T : std_logic_vector(23 downto 0); signal Mux1_VolCtrlORAudio_Right_out_T : std_logic_vector(23 downto 0); signal Mux2_FilterORMux1_Left_out_T : std_logic_vector(23 downto 0); signal Mux2_FilterORMux1_Right_out_T : std_logic_vector(23 downto 0); begin Mux1_VolCtrlORAudio_Left_out <= Mux1_VolCtrlORAudio_Left_out_T; Mux1_VolCtrlORAudio_Right_out <= Mux1_VolCtrlORAudio_Right_out_T; Mux2_FilterORMux1_Left_out <= Mux2_FilterORMux1_Left_out_T; Mux2_FilterORMux1_Right_out <= Mux2_FilterORMux1_Right_out_T; MUX1 : process(Audio_Left_in, Audio_Right_in, Mux_Select_in(0), VolCtrl_Left_out_in, VolCtrl_Right_out_in) begin if Mux_Select_in(0) = '0' then Mux1_VolCtrlORAudio_Left_out_T <= VolCtrl_Left_out_in; Mux1_VolCtrlORAudio_Right_out_T <= VolCtrl_Right_out_in; else Mux1_VolCtrlORAudio_Left_out_T <= Audio_Left_in; Mux1_VolCtrlORAudio_Right_out_T <= Audio_Right_in; end if; end process; MUX2 : process(Filter_Left_out_in, Filter_Right_out_in, Mux_Select_in(1), Mux1_VolCtrlORAudio_Left_out_T, Mux1_VolCtrlORAudio_Right_out_T) begin if Mux_Select_in(1) = '0' then Mux2_FilterORMux1_Left_out_T <= Filter_Left_out_in; Mux2_FilterORMux1_Right_out_T <= Filter_Right_out_in; else Mux2_FilterORMux1_Left_out_T <= Mux1_VolCtrlORAudio_Left_out_T; Mux2_FilterORMux1_Right_out_T <= Mux1_VolCtrlORAudio_Right_out_T; end if; end process; MUX3 : process (Balance_Left_out_in, Balance_Right_out_in, Mux2_FilterORMux1_Left_out_T, Mux2_FilterORMux1_Right_out_T, Mux_Select_in(2)) begin if Mux_Select_in(2) = '0' then Mux3_BalanceORMux2_Left_out <= Balance_Left_out_in; Mux3_BalanceORMux2_Right_out <= Balance_Right_out_in; else Mux3_BalanceORMux2_Left_out <= Mux2_FilterORMux1_Left_out_T; Mux3_BalanceORMux2_Right_out <= Mux2_FilterORMux1_Right_out_T; end if; end process; end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1194.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s01b00x00p05n01i01194ent IS END c08s01b00x00p05n01i01194ent; ARCHITECTURE c08s01b00x00p05n01i01194arch OF c08s01b00x00p05n01i01194ent IS signal k : integer := 0; BEGIN TESTING: PROCESS BEGIN k <= 5 after 5 ns; wait on k untli (k = 5); assert FALSE report "***FAILED TEST: c08s01b00x00p05n01i01194 - Reserved word 'until' is misspelled" severity ERROR; wait; END PROCESS TESTING; END c08s01b00x00p05n01i01194arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1194.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s01b00x00p05n01i01194ent IS END c08s01b00x00p05n01i01194ent; ARCHITECTURE c08s01b00x00p05n01i01194arch OF c08s01b00x00p05n01i01194ent IS signal k : integer := 0; BEGIN TESTING: PROCESS BEGIN k <= 5 after 5 ns; wait on k untli (k = 5); assert FALSE report "***FAILED TEST: c08s01b00x00p05n01i01194 - Reserved word 'until' is misspelled" severity ERROR; wait; END PROCESS TESTING; END c08s01b00x00p05n01i01194arch;