hexsha
stringlengths
40
40
size
int64
3
1.05M
ext
stringlengths
1
11
lang
stringlengths
1
21
max_stars_repo_path
stringlengths
3
945
max_stars_repo_name
stringlengths
4
112
max_stars_repo_head_hexsha
stringlengths
40
78
max_stars_repo_licenses
sequence
max_stars_count
float64
1
191k
max_stars_repo_stars_event_min_datetime
stringlengths
24
24
max_stars_repo_stars_event_max_datetime
stringlengths
24
24
max_issues_repo_path
stringlengths
3
945
max_issues_repo_name
stringlengths
4
113
max_issues_repo_head_hexsha
stringlengths
40
78
max_issues_repo_licenses
sequence
max_issues_count
float64
1
116k
max_issues_repo_issues_event_min_datetime
stringlengths
24
24
max_issues_repo_issues_event_max_datetime
stringlengths
24
24
max_forks_repo_path
stringlengths
3
945
max_forks_repo_name
stringlengths
4
113
max_forks_repo_head_hexsha
stringlengths
40
78
max_forks_repo_licenses
sequence
max_forks_count
float64
1
105k
max_forks_repo_forks_event_min_datetime
stringlengths
24
24
max_forks_repo_forks_event_max_datetime
stringlengths
24
24
content
stringlengths
3
1.05M
avg_line_length
float64
1
966k
max_line_length
int64
1
977k
alphanum_fraction
float64
0
1
dc2894cf95b769ede2310a1966536d57151dcf33
3,016
adb
Ada
src/base/beans/util-beans-objects-maps.adb
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
60
2015-01-18T23:05:34.000Z
2022-03-20T18:56:30.000Z
src/base/beans/util-beans-objects-maps.adb
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
20
2016-09-15T16:41:30.000Z
2022-03-29T22:02:32.000Z
src/base/beans/util-beans-objects-maps.adb
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
10
2015-02-13T04:00:45.000Z
2022-03-20T18:57:54.000Z
----------------------------------------------------------------------- -- util-beans-objects-maps -- Object maps -- Copyright (C) 2010, 2011, 2012, 2017, 2018, 2019 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- package body Util.Beans.Objects.Maps is -- ------------------------------ -- Get the value identified by the name. -- If the name cannot be found, the method should return the Null object. -- ------------------------------ function Get_Value (From : in Map_Bean; Name : in String) return Object is Pos : constant Cursor := From.Find (Name); begin if Has_Element (Pos) then return Element (Pos); else return Null_Object; end if; end Get_Value; -- ------------------------------ -- Set the value identified by the name. -- If the map contains the given name, the value changed. -- Otherwise name is added to the map and the value associated with it. -- ------------------------------ procedure Set_Value (From : in out Map_Bean; Name : in String; Value : in Object) is begin From.Include (Name, Value); end Set_Value; -- ------------------------------ -- Iterate over the members of the map. -- ------------------------------ procedure Iterate (From : in Object; Process : not null access procedure (Name : in String; Item : in Object)) is procedure Process_One (Pos : in Maps.Cursor); procedure Process_One (Pos : in Maps.Cursor) is begin Process (Maps.Key (Pos), Maps.Element (Pos)); end Process_One; Bean : constant access Util.Beans.Basic.Readonly_Bean'Class := To_Bean (From); begin if Bean /= null and then Bean.all in Util.Beans.Objects.Maps.Map_Bean'Class then Map_Bean'Class (Bean.all).Iterate (Process_One'Access); end if; end Iterate; -- ------------------------------ -- Create an object that contains a <tt>Map_Bean</tt> instance. -- ------------------------------ function Create return Object is M : constant Map_Bean_Access := new Map_Bean; begin return To_Object (Value => M, Storage => DYNAMIC); end Create; end Util.Beans.Objects.Maps;
38.666667
86
0.548408
cb6cb671f51a9303104e840c23c3f3e0360d092e
5,303
ads
Ada
src/svd/sam_svd-interrupts.ads
Fabien-Chouteau/samd51-hal
54d4b29df100502d8b3e3b4680a198640faa5f4d
[ "BSD-3-Clause" ]
1
2020-02-24T23:19:03.000Z
2020-02-24T23:19:03.000Z
src/svd/sam_svd-interrupts.ads
Fabien-Chouteau/samd51-hal
54d4b29df100502d8b3e3b4680a198640faa5f4d
[ "BSD-3-Clause" ]
null
null
null
src/svd/sam_svd-interrupts.ads
Fabien-Chouteau/samd51-hal
54d4b29df100502d8b3e3b4680a198640faa5f4d
[ "BSD-3-Clause" ]
null
null
null
-- This spec has been automatically generated from ATSAMD51G19A.svd -- Definition of the device's interrupts package SAM_SVD.Interrupts is ---------------- -- Interrupts -- ---------------- PM : constant := 0; MCLK : constant := 1; OSCCTRL_XOSC0 : constant := 2; OSCCTRL_XOSC1 : constant := 3; OSCCTRL_DFLL : constant := 4; OSCCTRL_DPLL0 : constant := 5; OSCCTRL_DPLL1 : constant := 6; OSC32KCTRL : constant := 7; SUPC_OTHER : constant := 8; SUPC_BODDET : constant := 9; WDT : constant := 10; RTC : constant := 11; EIC_EXTINT_0 : constant := 12; EIC_EXTINT_1 : constant := 13; EIC_EXTINT_2 : constant := 14; EIC_EXTINT_3 : constant := 15; EIC_EXTINT_4 : constant := 16; EIC_EXTINT_5 : constant := 17; EIC_EXTINT_6 : constant := 18; EIC_EXTINT_7 : constant := 19; EIC_EXTINT_8 : constant := 20; EIC_EXTINT_9 : constant := 21; EIC_EXTINT_10 : constant := 22; EIC_EXTINT_11 : constant := 23; EIC_EXTINT_12 : constant := 24; EIC_EXTINT_13 : constant := 25; EIC_EXTINT_14 : constant := 26; EIC_EXTINT_15 : constant := 27; FREQM : constant := 28; NVMCTRL_0 : constant := 29; NVMCTRL_1 : constant := 30; DMAC_0 : constant := 31; DMAC_1 : constant := 32; DMAC_2 : constant := 33; DMAC_3 : constant := 34; DMAC_OTHER : constant := 35; EVSYS_0 : constant := 36; EVSYS_1 : constant := 37; EVSYS_2 : constant := 38; EVSYS_3 : constant := 39; EVSYS_OTHER : constant := 40; PAC : constant := 41; RAMECC : constant := 45; SERCOM0_0 : constant := 46; SERCOM0_1 : constant := 47; SERCOM0_2 : constant := 48; SERCOM0_OTHER : constant := 49; SERCOM1_0 : constant := 50; SERCOM1_1 : constant := 51; SERCOM1_2 : constant := 52; SERCOM1_OTHER : constant := 53; SERCOM2_0 : constant := 54; SERCOM2_1 : constant := 55; SERCOM2_2 : constant := 56; SERCOM2_OTHER : constant := 57; SERCOM3_0 : constant := 58; SERCOM3_1 : constant := 59; SERCOM3_2 : constant := 60; SERCOM3_OTHER : constant := 61; SERCOM4_0 : constant := 62; SERCOM4_1 : constant := 63; SERCOM4_2 : constant := 64; SERCOM4_OTHER : constant := 65; SERCOM5_0 : constant := 66; SERCOM5_1 : constant := 67; SERCOM5_2 : constant := 68; SERCOM5_OTHER : constant := 69; USB_OTHER : constant := 80; USB_SOF_HSOF : constant := 81; USB_TRCPT0 : constant := 82; USB_TRCPT1 : constant := 83; TCC0_OTHER : constant := 85; TCC0_MC0 : constant := 86; TCC0_MC1 : constant := 87; TCC0_MC2 : constant := 88; TCC0_MC3 : constant := 89; TCC0_MC4 : constant := 90; TCC0_MC5 : constant := 91; TCC1_OTHER : constant := 92; TCC1_MC0 : constant := 93; TCC1_MC1 : constant := 94; TCC1_MC2 : constant := 95; TCC1_MC3 : constant := 96; TCC2_OTHER : constant := 97; TCC2_MC0 : constant := 98; TCC2_MC1 : constant := 99; TCC2_MC2 : constant := 100; TC0 : constant := 107; TC1 : constant := 108; TC2 : constant := 109; TC3 : constant := 110; PDEC_OTHER : constant := 115; PDEC_MC0 : constant := 116; PDEC_MC1 : constant := 117; ADC0_OTHER : constant := 118; ADC0_RESRDY : constant := 119; ADC1_OTHER : constant := 120; ADC1_RESRDY : constant := 121; AC : constant := 122; DAC_OTHER : constant := 123; DAC_EMPTY_0 : constant := 124; DAC_EMPTY_1 : constant := 125; DAC_RESRDY_0 : constant := 126; DAC_RESRDY_1 : constant := 127; PCC : constant := 129; AES : constant := 130; TRNG : constant := 131; ICM : constant := 132; QSPI : constant := 134; SDHC0 : constant := 135; end SAM_SVD.Interrupts;
43.467213
68
0.42278
c58ef652dab3f5b9baac65754c8e6e55c23c4c3f
5,413
ads
Ada
arch/ARM/cortex_m/src/cm4f/cortex_m_svd-systick.ads
WickedShell/Ada_Drivers_Library
391866ad37a599347df40a4dbb3bf0721bedabea
[ "BSD-3-Clause" ]
6
2017-05-28T04:37:11.000Z
2020-11-22T11:26:19.000Z
arch/ARM/cortex_m/src/cm4f/cortex_m_svd-systick.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
2
2019-08-30T10:57:40.000Z
2020-02-11T21:34:14.000Z
arch/ARM/cortex_m/src/cm4f/cortex_m_svd-systick.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
2
2017-02-07T19:42:02.000Z
2020-11-22T11:26:20.000Z
-- This spec has been automatically generated from cm4f.svd pragma Restrictions (No_Elaboration_Code); pragma Ada_2012; pragma Style_Checks (Off); with HAL; with System; -- 24Bit System Tick Timer for use in RTOS package Cortex_M_SVD.SysTick is pragma Preelaborate; --------------- -- Registers -- --------------- -- Enable SysTick Timer type CSR_ENABLE_Field is ( -- counter disabled Disable, -- counter enabled Enable) with Size => 1; for CSR_ENABLE_Field use (Disable => 0, Enable => 1); -- Generate Tick Interrupt type CSR_TICKINT_Field is ( -- Counting down to zero does not assert the SysTick exception request Enable, -- Counting down to zero asserts the SysTick exception request Disable) with Size => 1; for CSR_TICKINT_Field use (Enable => 0, Disable => 1); -- Source to count from type CSR_CLKSOURCE_Field is ( -- External Clock External_Clk, -- CPU Clock Cpu_Clk) with Size => 1; for CSR_CLKSOURCE_Field use (External_Clk => 0, Cpu_Clk => 1); -- SysTick Control and Status Register type SYST_CSR_Register is record -- Enable SysTick Timer ENABLE : CSR_ENABLE_Field := Cortex_M_SVD.SysTick.Disable; -- Generate Tick Interrupt TICKINT : CSR_TICKINT_Field := Cortex_M_SVD.SysTick.Enable; -- Source to count from CLKSOURCE : CSR_CLKSOURCE_Field := Cortex_M_SVD.SysTick.Cpu_Clk; -- unspecified Reserved_3_15 : HAL.UInt13 := 16#0#; -- SysTick counted to zero COUNTFLAG : Boolean := False; -- unspecified Reserved_17_31 : HAL.UInt15 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for SYST_CSR_Register use record ENABLE at 0 range 0 .. 0; TICKINT at 0 range 1 .. 1; CLKSOURCE at 0 range 2 .. 2; Reserved_3_15 at 0 range 3 .. 15; COUNTFLAG at 0 range 16 .. 16; Reserved_17_31 at 0 range 17 .. 31; end record; subtype SYST_RVR_RELOAD_Field is HAL.UInt24; -- SysTick Reload Value Register type SYST_RVR_Register is record -- Value to auto reload SysTick after reaching zero RELOAD : SYST_RVR_RELOAD_Field := 16#0#; -- unspecified Reserved_24_31 : HAL.UInt8 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for SYST_RVR_Register use record RELOAD at 0 range 0 .. 23; Reserved_24_31 at 0 range 24 .. 31; end record; subtype SYST_CVR_CURRENT_Field is HAL.UInt24; -- SysTick Current Value Register type SYST_CVR_Register is record -- Current value CURRENT : SYST_CVR_CURRENT_Field := 16#0#; -- unspecified Reserved_24_31 : HAL.UInt8 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for SYST_CVR_Register use record CURRENT at 0 range 0 .. 23; Reserved_24_31 at 0 range 24 .. 31; end record; subtype SYST_CALIB_TENMS_Field is HAL.UInt24; -- Clock Skew type CALIB_SKEW_Field is ( -- 10ms calibration value is exact Exact, -- 10ms calibration value is inexact, because of the clock frequency Inexact) with Size => 1; for CALIB_SKEW_Field use (Exact => 0, Inexact => 1); -- No Ref type CALIB_NOREF_Field is ( -- Ref Clk available Ref_Clk_Available, -- Ref Clk not available Ref_Clk_Unavailable) with Size => 1; for CALIB_NOREF_Field use (Ref_Clk_Available => 0, Ref_Clk_Unavailable => 1); -- SysTick Calibration Value Register type SYST_CALIB_Register is record -- Read-only. Reload value to use for 10ms timing TENMS : SYST_CALIB_TENMS_Field; -- unspecified Reserved_24_29 : HAL.UInt6; -- Read-only. Clock Skew SKEW : CALIB_SKEW_Field; -- Read-only. No Ref NOREF : CALIB_NOREF_Field; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for SYST_CALIB_Register use record TENMS at 0 range 0 .. 23; Reserved_24_29 at 0 range 24 .. 29; SKEW at 0 range 30 .. 30; NOREF at 0 range 31 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- 24Bit System Tick Timer for use in RTOS type SysTick_Peripheral is record -- SysTick Control and Status Register CSR : aliased SYST_CSR_Register; -- SysTick Reload Value Register RVR : aliased SYST_RVR_Register; -- SysTick Current Value Register CVR : aliased SYST_CVR_Register; -- SysTick Calibration Value Register CALIB : aliased SYST_CALIB_Register; end record with Volatile; for SysTick_Peripheral use record CSR at 16#0# range 0 .. 31; RVR at 16#4# range 0 .. 31; CVR at 16#8# range 0 .. 31; CALIB at 16#C# range 0 .. 31; end record; -- 24Bit System Tick Timer for use in RTOS SysTick_Periph : aliased SysTick_Peripheral with Import, Address => SysTick_Base; end Cortex_M_SVD.SysTick;
28.340314
77
0.615186
d0d2a1ffdf5e1fdbe577afba05be15f92230030e
10,380
ads
Ada
support/MinGW/lib/gcc/mingw32/9.2.0/adainclude/a-stzmap.ads
orb-zhuchen/Orb
6da2404b949ac28bde786e08bf4debe4a27cd3a0
[ "CNRI-Python-GPL-Compatible", "MIT" ]
null
null
null
support/MinGW/lib/gcc/mingw32/9.2.0/adainclude/a-stzmap.ads
orb-zhuchen/Orb
6da2404b949ac28bde786e08bf4debe4a27cd3a0
[ "CNRI-Python-GPL-Compatible", "MIT" ]
null
null
null
support/MinGW/lib/gcc/mingw32/9.2.0/adainclude/a-stzmap.ads
orb-zhuchen/Orb
6da2404b949ac28bde786e08bf4debe4a27cd3a0
[ "CNRI-Python-GPL-Compatible", "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . S T R I N G S . W I D E _ W I D E _ M A P S -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2019, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Finalization; package Ada.Strings.Wide_Wide_Maps is pragma Preelaborate; ------------------------------------------ -- Wide_Wide_Character Set Declarations -- ------------------------------------------ type Wide_Wide_Character_Set is private; pragma Preelaborable_Initialization (Wide_Wide_Character_Set); -- Representation for a set of Wide_Wide_Character values: Null_Set : constant Wide_Wide_Character_Set; ----------------------------------------------- -- Constructors for Wide_Wide_Character Sets -- ----------------------------------------------- type Wide_Wide_Character_Range is record Low : Wide_Wide_Character; High : Wide_Wide_Character; end record; -- Represents Wide_Wide_Character range Low .. High type Wide_Wide_Character_Ranges is array (Positive range <>) of Wide_Wide_Character_Range; function To_Set (Ranges : Wide_Wide_Character_Ranges) return Wide_Wide_Character_Set; function To_Set (Span : Wide_Wide_Character_Range) return Wide_Wide_Character_Set; function To_Ranges (Set : Wide_Wide_Character_Set) return Wide_Wide_Character_Ranges; --------------------------------------- -- Operations on Wide Character Sets -- --------------------------------------- function "=" (Left, Right : Wide_Wide_Character_Set) return Boolean; function "not" (Right : Wide_Wide_Character_Set) return Wide_Wide_Character_Set; function "and" (Left, Right : Wide_Wide_Character_Set) return Wide_Wide_Character_Set; function "or" (Left, Right : Wide_Wide_Character_Set) return Wide_Wide_Character_Set; function "xor" (Left, Right : Wide_Wide_Character_Set) return Wide_Wide_Character_Set; function "-" (Left, Right : Wide_Wide_Character_Set) return Wide_Wide_Character_Set; function Is_In (Element : Wide_Wide_Character; Set : Wide_Wide_Character_Set) return Boolean; function Is_Subset (Elements : Wide_Wide_Character_Set; Set : Wide_Wide_Character_Set) return Boolean; function "<=" (Left : Wide_Wide_Character_Set; Right : Wide_Wide_Character_Set) return Boolean renames Is_Subset; subtype Wide_Wide_Character_Sequence is Wide_Wide_String; -- Alternative representation for a set of character values function To_Set (Sequence : Wide_Wide_Character_Sequence) return Wide_Wide_Character_Set; function To_Set (Singleton : Wide_Wide_Character) return Wide_Wide_Character_Set; function To_Sequence (Set : Wide_Wide_Character_Set) return Wide_Wide_Character_Sequence; ---------------------------------------------- -- Wide_Wide_Character Mapping Declarations -- ---------------------------------------------- type Wide_Wide_Character_Mapping is private; pragma Preelaborable_Initialization (Wide_Wide_Character_Mapping); -- Representation for a wide character to wide character mapping: function Value (Map : Wide_Wide_Character_Mapping; Element : Wide_Wide_Character) return Wide_Wide_Character; Identity : constant Wide_Wide_Character_Mapping; -------------------------------------- -- Operations on Wide Wide Mappings -- --------------------------------------- function To_Mapping (From, To : Wide_Wide_Character_Sequence) return Wide_Wide_Character_Mapping; function To_Domain (Map : Wide_Wide_Character_Mapping) return Wide_Wide_Character_Sequence; function To_Range (Map : Wide_Wide_Character_Mapping) return Wide_Wide_Character_Sequence; type Wide_Wide_Character_Mapping_Function is access function (From : Wide_Wide_Character) return Wide_Wide_Character; private package AF renames Ada.Finalization; ----------------------------------------------- -- Representation of Wide_Wide_Character_Set -- ----------------------------------------------- -- A wide character set is represented as a sequence of wide character -- ranges (i.e. an object of type Wide_Wide_Character_Ranges) in which the -- following hold: -- The lower bound is 1 -- The ranges are in order by increasing Low values -- The ranges are non-overlapping and discontigous -- A character value is in the set if it is contained in one of the -- ranges. The actual Wide_Wide_Character_Set value is a controlled pointer -- to this Wide_Wide_Character_Ranges value. The use of a controlled type -- is necessary to prevent storage leaks. type Wide_Wide_Character_Ranges_Access is access all Wide_Wide_Character_Ranges; type Wide_Wide_Character_Set is new AF.Controlled with record Set : Wide_Wide_Character_Ranges_Access; end record; pragma Finalize_Storage_Only (Wide_Wide_Character_Set); -- This avoids useless finalizations, and, more importantly avoids -- incorrect attempts to finalize constants that are statically -- declared here and in Ada.Strings.Wide_Wide_Maps, which is incorrect. procedure Initialize (Object : in out Wide_Wide_Character_Set); procedure Adjust (Object : in out Wide_Wide_Character_Set); procedure Finalize (Object : in out Wide_Wide_Character_Set); Null_Range : aliased constant Wide_Wide_Character_Ranges := (1 .. 0 => (Low => ' ', High => ' ')); Null_Set : constant Wide_Wide_Character_Set := (AF.Controlled with Set => Null_Range'Unrestricted_Access); --------------------------------------------------- -- Representation of Wide_Wide_Character_Mapping -- --------------------------------------------------- -- A wide character mapping is represented as two strings of equal -- length, where any character appearing in Domain is mapped to the -- corresponding character in Rangev. A character not appearing in -- Domain is mapped to itself. The characters in Domain are sorted -- in ascending order. -- The actual Wide_Wide_Character_Mapping value is a controlled record -- that contains a pointer to a discriminated record containing the -- range and domain values. -- Note: this representation is canonical, and the values stored in -- Domain and Rangev are exactly the values that are returned by the -- functions To_Domain and To_Range. The use of a controlled type is -- necessary to prevent storage leaks. type Wide_Wide_Character_Mapping_Values (Length : Natural) is record Domain : Wide_Wide_Character_Sequence (1 .. Length); Rangev : Wide_Wide_Character_Sequence (1 .. Length); end record; type Wide_Wide_Character_Mapping_Values_Access is access all Wide_Wide_Character_Mapping_Values; type Wide_Wide_Character_Mapping is new AF.Controlled with record Map : Wide_Wide_Character_Mapping_Values_Access; end record; pragma Finalize_Storage_Only (Wide_Wide_Character_Mapping); -- This avoids useless finalizations, and, more importantly avoids -- incorrect attempts to finalize constants that are statically -- declared here and in Ada.Strings.Wide_Wide_Maps, which is incorrect. procedure Initialize (Object : in out Wide_Wide_Character_Mapping); procedure Adjust (Object : in out Wide_Wide_Character_Mapping); procedure Finalize (Object : in out Wide_Wide_Character_Mapping); Null_Map : aliased constant Wide_Wide_Character_Mapping_Values := (Length => 0, Domain => "", Rangev => ""); Identity : constant Wide_Wide_Character_Mapping := (AF.Controlled with Map => Null_Map'Unrestricted_Access); end Ada.Strings.Wide_Wide_Maps;
42.716049
79
0.602408
cb902583df9e85594699736083fec26afc5f87ba
2,000
ads
Ada
tools/ada-larl/writers.ads
optikos/oasis
9f64d46d26d964790d69f9db681c874cfb3bf96d
[ "MIT" ]
null
null
null
tools/ada-larl/writers.ads
optikos/oasis
9f64d46d26d964790d69f9db681c874cfb3bf96d
[ "MIT" ]
null
null
null
tools/ada-larl/writers.ads
optikos/oasis
9f64d46d26d964790d69f9db681c874cfb3bf96d
[ "MIT" ]
2
2019-09-14T23:18:50.000Z
2019-10-02T10:11:40.000Z
------------------------------------------------------------------------------ -- G E L A G R A M M A R S -- -- Library for dealing with grammars for Gela project, -- -- a portable Ada compiler -- -- http://gela.ada-ru.org/ -- -- - - - - - - - - - - - - - - - -- -- Read copyright and license in gela.ads file -- ------------------------------------------------------------------------------ with League.Strings; package Writers is pragma Preelaborate; type Writer is tagged private; function Text (Self : Writer) return League.Strings.Universal_String; procedure Clear (Self : in out Writer); procedure P (Self : in out Writer; Text : Wide_Wide_String := ""); procedure N (Self : in out Writer; Text : Wide_Wide_String); procedure P (Self : in out Writer; Text : League.Strings.Universal_String); procedure N (Self : in out Writer; Text : League.Strings.Universal_String); procedure P (Self : in out Writer; Text : Wide_Wide_String := ""; Copy : in out Writer'Class); procedure N (Self : in out Writer; Text : Wide_Wide_String; Copy : in out Writer'Class); procedure P (Self : in out Writer; Text : League.Strings.Universal_String; Copy : in out Writer'Class); procedure N (Self : in out Writer; Text : League.Strings.Universal_String; Copy : in out Writer'Class); procedure N (Self : in out Writer; Value : Natural); procedure N (Self : in out Writer; Value : Writer'Class); private type Writer is tagged record Text : League.Strings.Universal_String; Last_Line : League.Strings.Universal_String; end record; end Writers;
27.027027
78
0.49
dc9517614670b3e3117baf6897ec07d5aa49b5b2
255,725
adb
Ada
honeybee_proj/HBG/.autopilot/db/checkAxis_0.sched.adb
AnthonyKenny98/HoneyBee
5b1859fe8c50cb5bd709f53780c4e5ce7160b987
[ "MIT" ]
null
null
null
honeybee_proj/HBG/.autopilot/db/checkAxis_0.sched.adb
AnthonyKenny98/HoneyBee
5b1859fe8c50cb5bd709f53780c4e5ce7160b987
[ "MIT" ]
null
null
null
honeybee_proj/HBG/.autopilot/db/checkAxis_0.sched.adb
AnthonyKenny98/HoneyBee
5b1859fe8c50cb5bd709f53780c4e5ce7160b987
[ "MIT" ]
null
null
null
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>checkAxis_0</name> <ret_bitwidth>64</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>edge_p1_x</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>edge_p1_y</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>edge_p1_z</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>edge_p2_x</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>edge_p2_y</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>6</id> <name>edge_p2_z</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>109</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_7"> <Value> <Obj> <type>0</type> <id>7</id> <name>edge_p2_z_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>134</item> <item>135</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>8</id> <name>edge_p2_y_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>136</item> <item>137</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>9</id> <name>edge_p2_x_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>138</item> <item>139</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>10</id> <name>edge_p1_z_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>140</item> <item>141</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>11</id> <name>edge_p1_y_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>142</item> <item>143</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>5</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>12</id> <name>edge_p1_x_read</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>144</item> <item>145</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>6</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>13</id> <name>collisions</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="11" tracking_level="0" version="0"> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second class_id="12" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="13" tracking_level="0" version="0"> <first class_id="14" tracking_level="0" version="0"> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName>collisions</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>147</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>7</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>14</id> <name>_ln0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>148</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.46</m_delay> <m_topoIndex>8</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>16</id> <name>phi_ln84</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>150</item> <item>151</item> <item>152</item> <item>153</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>9</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>17</id> <name>add_ln84</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>154</item> <item>156</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.63</m_delay> <m_topoIndex>10</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>18</id> <name>zext_ln84</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>157</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>11</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>19</id> <name>collisions_addr</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>158</item> <item>160</item> <item>161</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>12</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>20</id> <name>collisions_addr_write_ln84</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>162</item> <item>163</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.75</m_delay> <m_topoIndex>13</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>21</id> <name>icmp_ln84</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>164</item> <item>166</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.50</m_delay> <m_topoIndex>14</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>24</id> <name>_ln84</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>84</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>84</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>167</item> <item>168</item> <item>169</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>15</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>26</id> <name>call_ret9</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>96</bitwidth> </Value> <oprand_edges> <count>8</count> <item_version>0</item_version> <item>171</item> <item>172</item> <item>173</item> <item>174</item> <item>175</item> <item>176</item> <item>177</item> <item>179</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.75</m_delay> <m_topoIndex>16</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>27</id> <name>p_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>180</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>17</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>28</id> <name>p_s</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>181</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>18</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>29</id> <name>p_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>182</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>19</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>30</id> <name>tmp_s</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>119</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>119</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>10</count> <item_version>0</item_version> <item>184</item> <item>185</item> <item>186</item> <item>187</item> <item>188</item> <item>189</item> <item>190</item> <item>191</item> <item>192</item> <item>193</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>5.28</m_delay> <m_topoIndex>20</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>31</id> <name>_ln119</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>119</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>119</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>194</item> <item>195</item> <item>196</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>21</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>33</id> <name>i_assign</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>198</item> <item>199</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.58</m_delay> <m_topoIndex>22</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>34</id> <name>k_assign</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName>k</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>200</item> <item>201</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.58</m_delay> <m_topoIndex>23</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>35</id> <name>shl_ln80</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>202</item> <item>204</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>24</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>36</id> <name>add_ln80</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>205</item> <item>206</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.89</m_delay> <m_topoIndex>25</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>37</id> <name>zext_ln121</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>207</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>26</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>38</id> <name>shl_ln121</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>208</item> <item>209</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>27</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>39</id> <name>add_ln80_18</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>211</item> <item>212</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>28</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>40</id> <name>add_ln80_19</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>213</item> <item>214</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.58</m_delay> <m_topoIndex>29</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>41</id> <name>zext_ln122</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>215</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>30</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>42</id> <name>shl_ln122</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>216</item> <item>217</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>31</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>43</id> <name>or_ln122</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>218</item> <item>219</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.93</m_delay> <m_topoIndex>32</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>44</id> <name>collisions_addr_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>220</item> <item>221</item> <item>222</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>33</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>45</id> <name>collisions_addr_3_write_ln122</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>223</item> <item>224</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.75</m_delay> <m_topoIndex>34</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>46</id> <name>_ln123</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>123</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>123</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>225</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>35</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>48</id> <name>call_ret9_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>96</bitwidth> </Value> <oprand_edges> <count>8</count> <item_version>0</item_version> <item>226</item> <item>227</item> <item>228</item> <item>229</item> <item>230</item> <item>231</item> <item>232</item> <item>234</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.75</m_delay> <m_topoIndex>36</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>49</id> <name>p_01_0_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>235</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>37</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>50</id> <name>p_12_0_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>236</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>38</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>51</id> <name>p_23_0_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>237</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>39</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>52</id> <name>tmp_43_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>119</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>119</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>10</count> <item_version>0</item_version> <item>238</item> <item>239</item> <item>240</item> <item>241</item> <item>242</item> <item>243</item> <item>244</item> <item>245</item> <item>246</item> <item>247</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>5.28</m_delay> <m_topoIndex>40</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>53</id> <name>_ln119</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>119</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>119</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>248</item> <item>249</item> <item>250</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>41</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>55</id> <name>i_assign_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>251</item> <item>252</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.58</m_delay> <m_topoIndex>42</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>56</id> <name>k_assign_4</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName>k</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>253</item> <item>254</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.58</m_delay> <m_topoIndex>43</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>57</id> <name>shl_ln80_11</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>255</item> <item>256</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>44</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>58</id> <name>or_ln80</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>257</item> <item>258</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>45</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>59</id> <name>add_ln80_20</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>259</item> <item>260</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.89</m_delay> <m_topoIndex>46</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>60</id> <name>zext_ln121_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>261</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>47</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>61</id> <name>shl_ln121_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>262</item> <item>263</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>48</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>62</id> <name>add_ln80_21</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>264</item> <item>265</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.89</m_delay> <m_topoIndex>49</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>63</id> <name>zext_ln122_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>266</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>50</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>64</id> <name>shl_ln122_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>267</item> <item>268</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>51</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>65</id> <name>or_ln122_1</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>269</item> <item>270</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.93</m_delay> <m_topoIndex>52</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>66</id> <name>collisions_addr_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>271</item> <item>272</item> <item>273</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>53</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>67</id> <name>collisions_addr_6_write_ln122</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>274</item> <item>275</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.75</m_delay> <m_topoIndex>54</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>68</id> <name>_ln123</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>123</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>123</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>276</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>55</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_62"> <Value> <Obj> <type>0</type> <id>70</id> <name>call_ret9_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>96</bitwidth> </Value> <oprand_edges> <count>8</count> <item_version>0</item_version> <item>277</item> <item>278</item> <item>279</item> <item>280</item> <item>281</item> <item>282</item> <item>283</item> <item>285</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.75</m_delay> <m_topoIndex>56</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_63"> <Value> <Obj> <type>0</type> <id>71</id> <name>p_01_0_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>286</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>57</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_64"> <Value> <Obj> <type>0</type> <id>72</id> <name>p_12_0_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>287</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>58</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_65"> <Value> <Obj> <type>0</type> <id>73</id> <name>p_23_0_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>288</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>59</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_66"> <Value> <Obj> <type>0</type> <id>74</id> <name>tmp_43_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>119</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>119</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>10</count> <item_version>0</item_version> <item>289</item> <item>290</item> <item>291</item> <item>292</item> <item>293</item> <item>294</item> <item>295</item> <item>296</item> <item>297</item> <item>298</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>5.28</m_delay> <m_topoIndex>60</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_67"> <Value> <Obj> <type>0</type> <id>75</id> <name>_ln119</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>119</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>119</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>299</item> <item>300</item> <item>301</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>61</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_68"> <Value> <Obj> <type>0</type> <id>77</id> <name>i_assign_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>302</item> <item>303</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.58</m_delay> <m_topoIndex>62</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_69"> <Value> <Obj> <type>0</type> <id>78</id> <name>k_assign_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName>k</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>304</item> <item>305</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.58</m_delay> <m_topoIndex>63</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_70"> <Value> <Obj> <type>0</type> <id>79</id> <name>shl_ln80_12</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>306</item> <item>307</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>64</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_71"> <Value> <Obj> <type>0</type> <id>80</id> <name>or_ln80_5</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>308</item> <item>310</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>65</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_72"> <Value> <Obj> <type>0</type> <id>81</id> <name>add_ln80_22</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>311</item> <item>312</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.89</m_delay> <m_topoIndex>66</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_73"> <Value> <Obj> <type>0</type> <id>82</id> <name>zext_ln121_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>313</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>67</m_topoIndex> <m_clusterGroupNumber>5</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_74"> <Value> <Obj> <type>0</type> <id>83</id> <name>shl_ln121_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>314</item> <item>315</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>68</m_topoIndex> <m_clusterGroupNumber>5</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_75"> <Value> <Obj> <type>0</type> <id>84</id> <name>or_ln80_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>316</item> <item>317</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>69</m_topoIndex> <m_clusterGroupNumber>6</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_76"> <Value> <Obj> <type>0</type> <id>85</id> <name>add_ln80_23</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>318</item> <item>319</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.89</m_delay> <m_topoIndex>70</m_topoIndex> <m_clusterGroupNumber>6</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_77"> <Value> <Obj> <type>0</type> <id>86</id> <name>zext_ln122_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>320</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>71</m_topoIndex> <m_clusterGroupNumber>5</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_78"> <Value> <Obj> <type>0</type> <id>87</id> <name>shl_ln122_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>321</item> <item>322</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>72</m_topoIndex> <m_clusterGroupNumber>5</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_79"> <Value> <Obj> <type>0</type> <id>88</id> <name>or_ln122_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>323</item> <item>324</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.93</m_delay> <m_topoIndex>73</m_topoIndex> <m_clusterGroupNumber>5</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_80"> <Value> <Obj> <type>0</type> <id>89</id> <name>collisions_addr_9</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>325</item> <item>326</item> <item>328</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>74</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_81"> <Value> <Obj> <type>0</type> <id>90</id> <name>collisions_addr_9_write_ln122</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>329</item> <item>330</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.75</m_delay> <m_topoIndex>75</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_82"> <Value> <Obj> <type>0</type> <id>91</id> <name>_ln123</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>123</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>123</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>331</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>76</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_83"> <Value> <Obj> <type>0</type> <id>93</id> <name>call_ret9_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>96</bitwidth> </Value> <oprand_edges> <count>8</count> <item_version>0</item_version> <item>333</item> <item>334</item> <item>335</item> <item>336</item> <item>337</item> <item>338</item> <item>339</item> <item>341</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.75</m_delay> <m_topoIndex>77</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_84"> <Value> <Obj> <type>0</type> <id>94</id> <name>p_01_0_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>342</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>78</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_85"> <Value> <Obj> <type>0</type> <id>95</id> <name>p_12_0_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>343</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>79</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_86"> <Value> <Obj> <type>0</type> <id>96</id> <name>p_23_0_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>117</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>117</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>344</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>80</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_87"> <Value> <Obj> <type>0</type> <id>97</id> <name>tmp_43_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>119</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>119</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>10</count> <item_version>0</item_version> <item>345</item> <item>346</item> <item>347</item> <item>348</item> <item>349</item> <item>350</item> <item>351</item> <item>352</item> <item>353</item> <item>354</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>5.28</m_delay> <m_topoIndex>81</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_88"> <Value> <Obj> <type>0</type> <id>98</id> <name>_ln119</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>119</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>119</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>355</item> <item>356</item> <item>357</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>82</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_89"> <Value> <Obj> <type>0</type> <id>100</id> <name>i_assign_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>358</item> <item>359</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.58</m_delay> <m_topoIndex>83</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_90"> <Value> <Obj> <type>0</type> <id>101</id> <name>k_assign_6</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName>k</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>360</item> <item>361</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>8.58</m_delay> <m_topoIndex>84</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_91"> <Value> <Obj> <type>0</type> <id>102</id> <name>shl_ln80_13</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>362</item> <item>363</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>85</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_92"> <Value> <Obj> <type>0</type> <id>103</id> <name>or_ln80_7</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>364</item> <item>366</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>86</m_topoIndex> <m_clusterGroupNumber>7</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_93"> <Value> <Obj> <type>0</type> <id>104</id> <name>add_ln80_24</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>367</item> <item>368</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.89</m_delay> <m_topoIndex>87</m_topoIndex> <m_clusterGroupNumber>7</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_94"> <Value> <Obj> <type>0</type> <id>105</id> <name>zext_ln121_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>369</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>88</m_topoIndex> <m_clusterGroupNumber>8</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_95"> <Value> <Obj> <type>0</type> <id>106</id> <name>shl_ln121_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>121</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>121</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>370</item> <item>371</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>89</m_topoIndex> <m_clusterGroupNumber>8</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_96"> <Value> <Obj> <type>0</type> <id>107</id> <name>or_ln80_8</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>372</item> <item>373</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>90</m_topoIndex> <m_clusterGroupNumber>9</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_97"> <Value> <Obj> <type>0</type> <id>108</id> <name>add_ln80_25</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>80</lineNumber> <contextFuncName>shiftAmount</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> <item> <first> <first>src/honeybee.c</first> <second>shiftAmount</second> </first> <second>80</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>374</item> <item>375</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.89</m_delay> <m_topoIndex>91</m_topoIndex> <m_clusterGroupNumber>9</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_98"> <Value> <Obj> <type>0</type> <id>109</id> <name>zext_ln122_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>376</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>92</m_topoIndex> <m_clusterGroupNumber>8</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_99"> <Value> <Obj> <type>0</type> <id>110</id> <name>shl_ln122_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>377</item> <item>378</item> </oprand_edges> <opcode>shl</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>93</m_topoIndex> <m_clusterGroupNumber>8</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_100"> <Value> <Obj> <type>0</type> <id>111</id> <name>or_ln122_3</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>379</item> <item>380</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.93</m_delay> <m_topoIndex>94</m_topoIndex> <m_clusterGroupNumber>8</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_101"> <Value> <Obj> <type>0</type> <id>112</id> <name>collisions_addr_10</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>381</item> <item>382</item> <item>384</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>95</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_102"> <Value> <Obj> <type>0</type> <id>113</id> <name>collisions_addr_10_write_ln122</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>122</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>122</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>385</item> <item>386</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.75</m_delay> <m_topoIndex>96</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_103"> <Value> <Obj> <type>0</type> <id>114</id> <name>_ln123</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>123</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>123</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>387</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>97</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_104"> <Value> <Obj> <type>0</type> <id>116</id> <name>_ln138</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>332</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.46</m_delay> <m_topoIndex>98</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_105"> <Value> <Obj> <type>0</type> <id>118</id> <name>returnCollisions_0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>returnCollisions</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>388</item> <item>389</item> <item>390</item> <item>391</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>99</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_106"> <Value> <Obj> <type>0</type> <id>119</id> <name>i_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>392</item> <item>393</item> <item>395</item> <item>396</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>100</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_107"> <Value> <Obj> <type>0</type> <id>120</id> <name>icmp_ln138</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>397</item> <item>399</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.98</m_delay> <m_topoIndex>101</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_108"> <Value> <Obj> <type>0</type> <id>122</id> <name>i</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>400</item> <item>402</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.76</m_delay> <m_topoIndex>102</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_109"> <Value> <Obj> <type>0</type> <id>123</id> <name>_ln138</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>403</item> <item>404</item> <item>405</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>103</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_110"> <Value> <Obj> <type>0</type> <id>125</id> <name>zext_ln139</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>139</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>139</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>406</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>104</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_111"> <Value> <Obj> <type>0</type> <id>126</id> <name>collisions_addr_2</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>139</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>139</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>407</item> <item>408</item> <item>409</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>105</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_112"> <Value> <Obj> <type>0</type> <id>127</id> <name>collisions_load</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>139</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>139</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>410</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.75</m_delay> <m_topoIndex>106</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_113"> <Value> <Obj> <type>0</type> <id>128</id> <name>returnCollisions</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>139</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>139</second> </item> </second> </item> </inlineStackInfo> <originalName>returnCollisions</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>411</item> <item>412</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>108</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_114"> <Value> <Obj> <type>0</type> <id>129</id> <name>_ln138</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>413</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>109</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_115"> <Value> <Obj> <type>0</type> <id>131</id> <name>_ln141</name> <fileName>src/honeybee.c</fileName> <fileDirectory>/mnt/hgfs/Thesis/HoneyBee</fileDirectory> <lineNumber>141</lineNumber> <contextFuncName>checkAxis</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/mnt/hgfs/Thesis/HoneyBee</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>src/honeybee.c</first> <second>checkAxis</second> </first> <second>141</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>414</item> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>107</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>21</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_116"> <Value> <Obj> <type>2</type> <id>146</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_117"> <Value> <Obj> <type>2</type> <id>149</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_118"> <Value> <Obj> <type>2</type> <id>155</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_119"> <Value> <Obj> <type>2</type> <id>159</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_120"> <Value> <Obj> <type>2</type> <id>165</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_121"> <Value> <Obj> <type>2</type> <id>170</id> <name>lineIntersectsPlane</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>96</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:lineIntersectsPlane&gt;</content> </item> <item class_id_reference="16" object_id="_122"> <Value> <Obj> <type>2</type> <id>178</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>1</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_123"> <Value> <Obj> <type>2</type> <id>183</id> <name>pointOnSegment</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:pointOnSegment&gt;</content> </item> <item class_id_reference="16" object_id="_124"> <Value> <Obj> <type>2</type> <id>197</id> <name>p_hls_fptosi_float_i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:__hls_fptosi_float_i&gt;</content> </item> <item class_id_reference="16" object_id="_125"> <Value> <Obj> <type>2</type> <id>203</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_126"> <Value> <Obj> <type>2</type> <id>210</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>4294967292</content> </item> <item class_id_reference="16" object_id="_127"> <Value> <Obj> <type>2</type> <id>233</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>1</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_128"> <Value> <Obj> <type>2</type> <id>284</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>1</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_129"> <Value> <Obj> <type>2</type> <id>309</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>8</content> </item> <item class_id_reference="16" object_id="_130"> <Value> <Obj> <type>2</type> <id>327</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_131"> <Value> <Obj> <type>2</type> <id>340</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>1</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_132"> <Value> <Obj> <type>2</type> <id>365</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>12</content> </item> <item class_id_reference="16" object_id="_133"> <Value> <Obj> <type>2</type> <id>383</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_134"> <Value> <Obj> <type>2</type> <id>394</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_135"> <Value> <Obj> <type>2</type> <id>398</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_136"> <Value> <Obj> <type>2</type> <id>401</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_137"> <Obj> <type>3</type> <id>15</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>8</count> <item_version>0</item_version> <item>7</item> <item>8</item> <item>9</item> <item>10</item> <item>11</item> <item>12</item> <item>13</item> <item>14</item> </node_objs> </item> <item class_id_reference="18" object_id="_138"> <Obj> <type>3</type> <id>25</id> <name>meminst</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>7</count> <item_version>0</item_version> <item>16</item> <item>17</item> <item>18</item> <item>19</item> <item>20</item> <item>21</item> <item>24</item> </node_objs> </item> <item class_id_reference="18" object_id="_139"> <Obj> <type>3</type> <id>32</id> <name>._crit_edge</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>26</item> <item>27</item> <item>28</item> <item>29</item> <item>30</item> <item>31</item> </node_objs> </item> <item class_id_reference="18" object_id="_140"> <Obj> <type>3</type> <id>47</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>14</count> <item_version>0</item_version> <item>33</item> <item>34</item> <item>35</item> <item>36</item> <item>37</item> <item>38</item> <item>39</item> <item>40</item> <item>41</item> <item>42</item> <item>43</item> <item>44</item> <item>45</item> <item>46</item> </node_objs> </item> <item class_id_reference="18" object_id="_141"> <Obj> <type>3</type> <id>54</id> <name>._crit_edge21.0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>48</item> <item>49</item> <item>50</item> <item>51</item> <item>52</item> <item>53</item> </node_objs> </item> <item class_id_reference="18" object_id="_142"> <Obj> <type>3</type> <id>69</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>14</count> <item_version>0</item_version> <item>55</item> <item>56</item> <item>57</item> <item>58</item> <item>59</item> <item>60</item> <item>61</item> <item>62</item> <item>63</item> <item>64</item> <item>65</item> <item>66</item> <item>67</item> <item>68</item> </node_objs> </item> <item class_id_reference="18" object_id="_143"> <Obj> <type>3</type> <id>76</id> <name>._crit_edge21.1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>70</item> <item>71</item> <item>72</item> <item>73</item> <item>74</item> <item>75</item> </node_objs> </item> <item class_id_reference="18" object_id="_144"> <Obj> <type>3</type> <id>92</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>15</count> <item_version>0</item_version> <item>77</item> <item>78</item> <item>79</item> <item>80</item> <item>81</item> <item>82</item> <item>83</item> <item>84</item> <item>85</item> <item>86</item> <item>87</item> <item>88</item> <item>89</item> <item>90</item> <item>91</item> </node_objs> </item> <item class_id_reference="18" object_id="_145"> <Obj> <type>3</type> <id>99</id> <name>._crit_edge21.2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>93</item> <item>94</item> <item>95</item> <item>96</item> <item>97</item> <item>98</item> </node_objs> </item> <item class_id_reference="18" object_id="_146"> <Obj> <type>3</type> <id>115</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>15</count> <item_version>0</item_version> <item>100</item> <item>101</item> <item>102</item> <item>103</item> <item>104</item> <item>105</item> <item>106</item> <item>107</item> <item>108</item> <item>109</item> <item>110</item> <item>111</item> <item>112</item> <item>113</item> <item>114</item> </node_objs> </item> <item class_id_reference="18" object_id="_147"> <Obj> <type>3</type> <id>117</id> <name>._crit_edge21.3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>116</item> </node_objs> </item> <item class_id_reference="18" object_id="_148"> <Obj> <type>3</type> <id>124</id> <name>.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>118</item> <item>119</item> <item>120</item> <item>122</item> <item>123</item> </node_objs> </item> <item class_id_reference="18" object_id="_149"> <Obj> <type>3</type> <id>130</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>125</item> <item>126</item> <item>127</item> <item>128</item> <item>129</item> </node_objs> </item> <item class_id_reference="18" object_id="_150"> <Obj> <type>3</type> <id>132</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>131</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>273</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_151"> <id>135</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>7</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_152"> <id>137</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>8</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_153"> <id>139</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>9</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_154"> <id>141</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>10</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_155"> <id>143</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>11</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_156"> <id>145</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>12</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_157"> <id>147</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>13</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_158"> <id>148</id> <edge_type>2</edge_type> <source_obj>25</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_159"> <id>150</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_160"> <id>151</id> <edge_type>2</edge_type> <source_obj>15</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_161"> <id>152</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>16</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_162"> <id>153</id> <edge_type>2</edge_type> <source_obj>25</source_obj> <sink_obj>16</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_163"> <id>154</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_164"> <id>156</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_165"> <id>157</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_166"> <id>158</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_167"> <id>160</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_168"> <id>161</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_169"> <id>162</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_170"> <id>163</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_171"> <id>164</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_172"> <id>166</id> <edge_type>1</edge_type> <source_obj>165</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_173"> <id>167</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_174"> <id>168</id> <edge_type>2</edge_type> <source_obj>25</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_175"> <id>169</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_176"> <id>171</id> <edge_type>1</edge_type> <source_obj>170</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_177"> <id>172</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_178"> <id>173</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_179"> <id>174</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_180"> <id>175</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_181"> <id>176</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_182"> <id>177</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_183"> <id>179</id> <edge_type>1</edge_type> <source_obj>178</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_184"> <id>180</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_185"> <id>181</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_186"> <id>182</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_187"> <id>184</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_188"> <id>185</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_189"> <id>186</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_190"> <id>187</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_191"> <id>188</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_192"> <id>189</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_193"> <id>190</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_194"> <id>191</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_195"> <id>192</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_196"> <id>193</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_197"> <id>194</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_198"> <id>195</id> <edge_type>2</edge_type> <source_obj>54</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_199"> <id>196</id> <edge_type>2</edge_type> <source_obj>47</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_200"> <id>198</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_201"> <id>199</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_202"> <id>200</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_203"> <id>201</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_204"> <id>202</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_205"> <id>204</id> <edge_type>1</edge_type> <source_obj>203</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_206"> <id>205</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_207"> <id>206</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_208"> <id>207</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_209"> <id>208</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_210"> <id>209</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_211"> <id>211</id> <edge_type>1</edge_type> <source_obj>210</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_212"> <id>212</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_213"> <id>213</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_214"> <id>214</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_215"> <id>215</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_216"> <id>216</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_217"> <id>217</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_218"> <id>218</id> <edge_type>1</edge_type> <source_obj>42</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_219"> <id>219</id> <edge_type>1</edge_type> <source_obj>38</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_220"> <id>220</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_221"> <id>221</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_222"> <id>222</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_223"> <id>223</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_224"> <id>224</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_225"> <id>225</id> <edge_type>2</edge_type> <source_obj>54</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_226"> <id>226</id> <edge_type>1</edge_type> <source_obj>170</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_227"> <id>227</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_228"> <id>228</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_229"> <id>229</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_230"> <id>230</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_231"> <id>231</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_232"> <id>232</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_233"> <id>234</id> <edge_type>1</edge_type> <source_obj>233</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_234"> <id>235</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_235"> <id>236</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_236"> <id>237</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_237"> <id>238</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_238"> <id>239</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_239"> <id>240</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_240"> <id>241</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_241"> <id>242</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_242"> <id>243</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_243"> <id>244</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_244"> <id>245</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_245"> <id>246</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_246"> <id>247</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_247"> <id>248</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_248"> <id>249</id> <edge_type>2</edge_type> <source_obj>76</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_249"> <id>250</id> <edge_type>2</edge_type> <source_obj>69</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_250"> <id>251</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_251"> <id>252</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_252"> <id>253</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_253"> <id>254</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_254"> <id>255</id> <edge_type>1</edge_type> <source_obj>56</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_255"> <id>256</id> <edge_type>1</edge_type> <source_obj>203</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_256"> <id>257</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_257"> <id>258</id> <edge_type>1</edge_type> <source_obj>203</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_258"> <id>259</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_259"> <id>260</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_260"> <id>261</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_261"> <id>262</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_262"> <id>263</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_263"> <id>264</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_264"> <id>265</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_265"> <id>266</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_266"> <id>267</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_267"> <id>268</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_268"> <id>269</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_269"> <id>270</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_270"> <id>271</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_271"> <id>272</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_272"> <id>273</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_273"> <id>274</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_274"> <id>275</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_275"> <id>276</id> <edge_type>2</edge_type> <source_obj>76</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_276"> <id>277</id> <edge_type>1</edge_type> <source_obj>170</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_277"> <id>278</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_278"> <id>279</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_279"> <id>280</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_280"> <id>281</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_281"> <id>282</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_282"> <id>283</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_283"> <id>285</id> <edge_type>1</edge_type> <source_obj>284</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_284"> <id>286</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_285"> <id>287</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_286"> <id>288</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_287"> <id>289</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_288"> <id>290</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_289"> <id>291</id> <edge_type>1</edge_type> <source_obj>73</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_290"> <id>292</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_291"> <id>293</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_292"> <id>294</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_293"> <id>295</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_294"> <id>296</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_295"> <id>297</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_296"> <id>298</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_297"> <id>299</id> <edge_type>1</edge_type> <source_obj>74</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_298"> <id>300</id> <edge_type>2</edge_type> <source_obj>99</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_299"> <id>301</id> <edge_type>2</edge_type> <source_obj>92</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_300"> <id>302</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_301"> <id>303</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_302"> <id>304</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_303"> <id>305</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_304"> <id>306</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_305"> <id>307</id> <edge_type>1</edge_type> <source_obj>203</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_306"> <id>308</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_307"> <id>310</id> <edge_type>1</edge_type> <source_obj>309</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_308"> <id>311</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_309"> <id>312</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_310"> <id>313</id> <edge_type>1</edge_type> <source_obj>81</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_311"> <id>314</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_312"> <id>315</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_313"> <id>316</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_314"> <id>317</id> <edge_type>1</edge_type> <source_obj>203</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_315"> <id>318</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_316"> <id>319</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_317"> <id>320</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_318"> <id>321</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_319"> <id>322</id> <edge_type>1</edge_type> <source_obj>86</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_320"> <id>323</id> <edge_type>1</edge_type> <source_obj>87</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_321"> <id>324</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_322"> <id>325</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_323"> <id>326</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_324"> <id>328</id> <edge_type>1</edge_type> <source_obj>327</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_325"> <id>329</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_326"> <id>330</id> <edge_type>1</edge_type> <source_obj>89</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_327"> <id>331</id> <edge_type>2</edge_type> <source_obj>99</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_328"> <id>332</id> <edge_type>2</edge_type> <source_obj>124</source_obj> <sink_obj>116</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_329"> <id>333</id> <edge_type>1</edge_type> <source_obj>170</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_330"> <id>334</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_331"> <id>335</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_332"> <id>336</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_333"> <id>337</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_334"> <id>338</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_335"> <id>339</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_336"> <id>341</id> <edge_type>1</edge_type> <source_obj>340</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_337"> <id>342</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_338"> <id>343</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_339"> <id>344</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_340"> <id>345</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_341"> <id>346</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_342"> <id>347</id> <edge_type>1</edge_type> <source_obj>96</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_343"> <id>348</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_344"> <id>349</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_345"> <id>350</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_346"> <id>351</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_347"> <id>352</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_348"> <id>353</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_349"> <id>354</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_350"> <id>355</id> <edge_type>1</edge_type> <source_obj>97</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_351"> <id>356</id> <edge_type>2</edge_type> <source_obj>117</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_352"> <id>357</id> <edge_type>2</edge_type> <source_obj>115</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_353"> <id>358</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>100</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_354"> <id>359</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>100</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_355"> <id>360</id> <edge_type>1</edge_type> <source_obj>197</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_356"> <id>361</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_357"> <id>362</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>102</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_358"> <id>363</id> <edge_type>1</edge_type> <source_obj>203</source_obj> <sink_obj>102</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_359"> <id>364</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_360"> <id>366</id> <edge_type>1</edge_type> <source_obj>365</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_361"> <id>367</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_362"> <id>368</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_363"> <id>369</id> <edge_type>1</edge_type> <source_obj>104</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_364"> <id>370</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_365"> <id>371</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_366"> <id>372</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_367"> <id>373</id> <edge_type>1</edge_type> <source_obj>309</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_368"> <id>374</id> <edge_type>1</edge_type> <source_obj>107</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_369"> <id>375</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_370"> <id>376</id> <edge_type>1</edge_type> <source_obj>108</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_371"> <id>377</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>110</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_372"> <id>378</id> <edge_type>1</edge_type> <source_obj>109</source_obj> <sink_obj>110</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_373"> <id>379</id> <edge_type>1</edge_type> <source_obj>110</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_374"> <id>380</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_375"> <id>381</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_376"> <id>382</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_377"> <id>384</id> <edge_type>1</edge_type> <source_obj>383</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_378"> <id>385</id> <edge_type>1</edge_type> <source_obj>111</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_379"> <id>386</id> <edge_type>1</edge_type> <source_obj>112</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_380"> <id>387</id> <edge_type>2</edge_type> <source_obj>117</source_obj> <sink_obj>114</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_381"> <id>388</id> <edge_type>1</edge_type> <source_obj>128</source_obj> <sink_obj>118</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_382"> <id>389</id> <edge_type>2</edge_type> <source_obj>130</source_obj> <sink_obj>118</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_383"> <id>390</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>118</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_384"> <id>391</id> <edge_type>2</edge_type> <source_obj>117</source_obj> <sink_obj>118</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_385"> <id>392</id> <edge_type>1</edge_type> <source_obj>122</source_obj> <sink_obj>119</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_386"> <id>393</id> <edge_type>2</edge_type> <source_obj>130</source_obj> <sink_obj>119</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_387"> <id>395</id> <edge_type>1</edge_type> <source_obj>394</source_obj> <sink_obj>119</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_388"> <id>396</id> <edge_type>2</edge_type> <source_obj>117</source_obj> <sink_obj>119</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_389"> <id>397</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_390"> <id>399</id> <edge_type>1</edge_type> <source_obj>398</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_391"> <id>400</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>122</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_392"> <id>402</id> <edge_type>1</edge_type> <source_obj>401</source_obj> <sink_obj>122</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_393"> <id>403</id> <edge_type>1</edge_type> <source_obj>120</source_obj> <sink_obj>123</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_394"> <id>404</id> <edge_type>2</edge_type> <source_obj>130</source_obj> <sink_obj>123</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_395"> <id>405</id> <edge_type>2</edge_type> <source_obj>132</source_obj> <sink_obj>123</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_396"> <id>406</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>125</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_397"> <id>407</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>126</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_398"> <id>408</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>126</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_399"> <id>409</id> <edge_type>1</edge_type> <source_obj>125</source_obj> <sink_obj>126</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_400"> <id>410</id> <edge_type>1</edge_type> <source_obj>126</source_obj> <sink_obj>127</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_401"> <id>411</id> <edge_type>1</edge_type> <source_obj>127</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_402"> <id>412</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_403"> <id>413</id> <edge_type>2</edge_type> <source_obj>124</source_obj> <sink_obj>129</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_404"> <id>414</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>131</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_405"> <id>430</id> <edge_type>2</edge_type> <source_obj>15</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_406"> <id>431</id> <edge_type>2</edge_type> <source_obj>25</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_407"> <id>432</id> <edge_type>2</edge_type> <source_obj>25</source_obj> <sink_obj>25</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_408"> <id>433</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_409"> <id>434</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>54</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_410"> <id>435</id> <edge_type>2</edge_type> <source_obj>47</source_obj> <sink_obj>54</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_411"> <id>436</id> <edge_type>2</edge_type> <source_obj>54</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_412"> <id>437</id> <edge_type>2</edge_type> <source_obj>54</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_413"> <id>438</id> <edge_type>2</edge_type> <source_obj>69</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_414"> <id>439</id> <edge_type>2</edge_type> <source_obj>76</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_415"> <id>440</id> <edge_type>2</edge_type> <source_obj>76</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_416"> <id>441</id> <edge_type>2</edge_type> <source_obj>92</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_417"> <id>442</id> <edge_type>2</edge_type> <source_obj>99</source_obj> <sink_obj>115</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_418"> <id>443</id> <edge_type>2</edge_type> <source_obj>99</source_obj> <sink_obj>117</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_419"> <id>444</id> <edge_type>2</edge_type> <source_obj>115</source_obj> <sink_obj>117</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_420"> <id>445</id> <edge_type>2</edge_type> <source_obj>117</source_obj> <sink_obj>124</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_421"> <id>446</id> <edge_type>2</edge_type> <source_obj>124</source_obj> <sink_obj>132</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_422"> <id>447</id> <edge_type>2</edge_type> <source_obj>124</source_obj> <sink_obj>130</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_423"> <id>448</id> <edge_type>2</edge_type> <source_obj>130</source_obj> <sink_obj>124</sink_obj> <is_back_edge>1</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_424"> <mId>1</mId> <mTag>checkAxis.0</mTag> <mType>0</mType> <sub_regions> <count>5</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>4</item> <item>5</item> <item>6</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>217</mMinLatency> <mMaxLatency>217</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_425"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>15</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_426"> <mId>3</mId> <mTag>memset_collisions</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>25</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>4</mMinTripCount> <mMaxTripCount>4</mMaxTripCount> <mMinLatency>3</mMinLatency> <mMaxLatency>3</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_427"> <mId>4</mId> <mTag>Region 1</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>9</count> <item_version>0</item_version> <item>32</item> <item>47</item> <item>54</item> <item>69</item> <item>76</item> <item>92</item> <item>99</item> <item>115</item> <item>117</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>204</mMinLatency> <mMaxLatency>204</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_428"> <mId>5</mId> <mTag>Loop 2</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>124</item> <item>130</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>4</mMinTripCount> <mMaxTripCount>4</mMaxTripCount> <mMinLatency>8</mMinLatency> <mMaxLatency>8</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_429"> <mId>6</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>132</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="-1"></res> <node_label_latency class_id="26" tracking_level="0" version="0"> <count>109</count> <item_version>0</item_version> <item class_id="27" tracking_level="0" version="0"> <first>7</first> <second class_id="28" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>8</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>9</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>10</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>11</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>12</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>20</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>27</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>3</first> <second>1</second> </second> </item> <item> <first>31</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>36</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>38</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>43</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>5</first> <second>1</second> </second> </item> <item> <first>49</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>50</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>7</first> <second>1</second> </second> </item> <item> <first>53</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>56</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>57</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>61</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>62</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>63</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>64</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>68</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>70</first> <second> <first>9</first> <second>1</second> </second> </item> <item> <first>71</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>73</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>74</first> <second> <first>11</first> <second>1</second> </second> </item> <item> <first>75</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>77</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>78</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>79</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>80</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>81</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>82</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>83</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>84</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>85</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>86</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>87</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>88</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>89</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>90</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>91</first> <second> <first>13</first> <second>0</second> </second> </item> <item> <first>93</first> <second> <first>13</first> <second>1</second> </second> </item> <item> <first>94</first> <second> <first>14</first> <second>0</second> </second> </item> <item> <first>95</first> <second> <first>14</first> <second>0</second> </second> </item> <item> <first>96</first> <second> <first>14</first> <second>0</second> </second> </item> <item> <first>97</first> <second> <first>15</first> <second>1</second> </second> </item> <item> <first>98</first> <second> <first>16</first> <second>0</second> </second> </item> <item> <first>100</first> <second> <first>16</first> <second>0</second> </second> </item> <item> <first>101</first> <second> <first>16</first> <second>0</second> </second> </item> <item> <first>102</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>103</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>104</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>105</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>106</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>107</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>108</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>109</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>110</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>111</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>112</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>113</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>114</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>116</first> <second> <first>17</first> <second>0</second> </second> </item> <item> <first>118</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>119</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>120</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>122</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>123</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>125</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>126</first> <second> <first>18</first> <second>0</second> </second> </item> <item> <first>127</first> <second> <first>18</first> <second>1</second> </second> </item> <item> <first>128</first> <second> <first>19</first> <second>0</second> </second> </item> <item> <first>129</first> <second> <first>19</first> <second>0</second> </second> </item> <item> <first>131</first> <second> <first>18</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="29" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="30" tracking_level="0" version="0"> <first>15</first> <second class_id="31" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>32</first> <second> <first>1</first> <second>4</second> </second> </item> <item> <first>47</first> <second> <first>4</first> <second>5</second> </second> </item> <item> <first>54</first> <second> <first>5</first> <second>8</second> </second> </item> <item> <first>69</first> <second> <first>8</first> <second>9</second> </second> </item> <item> <first>76</first> <second> <first>9</first> <second>12</second> </second> </item> <item> <first>92</first> <second> <first>12</first> <second>13</second> </second> </item> <item> <first>99</first> <second> <first>13</first> <second>16</second> </second> </item> <item> <first>115</first> <second> <first>16</first> <second>17</second> </second> </item> <item> <first>117</first> <second> <first>17</first> <second>17</second> </second> </item> <item> <first>124</first> <second> <first>18</first> <second>18</second> </second> </item> <item> <first>130</first> <second> <first>18</first> <second>19</second> </second> </item> <item> <first>132</first> <second> <first>18</first> <second>18</second> </second> </item> </bblk_ent_exit> <regions class_id="32" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </regions> <dp_fu_nodes class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="34" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="35" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="36" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
26.997994
71
0.599101
4a8447c92a0c9f5694be8810de48ebe4865815f7
3,476
ads
Ada
Scaliger-Ada_conversion.ads
Louis-Aime/Milesian_calendar_Ada
c03b46cd48b921a5f1fa4abc60607197cdce9f14
[ "MIT" ]
null
null
null
Scaliger-Ada_conversion.ads
Louis-Aime/Milesian_calendar_Ada
c03b46cd48b921a5f1fa4abc60607197cdce9f14
[ "MIT" ]
null
null
null
Scaliger-Ada_conversion.ads
Louis-Aime/Milesian_calendar_Ada
c03b46cd48b921a5f1fa4abc60607197cdce9f14
[ "MIT" ]
null
null
null
-- Julian.Ada_conversion, a sub-package of Julian. -- This package focuses on conversion between the Julian time -- i.e. duration and time expressed in fractional Julian days, -- and Ada.Calendar duration and time data. -- These routines are only usefull for implementations -- where conversions to and from the Ada time system are necessary. ---------------------------------------------------------------------------- -- Copyright Miletus 2015 -- Permission is hereby granted, free of charge, to any person obtaining -- a copy of this software and associated documentation files (the -- "Software"), to deal in the Software without restriction, including -- without limitation the rights to use, copy, modify, merge, publish, -- distribute, sublicense, and/or sell copies of the Software, and to -- permit persons to whom the Software is furnished to do so, subject to -- the following conditions: -- 1. The above copyright notice and this permission notice shall be included -- in all copies or substantial portions of the Software. -- 2. Changes with respect to any former version shall be documented. -- -- The software is provided "as is", without warranty of any kind, -- express of implied, including but not limited to the warranties of -- merchantability, fitness for a particular purpose and noninfringement. -- In no event shall the authors of copyright holders be liable for any -- claim, damages or other liability, whether in an action of contract, -- tort or otherwise, arising from, out of or in connection with the software -- or the use or other dealings in the software. -- Inquiries: www.calendriermilesien.org ------------------------------------------------------------------------------- with Calendar; use Calendar; package Scaliger.Ada_conversion is function Julian_Time_Of (Ada_Timestamp : Time) return Historical_Time; -- return Julian time following the classical convention i.e.: -- Julian time takes integer values at noon GMT. function Time_Of (Julian_Timestamp : Historical_Time) return Time; -- convert Julian time into Ada time. function Julian_Duration_Of (Ada_Offset : Duration) return Historical_Duration; -- convert Ada duration (seconds) -- to Julian duration (fractional days stored in seconds) function Julian_Duration_Of (Day : Julian_Day_Duration) return Historical_Duration; -- convert a Julian day (an integer) into a julian duration -- which is expressed in seconds to the 1/8s. function Duration_Of (Julian_Offset : Historical_Duration) return Duration; function Day_Julian_Offset (Ada_Daytime : Day_Duration) return Day_Historical_Duration; -- Time of the day expressed in UTC time (0..86_400.0 s) -- to Julian duration expressed in (-0.5..+0.5) function Day_Offset (Julian_Offset : Day_Historical_Duration) return Day_Duration; -- Julian day duration -0.5..+0.5 to time of day 0..86_400.0 s function Julian_Day_Of (Julian_Timestamp : Historical_Time) return Julian_Day; -- gives the nearest integer of a Julian time function Julian_Day_Of (Ada_Timestamp : Time) return Julian_Day; -- the day corresponding to the UTC day of Ada_Timestamp function Time_Of_At_Noon (Julian_Date : Julian_Day) return Time; -- By convention, the "seconds" field is set to 12.00 UTC in this routine. end Scaliger.Ada_conversion;
48.277778
86
0.700806
dcd3ddc0440ca55f2e8f621798e961d4fd086615
19,854
adb
Ada
source/xml/sax/matreshka-internals-xml-symbol_tables.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/xml/sax/matreshka-internals-xml-symbol_tables.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/xml/sax/matreshka-internals-xml-symbol_tables.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- XML Processor -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2010-2017, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This package provides symbol table to store frequently used strings, -- allocate identifier for them and reduce number of memory allocations by -- reusing shared strings. ------------------------------------------------------------------------------ with Ada.Unchecked_Deallocation; with League.Strings.Internals; with Matreshka.Internals.Strings.Operations; with Matreshka.Internals.Unicode.Characters.Latin; package body Matreshka.Internals.XML.Symbol_Tables is use Matreshka.Internals.Unicode.Characters.Latin; procedure Free is new Ada.Unchecked_Deallocation (Symbol_Record_Array, Symbol_Record_Array_Access); function Is_Valid_NS_Name_Start_Character (Code : Matreshka.Internals.Unicode.Code_Point) return Boolean; -- Returns True when code point belongs to NSNameStartChar. ------------- -- Element -- ------------- function Element (Self : Symbol_Table; Identifier : Symbol_Identifier) return Element_Identifier is begin return Self.Table (Identifier).Element; end Element; -------------- -- Finalize -- -------------- procedure Finalize (Self : in out Symbol_Table) is begin for J in Self.Table'First .. Self.Last loop Matreshka.Internals.Strings.Dereference (Self.Table (J).String); end loop; Free (Self.Table); end Finalize; -------------------- -- General_Entity -- -------------------- function General_Entity (Self : Symbol_Table; Identifier : Symbol_Identifier) return Entity_Identifier is begin return Self.Table (Identifier).General_Entity; end General_Entity; ---------------- -- Initialize -- ---------------- procedure Initialize (Self : in out Symbol_Table) is procedure Register_Predefined_Entity (Name : League.Strings.Universal_String; Entity : Entity_Identifier); -- Registers predefined entity. procedure Register_Symbol (Name : League.Strings.Universal_String); -------------------------------- -- Register_Predefined_Entity -- -------------------------------- procedure Register_Predefined_Entity (Name : League.Strings.Universal_String; Entity : Entity_Identifier) is N : constant Matreshka.Internals.Strings.Shared_String_Access := League.Strings.Internals.Internal (Name); begin Matreshka.Internals.Strings.Reference (N); Self.Last := Self.Last + 1; Self.Table (Self.Last) := (String => N, Namespace_Processed => False, Prefix_Name => No_Symbol, Local_Name => No_Symbol, Element => No_Element, Notation => No_Notation, Parameter_Entity => No_Entity, General_Entity => Entity); end Register_Predefined_Entity; --------------------- -- Register_Symbol -- --------------------- procedure Register_Symbol (Name : League.Strings.Universal_String) is N : constant Matreshka.Internals.Strings.Shared_String_Access := League.Strings.Internals.Internal (Name); begin Matreshka.Internals.Strings.Reference (N); Self.Last := Self.Last + 1; Self.Table (Self.Last) := (String => N, Namespace_Processed => False, Prefix_Name => No_Symbol, Local_Name => No_Symbol, Element => No_Element, Notation => No_Notation, Parameter_Entity => No_Entity, General_Entity => No_Entity); end Register_Symbol; begin Self.Table := new Symbol_Record_Array (0 .. 31); Self.Table (No_Symbol) := (String => Matreshka.Internals.Strings.Shared_Empty'Access, Namespace_Processed => True, Prefix_Name => No_Symbol, Local_Name => No_Symbol, Element => No_Element, Notation => No_Notation, Parameter_Entity => No_Entity, General_Entity => No_Entity); Self.Last := No_Symbol; -- Register predefined entities. Register_Predefined_Entity (Name => League.Strings.To_Universal_String ("lt"), Entity => Entity_lt); Register_Predefined_Entity (Name => League.Strings.To_Universal_String ("gt"), Entity => Entity_gt); Register_Predefined_Entity (Name => League.Strings.To_Universal_String ("amp"), Entity => Entity_amp); Register_Predefined_Entity (Name => League.Strings.To_Universal_String ("apos"), Entity => Entity_apos); Register_Predefined_Entity (Name => League.Strings.To_Universal_String ("quot"), Entity => Entity_quot); -- Register attribute type's names. Register_Symbol (League.Strings.To_Universal_String ("CDATA")); Register_Symbol (League.Strings.To_Universal_String ("ID")); Register_Symbol (League.Strings.To_Universal_String ("IDREF")); Register_Symbol (League.Strings.To_Universal_String ("IDREFS")); Register_Symbol (League.Strings.To_Universal_String ("NMTOKEN")); Register_Symbol (League.Strings.To_Universal_String ("NMTOKENS")); Register_Symbol (League.Strings.To_Universal_String ("ENTITY")); Register_Symbol (League.Strings.To_Universal_String ("ENTITIES")); Register_Symbol (League.Strings.To_Universal_String ("NOTATION")); -- Register well known names and namespaces. Register_Symbol (League.Strings.To_Universal_String ("xml")); Register_Symbol (League.Strings.To_Universal_String ("xmlns")); Register_Symbol (League.Strings.To_Universal_String ("http://www.w3.org/XML/1998/namespace")); Register_Symbol (League.Strings.To_Universal_String ("http://www.w3.org/2000/xmlns/")); Register_Symbol (League.Strings.To_Universal_String ("xml:base")); end Initialize; ------------ -- Insert -- ------------ procedure Insert (Self : in out Symbol_Table; String : not null Matreshka.Internals.Strings.Shared_String_Access; First : Matreshka.Internals.Utf16.Utf16_String_Index; Size : Matreshka.Internals.Utf16.Utf16_String_Index; Length : Natural; Namespaces : Boolean; Qname_Error : out Qualified_Name_Errors; Identifier : out Symbol_Identifier) is use Matreshka.Internals.Unicode; use Matreshka.Internals.Utf16; D_Position : Utf16_String_Index; D_Index : Natural; C : Code_Point; C_Position : Utf16_String_Index; C_Index : Natural; Found : Boolean := False; N_Position : Utf16_String_Index; T_Position : Utf16_String_Index; Prefix_Name : Symbol_Identifier; Local_Name : Symbol_Identifier; begin for J in Self.Table'First .. Self.Last loop if Self.Table (J).String.Unused = Size then N_Position := First; T_Position := 0; while N_Position < First + Size loop exit when String.Value (N_Position) /= Self.Table (J).String.Value (T_Position); N_Position := N_Position + 1; T_Position := T_Position + 1; end loop; if N_Position = First + Size then Identifier := J; Found := True; exit; end if; end if; end loop; if not Found then Self.Last := Self.Last + 1; Identifier := Self.Last; if Self.Last > Self.Table'Last then declare Old : Symbol_Record_Array_Access := Self.Table; begin Self.Table := new Symbol_Record_Array (0 .. Old'Last + 32); Self.Table (Old'Range) := Old.all; Free (Old); end; end if; Self.Table (Identifier) := (String => Matreshka.Internals.Strings.Operations.Slice (String, First, Size, Length), Namespace_Processed => False, Prefix_Name => No_Symbol, Local_Name => No_Symbol, Element => No_Element, Notation => No_Notation, Parameter_Entity => No_Entity, General_Entity => No_Entity); end if; if Namespaces and then not Self.Table (Identifier).Namespace_Processed then D_Position := First; D_Index := 1; Found := False; while D_Position < First + Size loop Unchecked_Next (String.Value, D_Position, C); D_Index := D_Index + 1; if C = Colon then if Found then -- Second colon found in qualified name, document is not -- wellformed. Identifier := No_Symbol; Qname_Error := Multiple_Colons; return; else -- Colon occupy one code unit, use this fact instead of -- more expensive Unchecked_Previous. C_Position := D_Position - 1; C_Index := D_Index - 1; Found := True; end if; end if; end loop; if Found then if C_Position = First then -- Colon is the first character in the qualified name, -- document is not wellformed. Identifier := No_Symbol; Qname_Error := Colon_At_Start; return; elsif C_Position = First + Size - 1 then -- Colon is the last character in the qualified name, -- document is not wellformed. Identifier := No_Symbol; Qname_Error := Colon_At_End; return; end if; -- Check whether the first character after colon belongs to -- NSNameStartChar. D_Position := C_Position + 1; Unchecked_Next (String.Value, D_Position, C); if not Is_Valid_NS_Name_Start_Character (C) then Identifier := No_Symbol; Qname_Error := First_Character_Is_Not_NS_Name_Start_Char; return; end if; Insert (Self, String, First, C_Position - First, C_Index - 1, False, Qname_Error, Prefix_Name); Insert (Self, String, C_Position + 1, First + Size - C_Position - 1, Length - C_Index, False, Qname_Error, Local_Name); Self.Table (Identifier).Prefix_Name := Prefix_Name; Self.Table (Identifier).Local_Name := Local_Name; else Self.Table (Identifier).Local_Name := Identifier; end if; Self.Table (Identifier).Namespace_Processed := True; end if; Qname_Error := Valid; end Insert; ------------ -- Insert -- ------------ procedure Insert (Self : in out Symbol_Table; String : not null Matreshka.Internals.Strings.Shared_String_Access; Identifier : out Symbol_Identifier) is Error : Qualified_Name_Errors; begin Insert (Self, String, 0, String.Unused, String.Length, False, Error, Identifier); end Insert; -------------------------------------- -- Is_Valid_NS_Name_Start_Character -- -------------------------------------- function Is_Valid_NS_Name_Start_Character (Code : Matreshka.Internals.Unicode.Code_Point) return Boolean is use type Matreshka.Internals.Unicode.Code_Point; begin return Code in 16#0041# .. 16#005A# -- A-Z or Code = 16#005F# -- _ or Code in 16#0061# .. 16#007A# -- a-z or Code in 16#00C0# .. 16#00D6# -- \u00C0-\u00D6 or Code in 16#00D8# .. 16#00F6# -- \u00D8-\u00F6 or Code in 16#00F8# .. 16#02FF# -- \u00F8-\u02FF or Code in 16#0370# .. 16#037D# -- \u0370-\u037D or Code in 16#037F# .. 16#1FFF# -- \u037F-\u1FFF or Code in 16#200C# .. 16#200D# -- \u200C-\u200D or Code in 16#2070# .. 16#218F# -- \u2070-\u218F or Code in 16#2C00# .. 16#2FEF# -- \u2C00-\u2FEF or Code in 16#3001# .. 16#D7FF# -- \u3001-\uD7FF or Code in 16#F900# .. 16#FDCF# -- \uF900-\uFDCF or Code in 16#FDF0# .. 16#FFFD# -- \uFDF0-\uFFFD or Code in 16#10000# .. 16#EFFFF#; -- \u10000-\uEFFFF end Is_Valid_NS_Name_Start_Character; ---------------- -- Local_Name -- ---------------- function Local_Name (Self : Symbol_Table; Identifier : Symbol_Identifier) return Symbol_Identifier is begin return Self.Table (Identifier).Local_Name; end Local_Name; ---------------- -- Local_Name -- ---------------- function Local_Name (Self : Symbol_Table; Identifier : Symbol_Identifier) return not null Matreshka.Internals.Strings.Shared_String_Access is begin return Self.Table (Self.Table (Identifier).Local_Name).String; end Local_Name; ---------- -- Name -- ---------- function Name (Self : Symbol_Table; Identifier : Symbol_Identifier) return not null Matreshka.Internals.Strings.Shared_String_Access is begin return Self.Table (Identifier).String; end Name; ---------- -- Name -- ---------- function Name (Self : Symbol_Table; Identifier : Symbol_Identifier) return League.Strings.Universal_String is begin return League.Strings.Internals.Create (Self.Table (Identifier).String); end Name; -------------- -- Notation -- -------------- function Notation (Self : Symbol_Table; Identifier : Symbol_Identifier) return Notation_Identifier is begin return Self.Table (Identifier).Notation; end Notation; ---------------------- -- Parameter_Entity -- ---------------------- function Parameter_Entity (Self : Symbol_Table; Identifier : Symbol_Identifier) return Entity_Identifier is begin return Self.Table (Identifier).Parameter_Entity; end Parameter_Entity; ----------------- -- Prefix_Name -- ----------------- function Prefix_Name (Self : Symbol_Table; Identifier : Symbol_Identifier) return Symbol_Identifier is begin return Self.Table (Identifier).Prefix_Name; end Prefix_Name; ----------- -- Reset -- ----------- procedure Reset (Self : in out Symbol_Table) is begin Finalize (Self); Initialize (Self); end Reset; ----------------- -- Set_Element -- ----------------- procedure Set_Element (Self : in out Symbol_Table; Identifier : Symbol_Identifier; Element : Element_Identifier) is begin Self.Table (Identifier).Element := Element; end Set_Element; ------------------------ -- Set_General_Entity -- ------------------------ procedure Set_General_Entity (Self : in out Symbol_Table; Identifier : Symbol_Identifier; Entity : Entity_Identifier) is begin Self.Table (Identifier).General_Entity := Entity; end Set_General_Entity; ------------------ -- Set_Notation -- ------------------ procedure Set_Notation (Self : in out Symbol_Table; Identifier : Symbol_Identifier; Notation : Notation_Identifier) is begin Self.Table (Identifier).Notation := Notation; end Set_Notation; -------------------------- -- Set_Parameter_Entity -- -------------------------- procedure Set_Parameter_Entity (Self : in out Symbol_Table; Identifier : Symbol_Identifier; Entity : Entity_Identifier) is begin Self.Table (Identifier).Parameter_Entity := Entity; end Set_Parameter_Entity; end Matreshka.Internals.XML.Symbol_Tables;
33.996575
79
0.527501
4ae5c30f3dbcf87ac4084a36967a8bc149830597
20,298
adb
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/switch-b.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/switch-b.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/switch-b.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- S W I T C H - B -- -- -- -- B o d y -- -- -- -- Copyright (C) 2001-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING3. If not, go to -- -- http://www.gnu.org/licenses for a complete copy of the license. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Bindgen; with Debug; use Debug; with Osint; use Osint; with Opt; use Opt; with System.OS_Lib; use System.OS_Lib; with System.WCh_Con; use System.WCh_Con; package body Switch.B is -------------------------- -- Scan_Binder_Switches -- -------------------------- procedure Scan_Binder_Switches (Switch_Chars : String) is Max : constant Integer := Switch_Chars'Last; Ptr : Integer := Switch_Chars'First; C : Character := ' '; function Get_Optional_Filename return String_Ptr; -- If current character is '=', return a newly allocated string that -- contains the remainder of the current switch (after the '='), else -- return null. function Get_Stack_Size (S : Character) return Int; -- Used for -d and -D to scan stack size including handling k/m. S is -- set to 'd' or 'D' to indicate the switch being scanned. procedure Scan_Debug_Switches; -- Scan out debug switches --------------------------- -- Get_Optional_Filename -- --------------------------- function Get_Optional_Filename return String_Ptr is Result : String_Ptr; begin if Ptr <= Max and then Switch_Chars (Ptr) = '=' then if Ptr = Max then Bad_Switch (Switch_Chars); else Result := new String'(Switch_Chars (Ptr + 1 .. Max)); Ptr := Max + 1; return Result; end if; end if; return null; end Get_Optional_Filename; -------------------- -- Get_Stack_Size -- -------------------- function Get_Stack_Size (S : Character) return Int is Result : Int; begin Scan_Pos (Switch_Chars, Max, Ptr, Result, S); -- In the following code, we enable overflow checking since the -- multiplication by K or M may cause overflow, which is an error. declare pragma Unsuppress (Overflow_Check); begin -- Check for additional character 'k' (for kilobytes) or 'm' (for -- Megabytes), but only if we have not reached the end of the -- switch string. Note that if this appears before the end of the -- string we will get an error when we test to make sure that the -- string is exhausted (at the end of the case). if Ptr <= Max then if Switch_Chars (Ptr) = 'k' then Result := Result * 1024; Ptr := Ptr + 1; elsif Switch_Chars (Ptr) = 'm' then Result := Result * (1024 * 1024); Ptr := Ptr + 1; end if; end if; exception when Constraint_Error => Osint.Fail ("numeric value out of range for switch: " & S); end; return Result; end Get_Stack_Size; ------------------------- -- Scan_Debug_Switches -- ------------------------- procedure Scan_Debug_Switches is Dot : Boolean := False; Underscore : Boolean := False; begin while Ptr <= Max loop C := Switch_Chars (Ptr); -- Binder debug flags come in the following forms: -- -- letter -- . letter -- _ letter -- -- digit -- . digit -- _ digit -- -- Note that the processing of switch -d aleady takes care of the -- case where the first flag is a digit (default stack size). if C in '1' .. '9' or else C in 'a' .. 'z' or else C in 'A' .. 'Z' then -- . letter -- . digit if Dot then Set_Dotted_Debug_Flag (C); Dot := False; -- _ letter -- _ digit elsif Underscore then Set_Underscored_Debug_Flag (C); Underscore := False; -- letter -- digit else Set_Debug_Flag (C); end if; elsif C = '.' then Dot := True; elsif C = '_' then Underscore := True; else Bad_Switch (Switch_Chars); end if; Ptr := Ptr + 1; end loop; end Scan_Debug_Switches; -- Start of processing for Scan_Binder_Switches begin -- Skip past the initial character (must be the switch character) if Ptr = Max then Bad_Switch (Switch_Chars); else Ptr := Ptr + 1; end if; -- A little check, "gnat" at the start of a switch is not allowed except -- for the compiler if Max >= Ptr + 3 and then Switch_Chars (Ptr .. Ptr + 3) = "gnat" then Osint.Fail ("invalid switch: """ & Switch_Chars & """" & " (gnat not needed here)"); end if; -- Loop to scan through switches given in switch string Check_Switch : begin C := Switch_Chars (Ptr); case C is -- Processing for a switch when 'a' => Ptr := Ptr + 1; Use_Pragma_Linker_Constructor := True; -- Processing for A switch when 'A' => Ptr := Ptr + 1; Output_ALI_List := True; ALI_List_Filename := Get_Optional_Filename; -- Processing for b switch when 'b' => Ptr := Ptr + 1; Brief_Output := True; -- Processing for c switch when 'c' => Ptr := Ptr + 1; Check_Only := True; -- Processing for d switch when 'd' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; Ptr := Ptr + 1; C := Switch_Chars (Ptr); -- Case where character after -d is a digit (default stack size) if C in '0' .. '9' then -- In this case, we process the default primary stack size Default_Stack_Size := Get_Stack_Size ('d'); -- Case where character after -d is not digit (debug flags) else Scan_Debug_Switches; end if; -- Processing for D switch when 'D' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; Ptr := Ptr + 1; Default_Sec_Stack_Size := Get_Stack_Size ('D'); -- Processing for e switch when 'e' => Ptr := Ptr + 1; Elab_Dependency_Output := True; -- Processing for E switch when 'E' => -- -E is equivalent to -Ea (see below) Exception_Tracebacks := True; Ptr := Ptr + 1; if Ptr <= Max then case Switch_Chars (Ptr) is -- -Ea sets Exception_Tracebacks when 'a' => null; -- -Es sets both Exception_Tracebacks and -- Exception_Tracebacks_Symbolic. when 's' => Exception_Tracebacks_Symbolic := True; when others => Bad_Switch (Switch_Chars); end case; Ptr := Ptr + 1; end if; -- Processing for f switch when 'f' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; Force_Elab_Order_File := new String'(Switch_Chars (Ptr + 1 .. Max)); Ptr := Max + 1; if not Is_Read_Accessible_File (Force_Elab_Order_File.all) then Osint.Fail (Force_Elab_Order_File.all & ": file not found"); end if; -- Processing for F switch when 'F' => Ptr := Ptr + 1; Force_Checking_Of_Elaboration_Flags := True; -- Processing for g switch when 'g' => Ptr := Ptr + 1; if Ptr <= Max then C := Switch_Chars (Ptr); if C in '0' .. '3' then Debugger_Level := Character'Pos (Switch_Chars (Ptr)) - Character'Pos ('0'); Ptr := Ptr + 1; end if; else Debugger_Level := 2; end if; -- Processing for G switch when 'G' => Ptr := Ptr + 1; Generate_C_Code := True; -- Processing for h switch when 'h' => Ptr := Ptr + 1; Usage_Requested := True; -- Processing for H switch when 'H' => Ptr := Ptr + 1; Legacy_Elaboration_Order := True; -- Processing for i switch when 'i' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; Ptr := Ptr + 1; C := Switch_Chars (Ptr); if C in '1' .. '5' or else C = '8' or else C = 'p' or else C = 'f' or else C = 'n' or else C = 'w' then Identifier_Character_Set := C; Ptr := Ptr + 1; else Bad_Switch (Switch_Chars); end if; -- Processing for K switch when 'K' => Ptr := Ptr + 1; Output_Linker_Option_List := True; -- Processing for l switch when 'l' => Ptr := Ptr + 1; Elab_Order_Output := True; -- Processing for m switch when 'm' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; Ptr := Ptr + 1; Scan_Pos (Switch_Chars, Max, Ptr, Maximum_Messages, C); -- Processing for n switch when 'n' => Ptr := Ptr + 1; Bind_Main_Program := False; -- Note: The -L option of the binder also implies -n, so -- any change here must also be reflected in the processing -- for -L that is found in Gnatbind.Scan_Bind_Arg. -- Processing for o switch when 'o' => Ptr := Ptr + 1; if Output_File_Name_Present then Osint.Fail ("duplicate -o switch"); else Output_File_Name_Present := True; end if; -- Processing for O switch when 'O' => Ptr := Ptr + 1; Output_Object_List := True; Object_List_Filename := Get_Optional_Filename; -- Processing for p switch when 'p' => Ptr := Ptr + 1; Pessimistic_Elab_Order := True; -- Processing for P switch when 'P' => Ptr := Ptr + 1; CodePeer_Mode := True; -- Processing for q switch when 'q' => Ptr := Ptr + 1; Quiet_Output := True; -- Processing for Q switch when 'Q' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; Ptr := Ptr + 1; Scan_Nat (Switch_Chars, Max, Ptr, Quantity_Of_Default_Size_Sec_Stacks, C); -- Processing for r switch when 'r' => Ptr := Ptr + 1; List_Restrictions := True; -- Processing for R switch when 'R' => Ptr := Ptr + 1; List_Closure := True; if Ptr <= Max and then Switch_Chars (Ptr) = 'a' then Ptr := Ptr + 1; List_Closure_All := True; end if; -- Processing for s switch when 's' => Ptr := Ptr + 1; All_Sources := True; Check_Source_Files := True; -- Processing for t switch when 't' => Ptr := Ptr + 1; Tolerate_Consistency_Errors := True; -- Processing for T switch when 'T' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; Ptr := Ptr + 1; Time_Slice_Set := True; Scan_Nat (Switch_Chars, Max, Ptr, Time_Slice_Value, C); Time_Slice_Value := Time_Slice_Value * 1_000; -- Processing for u switch when 'u' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; Ptr := Ptr + 1; Dynamic_Stack_Measurement := True; Scan_Nat (Switch_Chars, Max, Ptr, Dynamic_Stack_Measurement_Array_Size, C); -- Processing for v switch when 'v' => Ptr := Ptr + 1; Verbose_Mode := True; -- Processing for V switch when 'V' => declare Eq : Integer; begin Ptr := Ptr + 1; Eq := Ptr; while Eq <= Max and then Switch_Chars (Eq) /= '=' loop Eq := Eq + 1; end loop; if Eq = Ptr or else Eq = Max then Bad_Switch (Switch_Chars); end if; Bindgen.Set_Bind_Env (Key => Switch_Chars (Ptr .. Eq - 1), Value => Switch_Chars (Eq + 1 .. Max)); Ptr := Max + 1; end; -- Processing for w switch when 'w' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; -- For the binder we only allow suppress/error cases Ptr := Ptr + 1; case Switch_Chars (Ptr) is when 'e' => Warning_Mode := Treat_As_Error; when 'E' => Warning_Mode := Treat_Run_Time_Warnings_As_Errors; when 's' => Warning_Mode := Suppress; when others => Bad_Switch (Switch_Chars); end case; Ptr := Ptr + 1; -- Processing for W switch when 'W' => Ptr := Ptr + 1; if Ptr > Max then Bad_Switch (Switch_Chars); end if; begin Wide_Character_Encoding_Method := Get_WC_Encoding_Method (Switch_Chars (Ptr)); exception when Constraint_Error => Bad_Switch (Switch_Chars); end; Wide_Character_Encoding_Method_Specified := True; Upper_Half_Encoding := Wide_Character_Encoding_Method in WC_Upper_Half_Encoding_Method; Ptr := Ptr + 1; -- Processing for x switch when 'x' => Ptr := Ptr + 1; All_Sources := False; Check_Source_Files := False; -- Processing for X switch when 'X' => if Ptr = Max then Bad_Switch (Switch_Chars); end if; Ptr := Ptr + 1; Scan_Pos (Switch_Chars, Max, Ptr, Default_Exit_Status, C); -- Processing for y switch when 'y' => Ptr := Ptr + 1; Leap_Seconds_Support := True; -- Processing for z switch when 'z' => Ptr := Ptr + 1; No_Main_Subprogram := True; -- Processing for Z switch when 'Z' => Ptr := Ptr + 1; Zero_Formatting := True; -- Processing for --RTS when '-' => if Ptr + 4 <= Max and then Switch_Chars (Ptr + 1 .. Ptr + 3) = "RTS" then Ptr := Ptr + 4; if Switch_Chars (Ptr) /= '=' or else Ptr = Max then Osint.Fail ("missing path for --RTS"); else -- Valid --RTS switch Opt.No_Stdinc := True; Opt.RTS_Switch := True; declare Src_Path_Name : constant String_Ptr := Get_RTS_Search_Dir (Switch_Chars (Ptr + 1 .. Max), Include); Lib_Path_Name : constant String_Ptr := Get_RTS_Search_Dir (Switch_Chars (Ptr + 1 .. Max), Objects); begin if Src_Path_Name /= null and then Lib_Path_Name /= null then -- Set the RTS_*_Path_Name variables, so that the -- correct directories will be set when a subsequent -- call Osint.Add_Default_Search_Dirs is made. RTS_Src_Path_Name := Src_Path_Name; RTS_Lib_Path_Name := Lib_Path_Name; Ptr := Max + 1; elsif Src_Path_Name = null and then Lib_Path_Name = null then Osint.Fail ("RTS path not valid: missing adainclude and " & "adalib directories"); elsif Src_Path_Name = null then Osint.Fail ("RTS path not valid: missing adainclude directory"); elsif Lib_Path_Name = null then Osint.Fail ("RTS path not valid: missing adalib directory"); end if; end; end if; else Bad_Switch (Switch_Chars); end if; -- Anything else is an error (illegal switch character) when others => Bad_Switch (Switch_Chars); end case; if Ptr <= Max then Bad_Switch (Switch_Chars); end if; end Check_Switch; end Scan_Binder_Switches; end Switch.B;
28.629055
79
0.438664
18d453b329e2f72e2800746788efc63ac59ec632
246
ads
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/access3.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/access3.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/access3.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
package access3 is type IT is limited interface; type T is limited new IT with null record; type T2 is tagged limited null record; procedure Op (Obj_T2 : in out T2; Obj_IT : not null access IT'Class); end access3;
20.5
45
0.666667
c5fc751c3edec4fbae5e7f838098016fa177e0d3
482
adb
Ada
src/GBA.Display.Tiles.adb
98devin/ada-gba-dev
6ebca014b7537117144d878db8d13db49aa00cee
[ "Zlib" ]
7
2021-04-08T02:32:54.000Z
2022-02-14T01:21:43.000Z
src/GBA.Display.Tiles.adb
98devin/ada-gba-dev
6ebca014b7537117144d878db8d13db49aa00cee
[ "Zlib" ]
15
2021-04-09T20:13:33.000Z
2021-12-22T01:03:59.000Z
src/GBA.Display.Tiles.adb
98devin/ada-gba-dev
6ebca014b7537117144d878db8d13db49aa00cee
[ "Zlib" ]
1
2021-06-12T07:48:05.000Z
2021-06-12T07:48:05.000Z
-- Copyright (c) 2021 Devin Hill -- zlib License -- see LICENSE for details. with System; use type System.Address; package body GBA.Display.Tiles is function Tile_Block_Address (Ix : Tile_Block_Index) return Address is begin return Video_RAM_Address'First + (Address (Ix) * 16#4000#); end; function Screen_Block_Address (Ix : Screen_Block_Index) return Address is begin return Video_RAM_Address'First + (Address (Ix) * 16#800#); end; end GBA.Display.Tiles;
24.1
75
0.732365
4aa1a76798e18ee8cc90616c473ee4c772f0391f
2,852
ads
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/g-sptabo.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/g-sptabo.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/g-sptabo.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT LIBRARY COMPONENTS -- -- -- -- G N A T . S P I T B O L . T A B L E _ B O O L E A N -- -- -- -- S p e c -- -- -- -- Copyright (C) 1997-2020, AdaCore -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- SPITBOL tables with boolean values (sets) -- This package provides a predefined instantiation of the table abstraction -- for type Standard.Boolean. The null value is False, so the only non-null -- value is True, i.e. this table acts essentially as a set representation. -- This package is based on Macro-SPITBOL created by Robert Dewar. package GNAT.Spitbol.Table_Boolean is new GNAT.Spitbol.Table (Boolean, False, Boolean'Image); pragma Preelaborate (Table_Boolean);
67.904762
78
0.430575
c5d8cbf898e646d2348b8c93bd9ae79a408dda15
2,100
ads
Ada
ADL/src/full/adl_config.ads
JCGobbi/Nucleo-STM32H743ZI
bb0b5a66e9ac8b3dbe381f9909df5ed5d77dad1c
[ "BSD-3-Clause" ]
null
null
null
ADL/src/full/adl_config.ads
JCGobbi/Nucleo-STM32H743ZI
bb0b5a66e9ac8b3dbe381f9909df5ed5d77dad1c
[ "BSD-3-Clause" ]
null
null
null
ADL/src/full/adl_config.ads
JCGobbi/Nucleo-STM32H743ZI
bb0b5a66e9ac8b3dbe381f9909df5ed5d77dad1c
[ "BSD-3-Clause" ]
null
null
null
-- This package was generated by the Ada_Drivers_Library project wizard script package ADL_Config is Board : constant String := "NUCLEO_H743ZI"; -- From user input Architecture : constant String := "ARM"; -- From board definition Vendor : constant String := "STMicro"; -- From board definition Device_Family : constant String := "STM32H7"; -- From board definition Device_Name : constant String := "STM32H743xx"; -- From board definition CPU_Core : constant String := "ARM Cortex-M7DF"; -- From mcu definition High_Speed_External_Clock : constant := 8_000_000; -- From board definition Number_Of_Interrupts : constant := 150; -- From MCU definition Has_ZFP_Runtime : constant String := "False"; -- From board definition Has_Ravenscar_SFP_Runtime : constant String := "True"; -- From board definition Has_Ravenscar_Full_Runtime : constant String := "True"; -- From board definition Runtime_Profile : constant String := "full"; -- From user input Runtime_Name_Suffix : constant String := "stm32h743"; -- From board definition Runtime_Name : constant String := "full-stm32h743"; -- From user input Use_Startup_Gen : constant Boolean := False; -- From user input Has_Custom_Memory_Area_1 : constant Boolean := False; -- From user input Boot_Memory : constant String := "flash"; -- From user input Max_Path_Length : constant := 1024; -- From user input Max_Mount_Points : constant := 2; -- From user input Max_Mount_Name_Length : constant := 128; -- From user input end ADL_Config;
87.5
101
0.530476
dc26c7b0336d38b1be5dc74bd64ebe06efd6419a
2,185
adb
Ada
out/npi.adb
Melyodas/metalang
399a9f1a71402c979d7f8024d4f98f081c80e771
[ "BSD-2-Clause" ]
22
2017-04-24T10:00:45.000Z
2021-04-01T10:11:05.000Z
out/npi.adb
Melyodas/metalang
399a9f1a71402c979d7f8024d4f98f081c80e771
[ "BSD-2-Clause" ]
12
2017-03-26T18:34:21.000Z
2019-03-21T19:13:03.000Z
out/npi.adb
Melyodas/metalang
399a9f1a71402c979d7f8024d4f98f081c80e771
[ "BSD-2-Clause" ]
7
2017-10-14T13:33:33.000Z
2021-03-18T15:18:50.000Z
with ada.text_io, ada.Integer_text_IO, Ada.Text_IO.Text_Streams, Ada.Strings.Fixed, Interfaces.C; use ada.text_io, ada.Integer_text_IO, Ada.Strings, Ada.Strings.Fixed, Interfaces.C; procedure npi is type stringptr is access all char_array; procedure PInt(i : in Integer) is begin String'Write (Text_Streams.Stream (Current_Output), Trim(Integer'Image(i), Left)); end; procedure SkipSpaces is C : Character; Eol : Boolean; begin loop Look_Ahead(C, Eol); exit when Eol or C /= ' '; Get(C); end loop; end; function is_number(c : in Character) return Boolean is begin return Character'Pos(c) <= Character'Pos('9') and then Character'Pos(c) >= Character'Pos('0'); end; -- --Notation polonaise inversée, ce test permet d'évaluer une expression écrite en NPI -- type a is Array (Integer range <>) of Integer; type a_PTR is access a; type b is Array (Integer range <>) of Character; type b_PTR is access b; function npi0(str : in b_PTR; len : in Integer) return Integer is stack : a_PTR; ptrStr : Integer; ptrStack : Integer; num : Integer; begin stack := new a (0..len - 1); for i in integer range 0..len - 1 loop stack(i) := 0; end loop; ptrStack := 0; ptrStr := 0; while ptrStr < len loop if str(ptrStr) = ' ' then ptrStr := ptrStr + 1; else if is_number(str(ptrStr)) then num := 0; while str(ptrStr) /= ' ' loop num := num * 10 + Character'Pos(str(ptrStr)) - Character'Pos('0'); ptrStr := ptrStr + 1; end loop; stack(ptrStack) := num; ptrStack := ptrStack + 1; else if str(ptrStr) = '+' then stack(ptrStack - 2) := stack(ptrStack - 2) + stack(ptrStack - 1); ptrStack := ptrStack - 1; ptrStr := ptrStr + 1; end if; end if; end if; end loop; return stack(0); end; tmp : Character; tab : b_PTR; result : Integer; len : Integer; begin len := 0; Get(len); SkipSpaces; tab := new b (0..len - 1); for i in integer range 0..len - 1 loop tmp := Character'Val(0); Get(tmp); tab(i) := tmp; end loop; result := npi0(tab, len); PInt(result); end;
23.75
97
0.612357
d059b61992646f8364e4f6dd52b5998e4e44ebf6
4,133
adb
Ada
source/amf/mof/amf-visitors.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/mof/amf-visitors.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/mof/amf-visitors.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ with AMF.Internals.Elements; package body AMF.Visitors is ----------- -- Visit -- ----------- procedure Visit (Self : in out Abstract_Iterator'Class; Visitor : in out Abstract_Visitor'Class; Element : not null AMF.Elements.Element_Access; Control : in out Traverse_Control) is begin AMF.Internals.Elements.Element_Base'Class (Element.all).Enter_Element (Visitor, Control); if Control = Continue then AMF.Internals.Elements.Element_Base'Class (Element.all).Visit_Element (Self, Visitor, Control); end if; if Control /= Terminate_Immediately then AMF.Internals.Elements.Element_Base'Class (Element.all).Leave_Element (Visitor, Control); end if; end Visit; end AMF.Visitors;
56.616438
78
0.438664
dc8fb32bdf8120f3d7a00d39e0f24bf0f2599ca7
355
ads
Ada
src/ada/src/comms/uxas-comms-transport.ads
VVCAS-Sean/OpenUxAS
dcd7be29d182d278a5387908f568d6f8a06b79ee
[ "NASA-1.3" ]
88
2017-08-24T07:02:01.000Z
2022-03-18T04:34:17.000Z
src/ada/src/comms/uxas-comms-transport.ads
VVCAS-Sean/OpenUxAS
dcd7be29d182d278a5387908f568d6f8a06b79ee
[ "NASA-1.3" ]
46
2017-06-08T18:18:08.000Z
2022-03-15T18:24:43.000Z
src/ada/src/comms/uxas-comms-transport.ads
VVCAS-Sean/OpenUxAS
dcd7be29d182d278a5387908f568d6f8a06b79ee
[ "NASA-1.3" ]
53
2017-06-22T14:48:05.000Z
2022-02-15T16:59:38.000Z
package UxAS.Comms.Transport is type Transport_Base is abstract tagged limited record -- these are public member data in the C++ version so they are visible -- in this base class (even if extensions are private, as they should -- be) Entity_Id : UInt32; Service_Id : UInt32; end record; end UxAS.Comms.Transport;
29.583333
77
0.684507
4a2f76b83707ab4ca432002aaabc06507e3ef617
3,877
adb
Ada
Ada95/src/terminal_interface-curses-forms-field_types-alphanumeric.adb
tizenorg/external.ncurses
eec8a5e3f767faa476f07eb6b54d493fe7920eca
[ "DOC", "Unlicense" ]
null
null
null
Ada95/src/terminal_interface-curses-forms-field_types-alphanumeric.adb
tizenorg/external.ncurses
eec8a5e3f767faa476f07eb6b54d493fe7920eca
[ "DOC", "Unlicense" ]
null
null
null
Ada95/src/terminal_interface-curses-forms-field_types-alphanumeric.adb
tizenorg/external.ncurses
eec8a5e3f767faa476f07eb6b54d493fe7920eca
[ "DOC", "Unlicense" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT ncurses Binding -- -- -- -- Terminal_Interface.Curses.Forms.Field_Types.AlphaNumeric -- -- -- -- B O D Y -- -- -- ------------------------------------------------------------------------------ -- Copyright (c) 1998-2008,2009 Free Software Foundation, Inc. -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, distribute with modifications, sublicense, and/or sell -- -- copies of the Software, and to permit persons to whom the Software is -- -- furnished to do so, subject to the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE ABOVE COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, -- -- DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -- -- THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- -- -- -- Except as contained in this notice, the name(s) of the above copyright -- -- holders shall not be used in advertising or otherwise to promote the -- -- sale, use or other dealings in this Software without prior written -- -- authorization. -- ------------------------------------------------------------------------------ -- Author: Juergen Pfeifer, 1996 -- Version Control: -- $Revision: 1.10 $ -- $Date: 2009/12/26 17:38:58 $ -- Binding Version 01.00 ------------------------------------------------------------------------------ with Terminal_Interface.Curses.Aux; use Terminal_Interface.Curses.Aux; package body Terminal_Interface.Curses.Forms.Field_Types.AlphaNumeric is procedure Set_Field_Type (Fld : Field; Typ : AlphaNumeric_Field) is C_AlphaNumeric_Field_Type : C_Field_Type; pragma Import (C, C_AlphaNumeric_Field_Type, "TYPE_ALNUM"); function Set_Fld_Type (F : Field := Fld; Cft : C_Field_Type := C_AlphaNumeric_Field_Type; Arg1 : C_Int) return C_Int; pragma Import (C, Set_Fld_Type, "set_field_type"); Res : Eti_Error; begin Res := Set_Fld_Type (Arg1 => C_Int (Typ.Minimum_Field_Width)); if Res /= E_Ok then Eti_Exception (Res); end if; Wrap_Builtin (Fld, Typ); end Set_Field_Type; end Terminal_Interface.Curses.Forms.Field_Types.AlphaNumeric;
57.865672
78
0.468403
dcd575cec2b23ffe005ad21390368a2b8e8a3cbe
4,232
ads
Ada
source/amf/dd/amf-dg-polygons.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/dd/amf-dg-polygons.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/dd/amf-dg-polygons.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ -- Polygon is a marked element that defines a closed shape consisting of a -- sequence of connected straight line segments. ------------------------------------------------------------------------------ limited with AMF.DC; with AMF.DG.Marked_Elements; package AMF.DG.Polygons is pragma Preelaborate; type DG_Polygon is limited interface and AMF.DG.Marked_Elements.DG_Marked_Element; type DG_Polygon_Access is access all DG_Polygon'Class; for DG_Polygon_Access'Storage_Size use 0; not overriding function Get_Point (Self : not null access constant DG_Polygon) return AMF.DC.Sequence_Of_DC_Point is abstract; -- Getter of Polygon::point. -- -- a list of 3 or more points making up the polygon. end AMF.DG.Polygons;
59.605634
78
0.434074
4a67fc14ae585ff74fb65ffe59defc88fcb7140f
284
ads
Ada
specs/ada/server/ike/tkmrpc-operation_handlers-ike-isa_create_child.ads
DrenfongWong/tkm-rpc
075d22871cf81d497aac656c7f03a513278b641c
[ "BSD-3-Clause" ]
null
null
null
specs/ada/server/ike/tkmrpc-operation_handlers-ike-isa_create_child.ads
DrenfongWong/tkm-rpc
075d22871cf81d497aac656c7f03a513278b641c
[ "BSD-3-Clause" ]
null
null
null
specs/ada/server/ike/tkmrpc-operation_handlers-ike-isa_create_child.ads
DrenfongWong/tkm-rpc
075d22871cf81d497aac656c7f03a513278b641c
[ "BSD-3-Clause" ]
null
null
null
with Tkmrpc.Request; with Tkmrpc.Response; package Tkmrpc.Operation_Handlers.Ike.Isa_Create_Child is procedure Handle (Req : Request.Data_Type; Res : out Response.Data_Type); -- Handler for the isa_create_child operation. end Tkmrpc.Operation_Handlers.Ike.Isa_Create_Child;
28.4
76
0.802817
c54bdcc0273c13bf29e74628cc0d43d4278de96b
1,960
adb
Ada
tests/unit_tests/test_keccak.adb
damaki/libkeccak
d06217e525f7927380690d6c37b485bdbe8aa96e
[ "BSD-3-Clause" ]
26
2015-09-20T17:52:38.000Z
2021-07-29T21:47:04.000Z
tests/unit_tests/test_keccak.adb
damaki/libkeccak
d06217e525f7927380690d6c37b485bdbe8aa96e
[ "BSD-3-Clause" ]
3
2019-03-12T16:01:36.000Z
2020-05-23T13:06:43.000Z
tests/unit_tests/test_keccak.adb
damaki/libkeccak
d06217e525f7927380690d6c37b485bdbe8aa96e
[ "BSD-3-Clause" ]
2
2019-04-15T18:02:19.000Z
2020-11-22T11:22:18.000Z
------------------------------------------------------------------------------- -- Copyright (c) 2016, Daniel King -- All rights reserved. -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions are met: -- * Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- * Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- * The name of the copyright holder may not be used to endorse or promote -- Products derived from this software without specific prior written -- permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER BE LIABLE FOR ANY -- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF -- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. ------------------------------------------------------------------------------- with AUnit.Reporter.Text; with AUnit.Run; with Keccak_Suites; procedure Test_Keccak is procedure Runner is new AUnit.Run.Test_Runner (Keccak_Suites.Suite); Reporter : AUnit.Reporter.Text.Text_Reporter; begin Runner(Reporter); end Test_Keccak;
47.804878
79
0.694898
18b2af8caa1c934cacd310a580025e387cbd8830
3,093
ada
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/ce/ce3606a.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/ce/ce3606a.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/ce/ce3606a.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- CE3606A.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- OBJECTIVE: -- CHECK THAT PUT_LINE WILL OUTPUT A LINE TERMINATOR WHEN THE -- STRING PARAMETER IS NULL. -- APPLICABILITY CRITERIA: -- THIS TEST IS ONLY APPLICABLE TO IMPLEMENTATIONS WHICH -- SUPPORT TEMPORARY TEXT FILES. -- HISTORY: -- SPS 09/02/82 -- JBG 02/22/84 CHANGED TO .ADA TEST -- RJW 11/04/86 REVISED TEST TO OUTPUT A NON_APPLICABLE -- RESULT WHEN FILES ARE NOT SUPPORTED. -- DWC 09/09/87 REMOVED UNNECESSARY CODE AND CORRECTED -- EXCEPTION HANDLING. WITH REPORT; USE REPORT; WITH TEXT_IO; USE TEXT_IO; WITH CHECK_FILE; PROCEDURE CE3606A IS INCOMPLETE : EXCEPTION; BEGIN TEST ("CE3606A", "PUT_LINE PUTS LINE TERMINATOR WHEN STRING " & "IS NULL"); DECLARE FT : FILE_TYPE; NS1 : STRING (1 .. 0); NS2 : STRING (3 .. 1); LC : POSITIVE_COUNT := 1; BEGIN BEGIN CREATE (FT); EXCEPTION WHEN USE_ERROR => NOT_APPLICABLE ("USE_ERROR RAISED; TEXT CREATE " & "FOR TEMP FILES WITH OUT_FILE " & "MODE"); RAISE INCOMPLETE; END; PUT_LINE (FT, NS1); IF LINE (FT) /= LC + 1 THEN FAILED ("PUT_LINE OF NULL STRING 1; LINE " & "COUNT WAS" & COUNT'IMAGE(LINE(FT))); END IF; PUT_LINE (FT, NS2); IF LINE (FT) /= LC + 2 THEN FAILED ("PUT_LINE OF NULL STRING 2; LINE " & "COUNT WAS" & COUNT'IMAGE(LINE(FT))); END IF; CHECK_FILE (FT, "##@%"); CLOSE (FT); EXCEPTION WHEN INCOMPLETE => NULL; END; RESULT; END CE3606A;
33.619565
79
0.57226
d0ca9126a852d4d3229dc5667ba76205f34508a6
3,397
ads
Ada
extern/gnat_sdl/gnat_sdl/src/sdl_sdl_mouse_h.ads
AdaCore/training_material
6651eb2c53f8c39649b8e0b3c757bc8ff963025a
[ "CC-BY-4.0" ]
15
2020-10-07T08:56:45.000Z
2022-02-08T23:13:22.000Z
extern/gnat_sdl/gnat_sdl/src/sdl_sdl_mouse_h.ads
AdaCore/training_material
6651eb2c53f8c39649b8e0b3c757bc8ff963025a
[ "CC-BY-4.0" ]
20
2020-11-05T14:35:20.000Z
2022-01-13T15:59:33.000Z
extern/gnat_sdl/gnat_sdl/src/sdl_sdl_mouse_h.ads
AdaCore/training_material
6651eb2c53f8c39649b8e0b3c757bc8ff963025a
[ "CC-BY-4.0" ]
6
2020-10-08T15:57:06.000Z
2021-08-31T12:03:08.000Z
pragma Ada_2005; pragma Style_Checks (Off); with Interfaces.C; use Interfaces.C; with SDL_SDL_stdinc_h; with SDL_SDL_video_h; with System; package SDL_SDL_mouse_h is -- arg-macro: function SDL_BUTTON (X) -- return 2 ** ((X)-1); SDL_BUTTON_LEFT : constant := 1; -- ../include/SDL/SDL_mouse.h:123 SDL_BUTTON_MIDDLE : constant := 2; -- ../include/SDL/SDL_mouse.h:124 SDL_BUTTON_RIGHT : constant := 3; -- ../include/SDL/SDL_mouse.h:125 SDL_BUTTON_WHEELUP : constant := 4; -- ../include/SDL/SDL_mouse.h:126 SDL_BUTTON_WHEELDOWN : constant := 5; -- ../include/SDL/SDL_mouse.h:127 SDL_BUTTON_X1 : constant := 6; -- ../include/SDL/SDL_mouse.h:128 SDL_BUTTON_X2 : constant := 7; -- ../include/SDL/SDL_mouse.h:129 -- unsupported macro: SDL_BUTTON_LMASK SDL_BUTTON(SDL_BUTTON_LEFT) -- unsupported macro: SDL_BUTTON_MMASK SDL_BUTTON(SDL_BUTTON_MIDDLE) -- unsupported macro: SDL_BUTTON_RMASK SDL_BUTTON(SDL_BUTTON_RIGHT) -- unsupported macro: SDL_BUTTON_X1MASK SDL_BUTTON(SDL_BUTTON_X1) -- unsupported macro: SDL_BUTTON_X2MASK SDL_BUTTON(SDL_BUTTON_X2) -- skipped empty struct WMcursor type SDL_Cursor_save_array is array (0 .. 1) of access SDL_SDL_stdinc_h.Uint8; type SDL_Cursor is record area : aliased SDL_SDL_video_h.SDL_Rect; -- ../include/SDL/SDL_mouse.h:42 hot_x : aliased SDL_SDL_stdinc_h.Sint16; -- ../include/SDL/SDL_mouse.h:43 hot_y : aliased SDL_SDL_stdinc_h.Sint16; -- ../include/SDL/SDL_mouse.h:43 data : access SDL_SDL_stdinc_h.Uint8; -- ../include/SDL/SDL_mouse.h:44 mask : access SDL_SDL_stdinc_h.Uint8; -- ../include/SDL/SDL_mouse.h:45 save : aliased SDL_Cursor_save_array; -- ../include/SDL/SDL_mouse.h:46 wm_cursor : System.Address; -- ../include/SDL/SDL_mouse.h:47 end record; pragma Convention (C_Pass_By_Copy, SDL_Cursor); -- ../include/SDL/SDL_mouse.h:41 function SDL_GetMouseState (x : access int; y : access int) return SDL_SDL_stdinc_h.Uint8; -- ../include/SDL/SDL_mouse.h:57 pragma Import (C, SDL_GetMouseState, "SDL_GetMouseState"); function SDL_GetRelativeMouseState (x : access int; y : access int) return SDL_SDL_stdinc_h.Uint8; -- ../include/SDL/SDL_mouse.h:65 pragma Import (C, SDL_GetRelativeMouseState, "SDL_GetRelativeMouseState"); procedure SDL_WarpMouse (x : SDL_SDL_stdinc_h.Uint16; y : SDL_SDL_stdinc_h.Uint16); -- ../include/SDL/SDL_mouse.h:70 pragma Import (C, SDL_WarpMouse, "SDL_WarpMouse"); function SDL_CreateCursor (data : access SDL_SDL_stdinc_h.Uint8; mask : access SDL_SDL_stdinc_h.Uint8; w : int; h : int; hot_x : int; hot_y : int) return access SDL_Cursor; -- ../include/SDL/SDL_mouse.h:85 pragma Import (C, SDL_CreateCursor, "SDL_CreateCursor"); procedure SDL_SetCursor (cursor : access SDL_Cursor); -- ../include/SDL/SDL_mouse.h:93 pragma Import (C, SDL_SetCursor, "SDL_SetCursor"); function SDL_GetCursor return access SDL_Cursor; -- ../include/SDL/SDL_mouse.h:98 pragma Import (C, SDL_GetCursor, "SDL_GetCursor"); procedure SDL_FreeCursor (cursor : access SDL_Cursor); -- ../include/SDL/SDL_mouse.h:103 pragma Import (C, SDL_FreeCursor, "SDL_FreeCursor"); function SDL_ShowCursor (toggle : int) return int; -- ../include/SDL/SDL_mouse.h:112 pragma Import (C, SDL_ShowCursor, "SDL_ShowCursor"); end SDL_SDL_mouse_h;
47.180556
135
0.713276
18d1cf7c426a2e5ee5621da8e999bf58ec0ad9fd
1,023
adb
Ada
generated/natools-static_maps-web-sites-commands.adb
faelys/natools-web
f7ba99f2ffb6f5a06d1b1e8becbf5f92fd58c750
[ "0BSD" ]
1
2015-04-23T10:48:58.000Z
2015-04-23T10:48:58.000Z
generated/natools-static_maps-web-sites-commands.adb
faelys/natools-web
f7ba99f2ffb6f5a06d1b1e8becbf5f92fd58c750
[ "0BSD" ]
null
null
null
generated/natools-static_maps-web-sites-commands.adb
faelys/natools-web
f7ba99f2ffb6f5a06d1b1e8becbf5f92fd58c750
[ "0BSD" ]
null
null
null
with Interfaces; use Interfaces; package body Natools.Static_Maps.Web.Sites.Commands is P : constant array (0 .. 3) of Natural := (1, 8, 12, 14); T1 : constant array (0 .. 3) of Unsigned_8 := (10, 1, 30, 27); T2 : constant array (0 .. 3) of Unsigned_8 := (17, 10, 22, 38); G : constant array (0 .. 39) of Unsigned_8 := (0, 12, 0, 8, 16, 0, 13, 0, 0, 0, 0, 0, 0, 17, 15, 0, 2, 0, 0, 0, 11, 4, 14, 0, 12, 0, 3, 0, 0, 1, 13, 0, 16, 0, 6, 1, 0, 0, 13, 0); function Hash (S : String) return Natural is F : constant Natural := S'First - 1; L : constant Natural := S'Length; F1, F2 : Natural := 0; J : Natural; begin for K in P'Range loop exit when L < P (K); J := Character'Pos (S (P (K) + F)); F1 := (F1 + Natural (T1 (K)) * J) mod 40; F2 := (F2 + Natural (T2 (K)) * J) mod 40; end loop; return (Natural (G (F1)) + Natural (G (F2))) mod 19; end Hash; end Natools.Static_Maps.Web.Sites.Commands;
30.088235
74
0.517107
dc7e1cc282cba6d7deb8d44ee5f2f58a89a8763a
6,819
ads
Ada
src/support_utils/support_utils-addons_package.ads
Alex-Vasile/whitakers-words
9fa16606d97842746fea0379839f40c959c53d56
[ "FTL" ]
204
2015-06-12T21:22:55.000Z
2022-03-28T10:50:16.000Z
src/support_utils/support_utils-addons_package.ads
Alex-Vasile/whitakers-words
9fa16606d97842746fea0379839f40c959c53d56
[ "FTL" ]
98
2015-06-15T22:17:04.000Z
2021-10-01T18:17:55.000Z
src/support_utils/support_utils-addons_package.ads
Alex-Vasile/whitakers-words
9fa16606d97842746fea0379839f40c959c53d56
[ "FTL" ]
50
2015-06-16T22:42:24.000Z
2021-12-29T16:53:08.000Z
-- WORDS, a Latin dictionary, by Colonel William Whitaker (USAF, Retired) -- -- Copyright William A. Whitaker (1936–2010) -- -- This is a free program, which means it is proper to copy it and pass -- it on to your friends. Consider it a developmental item for which -- there is no charge. However, just for form, it is Copyrighted -- (c). Permission is hereby freely given for any and all use of program -- and data. You can sell it as your own, but at least tell me. -- -- This version is distributed without obligation, but the developer -- would appreciate comments and suggestions. -- -- All parts of the WORDS system, source code and data files, are made freely -- available to anyone who wishes to use them, for whatever purpose. with Ada.Text_IO; use type Ada.Text_IO.File_Type; with Latin_Utils.Inflections_Package; use Latin_Utils.Inflections_Package; with Latin_Utils.Dictionary_Package; use Latin_Utils.Dictionary_Package; use Latin_Utils; package Support_Utils.Addons_Package is pragma Elaborate_Body; subtype Fix_Type is Stem_Type; Null_Fix_Type : constant Fix_Type := Null_Stem_Type; Max_Fix_Size : constant := Max_Stem_Size; subtype Target_Pofs_Type is Part_Of_Speech_Type range X .. V; type Target_Entry (Pofs : Target_Pofs_Type := X) is record case Pofs is when N => N : Noun_Entry; --NOUN_KIND : NOUN_KIND_TYPE; when Pron => Pron : Pronoun_Entry; --PRONOUN_KIND : PRONOUN_KIND_TYPE; when Pack => Pack : Propack_Entry; --PROPACK_KIND : PRONOUN_KIND_TYPE; when Adj => Adj : Adjective_Entry; when Num => Num : Numeral_Entry; --NUMERAL_VALUE : NUMERAL_VALUE_TYPE; when Adv => Adv : Adverb_Entry; when V => V : Verb_Entry; --VERB_KIND : VERB_KIND_TYPE; when others => null; end case; end record; Null_Target_Entry : Target_Entry; package Target_Entry_Io is Default_Width : Natural; procedure Get (F : in Ada.Text_IO.File_Type; P : out Target_Entry); procedure Get (P : out Target_Entry); procedure Put (F : in Ada.Text_IO.File_Type; P : in Target_Entry); procedure Put (P : in Target_Entry); procedure Get (S : in String; P : out Target_Entry; Last : out Integer); procedure Put (S : out String; P : in Target_Entry); end Target_Entry_Io; type Tackon_Entry is record Base : Target_Entry; end record; Null_Tackon_Entry : Tackon_Entry; package Tackon_Entry_Io is Default_Width : Natural; procedure Get (F : in Ada.Text_IO.File_Type; I : out Tackon_Entry); procedure Get (I : out Tackon_Entry); procedure Put (F : in Ada.Text_IO.File_Type; I : in Tackon_Entry); procedure Put (I : in Tackon_Entry); procedure Get (S : in String; I : out Tackon_Entry; Last : out Integer); procedure Put (S : out String; I : in Tackon_Entry); end Tackon_Entry_Io; type Prefix_Entry is record Root : Part_Of_Speech_Type := X; Target : Part_Of_Speech_Type := X; end record; Null_Prefix_Entry : Prefix_Entry; package Prefix_Entry_Io is Default_Width : Natural; procedure Get (F : in Ada.Text_IO.File_Type; P : out Prefix_Entry); procedure Get (P : out Prefix_Entry); procedure Put (F : in Ada.Text_IO.File_Type; P : in Prefix_Entry); procedure Put (P : in Prefix_Entry); procedure Get (S : in String; P : out Prefix_Entry; Last : out Integer); procedure Put (S : out String; P : in Prefix_Entry); end Prefix_Entry_Io; type Suffix_Entry is record Root : Part_Of_Speech_Type := X; Root_Key : Stem_Key_Type := 0; Target : Target_Entry := Null_Target_Entry; Target_Key : Stem_Key_Type := 0; end record; Null_Suffix_Entry : Suffix_Entry; package Suffix_Entry_Io is Default_Width : Natural; procedure Get (F : in Ada.Text_IO.File_Type; P : out Suffix_Entry); procedure Get (P : out Suffix_Entry); procedure Put (F : in Ada.Text_IO.File_Type; P : in Suffix_Entry); procedure Put (P : in Suffix_Entry); procedure Get (S : in String; P : out Suffix_Entry; Last : out Integer); procedure Put (S : out String; P : in Suffix_Entry); end Suffix_Entry_Io; type Tackon_Item is record Pofs : Part_Of_Speech_Type := Tackon; Tack : Stem_Type := Null_Stem_Type; Entr : Tackon_Entry := Null_Tackon_Entry; MNPC : Integer := 0; end record; Null_Tackon_Item : Tackon_Item; type Prefix_Item is record Pofs : Part_Of_Speech_Type := Prefix; Fix : Fix_Type := Null_Fix_Type; Connect : Character := ' '; Entr : Prefix_Entry := Null_Prefix_Entry; MNPC : Integer := 0; end record; Null_Prefix_Item : Prefix_Item; type Suffix_Item is record Pofs : Part_Of_Speech_Type := Suffix; Fix : Fix_Type := Null_Fix_Type; Connect : Character := ' '; Entr : Suffix_Entry := Null_Suffix_Entry; MNPC : Integer := 0; end record; Null_Suffix_Item : Suffix_Item; type Prefix_Array is array (Integer range <>) of Prefix_Item; type Tickon_Array is array (Integer range <>) of Prefix_Item; type Suffix_Array is array (Integer range <>) of Suffix_Item; type Tackon_Array is array (Integer range <>) of Tackon_Item; type Means_Array is array (Integer range <>) of Meaning_Type; -- To simulate a DICT_IO file, as used previously Tackons : Tackon_Array (1 .. 20); Packons : Tackon_Array (1 .. 25); Tickons : Prefix_Array (1 .. 10); Prefixes : Prefix_Array (1 .. 130); Suffixes : Suffix_Array (1 .. 185); Means : Means_Array (1 .. 370); Number_Of_Tickons : Integer := 0; Number_Of_Tackons : Integer := 0; Number_Of_Packons : Integer := 0; Number_Of_Prefixes : Integer := 0; Number_Of_Suffixes : Integer := 0; procedure Load_Addons (File_Name : in String); function Subtract_Tackon (W : String; X : Tackon_Item) return String; function Subtract_Prefix (W : String; X : Prefix_Item) return Stem_Type; function Subtract_Tickon (W : String; X : Prefix_Item) return Stem_Type renames Subtract_Prefix; function Subtract_Suffix (W : String; X : Suffix_Item) return Stem_Type; function Add_Prefix (Stem : Stem_Type; Prefix : Prefix_Item) return Stem_Type; function Add_Suffix (Stem : Stem_Type; Suffix : Suffix_Item) return Stem_Type; end Support_Utils.Addons_Package;
35.889474
78
0.645256
1833450fd60dcdcc2f7a9ca4a40817e7c94b4816
23,307
adb
Ada
llvm-gcc-4.2-2.9/gcc/ada/par-util.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
llvm-gcc-4.2-2.9/gcc/ada/par-util.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
llvm-gcc-4.2-2.9/gcc/ada/par-util.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- P A R . U T I L -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2004, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Csets; use Csets; with Stylesw; use Stylesw; with Uintp; use Uintp; with GNAT.Spelling_Checker; use GNAT.Spelling_Checker; separate (Par) package body Util is --------------------- -- Bad_Spelling_Of -- --------------------- function Bad_Spelling_Of (T : Token_Type) return Boolean is Tname : constant String := Token_Type'Image (T); -- Characters of token name S : String (1 .. Tname'Last - 4); -- Characters of token name folded to lower case, omitting TOK_ at start M1 : String (1 .. 42) := "incorrect spelling of keyword ************"; M2 : String (1 .. 44) := "illegal abbreviation of keyword ************"; -- Buffers used to construct error message P1 : constant := 30; P2 : constant := 32; -- Starting subscripts in M1, M2 for keyword name SL : constant Natural := S'Length; -- Length of expected token name excluding TOK_ at start begin if Token /= Tok_Identifier then return False; end if; for J in S'Range loop -- LLVM local S (J) := Fold_Lower (Tname (J + 4)); end loop; Get_Name_String (Token_Name); -- A special check for case of PROGRAM used for PROCEDURE if T = Tok_Procedure and then Name_Len = 7 and then Name_Buffer (1 .. 7) = "program" then Error_Msg_SC ("PROCEDURE expected"); Token := T; return True; -- A special check for an illegal abbrevation elsif Name_Len < S'Length and then Name_Len >= 4 and then Name_Buffer (1 .. Name_Len) = S (1 .. Name_Len) then for J in 1 .. S'Last loop M2 (P2 + J - 1) := Fold_Upper (S (J)); end loop; Error_Msg_SC (M2 (1 .. P2 - 1 + S'Last)); Token := T; return True; end if; -- Now we go into the full circuit to check for a misspelling -- Never consider something a misspelling if either the actual or -- expected string is less than 3 characters (before this check we -- used to consider i to be a misspelled if in some cases!) if SL < 3 or else Name_Len < 3 then return False; -- Special case: prefix matches, i.e. the leading characters of the -- token that we have exactly match the required keyword. If there -- are at least two characters left over, assume that we have a case -- of two keywords joined together which should not be joined. elsif Name_Len > SL + 1 and then S = Name_Buffer (1 .. SL) then Scan_Ptr := Token_Ptr + S'Length; Error_Msg_S ("missing space"); Token := T; return True; end if; if Is_Bad_Spelling_Of (Name_Buffer (1 .. Name_Len), S) then for J in 1 .. S'Last loop M1 (P1 + J - 1) := Fold_Upper (S (J)); end loop; Error_Msg_SC (M1 (1 .. P1 - 1 + S'Last)); Token := T; return True; else return False; end if; end Bad_Spelling_Of; ---------------------- -- Check_95_Keyword -- ---------------------- -- On entry, the caller has checked that current token is an identifier -- whose name matches the name of the 95 keyword New_Tok. procedure Check_95_Keyword (Token_95, Next : Token_Type) is Scan_State : Saved_Scan_State; begin Save_Scan_State (Scan_State); -- at identifier/keyword Scan; -- past identifier/keyword if Token = Next then Restore_Scan_State (Scan_State); -- to identifier Error_Msg_Name_1 := Token_Name; Error_Msg_SC ("(Ada 83) keyword* cannot be used!"); Token := Token_95; else Restore_Scan_State (Scan_State); -- to identifier end if; end Check_95_Keyword; ---------------------- -- Check_Bad_Layout -- ---------------------- procedure Check_Bad_Layout is begin if Style.RM_Column_Check and then Token_Is_At_Start_Of_Line and then Start_Column <= Scope.Table (Scope.Last).Ecol then Error_Msg_BC ("(style) incorrect layout"); end if; end Check_Bad_Layout; -------------------------- -- Check_Misspelling_Of -- -------------------------- procedure Check_Misspelling_Of (T : Token_Type) is begin if Bad_Spelling_Of (T) then null; end if; end Check_Misspelling_Of; ----------------------------- -- Check_Simple_Expression -- ----------------------------- procedure Check_Simple_Expression (E : Node_Id) is begin if Expr_Form = EF_Non_Simple then Error_Msg_N ("this expression must be parenthesized", E); end if; end Check_Simple_Expression; --------------------------------------- -- Check_Simple_Expression_In_Ada_83 -- --------------------------------------- procedure Check_Simple_Expression_In_Ada_83 (E : Node_Id) is begin if Expr_Form = EF_Non_Simple then if Ada_Version = Ada_83 then Error_Msg_N ("(Ada 83) this expression must be parenthesized!", E); end if; end if; end Check_Simple_Expression_In_Ada_83; ------------------------ -- Check_Subtype_Mark -- ------------------------ function Check_Subtype_Mark (Mark : Node_Id) return Node_Id is begin if Nkind (Mark) = N_Identifier or else Nkind (Mark) = N_Selected_Component or else (Nkind (Mark) = N_Attribute_Reference and then Is_Type_Attribute_Name (Attribute_Name (Mark))) or else Mark = Error then return Mark; else Error_Msg ("subtype mark expected", Sloc (Mark)); return Error; end if; end Check_Subtype_Mark; ------------------- -- Comma_Present -- ------------------- function Comma_Present return Boolean is Scan_State : Saved_Scan_State; Paren_Count : Nat; begin -- First check, if a comma is present, then a comma is present! if Token = Tok_Comma then T_Comma; return True; -- If we have a right paren, then that is taken as ending the list -- i.e. no comma is present. elsif Token = Tok_Right_Paren then return False; -- If pragmas, then get rid of them and make a recursive call -- to process what follows these pragmas. elsif Token = Tok_Pragma then P_Pragmas_Misplaced; return Comma_Present; -- At this stage we have an error, and the goal is to decide on whether -- or not we should diagnose an error and report a (non-existent) -- comma as being present, or simply to report no comma is present -- If we are a semicolon, then the question is whether we have a missing -- right paren, or whether the semicolon should have been a comma. To -- guess the right answer, we scan ahead keeping track of the paren -- level, looking for a clue that helps us make the right decision. -- This approach is highly accurate in the single error case, and does -- not make bad mistakes in the multiple error case (indeed we can't -- really make a very bad decision at this point in any case). elsif Token = Tok_Semicolon then Save_Scan_State (Scan_State); Scan; -- past semicolon -- Check for being followed by identifier => which almost certainly -- means we are still in a parameter list and the comma should have -- been a semicolon (such a sequence could not follow a semicolon) if Token = Tok_Identifier then Scan; if Token = Tok_Arrow then goto Assume_Comma; end if; end if; -- If that test didn't work, loop ahead looking for a comma or -- semicolon at the same parenthesis level. Always remember that -- we can't go badly wrong in an error situation like this! Paren_Count := 0; -- Here is the look ahead loop, Paren_Count tells us whether the -- token we are looking at is at the same paren level as the -- suspicious semicolon that we are trying to figure out. loop -- If we hit another semicolon or an end of file, and we have -- not seen a right paren or another comma on the way, then -- probably the semicolon did end the list. Indeed that is -- certainly the only single error correction possible here. if Token = Tok_Semicolon or else Token = Tok_EOF then Restore_Scan_State (Scan_State); return False; -- A comma at the same paren level as the semicolon is a strong -- indicator that the semicolon should have been a comma, indeed -- again this is the only possible single error correction. elsif Token = Tok_Comma then exit when Paren_Count = 0; -- A left paren just bumps the paren count elsif Token = Tok_Left_Paren then Paren_Count := Paren_Count + 1; -- A right paren that is at the same paren level as the semicolon -- also means that the only possible single error correction is -- to assume that the semicolon should have been a comma. If we -- are not at the same paren level, then adjust the paren level. elsif Token = Tok_Right_Paren then exit when Paren_Count = 0; Paren_Count := Paren_Count - 1; end if; -- Keep going, we haven't made a decision yet Scan; end loop; -- If we fall through the loop, it means that we found a terminating -- right paren or another comma. In either case it is reasonable to -- assume that the semicolon was really intended to be a comma. Also -- come here for the identifier arrow case. <<Assume_Comma>> Restore_Scan_State (Scan_State); Error_Msg_SC (""";"" illegal here, replaced by "","""); Scan; -- past the semicolon return True; -- If we are not at semicolon or a right paren, then we base the -- decision on whether or not the next token can be part of an -- expression. If not, then decide that no comma is present (the -- caller will eventually generate a missing right parent message) elsif Token in Token_Class_Eterm then return False; -- Otherwise we assume a comma is present, even if none is present, -- since the next token must be part of an expression, so if we were -- at the end of the list, then there is more than one error present. else T_Comma; -- to give error return True; end if; end Comma_Present; ----------------------- -- Discard_Junk_List -- ----------------------- procedure Discard_Junk_List (L : List_Id) is pragma Warnings (Off, L); begin null; end Discard_Junk_List; ----------------------- -- Discard_Junk_Node -- ----------------------- procedure Discard_Junk_Node (N : Node_Id) is pragma Warnings (Off, N); begin null; end Discard_Junk_Node; ------------ -- Ignore -- ------------ procedure Ignore (T : Token_Type) is begin if Token = T then if T = Tok_Comma then Error_Msg_SC ("unexpected "","" ignored"); elsif T = Tok_Left_Paren then Error_Msg_SC ("unexpected ""("" ignored"); elsif T = Tok_Right_Paren then Error_Msg_SC ("unexpected "")"" ignored"); elsif T = Tok_Semicolon then Error_Msg_SC ("unexpected "";"" ignored"); else declare Tname : constant String := Token_Type'Image (Token); Msg : String := "unexpected keyword ????????????????????????"; begin -- Loop to copy characters of keyword name (ignoring Tok_) for J in 5 .. Tname'Last loop Msg (J + 14) := Fold_Upper (Tname (J)); end loop; Msg (Tname'Last + 15 .. Tname'Last + 22) := " ignored"; Error_Msg_SC (Msg (1 .. Tname'Last + 22)); end; end if; Scan; -- Scan past ignored token end if; end Ignore; ---------------------------- -- Is_Reserved_Identifier -- ---------------------------- function Is_Reserved_Identifier (C : Id_Check := None) return Boolean is begin if not Is_Reserved_Keyword (Token) then return False; else declare Ident_Casing : constant Casing_Type := Identifier_Casing (Current_Source_File); Key_Casing : constant Casing_Type := Keyword_Casing (Current_Source_File); begin -- If the casing of identifiers and keywords is different in -- this source file, and the casing of this token matches the -- keyword casing, then we return False, since it is pretty -- clearly intended to be a keyword. if Ident_Casing = Unknown or else Key_Casing = Unknown or else Ident_Casing = Key_Casing or else Determine_Token_Casing /= Key_Casing then return True; -- Here we have a keyword written clearly with keyword casing. -- In default mode, we would not be willing to consider this as -- a reserved identifier, but if C is set, we may still accept it elsif C /= None then declare Scan_State : Saved_Scan_State; OK_Next_Tok : Boolean; begin Save_Scan_State (Scan_State); Scan; if Token_Is_At_Start_Of_Line then return False; end if; case C is when None => raise Program_Error; when C_Comma_Right_Paren => OK_Next_Tok := Token = Tok_Comma or else Token = Tok_Right_Paren; when C_Comma_Colon => OK_Next_Tok := Token = Tok_Comma or else Token = Tok_Colon; when C_Do => OK_Next_Tok := Token = Tok_Do; when C_Dot => OK_Next_Tok := Token = Tok_Dot; when C_Greater_Greater => OK_Next_Tok := Token = Tok_Greater_Greater; when C_In => OK_Next_Tok := Token = Tok_In; when C_Is => OK_Next_Tok := Token = Tok_Is; when C_Left_Paren_Semicolon => OK_Next_Tok := Token = Tok_Left_Paren or else Token = Tok_Semicolon; when C_Use => OK_Next_Tok := Token = Tok_Use; when C_Vertical_Bar_Arrow => OK_Next_Tok := Token = Tok_Vertical_Bar or else Token = Tok_Arrow; end case; Restore_Scan_State (Scan_State); if OK_Next_Tok then return True; end if; end; end if; end; end if; -- If we fall through it is not a reserved identifier return False; end Is_Reserved_Identifier; ---------------------- -- Merge_Identifier -- ---------------------- procedure Merge_Identifier (Prev : Node_Id; Nxt : Token_Type) is begin if Token /= Tok_Identifier then return; end if; declare S : Saved_Scan_State; T : Token_Type; begin Save_Scan_State (S); Scan; T := Token; Restore_Scan_State (S); if T /= Nxt then return; end if; end; -- Check exactly one space between identifiers if Source (Token_Ptr - 1) /= ' ' or else Int (Token_Ptr) /= Int (Prev_Token_Ptr) + Length_Of_Name (Chars (Prev)) + 1 then return; end if; -- Do the merge Get_Name_String (Chars (Token_Node)); declare Buf : constant String (1 .. Name_Len) := Name_Buffer (1 .. Name_Len); begin Get_Name_String (Chars (Prev)); Add_Char_To_Name_Buffer ('_'); Add_Str_To_Name_Buffer (Buf); Set_Chars (Prev, Name_Find); end; Error_Msg_Node_1 := Prev; Error_Msg_SC ("unexpected identifier, possibly & was meant here"); Scan; end Merge_Identifier; ------------------- -- No_Constraint -- ------------------- procedure No_Constraint is begin if Token in Token_Class_Consk then Error_Msg_SC ("constraint not allowed here"); Discard_Junk_Node (P_Constraint_Opt); end if; end No_Constraint; -------------------- -- No_Right_Paren -- -------------------- function No_Right_Paren (Expr : Node_Id) return Node_Id is begin if Token = Tok_Right_Paren then Error_Msg_SC ("unexpected right parenthesis"); Resync_Expression; return Error; else return Expr; end if; end No_Right_Paren; --------------------- -- Pop_Scope_Stack -- --------------------- procedure Pop_Scope_Stack is begin pragma Assert (Scope.Last > 0); Scope.Decrement_Last; if Debug_Flag_P then Error_Msg_Uint_1 := UI_From_Int (Scope.Last); Error_Msg_SC ("decrement scope stack ptr, new value = ^!"); end if; end Pop_Scope_Stack; ---------------------- -- Push_Scope_Stack -- ---------------------- procedure Push_Scope_Stack is begin Scope.Increment_Last; if Style_Check_Max_Nesting_Level and then Scope.Last = Style_Max_Nesting_Level + 1 then Error_Msg ("(style) maximum nesting level exceeded", First_Non_Blank_Location); end if; Scope.Table (Scope.Last).Junk := False; Scope.Table (Scope.Last).Node := Empty; if Debug_Flag_P then Error_Msg_Uint_1 := UI_From_Int (Scope.Last); Error_Msg_SC ("increment scope stack ptr, new value = ^!"); end if; end Push_Scope_Stack; ---------------------- -- Separate_Present -- ---------------------- function Separate_Present return Boolean is Scan_State : Saved_Scan_State; begin if Token = Tok_Separate then return True; elsif Token /= Tok_Identifier then return False; else Save_Scan_State (Scan_State); Scan; -- past identifier if Token = Tok_Semicolon then Restore_Scan_State (Scan_State); return Bad_Spelling_Of (Tok_Separate); else Restore_Scan_State (Scan_State); return False; end if; end if; end Separate_Present; -------------------------- -- Signal_Bad_Attribute -- -------------------------- procedure Signal_Bad_Attribute is begin Error_Msg_N ("unrecognized attribute&", Token_Node); -- Check for possible misspelling Get_Name_String (Token_Name); declare AN : constant String := Name_Buffer (1 .. Name_Len); begin Error_Msg_Name_1 := First_Attribute_Name; while Error_Msg_Name_1 <= Last_Attribute_Name loop Get_Name_String (Error_Msg_Name_1); if Is_Bad_Spelling_Of (AN, Name_Buffer (1 .. Name_Len)) then Error_Msg_N ("\possible misspelling of %", Token_Node); exit; end if; Error_Msg_Name_1 := Error_Msg_Name_1 + 1; end loop; end; end Signal_Bad_Attribute; ----------------------------- -- Token_Is_At_End_Of_Line -- ----------------------------- function Token_Is_At_End_Of_Line return Boolean is S : Source_Ptr; begin -- Skip past blanks and horizontal tabs S := Scan_Ptr; while Source (S) = ' ' or else Source (S) = ASCII.HT loop S := S + 1; end loop; -- We are at end of line if at a control character (CR/LF/VT/FF/EOF) -- or if we are at the start of an end of line comment sequence. return Source (S) < ' ' or else (Source (S) = '-' and then Source (S + 1) = '-'); end Token_Is_At_End_Of_Line; ------------------------------- -- Token_Is_At_Start_Of_Line -- ------------------------------- function Token_Is_At_Start_Of_Line return Boolean is begin return (Token_Ptr = First_Non_Blank_Location or else Token = Tok_EOF); end Token_Is_At_Start_Of_Line; end Util;
31.495946
79
0.528897
d04476bc98fa8e65b3533f4afc5a1ed270be6cd7
5,541
adb
Ada
examples/zmq-examples-monitor.adb
persan/zeromq-Ada
651ca44cce831c2d717338eab65a60fbfca7ec44
[ "MIT" ]
33
2015-01-16T13:42:55.000Z
2021-11-30T21:28:50.000Z
examples/zmq-examples-monitor.adb
persan/zeromq-Ada
651ca44cce831c2d717338eab65a60fbfca7ec44
[ "MIT" ]
6
2016-03-23T01:26:36.000Z
2021-05-13T04:24:53.000Z
examples/zmq-examples-monitor.adb
persan/zeromq-Ada
651ca44cce831c2d717338eab65a60fbfca7ec44
[ "MIT" ]
5
2016-03-09T20:20:09.000Z
2020-06-17T06:59:39.000Z
------------------------------------------------------------------------------- -- Copyright (C) 2020-2030, per.s.sandberg@bahnhof.se -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files -- -- (the "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, sublicense, and / or sell copies of the Software, and to -- -- permit persons to whom the Software is furnished to do so, subject to -- -- the following conditions : -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, -- -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL -- -- THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR -- -- OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, -- -- ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR -- -- OTHER DEALINGS IN THE SOFTWARE. -- ------------------------------------------------------------------------------- with Ada.Text_IO; use Ada.Text_IO; with GNAT.Exception_Traces; with GNAT.Sockets; with GNAT.Traceback.Symbolic; with System.Address_To_Access_Conversions; with ZMQ.Contexts; with ZMQ.Low_Level; with ZMQ.Messages; with ZMQ.Sockets; procedure ZMQ.Examples.Monitor is use ZMQ.Sockets; -- Port : constant String := "inproc://Zmq.pp"; -- Port : constant String := "tcp://127.0.0.1:61113"; -- Port : constant String := "tcp://lo:61112"; S_Port : constant String := "epgm://192.168.0.101;239.168.1.1:5555"; C_Port : constant String := "epgm://192.168.0.101;239.168.1.1:5555"; Monitor_URL : constant String := "inproc://monitor.req"; task type Server_Type (Ctx : not null access ZMQ.Contexts.Context) is entry Done; entry Start; end Server_Type; task body Server_Type is S : ZMQ.Sockets.Socket; begin accept Start; S.Initialize (Ctx.all, Sockets.SUB); S.Connect (S_Port); S.Set_Message_Filter ("hej"); loop declare Query : ZMQ.Messages.Message; begin S.Recv (Query); Put_Line (Query.GetData); exit when Query.GetData = END_MESSAGE; end; end loop; S.DisConnect (S_Port); accept Done; end Server_Type; task type Client_Type (Ctx : not null access ZMQ.Contexts.Context) is entry Start; entry Started; entry Done; end Client_Type; task body Client_Type is S : Sockets.Socket; begin accept Start; S.Initialize (Ctx.all, Sockets.PUB); S.Set_Monitor (Monitor_URL, Sockets.EVENT_ALL); accept Started; S.Connect (C_Port); for I in 1 .. 5 loop S.Send ("hej" & ASCII.NUL & ":" & GNAT.Sockets.Host_Name & ":" & I'Img); S.Send ("hj" & ASCII.NUL & ":" & GNAT.Sockets.Host_Name & ":" & I'Img); delay 0.5; end loop; S.Send (END_MESSAGE); S.DisConnect (C_Port); delay 0.2; accept Done; end Client_Type; task type Monitor_Type (Ctx : not null access ZMQ.Contexts.Context) is entry Done; entry Start; end Monitor_Type; task body Monitor_Type is S : Sockets.Socket; E : aliased Low_Level.zmq_event_t; package Conv is new System.Address_To_Access_Conversions (Low_Level.Zmq_Event_T); begin accept Start; S.Initialize (Ctx.all, Sockets.PAIR); S.Connect (Monitor_URL); loop declare Msg : ZMQ.Messages.Message; begin S.Recv (Msg); E := Conv.To_Pointer (Msg.GetData).all; Ada.Text_IO.Put_Line ("Monitor" & E.event'Img); exit when Event_Type (E.event) = Sockets.EVENT_DISCONNECTED; end; end loop; accept Done; end Monitor_Type; Ctx_1 : aliased ZMQ.Contexts.Context; Ctx_2 : aliased ZMQ.Contexts.Context; Monitor : Monitor_Type (Ctx_1'Access); Server : Server_Type (Ctx_2'Access); Client : Client_Type (Ctx_1'Access); begin GNAT.Exception_Traces.Trace_On (GNAT.Exception_Traces.Every_Raise); GNAT.Exception_Traces.Set_Trace_Decorator (GNAT.Traceback.Symbolic.Symbolic_Traceback'Access); Ctx_1.Set_Number_Of_IO_Threads (2); Client.Start; delay 0.01; Monitor.Start; delay 0.01; Server.Start; delay 0.01; Client.Started; delay 0.01; Client.Done; delay 0.1; select Server.Done; or delay 0.2; Ada.Text_IO.Put_Line ("abort Server"); abort Server; end select; select Monitor.Done; or delay 0.2; Ada.Text_IO.Put_Line ("abort Monitor"); abort Monitor; end select; end ZMQ.Examples.Monitor;
34.203704
81
0.570114
c52403e5ddf85ccf7b2e91aa943e435a4d8ac79e
26,720
ads
Ada
gcc-gcc-7_3_0-release/gcc/ada/g-awk.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/ada/g-awk.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/ada/g-awk.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- G N A T . A W K -- -- -- -- S p e c -- -- -- -- Copyright (C) 2000-2015, AdaCore -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This is an AWK-like unit. It provides an easy interface for parsing one -- or more files containing formatted data. The file can be viewed seen as -- a database where each record is a line and a field is a data element in -- this line. In this implementation an AWK record is a line. This means -- that a record cannot span multiple lines. The operating procedure is to -- read files line by line, with each line being presented to the user of -- the package. The interface provides services to access specific fields -- in the line. Thus it is possible to control actions taken on a line based -- on values of some fields. This can be achieved directly or by registering -- callbacks triggered on programmed conditions. -- -- The state of an AWK run is recorded in an object of type session. -- The following is the procedure for using a session to control an -- AWK run: -- -- 1) Specify which session is to be used. It is possible to use the -- default session or to create a new one by declaring an object of -- type Session_Type. For example: -- -- Computers : Session_Type; -- -- 2) Specify how to cut a line into fields. There are two modes: using -- character fields separators or column width. This is done by using -- Set_Fields_Separators or Set_Fields_Width. For example by: -- -- AWK.Set_Field_Separators (";,", Computers); -- -- or by using iterators' Separators parameter. -- -- 3) Specify which files to parse. This is done with Add_File/Add_Files -- services, or by using the iterators' Filename parameter. For -- example: -- -- AWK.Add_File ("myfile.db", Computers); -- -- 4) Run the AWK session using one of the provided iterators. -- -- Parse -- This is the most automated iterator. You can gain control on -- the session only by registering one or more callbacks (see -- Register). -- -- Get_Line/End_Of_Data -- This is a manual iterator to be used with a loop. You have -- complete control on the session. You can use callbacks but -- this is not required. -- -- For_Every_Line -- This provides a mixture of manual/automated iterator action. -- -- Examples of these three approaches appear below -- -- There are many ways to use this package. The following discussion shows -- three approaches to using this package, using the three iterator forms. -- All examples will use the following file (computer.db): -- -- Pluton;Windows-NT;Pentium III -- Mars;Linux;Pentium Pro -- Venus;Solaris;Sparc -- Saturn;OS/2;i486 -- Jupiter;MacOS;PPC -- -- 1) Using Parse iterator -- -- Here the first step is to register some action associated to a pattern -- and then to call the Parse iterator (this is the simplest way to use -- this unit). The default session is used here. For example to output the -- second field (the OS) of computer "Saturn". -- -- procedure Action is -- begin -- Put_Line (AWK.Field (2)); -- end Action; -- -- begin -- AWK.Register (1, "Saturn", Action'Access); -- AWK.Parse (";", "computer.db"); -- -- -- 2) Using the Get_Line/End_Of_Data iterator -- -- Here you have full control. For example to do the same as -- above but using a specific session, you could write: -- -- Computer_File : Session_Type; -- -- begin -- AWK.Set_Current (Computer_File); -- AWK.Open (Separators => ";", -- Filename => "computer.db"); -- -- -- Display Saturn OS -- -- while not AWK.End_Of_File loop -- AWK.Get_Line; -- -- if AWK.Field (1) = "Saturn" then -- Put_Line (AWK.Field (2)); -- end if; -- end loop; -- -- AWK.Close (Computer_File); -- -- -- 3) Using For_Every_Line iterator -- -- In this case you use a provided iterator and you pass the procedure -- that must be called for each record. You could code the previous -- example could be coded as follows (using the iterator quick interface -- but without using the current session): -- -- Computer_File : Session_Type; -- -- procedure Action (Quit : in out Boolean) is -- begin -- if AWK.Field (1, Computer_File) = "Saturn" then -- Put_Line (AWK.Field (2, Computer_File)); -- end if; -- end Action; -- -- procedure Look_For_Saturn is -- new AWK.For_Every_Line (Action); -- -- begin -- Look_For_Saturn (Separators => ";", -- Filename => "computer.db", -- Session => Computer_File); -- -- Integer_Text_IO.Put -- (Integer (AWK.NR (Session => Computer_File))); -- Put_Line (" line(s) have been processed."); -- -- You can also use a regular expression for the pattern. Let us output -- the computer name for all computer for which the OS has a character -- O in its name. -- -- Regexp : String := ".*O.*"; -- -- Matcher : Regpat.Pattern_Matcher := Regpat.Compile (Regexp); -- -- procedure Action is -- begin -- Text_IO.Put_Line (AWK.Field (2)); -- end Action; -- -- begin -- AWK.Register (2, Matcher, Action'Unrestricted_Access); -- AWK.Parse (";", "computer.db"); -- with Ada.Finalization; with GNAT.Regpat; package GNAT.AWK is Session_Error : exception; -- Raised when a Session is reused but is not closed File_Error : exception; -- Raised when there is a file problem (see below) End_Error : exception; -- Raised when an attempt is made to read beyond the end of the last -- file of a session. Field_Error : exception; -- Raised when accessing a field value which does not exist Data_Error : exception; -- Raised when it is impossible to convert a field value to a specific type type Count is new Natural; type Widths_Set is array (Positive range <>) of Positive; -- Used to store a set of columns widths Default_Separators : constant String := " " & ASCII.HT; Use_Current : constant String := ""; -- Value used when no separator or filename is specified in iterators type Session_Type is limited private; -- This is the main exported type. A session is used to keep the state of -- a full AWK run. The state comprises a list of files, the current file, -- the number of line processed, the current line, the number of fields in -- the current line... A default session is provided (see Set_Current, -- Current_Session and Default_Session below). ---------------------------- -- Package initialization -- ---------------------------- -- To be thread safe it is not possible to use the default provided -- session. Each task must used a specific session and specify it -- explicitly for every services. procedure Set_Current (Session : Session_Type); -- Set the session to be used by default. This file will be used when the -- Session parameter in following services is not specified. function Current_Session return not null access Session_Type; -- Returns the session used by default by all services. This is the -- latest session specified by Set_Current service or the session -- provided by default with this implementation. function Default_Session return not null access Session_Type; -- Returns the default session provided by this package. Note that this is -- the session return by Current_Session if Set_Current has not been used. procedure Set_Field_Separators (Separators : String := Default_Separators; Session : Session_Type); procedure Set_Field_Separators (Separators : String := Default_Separators); -- Set the field separators. Each character in the string is a field -- separator. When a line is read it will be split by field using the -- separators set here. Separators can be changed at any point and in this -- case the current line is split according to the new separators. In the -- special case that Separators is a space and a tabulation -- (Default_Separators), fields are separated by runs of spaces and/or -- tabs. procedure Set_FS (Separators : String := Default_Separators; Session : Session_Type) renames Set_Field_Separators; procedure Set_FS (Separators : String := Default_Separators) renames Set_Field_Separators; -- FS is the AWK abbreviation for above service procedure Set_Field_Widths (Field_Widths : Widths_Set; Session : Session_Type); procedure Set_Field_Widths (Field_Widths : Widths_Set); -- This is another way to split a line by giving the length (in number of -- characters) of each field in a line. Field widths can be changed at any -- point and in this case the current line is split according to the new -- field lengths. A line split with this method must have a length equal or -- greater to the total of the field widths. All characters remaining on -- the line after the latest field are added to a new automatically -- created field. procedure Add_File (Filename : String; Session : Session_Type); procedure Add_File (Filename : String); -- Add Filename to the list of file to be processed. There is no limit on -- the number of files that can be added. Files are processed in the order -- they have been added (i.e. the filename list is FIFO). If Filename does -- not exist or if it is not readable, File_Error is raised. procedure Add_Files (Directory : String; Filenames : String; Number_Of_Files_Added : out Natural; Session : Session_Type); procedure Add_Files (Directory : String; Filenames : String; Number_Of_Files_Added : out Natural); -- Add all files matching the regular expression Filenames in the specified -- directory to the list of file to be processed. There is no limit on -- the number of files that can be added. Each file is processed in -- the same order they have been added (i.e. the filename list is FIFO). -- The number of files (possibly 0) added is returned in -- Number_Of_Files_Added. ------------------------------------- -- Information about current state -- ------------------------------------- function Number_Of_Fields (Session : Session_Type) return Count; function Number_Of_Fields return Count; pragma Inline (Number_Of_Fields); -- Returns the number of fields in the current record. It returns 0 when -- no file is being processed. function NF (Session : Session_Type) return Count renames Number_Of_Fields; function NF return Count renames Number_Of_Fields; -- AWK abbreviation for above service function Number_Of_File_Lines (Session : Session_Type) return Count; function Number_Of_File_Lines return Count; pragma Inline (Number_Of_File_Lines); -- Returns the current line number in the processed file. It returns 0 when -- no file is being processed. function FNR (Session : Session_Type) return Count renames Number_Of_File_Lines; function FNR return Count renames Number_Of_File_Lines; -- AWK abbreviation for above service function Number_Of_Lines (Session : Session_Type) return Count; function Number_Of_Lines return Count; pragma Inline (Number_Of_Lines); -- Returns the number of line processed until now. This is equal to number -- of line in each already processed file plus FNR. It returns 0 when -- no file is being processed. function NR (Session : Session_Type) return Count renames Number_Of_Lines; function NR return Count renames Number_Of_Lines; -- AWK abbreviation for above service function Number_Of_Files (Session : Session_Type) return Natural; function Number_Of_Files return Natural; pragma Inline (Number_Of_Files); -- Returns the number of files associated with Session. This is the total -- number of files added with Add_File and Add_Files services. function File (Session : Session_Type) return String; function File return String; -- Returns the name of the file being processed. It returns the empty -- string when no file is being processed. --------------------- -- Field accessors -- --------------------- function Field (Rank : Count; Session : Session_Type) return String; function Field (Rank : Count) return String; -- Returns field number Rank value of the current record. If Rank = 0 it -- returns the current record (i.e. the line as read in the file). It -- raises Field_Error if Rank > NF or if Session is not open. function Field (Rank : Count; Session : Session_Type) return Integer; function Field (Rank : Count) return Integer; -- Returns field number Rank value of the current record as an integer. It -- raises Field_Error if Rank > NF or if Session is not open. It -- raises Data_Error if the field value cannot be converted to an integer. function Field (Rank : Count; Session : Session_Type) return Float; function Field (Rank : Count) return Float; -- Returns field number Rank value of the current record as a float. It -- raises Field_Error if Rank > NF or if Session is not open. It -- raises Data_Error if the field value cannot be converted to a float. generic type Discrete is (<>); function Discrete_Field (Rank : Count; Session : Session_Type) return Discrete; generic type Discrete is (<>); function Discrete_Field_Current_Session (Rank : Count) return Discrete; -- Returns field number Rank value of the current record as a type -- Discrete. It raises Field_Error if Rank > NF. It raises Data_Error if -- the field value cannot be converted to type Discrete. -------------------- -- Pattern/Action -- -------------------- -- AWK defines rules like "PATTERN { ACTION }". Which means that ACTION -- will be executed if PATTERN match. A pattern in this implementation can -- be a simple string (match function is equality), a regular expression, -- a function returning a boolean. An action is associated to a pattern -- using the Register services. -- -- Each procedure Register will add a rule to the set of rules for the -- session. Rules are examined in the order they have been added. type Pattern_Callback is access function return Boolean; -- This is a pattern function pointer. When it returns True the associated -- action will be called. type Action_Callback is access procedure; -- A simple action pointer type Match_Action_Callback is access procedure (Matches : GNAT.Regpat.Match_Array); -- An advanced action pointer used with a regular expression pattern. It -- returns an array of all the matches. See GNAT.Regpat for further -- information. procedure Register (Field : Count; Pattern : String; Action : Action_Callback; Session : Session_Type); procedure Register (Field : Count; Pattern : String; Action : Action_Callback); -- Register an Action associated with a Pattern. The pattern here is a -- simple string that must match exactly the field number specified. procedure Register (Field : Count; Pattern : GNAT.Regpat.Pattern_Matcher; Action : Action_Callback; Session : Session_Type); procedure Register (Field : Count; Pattern : GNAT.Regpat.Pattern_Matcher; Action : Action_Callback); -- Register an Action associated with a Pattern. The pattern here is a -- simple regular expression which must match the field number specified. procedure Register (Field : Count; Pattern : GNAT.Regpat.Pattern_Matcher; Action : Match_Action_Callback; Session : Session_Type); procedure Register (Field : Count; Pattern : GNAT.Regpat.Pattern_Matcher; Action : Match_Action_Callback); -- Same as above but it pass the set of matches to the action -- procedure. This is useful to analyze further why and where a regular -- expression did match. procedure Register (Pattern : Pattern_Callback; Action : Action_Callback; Session : Session_Type); procedure Register (Pattern : Pattern_Callback; Action : Action_Callback); -- Register an Action associated with a Pattern. The pattern here is a -- function that must return a boolean. Action callback will be called if -- the pattern callback returns True and nothing will happen if it is -- False. This version is more general, the two other register services -- trigger an action based on the value of a single field only. procedure Register (Action : Action_Callback; Session : Session_Type); procedure Register (Action : Action_Callback); -- Register an Action that will be called for every line. This is -- equivalent to a Pattern_Callback function always returning True. -------------------- -- Parse iterator -- -------------------- procedure Parse (Separators : String := Use_Current; Filename : String := Use_Current; Session : Session_Type); procedure Parse (Separators : String := Use_Current; Filename : String := Use_Current); -- Launch the iterator, it will read every line in all specified -- session's files. Registered callbacks are then called if the associated -- pattern match. It is possible to specify a filename and a set of -- separators directly. This offer a quick way to parse a single -- file. These parameters will override those specified by Set_FS and -- Add_File. The Session will be opened and closed automatically. -- File_Error is raised if there is no file associated with Session, or if -- a file associated with Session is not longer readable. It raises -- Session_Error is Session is already open. ----------------------------------- -- Get_Line/End_Of_Data Iterator -- ----------------------------------- type Callback_Mode is (None, Only, Pass_Through); -- These mode are used for Get_Line/End_Of_Data and For_Every_Line -- iterators. The associated semantic is: -- -- None -- callbacks are not active. This is the default mode for -- Get_Line/End_Of_Data and For_Every_Line iterators. -- -- Only -- callbacks are active, if at least one pattern match, the associated -- action is called and this line will not be passed to the user. In -- the Get_Line case the next line will be read (if there is some -- line remaining), in the For_Every_Line case Action will -- not be called for this line. -- -- Pass_Through -- callbacks are active, for patterns which match the associated -- action is called. Then the line is passed to the user. It means -- that Action procedure is called in the For_Every_Line case and -- that Get_Line returns with the current line active. -- procedure Open (Separators : String := Use_Current; Filename : String := Use_Current; Session : Session_Type); procedure Open (Separators : String := Use_Current; Filename : String := Use_Current); -- Open the first file and initialize the unit. This must be called once -- before using Get_Line. It is possible to specify a filename and a set of -- separators directly. This offer a quick way to parse a single file. -- These parameters will override those specified by Set_FS and Add_File. -- File_Error is raised if there is no file associated with Session, or if -- the first file associated with Session is no longer readable. It raises -- Session_Error is Session is already open. procedure Get_Line (Callbacks : Callback_Mode := None; Session : Session_Type); procedure Get_Line (Callbacks : Callback_Mode := None); -- Read a line from the current input file. If the file index is at the -- end of the current input file (i.e. End_Of_File is True) then the -- following file is opened. If there is no more file to be processed, -- exception End_Error will be raised. File_Error will be raised if Open -- has not been called. Next call to Get_Line will return the following -- line in the file. By default the registered callbacks are not called by -- Get_Line, this can activated by setting Callbacks (see Callback_Mode -- description above). File_Error may be raised if a file associated with -- Session is not readable. -- -- When Callbacks is not None, it is possible to exhaust all the lines -- of all the files associated with Session. In this case, File_Error -- is not raised. -- -- This procedure can be used from a subprogram called by procedure Parse -- or by an instantiation of For_Every_Line (see below). function End_Of_Data (Session : Session_Type) return Boolean; function End_Of_Data return Boolean; pragma Inline (End_Of_Data); -- Returns True if there is no more data to be processed in Session. It -- means that the latest session's file is being processed and that -- there is no more data to be read in this file (End_Of_File is True). function End_Of_File (Session : Session_Type) return Boolean; function End_Of_File return Boolean; pragma Inline (End_Of_File); -- Returns True when there is no more data to be processed on the current -- session's file. procedure Close (Session : Session_Type); -- Release all associated data with Session. All memory allocated will -- be freed, the current file will be closed if needed, the callbacks -- will be unregistered. Close is convenient in reestablishing a session -- for new use. Get_Line is no longer usable (will raise File_Error) -- except after a successful call to Open, Parse or an instantiation -- of For_Every_Line. ----------------------------- -- For_Every_Line iterator -- ----------------------------- generic with procedure Action (Quit : in out Boolean); procedure For_Every_Line (Separators : String := Use_Current; Filename : String := Use_Current; Callbacks : Callback_Mode := None; Session : Session_Type); generic with procedure Action (Quit : in out Boolean); procedure For_Every_Line_Current_Session (Separators : String := Use_Current; Filename : String := Use_Current; Callbacks : Callback_Mode := None); -- This is another iterator. Action will be called for each new -- record. The iterator's termination can be controlled by setting Quit -- to True. It is by default set to False. It is possible to specify a -- filename and a set of separators directly. This offer a quick way to -- parse a single file. These parameters will override those specified by -- Set_FS and Add_File. By default the registered callbacks are not called -- by For_Every_Line, this can activated by setting Callbacks (see -- Callback_Mode description above). The Session will be opened and -- closed automatically. File_Error is raised if there is no file -- associated with Session. It raises Session_Error is Session is already -- open. private type Session_Data; type Session_Data_Access is access Session_Data; type Session_Type is new Ada.Finalization.Limited_Controlled with record Data : Session_Data_Access; Self : not null access Session_Type := Session_Type'Unchecked_Access; end record; procedure Initialize (Session : in out Session_Type); procedure Finalize (Session : in out Session_Type); end GNAT.AWK;
41.55521
79
0.636265
c532a5d3818844557e4746bbd40e2a1c2a4f212b
7,948
ads
Ada
llvm-gcc-4.2-2.9/gcc/ada/system-vxworks-m68k.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
llvm-gcc-4.2-2.9/gcc/ada/system-vxworks-m68k.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
llvm-gcc-4.2-2.9/gcc/ada/system-vxworks-m68k.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M -- -- -- -- S p e c -- -- (VxWorks version M68K) -- -- -- -- Copyright (C) 1992-2005 Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ package System is pragma Pure; -- Note that we take advantage of the implementation permission to make -- this unit Pure instead of Preelaborable; see RM 13.7.1(15). In Ada -- 2005, this is Pure in any case (AI-362). type Name is (SYSTEM_NAME_GNAT); System_Name : constant Name := SYSTEM_NAME_GNAT; -- System-Dependent Named Numbers Min_Int : constant := Long_Long_Integer'First; Max_Int : constant := Long_Long_Integer'Last; Max_Binary_Modulus : constant := 2 ** Long_Long_Integer'Size; Max_Nonbinary_Modulus : constant := Integer'Last; Max_Base_Digits : constant := Long_Long_Float'Digits; Max_Digits : constant := Long_Long_Float'Digits; Max_Mantissa : constant := 63; Fine_Delta : constant := 2.0 ** (-Max_Mantissa); Tick : constant := 1.0 / 60.0; -- Storage-related Declarations type Address is private; Null_Address : constant Address; Storage_Unit : constant := 8; Word_Size : constant := 32; Memory_Size : constant := 2 ** 32; -- Address comparison function "<" (Left, Right : Address) return Boolean; function "<=" (Left, Right : Address) return Boolean; function ">" (Left, Right : Address) return Boolean; function ">=" (Left, Right : Address) return Boolean; function "=" (Left, Right : Address) return Boolean; pragma Import (Intrinsic, "<"); pragma Import (Intrinsic, "<="); pragma Import (Intrinsic, ">"); pragma Import (Intrinsic, ">="); pragma Import (Intrinsic, "="); -- Other System-Dependent Declarations type Bit_Order is (High_Order_First, Low_Order_First); Default_Bit_Order : constant Bit_Order := High_Order_First; -- Priority-related Declarations (RM D.1) -- 256 is reserved for the VxWorks kernel -- 248 - 255 correspond to hardware interrupt levels 0 .. 7 -- 247 is a catchall default "interrupt" priority for signals, -- allowing higher priority than normal tasks, but lower than -- hardware priority levels. Protected Object ceilings can -- override these values. -- 246 is used by the Interrupt_Manager task Max_Priority : constant Positive := 245; Max_Interrupt_Priority : constant Positive := 255; subtype Any_Priority is Integer range 0 .. 255; subtype Priority is Any_Priority range 0 .. 245; subtype Interrupt_Priority is Any_Priority range 246 .. 255; Default_Priority : constant Priority := 122; private type Address is mod Memory_Size; Null_Address : constant Address := 0; -------------------------------------- -- System Implementation Parameters -- -------------------------------------- -- These parameters provide information about the target that is used -- by the compiler. They are in the private part of System, where they -- can be accessed using the special circuitry in the Targparm unit -- whose source should be consulted for more detailed descriptions -- of the individual switch values. AAMP : constant Boolean := False; Backend_Divide_Checks : constant Boolean := False; Backend_Overflow_Checks : constant Boolean := False; Command_Line_Args : constant Boolean := False; Compiler_System_Version : constant Boolean := False; Configurable_Run_Time : constant Boolean := False; Denorm : constant Boolean := True; Duration_32_Bits : constant Boolean := False; Exit_Status_Supported : constant Boolean := True; Fractional_Fixed_Ops : constant Boolean := False; Frontend_Layout : constant Boolean := False; Functions_Return_By_DSP : constant Boolean := False; Machine_Overflows : constant Boolean := False; Machine_Rounds : constant Boolean := True; OpenVMS : constant Boolean := False; Preallocated_Stacks : constant Boolean := False; Signed_Zeros : constant Boolean := False; Stack_Check_Default : constant Boolean := False; Stack_Check_Probes : constant Boolean := False; Support_64_Bit_Divides : constant Boolean := True; Support_Aggregates : constant Boolean := True; Support_Composite_Assign : constant Boolean := True; Support_Composite_Compare : constant Boolean := True; Support_Long_Shifts : constant Boolean := True; Suppress_Standard_Library : constant Boolean := False; Use_Ada_Main_Program_Name : constant Boolean := True; ZCX_By_Default : constant Boolean := False; GCC_ZCX_Support : constant Boolean := False; Front_End_ZCX_Support : constant Boolean := False; -- Obsolete entries, to be removed eventually (bootstrap issues!) High_Integrity_Mode : constant Boolean := False; Long_Shifts_Inlined : constant Boolean := False; end System;
49.061728
78
0.562154
cb37363cc42d8c3523fdbe3f98b0cb8db50c3187
53,482
adb
Ada
linear_algebra/test_matrices.adb
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
30
2018-12-09T01:15:04.000Z
2022-03-20T16:14:54.000Z
linear_algebra/test_matrices.adb
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
null
null
null
linear_algebra/test_matrices.adb
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
null
null
null
--------------------------------------------------------------------------- -- package body Test_Matrices -- Copyright (C) 2008-2018 Jonathan S. Parker. -- -- Permission to use, copy, modify, and/or distribute this software for any -- purpose with or without fee is hereby granted, provided that the above -- copyright notice and this permission notice appear in all copies. -- THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES -- WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF -- MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR -- ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES -- WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN -- ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF -- OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. --------------------------------------------------------------------------- with Ada.Numerics.Discrete_Random; --with Ada.Numerics.Generic_Elementary_Functions; --with Ada.Numerics; package body Test_Matrices is Zero : constant Real := +0.0; Half : constant Real := +0.5; One : constant Real := +1.0; Two : constant Real := +2.0; Gamma : constant Real := +0.57721_56649_01532_86060_65120_90082_40243_10421_59335_93992; Max_Allowed_Real : constant Real := Two ** (Real'Machine_Emax - 20); --package Math is new Ada.Numerics.Generic_Elementary_Functions(Real); --use Math; -- for Random nums: type Unsigned32 is mod 2**32; package Discrete_32_bit is new Ada.Numerics.Discrete_Random (Unsigned32); Random_Stream_id : Discrete_32_bit.Generator; type Bits_per_Ran is range 1 .. 32; ---------------- -- Symmetrize -- ---------------- procedure Symmetrize (A : in out Matrix) is Result : Matrix; begin for r in Index loop for c in Index loop Result(r,c) := 0.5 * (A(r,c) + A(c,r)); end loop; end loop; A := Result; end Symmetrize; --------------- -- Transpose -- --------------- procedure Transpose (A : in out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is tmp : Real; begin for r in Starting_Index .. Max_Index-1 loop for c in r+1 .. Max_Index loop tmp := A(r, c); A(r, c) := A(c, r); A(c, r) := tmp; end loop; end loop; end Transpose; ----------------- -- Real_Random -- ----------------- -- if you use No_of_Bits = 1, then get 0.5 and 0.0. -- if you use No_of_Bits = 2, then get 0.75, 0.5, 0.25, 0.0. function Real_Random (No_of_Bits : Bits_per_Ran) return Real is N : constant Integer := Integer (No_of_Bits); begin return Two**(-N) * Real (Discrete_32_bit.Random (Random_Stream_id) / 2**(32-N)); end Real_Random; function "+"(M : Matrix; Right : Real) return Matrix is Result : Matrix; begin for r in Index loop for c in Index loop Result(r, c) := M(r, c) + Right; end loop; end loop; return Result; end "+"; ---------------- -- Get_Zielke -- ---------------- procedure Get_Zielke (M : out Matrix; Starting_Index : in Index; Max_Index : in Index; Z : in Real) is x : constant Real := One; y : constant Real := Two; Start : constant Index'Base := Starting_Index; Finish : constant Index'Base := Max_Index; M_Order : constant Real := Real (Finish) - Real (Start) + One; Test : Real; begin for i in Start .. Finish loop for j in Start .. Finish loop Test := (Real (i) - Real (Start) + One) + (Real (j) - Real (Start) + One); if i = j then if Test <= M_Order then M(i, j) := x + y + z; elsif Test < Two*M_Order then M(i, j) := x + z; else M(i, j) := x - y + z; end if; else if Test <= M_Order then M(i, j) := x + y; else M(i, j) := x; end if; end if; end loop; end loop; end Get_Zielke; --------------------- -- Get_Companion_B -- --------------------- -- Eigvals are zeros of poly w/ coefficients in 1st Col: -- -- P(x) = x**n + C(1)*x^(n-1) + .. . + C(n-1)*x^1 + C(n)*x^0 -- -- where -- -- M(i, Starting_Index) = -C(1+i-Starting_Index) -- -- with -- -- i in Starting_Index+0 .. Starting_Index+(n-1) procedure Get_Companion_B (M : out Matrix; Starting_Index : in Index; Max_Index : in Index; B : in Real := 1.1892071150027210667175) -- Sqrt_of_Sqrt_of_2 is Start_I : constant Integer := Integer (Starting_Index); Exp : Integer; Pow : constant := 1; -- 1 is stronger than 3. --Sqrt_of_2 : constant := 1.4142135623730950488017; begin M := (others => (others => Zero)); for Row in Starting_Index .. Max_Index-1 loop M(Row, Row+1) := One; end loop; for Row in Starting_Index .. Max_Index loop Exp := Integer'Min (Integer(Row) - Start_I, Real'Machine_Emax/Pow-9); M(Row, Starting_Index) := Two - B ** Exp; end loop; end Get_Companion_B; -------------------------- -- Get_Pas_Fib_Rescaled -- -------------------------- procedure Get_Pas_Fib_Rescaled (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is M_max, Scale_Factor : Real; --Exp : constant Integer := Real'Machine_Emin; -- roughly -1010 usually --Smallest_Allowed_Real : constant Real := Two**(Exp/2 - Exp/8); begin M := (others => (others => One)); if Integer (Max_Index) - Integer (Starting_Index) > 0 then for Row in Starting_Index+1 .. Max_Index loop M_max := Zero; for Col in Starting_Index+1 .. Max_Index loop M(Row, Col) := M(Row-1, Col-1) + M(Row-1, Col); if abs M(Row, Col) > M_max then M_max := abs M(Row, Col); end if; end loop; -- M_max gets larger each step. -- Scale the Matrix to peak of about 1: if M_max > Max_Allowed_Real then Scale_Factor := One / M_max; for r in Starting_Index .. Max_Index loop for c in Starting_Index .. Max_Index loop M(r, c) := Scale_Factor * M(r, c); end loop; end loop; M_max := One; end if; end loop; end if; -- for r in M'Range(1) loop -- for c in M'Range(2) loop -- if Abs M(r,c) < Smallest_Allowed_Real * M_max then -- M(r,c) := Smallest_Allowed_Real * M_max; -- end if; -- end loop; -- end loop; end Get_Pas_Fib_Rescaled; ------------------------- -- Get_Pascal_Rescaled -- ------------------------- procedure Get_Pascal_Rescaled (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is M_max, Scale_Factor : Real; --Exp : constant Integer := Real'Machine_Emin; -- roughly -1010 usually --Smallest_Allowed_Real : constant Real := Two**(Exp/2 - Exp/8); begin M := (others => (others => Zero)); for Col in Index loop M(Col, Col) := One; end loop; for Row in Starting_Index .. Max_Index loop M(Row, Starting_Index) := One; end loop; if Integer (Max_Index) - Integer (Starting_Index) > 1 then for Row in Starting_Index+2 .. Max_Index loop M_max := Zero; for Col in Starting_Index+1 .. Row-1 loop M(Row, Col) := (M(Row-1, Col-1) + M(Row-1, Col)); if abs M(Row, Col) > M_max then M_max := abs M(Row, Col); end if; end loop; -- rescale the whole Matrix: if M_max > Max_Allowed_Real then Scale_Factor := One / M_max; for r in Starting_Index .. Max_Index loop for c in Starting_Index .. Max_Index loop M(r, c) := Scale_Factor * M(r, c); end loop; end loop; M_max := One; end if; end loop; end if; -- for r in M'Range(1) loop -- for c in M'Range(2) loop -- if Abs M(r,c) < Smallest_Allowed_Real * M_max then -- M(r,c) := Smallest_Allowed_Real * M_max; -- end if; -- end loop; -- end loop; end Get_Pascal_Rescaled; ----------------- -- Get_Redheff -- ----------------- procedure Get_Redheff (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is function I_divides_J (I, J : Integer) return Boolean is begin if (J / I) * I = J then return True; else return False; end if; end I_divides_J; i, j : Integer; begin M := (others => (others => Zero)); for r in Starting_Index .. Max_Index loop for c in Starting_Index .. Max_Index loop i := Integer (c) - Integer (Starting_Index) + 1; j := Integer (r) - Integer (Starting_Index) + 1; if I_divides_J (i, j) or j = 1 then M(r, c) := One; else M(r, c) := Zero; end if; end loop; end loop; end Get_Redheff; ------------------ -- Get_Sampling -- ------------------ procedure Get_Sampling (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last; Basic : in Boolean := True) is Denom, Sum : Real; X : array (Index) of Real := (others => Zero); Half_Way : constant Integer := (Integer(Max_Index) - Integer(Starting_Index)) / 2; Emin : constant Integer := Real'Machine_Emin; Min_Allowed_Real : constant Real := Two ** (Emin - Emin/16); begin M := (others => (others => Zero)); if Basic then for i in Starting_Index .. Max_Index loop X(i) := Real (i) - Real (Starting_Index) + One; end loop; else X(Starting_Index) := Two ** Integer'Min (Half_Way, Abs (Emin) - 2); for i in Starting_Index+1 .. Max_Index loop if X(i-1) > Min_Allowed_Real then X(i) := X(i-1) * Half; else X(i) := X(i-1) * 701.0; -- prevent 0's in extreme limit end if; end loop; end if; for r in Starting_Index .. Max_Index loop for c in Starting_Index .. Max_Index loop Denom := X(r) - X(c); if Abs Denom < Min_Allowed_Real then Denom := Min_Allowed_Real; end if; if r /= c then M(r, c) := X(r) / Denom; end if; end loop; end loop; for c in Starting_Index .. Max_Index loop Sum := Zero; for r in Starting_Index .. Max_Index loop if r /= c then Sum := Sum + M(r, c); end if; end loop; M(c, c) := Sum; end loop; end Get_Sampling; ------------------ -- Get_Laguerre -- ------------------ -- Eig(i) = (-1)**(i-1) / (i-1)! -- Upper triangular(???), so Eigs are just diagonal elements. -- Notice the TRANSPOSE at end procedure Get_Laguerre (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is --N : Index'Base := Max_Index - Starting_Index + 1; --Scale : Real := Two ** (- Integer(N) / 16); i, j : Integer; begin M := (others => (others => Zero)); M(Starting_Index, Starting_Index) := One; if Max_Index = Starting_Index then return; end if; M(Starting_Index+1, Starting_Index) := One; M(Starting_Index+1, Starting_Index+1) := -One; if Max_Index = Starting_Index+1 then return; end if; for r in Starting_Index+2 .. Max_Index loop for c in Starting_Index .. Max_Index loop i := Integer (r) - Integer (Starting_Index) + 1; j := Integer (c) - Integer (Starting_Index) + 1; if j = 1 then M(r,c) := (Real (2*i - 3) * M(r-1,c) - Real ( i - 2) * M(r-2,c)) / Real ( i - 1); else M(r,c) := (Real (2*i - 3) * M(r-1,c) - M(r-1,c-1) - Real ( i - 2) * M(r-2,c)) / Real ( i - 1); end if; --if Abs M(r,c) < Two ** (Real'Machine_Emin - Real'Machine_Emin / 8) then -- M(r,c) := Zero; --end if; end loop; end loop; Transpose (M); end Get_Laguerre; ---------------- -- Get_Lotkin -- ---------------- procedure Get_Lotkin (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is i, j : Integer; Denominator : Real; Prime_Factors : constant Real := (+166966608033225.0)*(+580027.0) * Two**(-68); begin M := (others => (others => Zero)); -- Prime_Factors=3.0*3.0*3.0*5.0*5.0*7.0*11.0*13.0*17.0*19.0*23.0*29.0*31.0*37.0; -- so Prime_Factors / D is exactly represented in 15 digit floating point -- up to D = 39 (allowing an 20x20 matrix). Prime_Factors = 166966608033225.0 -- Prime_Factors := +166966608033225.0 * Two**(-47); -- bring it near to One for r in Starting_Index .. Index'Last loop for c in Starting_Index .. Index'Last loop i := Integer (r) - Integer (Starting_Index) + 1; j := Integer (c) - Integer (Starting_Index) + 1; Denominator := Real(i + j) - One; M(r, c) := Prime_Factors / Denominator; end loop; end loop; for r in Starting_Index .. Max_Index loop M(r, Starting_Index) := One; end loop; end Get_Lotkin; ----------------- -- Get_Hilbert -- ----------------- procedure Get_Hilbert (M : out Matrix; Starting_Index : in Index := Index'First) is i, j : Integer; Denominator : Real; Prime_Factors : constant Real := (+166966608033225.0)*(+580027.0) * Two**(-68); begin M := (others => (others => Zero)); -- Prime_Factors=3.0*3.0*3.0*5.0*5.0*7.0*11.0*13.0*17.0*19.0*23.0*29.0*31.0*37.0; -- so Prime_Factors / D is exactly represented in 15 digit floating point -- up to D = 39 (allowing an 20x20 matrix). Prime_Factors = 166966608033225.0 -- Prime_Factors := +166966608033225.0 * Two**(-47); -- bring it near to One for r in Starting_Index .. Index'Last loop for c in Starting_Index .. Index'Last loop i := Integer (r) - Integer (Starting_Index) + 1; j := Integer (c) - Integer (Starting_Index) + 1; Denominator := Real(i + j) - One; M(r, c) := Prime_Factors / Denominator; end loop; end loop; end Get_Hilbert; ------------------- -- Get_Ding_Dong -- ------------------- procedure Get_Ding_Dong (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is i, j : Integer; Denominator : Real; begin M := (others => (others => Zero)); for r in Starting_Index .. Index'Last loop for c in Starting_Index .. Index'Last loop i := Integer (r) - Integer (Starting_Index) + 1; j := Integer (c) - Integer (Starting_Index) + 1; -- Can use Prime_Factors := 166966608033225.0 * Two**(-47) to -- bring it near exact integer elements, but lose the Pi valued eigs. Denominator := (Real (Max_Index) - Real (Starting_Index) + One) - Real (i + j) + 1.5; M(r, c) := One / Denominator; end loop; end loop; end Get_Ding_Dong; ----------------- -- Get_Gregory -- ----------------- procedure Get_Gregory (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is i, j : Integer; begin M := (others => (others => Zero)); for r in Starting_Index .. Max_Index loop for c in Starting_Index .. Max_Index loop i := Integer (r) - Integer (Starting_Index) + 1; j := Integer (c) - Integer (Starting_Index) + 1; if r = Max_Index then M(r,c) := Real (j); elsif c = Max_Index then M(r,c) := Real (i); elsif r = c then M(r,c) := One; end if; end loop; end loop; end Get_Gregory; --------------- -- Get_Chow3 -- --------------- -- full rather than lower Hessenberg. Non-Symmetric. procedure Get_Chow3 (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last; Alpha : in Real := Gamma) -- 1.0 is harder is i_r, j_c : Integer; begin M := (others => (others => Zero)); -- lower Hessenberg (r+1 >= c) for r in Starting_Index .. Max_Index loop for c in Starting_Index .. Max_Index loop i_r := Integer (r) - Integer (Starting_Index) + 1; j_c := Integer (c) - Integer (Starting_Index) + 1; M(r, c) := Alpha ** (i_r + 1 - j_c); if Abs M(r,c) < Two ** (Real'Machine_Emin - Real'Machine_Emin / 8) then M(r, c) := Zero; end if; end loop; end loop; declare Beta : constant Real := Zero; begin for r in Starting_Index .. Max_Index loop M(r, r) := M(r, r) + Beta; end loop; end; end Get_Chow3; -------------- -- Get_Chow -- -------------- -- Described by T S Chow; has eigs 4*alpha*cos(k*pi/(n+2))^2 -- and Floor [N / 2] zeros (if no diagonal addends). procedure Get_Chow (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last; Alpha : in Real := One; Beta : in Real := Zero) is i, j : Integer; begin M := (others => (others => Zero)); -- lower Hessenberg (r+1 >= c) for c in Starting_Index .. Max_Index loop for r in Starting_Index .. Max_Index loop i := Integer (r) - Integer (Starting_Index) + 1; j := Integer (c) - Integer (Starting_Index) + 1; if i + 1 >= j then M(r, c) := Alpha ** (i + 1 - j); end if; if Abs M(r,c) < Two ** (Real'Machine_Emin - Real'Machine_Emin / 8) then M(r, c) := Zero; end if; end loop; end loop; for r in Starting_Index .. Max_Index loop M(r, r) := M(r, r) + Beta; --M(r, r) := M(r, r) + 2.0**32; end loop; end Get_Chow; ---------------- -- Get_Lehmer -- ---------------- procedure Get_Lehmer (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is i, j : Integer; begin M := (others => (others => Zero)); for r in Starting_Index .. Max_Index loop for c in Starting_Index .. Max_Index loop i := Integer (r) - Integer (Starting_Index) + 1; j := Integer (c) - Integer (Starting_Index) + 1; M(r, c) := Real (Integer'Min (i, j)) / Real (Integer'Max (i, j)); end loop; end loop; end Get_Lehmer; -------------- -- Get_Lesp -- -------------- -- From John Burkardt: -- -- The eigenvalues are real, and smoothly distributed in [-2*N-3.5, -4.5]. -- -- The eigenvalues are sensitive. -- -- The matrix is similar to the symmetric tridiagonal matrix with -- the same diagonal entries and with off-diagonal entries 1, -- via a similarity transformation using the diagonal matrix -- D = diagonal ( 1!, 2!, .. ., N! ). -- procedure Get_Lesp (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is i, j : Integer; begin M := (others => (others => Zero)); for r in Starting_Index .. Max_Index loop for c in Starting_Index .. Max_Index loop i := Integer (r) - Integer (Starting_Index) + 1; j := Integer (c) - Integer (Starting_Index) + 1; if (i - j) = 1 then M(r, c) := One / Real (i); elsif i = j then M(r, c) := -Real (2*i + 3); elsif (i - j) = -1 then M(r, c) := Real (j); else M(r, c) := Zero; end if; end loop; end loop; Transpose (M); end Get_Lesp; ---------------- -- Get_Trench -- ---------------- procedure Get_Trench (M : out Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last; Alpha : in Real) is i, j : Integer; begin M := (others => (others => Zero)); for r in Starting_Index .. Max_Index loop for c in Starting_Index .. Max_Index loop i := Integer (r) - Integer (Starting_Index) + 1; j := Integer (c) - Integer (Starting_Index) + 1; if i = j then M(r, c) := Alpha; else M(r, c) := Two ** (-Abs (i - j) - 1); end if; end loop; end loop; end Get_Trench; ----------------- -- Init_Matrix -- ----------------- procedure Init_Matrix (M : out Matrix; Desired_Matrix : in Matrix_Id := Easy_Matrix; Starting_Index : in Index := Index'First; Max_Index : in Index := Index'Last) is A, OffFactor : Real; begin if Max_Index <= Starting_Index then raise Constraint_Error with "Can't have Max_Index <= Starting_Index"; end if; M := (others => (others => Zero)); --essential init. --the 0.0 is essential. case Desired_Matrix is when Laguerre => Get_Laguerre (M, Starting_Index, Max_Index); when Lesp => Get_Lesp (M, Starting_Index, Max_Index); when Lehmer => Get_Lehmer (M, Starting_Index, Max_Index); when Chow => Get_Chow (M, Starting_Index, Max_Index, One, Zero); when Chow1 => Get_Chow (M, Starting_Index, Max_Index, -1.05, Zero); when Chow2 => Get_Chow (M, Starting_Index, Max_Index, Gamma, -One); when Chow3 => Get_Chow3 (M, Starting_Index, Max_Index, 1.05); when Redheff => Get_Redheff (M, Starting_Index, Max_Index); when Sampling => Get_Sampling (M, Starting_Index, Max_Index); when Sampling_1 => Get_Sampling (M, Starting_Index, Max_Index, False); when Gregory => Get_Gregory (M, Starting_Index, Max_Index); when Anti_Hadamard_Upper_Tri => M := (others => (others => Zero)); --essential init. for Col in Index'First+1 .. Index'Last loop for Row in Index'First .. Col-1 loop if ((Integer(Col) + Integer(Row)) mod 2) = 1 then M(Row, Col) := One; else M(Row, Col) := Zero; end if; end loop; end loop; for Col in Index loop M(Col, Col) := One; end loop; M := M + Matrix_Addend; when Anti_Hadamard_Lower_Tri => M := (others => (others => Zero)); --essential init. for Col in Index'First .. Index'Last-1 loop for Row in Col+1 .. Index'Last loop if ((Integer(Col) + Integer(Row)) mod 2) = 1 then M(Row, Col) := One; else M(Row, Col) := Zero; end if; end loop; end loop; for Col in Index loop M(Col, Col) := One; end loop; M := M + Matrix_Addend; when Symmetric_Banded => OffFactor := 2.101010101; for I in Index loop M(I, I) := One; end loop; declare Col : Index; begin for BottomDiagonal in Starting_Index+1 .. Index'Last loop for Row in BottomDiagonal .. Index'Last loop Col := Index (Integer(Row) - Integer(BottomDiagonal) + Integer(Starting_Index)); M(Row, Col) := OffFactor * (Real(BottomDiagonal) - Real(Starting_Index) + 1.0); end loop; end loop; end; for Row in Starting_Index+1 .. Index'Last loop for Col in Starting_Index .. Row-1 loop M(Col, Row) := M(Row, Col); end loop; end loop; when Easy_Matrix => OffFactor := 0.10101010101; for I in Index loop M(I, I) := 1.010101010101; end loop; declare Col : Index; begin for BottomDiagonal in Starting_Index+1 .. Index'Last loop for Row in BottomDiagonal .. Index'Last loop Col := Index (Integer(Row) - Integer(BottomDiagonal) + Integer(Starting_Index)); M(Row, Col) := 0.031 * (Real(Row) - Real(Starting_Index) + One) / Real(Max_Index) + OffFactor / (Real(BottomDiagonal) - Real(Starting_Index)); end loop; end loop; end; for Row in Starting_Index+1 .. Index'Last loop for Col in Starting_Index .. Row-1 loop M(Col, Row) := M(Row, Col) + 0.333; end loop; end loop; when Small_Diagonal => OffFactor := 101010.01; for I in Index loop M(I, I) := 0.01010101010101010101; end loop; declare Col : Index; begin for BottomDiagonal in Starting_Index+1 .. Index'Last loop for Row in BottomDiagonal .. Index'Last loop Col := Index (Integer(Row) - Integer(BottomDiagonal) + Integer(Starting_Index)); M(Row, Col) := 0.013 * (Real(Row) - Real(Starting_Index) + 1.0) / Real(Max_Index) + OffFactor / (Real(BottomDiagonal) - Real (Starting_Index)); end loop; end loop; end; for Row in Starting_Index+1 .. Index'Last loop for Col in Starting_Index .. Row-1 loop M(Col, Row) := M(Row, Col) + 0.333; -- the 333 illconditions it end loop; end loop; when Pascal_Col_Scaled => Get_Pascal_Rescaled (M, Starting_Index, Max_Index); declare Max, Scaling : Real; Exp : Integer; Col_Norm : array(Index) of Real; begin for Col in Starting_Index .. Max_Index loop Max := Zero; for Row in Starting_Index .. Max_Index loop if not M(Row, Col)'Valid then raise constraint_error; end if; if Abs M(Row, Col) > Max then Max := Abs M(Row, Col); end if; end loop; Col_Norm(Col) := Max; end loop; for Col in Starting_Index .. Max_Index loop Exp := Real'Exponent (Col_Norm(Col)); if Exp < Real'Machine_Emin then Exp := Real'Machine_Emin + 16; elsif Exp > Real'Machine_Emax then Exp := Real'Machine_Emax - 16; end if; Scaling := Two ** (-Exp); for Row in Starting_Index .. Max_Index loop M(Row, Col) := M(Row, Col) * Scaling; end loop; end loop; end; M := M + Matrix_Addend; when Pascal_Row_Scaled => Get_Pascal_Rescaled (M, Starting_Index, Max_Index); declare Max, Scaling : Real; Exp : Integer; Row_Norm : array(Index) of Real := (others => Zero); begin for Row in Starting_Index .. Max_Index loop Max := Zero; for Col in Starting_Index .. Max_Index loop if not M(Row, Col)'Valid then raise constraint_error; end if; if Abs M(Row, Col) > Max then Max := Abs M(Row, Col); end if; end loop; Row_Norm(Row) := Max; end loop; for Row in Starting_Index .. Max_Index loop Exp := Real'Exponent (Row_Norm(Row)); if Exp < Real'Machine_Emin then Exp := Real'Machine_Emin + 16; elsif Exp > Real'Machine_Emax then Exp := Real'Machine_Emax - 16; end if; Scaling := Two ** (-Exp); for Col in Starting_Index .. Max_Index loop M(Row, Col) := M(Row, Col) * Scaling; end loop; end loop; end; M := M + Matrix_Addend; when Pas_Fib => Get_Pas_Fib_Rescaled (M, Starting_Index, Max_Index); when Pascal_Symmetric => Get_Pascal_Rescaled (M, Starting_Index, Max_Index); for Row in Starting_Index .. Max_Index-1 loop for Col in Row+1 .. Max_Index loop M(Row, Col) := M(Col, Row); end loop; end loop; when Pascal => Get_Pascal_Rescaled (M, Starting_Index, Max_Index); M := M + Matrix_Addend; when Forsythe_Symmetric => M := (others => (others => Zero)); A := Two**(-8); for I in Index loop M(I, I) := A; end loop; for Col in Starting_Index+1 .. Index'Last loop M(Col, Col-1) := One; end loop; for Col in Starting_Index+1 .. Index'Last loop M(Col-1, Col) := One; end loop; M(Index'First, Max_Index) := One; M(Max_Index, Index'First) := One; when Forsythe_0 => M := (others => (others => Zero)); A := Zero; for I in Index loop M(I, I) := A; end loop; for Col in Starting_Index+1 .. Index'Last loop M(Col-1, Col) := One; end loop; M(Max_Index, Starting_Index) := One; when Forsythe_1 => M := (others => (others => Zero)); A := Two**(0); for I in Index loop M(I, I) := A; end loop; for Col in Starting_Index+1 .. Index'Last loop M(Col-1, Col) := One; end loop; M(Max_Index, Starting_Index) := One; when Zero_Diagonal => M := (others => (others => Zero)); --for I in Index loop -- M(I, I) := Two**(-63); --end loop; for Col in Starting_Index+1 .. Index'Last loop M(Col-1, Col) := One; end loop; for Col in Starting_Index .. Index'Last-1 loop M(Col+1, Col) := One; end loop; when Ring_Adjacency_0 => M := (others => (others => Zero)); M(Starting_Index, Max_Index) := One; M(Max_Index, Starting_Index) := One; for Col in Starting_Index+1 .. Max_Index loop M(Col-1, Col) := One; end loop; for Col in Starting_Index .. Max_Index-1 loop M(Col+1, Col) := One; end loop; when Ring_Adjacency_1 => M := (others => (others => Zero)); M(Starting_Index, Max_Index) := One; M(Max_Index, Starting_Index) := -One; for Col in Starting_Index+1 .. Max_Index loop M(Col-1, Col) := One; end loop; for Col in Starting_Index .. Max_Index-1 loop M(Col+1, Col) := One; end loop; when Wilkinson_Plus_2I => declare Mid : constant Real := Half * (Real (Max_Index) - Real (Starting_Index) + One); begin for I in Index loop M(I, I) := Abs (Mid - (Real (I) - Real (Starting_Index))) + Two; end loop; end; for Col in Index'First+1 .. Index'Last loop M(Col-1, Col) := One; end loop; for Col in Index'First .. Index'Last-1 loop M(Col+1, Col) := One; end loop; when Wilkinson_Plus => declare Mid : constant Real := Half * (Real (Max_Index) - Real (Starting_Index) + One); begin for I in Index loop M(I, I) := Abs (Mid - (Real (I) - Real (Starting_Index))); end loop; end; for Col in Index'First+1 .. Index'Last loop M(Col-1, Col) := One; end loop; for Col in Index'First .. Index'Last-1 loop M(Col+1, Col) := One; end loop; when Wilkinson_Minus => declare Mid : constant Real := Half * (Real (Max_Index) - Real (Starting_Index) + One); begin for I in Index loop M(I, I) := Mid - (Real (I) - Real (Starting_Index)); end loop; end; for Col in Index'First+1 .. Index'Last loop M(Col-1, Col) := One; end loop; for Col in Index'First .. Index'Last-1 loop M(Col+1, Col) := One; end loop; when QR_Test => for Col in Starting_Index+1 .. Index'Last loop M(Col-1, Col) := One; end loop; for Col in Starting_Index .. Index'Last-1 loop M(Col+1, Col) := One; end loop; if Index'Last > Starting_Index+1 then for i in Starting_Index .. Index'Last-1 loop if (Integer (i) - Integer (Starting_Index)) mod 4 = 1 then M(i, i+1) := -320.0 * Real'Epsilon; M(i+1, i) := 320.0 * Real'Epsilon; end if; end loop; end if; when Upper_Ones => for Row in Starting_Index .. Index'Last loop for Col in Row .. Index'Last loop M(Row, Col) := One; end loop; end loop; for Col in Starting_Index .. Index'Last loop M(Col, Col) := One; end loop; M := M + Matrix_Addend; when Lower_Ones => for Row in Starting_Index .. Index'Last loop for Col in Row .. Index'Last loop M(Col, Row) := One; end loop; end loop; for Col in Starting_Index .. Index'Last loop M(Col, Col) := One; end loop; M := M + Matrix_Addend; when Lower_Integers => for Row in Starting_Index .. Index'Last loop for Col in Row .. Index'Last loop M(Col, Row) := One; end loop; end loop; for Col in Starting_Index .. Index'Last loop M(Col, Col) := Real (Col) - Real (Starting_Index) + One; end loop; M := M + Matrix_Addend; when Zero_Cols_and_Rows => M := (others => (others => Zero)); if Real (Starting_Index) + Two <= Real (Max_Index) then for Row in Starting_Index+2 .. Max_Index loop for Col in Row .. Max_Index loop M(Col, Row) := One; end loop; end loop; end if; M := M + Matrix_Addend; when Frank_0 => -- upper Hessenberg -- order > 2: -- Max_Index - Starting_Index + 1 > 2 for Row in Starting_Index .. Max_Index loop for Col in Starting_Index .. Max_Index loop M(Row, Col) := Real (Max_Index) - Real (Starting_Index) + One - (Real (Col) - Real (Starting_Index)); end loop; end loop; for Col in Starting_Index .. Max_Index-2 loop for Row in Col+2 .. Max_Index loop M(Row, Col) := Zero; end loop; end loop; for Row in Starting_Index+1 .. Max_Index loop M(Row, Row-1) := M(Row-1, Row); end loop; M := M + Matrix_Addend; when Frank_1 => -- upper Hessenberg declare i, j : Real; begin for Row in Starting_Index .. Max_Index loop for Col in Starting_Index .. Max_Index loop i := Real (Row) - Real (Starting_Index) + 1.0; j := Real (Col) - Real (Starting_Index) + 1.0; M(Row, Col) := Real (Max_Index) - Real (Starting_Index) + 1.0 - (Real'Min (i, j) - 1.0); end loop; end loop; for Col in Index'Base (Starting_Index) .. Index'Base (Max_Index)-2 loop for Row in Col+2 .. Max_Index loop M(Row, Col) := Zero; end loop; end loop; end; M := M + Matrix_Addend; when Frank_2 => for Row in Starting_Index .. Index'Last loop for Col in Starting_Index .. Index'Last loop M(Row, Col) := Real(Index'Min(Col,Row)) - Real(Starting_Index) + One; end loop; end loop; when Moler => for Row in Starting_Index .. Index'Last loop for Col in Starting_Index .. Index'Last loop M(Row, Col) := (Real(Index'Min(Col,Row)) - Real(Starting_Index) - One); end loop; end loop; for Col in Starting_Index .. Index'Last loop M(Col, Col) := (Real(Col) - Real(Starting_Index) + One); end loop; when Random_32_bit => Discrete_32_bit.Reset (Random_Stream_id); for Row in Starting_Index .. Max_Index loop for Col in Starting_Index .. Max_Index loop M(Row, Col) := Real_Random(32); end loop; end loop; when Random_1_bit_anti => Discrete_32_bit.Reset (Random_Stream_id); for Row in Starting_Index .. Index'Last loop for Col in Starting_Index .. Row loop M(Row, Col) := Real_Random(1) - Half; end loop; end loop; for Row in Starting_Index .. Index'Last loop for Col in Row .. Index'Last loop M(Row, Col) := -M(Col, Row); end loop; end loop; for Row in Index loop M(Row, Row) := Zero; end loop; when Random_1_bit => Discrete_32_bit.Reset (Random_Stream_id); for Row in Starting_Index .. Max_Index loop for Col in Starting_Index .. Max_Index loop M(Row, Col) := Real_Random(1) - Half; end loop; end loop; when Ding_Dong => Get_Ding_Dong (M, Starting_Index, Max_Index); when Clustered => Clustered_Eigs: declare Value : Real := One; begin for Row in Starting_Index .. Max_Index loop for Col in Starting_Index .. Max_Index loop M(Row, Col) := Value; Value := Value + One; end loop; end loop; end Clustered_Eigs; when Hilbert => Get_Hilbert (M, Starting_Index); when Lotkin => Get_Lotkin (M, Starting_Index, Max_Index); when Fiedler_0 => declare C : array(Index) of Real; Length : constant Real := (Real (Max_Index) - Real (Starting_Index) + One); Scale : constant Real := Two**(-Real'Exponent (Length) + 8); begin for i in Starting_Index .. Max_Index loop C(i) := Scale / (Real(i) - Real(Starting_Index) + One)**2; end loop; for Row in Starting_Index .. Max_Index loop for Col in Starting_Index .. Max_Index loop M(Col, Row) := Abs (C(Row) - C(Col)); end loop; end loop; end; when Fiedler_1 => -- not Fiedler's matrix M := (others => (others => Zero)); declare C : array(Index) of Real; Alpha : constant Real := One; begin for i in Starting_Index .. Max_Index loop C(i) := Real(i) - Real(Starting_Index) + One; end loop; for Row in Starting_Index .. Max_Index loop for Col in Starting_Index .. Row loop M(Row, Col) := Abs (C(Row) - C(Col)); M(Col, Row) := Alpha; end loop; end loop; -- for Col in Starting_Index .. Max_Index loop -- M(Col, Col) := 1.0e-5; -- end loop; end; when U_Hard => -- hard on calculation of U, V M := (others => (others => One)); for Col in Index loop --M(Starting_Index, Col) := Zero; M(Col, Starting_Index) := Zero; end loop; when Companion_2 => -- Eigvals are zeros of poly w/ coefficients in Final Row of M: -- P(x) = x**n - M(n-1)*x**(n-1) - .. . - M(0) -- where M(i) = M(Max_Index, Starting_Index + i). -- Eig_Vectors are (1, lambda, lambda^2, .. . lambda^(n-1)). -- M is not diagonizable if there exist multiple roots. Get_Companion_2: declare Start_I : constant Integer := Integer (Starting_Index); Exp, Exp0 : Integer; Pow : constant := 3; begin M := (others => (others => Zero)); for Row in Starting_Index .. Max_Index-1 loop M(Row, Row+1) := One; end loop; for Col in Starting_Index .. Max_Index loop Exp0 := Integer (Max_Index) - Integer (Col) + Integer (Starting_Index); Exp := Integer'Min (Exp0 - Start_I, Real'Machine_Emax/Pow-9); M(Max_Index, Col) := Two - 1.01**Exp; end loop; end Get_Companion_2; when Companion_1 => --Sqrt_of_2 : constant := 1.4142135623730950488017; --Sqrt_of_Sqrt_of_2 : constant := 1.1892071150027210667175; -- -- Uses default: B := Sqrt_of_Sqrt_of_2 Get_Companion_B (M, Starting_Index, Max_Index, 1.0625); when Companion_0 => -- Eigvals are zeros of poly w/ coefficients in 1st Col: -- -- P(x) = x**n + C(1)*x^(n-1) + .. . + C(n-1)*x^1 + C(n)*x^0 -- -- where -- -- M(i, Starting_Index) = -C(1+i-Starting_Index) -- -- with -- -- i in Starting_Index+0 .. Starting_Index+(n-1) Get_Companion_0: declare C : Array (Index) of Real := (others => Zero); begin M := (others => (others => Zero)); for i in Index loop C(i) := Real (i) - Real (Starting_Index) - One; end loop; for r in Index loop M(r, Starting_Index) := -C(r); end loop; for r in Index'First .. Index'Last-1 loop M(r, r+1) := One; end loop; end Get_Companion_0; when Companion => -- eigvals are zeros of poly w/ coefficients in 1st Col: -- P(x) = x**n + M(1)*x**(n-1) + .. . + M(n) -- here M = n, n-1, .. . Get_Companion: begin M := (others => (others => Zero)); for r in Starting_Index .. Max_Index-1 loop M(r, r+1) := One; end loop; for r in Starting_Index .. Max_Index loop M(r, Starting_Index) := One/Real(-Integer(r)+Integer(Starting_Index)-1)**5; end loop; end Get_Companion; when Gear_0 => M := (others => (others => Zero)); for Col in Index'First .. Index'Last-1 loop M(Col+1, Col) := One; end loop; for Col in Index'First+1 .. Index'Last loop M(Col-1, Col) := One; end loop; M(Max_Index, Starting_Index) := -(One + Two**(-8)); M(Starting_Index, Max_Index) := (One + Two**(-8)); -- the "-" makes it almost singular if M = One when Gear_1 => M := (others => (others => Zero)); for Col in Index'First .. Index'Last-1 loop M(Col+1, Col) := One; end loop; for Col in Index'First+1 .. Index'Last loop M(Col-1, Col) := One; end loop; M(Max_Index, Starting_Index) := -One; M(Starting_Index, Max_Index) := One; -- the "-" makes it almost singular if M = One when Fibonacci => -- golden mean eigval p = (1+sqrt(5))/2 (all same val); maximally defective; -- Has eigenvalue p with eigenvector (1, p, p^2, .. ., p^(N-1)). M := (others => (others => Zero)); for Col in Index loop M(Col, Col) := One; end loop; for Col in Index'First .. Index'Last-1 loop M(Col+1, Col) := One; end loop; M(Starting_Index, Starting_Index) := Zero; M(Starting_Index, Starting_Index+1) := One; M := M + Matrix_Addend; when Peters => -- one small eig., lower triangular for Col in Starting_Index .. Max_Index loop for Row in Starting_Index .. Col loop M(Col, Row) := -One; end loop; end loop; for Col in Index loop M(Col, Col) := One; end loop; M := M + Matrix_Addend; when Peters_0 => -- one small eig., upper triangular, defeats Gauss. Elim. for Col in Starting_Index .. Max_Index loop for Row in Starting_Index .. Col loop M(Row, Col) := -One; end loop; end loop; for Col in Index loop M(Col, Col) := One; end loop; M := M + Matrix_Addend; when Combin => M := (others => (others => Half)); for Col in Index loop M(Col, Col) := Half + Half**11; end loop; when Peters_1 => -- transpose of peters_2 matrix. row pivoting likes this: for Row in Starting_Index .. Max_Index loop for Col in Row .. Max_Index loop M(Row, Col) := -One; end loop; end loop; for Col in Starting_Index .. Max_Index loop M(Max_Index, Col) := One; end loop; for Col in Starting_Index .. Max_Index loop M(Col, Col) := One; end loop; when Peters_2 => -- Real peters matrix. row pivoting hates this: declare -- Eigval : constant Real := 2.0; Eigval : constant Real := Zero; begin for Row in Starting_Index .. Index'Last loop for Col in Starting_Index .. Row loop M(Row, Col) := -One + Eigval; -- Eigval is one of the eigs end loop; end loop; end; for Row in Starting_Index .. Index'Last loop --M(Row, Max_Index) := One - 1.0E-9; -- kills the error growth M(Row, Max_Index) := One; end loop; for Col in Starting_Index .. Index'Last loop M(Col, Col) := One; end loop; when Diag_Test => M := (others => (others => Zero)); -- Essential init. The 0.0 is essential. for Col in Starting_Index+1 .. Max_Index loop M(Col-1, Col) := One; end loop; for Col in Starting_Index .. Max_Index loop M(Col, Col) := 0.5; end loop; M := M + Matrix_Addend; when Upper_Tri_K => M := (others => (others => Zero)); --the 0.0 is essential. declare c : constant Real := Two; -- higher condition num; --c := constant Half; begin for Row in Starting_Index .. Max_Index loop for Col in Row .. Max_Index loop M(Row, Col) := -c; end loop; end loop; for Col in Starting_Index .. Max_Index loop M(Col, Col) := One; end loop; end; M := M + Matrix_Addend; when Lower_Tri_K => M := (others => (others => Zero)); --the 0.0 is essential. declare c : constant Real := Two; -- higher condition num; --c := constant Half; begin for Row in Starting_Index .. Max_Index loop for Col in Starting_Index .. Row loop M(Row, Col) := -c; end loop; end loop; for Col in Starting_Index .. Max_Index loop M(Col, Col) := One; end loop; end; M := M + Matrix_Addend; when Kahan_Row_Scaled => -- make max element of Kahan Row = 1 M := (others => (others => Zero)); --the 0.0 is essential. declare c : Real; begin c := 0.70710678118654752440; for Row in Starting_Index .. Max_Index loop for Col in Row .. Max_Index loop M(Row, Col) := -c; end loop; end loop; for Col in Starting_Index .. Max_Index loop M(Col, Col) := One; end loop; end; M := M + Matrix_Addend; when Kahan => -- need s**2 + c**2 = 1 M := (others => (others => Zero)); --essential init. --the 0.0 is essential. declare s, c, s_i : Real; i : Integer; begin s := 0.70710678118654752440; c := 0.70710678118654752440; for Row in Starting_Index .. Max_Index loop for Col in Row .. Max_Index loop M(Row, Col) := -c; end loop; end loop; for Col in Starting_Index .. Max_Index loop M(Col, Col) := One; end loop; i := 0; for Row in Starting_Index .. Max_Index loop s_i := s**i; i := i + 1; for Col in Starting_Index .. Max_Index loop M(Row, Col) := s_i * M(Row, Col); end loop; end loop; end; when Kahan_Col_Scaled => -- make length of Col = 1 M := (others => (others => Zero)); --essential init. --the 0.0 is essential. declare s, c, s_i : Real; i : Integer; Col_Norm : array(Index) of Real; begin s := 0.70710678118654752440; c := 0.70710678118654752440; for Row in Starting_Index .. Max_Index loop for Col in Row .. Max_Index loop M(Row, Col) := -c; end loop; end loop; for Col in Starting_Index .. Max_Index loop M(Col, Col) := One; end loop; i := 0; for Row in Starting_Index .. Max_Index loop s_i := s**i; i := i + 1; for Col in Starting_Index .. Max_Index loop M(Row, Col) := s_i * M(Row, Col); end loop; end loop; -- Got Kahan, now normalize the cols: for Col in Starting_Index .. Max_Index loop s_i := Zero; for Row in Starting_Index .. Max_Index loop s_i := s_i + Abs M(Row, Col); end loop; Col_Norm(Col) := S_i; end loop; for Col in Starting_Index .. Max_Index loop for Row in Starting_Index .. Max_Index loop M(Row, Col) := M(Row, Col) / Col_Norm(Col); end loop; end loop; end; M := M + Matrix_Addend; when Kahan_2 => -- need s**2 + c**2 = 1; make max element of Col = 1 M := (others => (others => Zero)); --essential init. --the 0.0 is essential. declare s, c, s_i : Real; i : Integer; begin s := 0.25; c := 0.96824583655185422129; for Row in Starting_Index .. Max_Index loop for Col in Row .. Max_Index loop M(Row, Col) := -c; end loop; end loop; for Col in Starting_Index .. Max_Index loop M(Col, Col) := One; end loop; i := 0; for Row in Starting_Index .. Max_Index loop s_i := s**i; i := i + 1; for Col in Starting_Index .. Max_Index loop M(Row, Col) := s_i * M(Row, Col); end loop; end loop; for Col in Starting_Index+1 .. Max_Index loop for Row in Starting_Index .. Max_Index loop M(Row, Col) := M(Row, Col) / (c); end loop; end loop; end; M := M + Matrix_Addend; when Vandermonde => -- small elements underflow; max element near ~1. Vandermondes_Matrix: declare Exp, X_Count : Integer; X : Real; Half_No_Of_Rows : constant Integer := (Integer(Max_Index) - Integer(Starting_Index) + 1)/2; B : constant Real := One / Real (Half_No_Of_Rows); A : constant Real := Two ** (Real'Exponent(B) - 1); --Smallest_Allowed_Real : constant Real := Two ** (Real'Machine_Emin + 512); begin for Row in Starting_Index .. Max_Index loop for Col in Starting_Index .. Max_Index loop Exp := Integer(Col) - Integer(Starting_Index); X_Count := Integer(Row) - Integer(Starting_Index); X := A * (Real (X_Count - Half_No_Of_Rows)); M(Row, Col) := X ** Exp; end loop; end loop; -- for r in M'Range(1) loop -- for c in M'Range(2) loop -- if not M(r,c)'Valid or -- Abs M(r,c) < Smallest_Allowed_Real -- then -- M(r,c) := Smallest_Allowed_Real; -- end if; -- end loop; -- end loop; end Vandermondes_Matrix; when Trench_1 => -- Alpha = -One; -- singular submatrices Get_Trench (M, Starting_Index, Max_Index, Alpha => -One); when Trench => -- Alpha = One; -- highest condition number Get_Trench (M, Starting_Index, Max_Index, Alpha => One); when Zielke_0 => -- Z = 1.0; -- Singular Get_Zielke (M, Starting_Index, Max_Index, Z => One); when Zielke_1 => -- Z = -1.0; -- ill conditioned Get_Zielke (M, Starting_Index, Max_Index, Z => -One); when Zielke_2 => Get_Zielke (M, Starting_Index, Max_Index, (+2.0)); when All_Zeros => M := (others => (others => Zero)); when All_Ones => M := (others => (others => One)); end case; end Init_Matrix; end Test_Matrices;
27.370522
93
0.513032
18f62c7d2359e6cad72d806705f58fdb1b68c5ff
33,732
adb
Ada
gcc-gcc-7_3_0-release/gcc/ada/s-bignum.adb
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/ada/s-bignum.adb
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/ada/s-bignum.adb
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- S Y S T E M . B I G N U M S -- -- -- -- B o d y -- -- -- -- Copyright (C) 2012-2015, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package provides arbitrary precision signed integer arithmetic for -- use in computing intermediate values in expressions for the case where -- pragma Overflow_Check (Eliminate) is in effect. with System; use System; with System.Secondary_Stack; use System.Secondary_Stack; with System.Storage_Elements; use System.Storage_Elements; package body System.Bignums is use Interfaces; -- So that operations on Unsigned_32 are available type DD is mod Base ** 2; -- Double length digit used for intermediate computations function MSD (X : DD) return SD is (SD (X / Base)); function LSD (X : DD) return SD is (SD (X mod Base)); -- Most significant and least significant digit of double digit value function "&" (X, Y : SD) return DD is (DD (X) * Base + DD (Y)); -- Compose double digit value from two single digit values subtype LLI is Long_Long_Integer; One_Data : constant Digit_Vector (1 .. 1) := (1 => 1); -- Constant one Zero_Data : constant Digit_Vector (1 .. 0) := (1 .. 0 => 0); -- Constant zero ----------------------- -- Local Subprograms -- ----------------------- function Add (X, Y : Digit_Vector; X_Neg : Boolean; Y_Neg : Boolean) return Bignum with Pre => X'First = 1 and then Y'First = 1; -- This procedure adds two signed numbers returning the Sum, it is used -- for both addition and subtraction. The value computed is X + Y, with -- X_Neg and Y_Neg giving the signs of the operands. function Allocate_Bignum (Len : Length) return Bignum with Post => Allocate_Bignum'Result.Len = Len; -- Allocate Bignum value of indicated length on secondary stack. On return -- the Neg and D fields are left uninitialized. type Compare_Result is (LT, EQ, GT); -- Indicates result of comparison in following call function Compare (X, Y : Digit_Vector; X_Neg, Y_Neg : Boolean) return Compare_Result with Pre => X'First = 1 and then Y'First = 1; -- Compare (X with sign X_Neg) with (Y with sign Y_Neg), and return the -- result of the signed comparison. procedure Div_Rem (X, Y : Bignum; Quotient : out Bignum; Remainder : out Bignum; Discard_Quotient : Boolean := False; Discard_Remainder : Boolean := False); -- Returns the Quotient and Remainder from dividing abs (X) by abs (Y). The -- values of X and Y are not modified. If Discard_Quotient is True, then -- Quotient is undefined on return, and if Discard_Remainder is True, then -- Remainder is undefined on return. Service routine for Big_Div/Rem/Mod. procedure Free_Bignum (X : Bignum) is null; -- Called to free a Bignum value used in intermediate computations. In -- this implementation using the secondary stack, it does nothing at all, -- because we rely on Mark/Release, but it may be of use for some -- alternative implementation. function Normalize (X : Digit_Vector; Neg : Boolean := False) return Bignum; -- Given a digit vector and sign, allocate and construct a Bignum value. -- Note that X may have leading zeroes which must be removed, and if the -- result is zero, the sign is forced positive. --------- -- Add -- --------- function Add (X, Y : Digit_Vector; X_Neg : Boolean; Y_Neg : Boolean) return Bignum is begin -- If signs are the same, we are doing an addition, it is convenient to -- ensure that the first operand is the longer of the two. if X_Neg = Y_Neg then if X'Last < Y'Last then return Add (X => Y, Y => X, X_Neg => Y_Neg, Y_Neg => X_Neg); -- Here signs are the same, and the first operand is the longer else pragma Assert (X_Neg = Y_Neg and then X'Last >= Y'Last); -- Do addition, putting result in Sum (allowing for carry) declare Sum : Digit_Vector (0 .. X'Last); RD : DD; begin RD := 0; for J in reverse 1 .. X'Last loop RD := RD + DD (X (J)); if J >= 1 + (X'Last - Y'Last) then RD := RD + DD (Y (J - (X'Last - Y'Last))); end if; Sum (J) := LSD (RD); RD := RD / Base; end loop; Sum (0) := SD (RD); return Normalize (Sum, X_Neg); end; end if; -- Signs are different so really this is a subtraction, we want to make -- sure that the largest magnitude operand is the first one, and then -- the result will have the sign of the first operand. else declare CR : constant Compare_Result := Compare (X, Y, False, False); begin if CR = EQ then return Normalize (Zero_Data); elsif CR = LT then return Add (X => Y, Y => X, X_Neg => Y_Neg, Y_Neg => X_Neg); else pragma Assert (X_Neg /= Y_Neg and then CR = GT); -- Do subtraction, putting result in Diff declare Diff : Digit_Vector (1 .. X'Length); RD : DD; begin RD := 0; for J in reverse 1 .. X'Last loop RD := RD + DD (X (J)); if J >= 1 + (X'Last - Y'Last) then RD := RD - DD (Y (J - (X'Last - Y'Last))); end if; Diff (J) := LSD (RD); RD := (if RD < Base then 0 else -1); end loop; return Normalize (Diff, X_Neg); end; end if; end; end if; end Add; --------------------- -- Allocate_Bignum -- --------------------- function Allocate_Bignum (Len : Length) return Bignum is Addr : Address; begin -- Change the if False here to if True to get allocation on the heap -- instead of the secondary stack, which is convenient for debugging -- System.Bignum itself. if False then declare B : Bignum; begin B := new Bignum_Data'(Len, False, (others => 0)); return B; end; -- Normal case of allocation on the secondary stack else -- Note: The approach used here is designed to avoid strict aliasing -- warnings that appeared previously using unchecked conversion. SS_Allocate (Addr, Storage_Offset (4 + 4 * Len)); declare B : Bignum; for B'Address use Addr'Address; pragma Import (Ada, B); BD : Bignum_Data (Len); for BD'Address use Addr; pragma Import (Ada, BD); -- Expose a writable view of discriminant BD.Len so that we can -- initialize it. We need to use the exact layout of the record -- to ensure that the Length field has 24 bits as expected. type Bignum_Data_Header is record Len : Length; Neg : Boolean; end record; for Bignum_Data_Header use record Len at 0 range 0 .. 23; Neg at 3 range 0 .. 7; end record; BDH : Bignum_Data_Header; for BDH'Address use BD'Address; pragma Import (Ada, BDH); pragma Assert (BDH.Len'Size = BD.Len'Size); begin BDH.Len := Len; return B; end; end if; end Allocate_Bignum; ------------- -- Big_Abs -- ------------- function Big_Abs (X : Bignum) return Bignum is begin return Normalize (X.D); end Big_Abs; ------------- -- Big_Add -- ------------- function Big_Add (X, Y : Bignum) return Bignum is begin return Add (X.D, Y.D, X.Neg, Y.Neg); end Big_Add; ------------- -- Big_Div -- ------------- -- This table is excerpted from RM 4.5.5(28-30) and shows how the result -- varies with the signs of the operands. -- A B A/B A B A/B -- -- 10 5 2 -10 5 -2 -- 11 5 2 -11 5 -2 -- 12 5 2 -12 5 -2 -- 13 5 2 -13 5 -2 -- 14 5 2 -14 5 -2 -- -- A B A/B A B A/B -- -- 10 -5 -2 -10 -5 2 -- 11 -5 -2 -11 -5 2 -- 12 -5 -2 -12 -5 2 -- 13 -5 -2 -13 -5 2 -- 14 -5 -2 -14 -5 2 function Big_Div (X, Y : Bignum) return Bignum is Q, R : Bignum; begin Div_Rem (X, Y, Q, R, Discard_Remainder => True); Q.Neg := Q.Len > 0 and then (X.Neg xor Y.Neg); return Q; end Big_Div; ------------- -- Big_Exp -- ------------- function Big_Exp (X, Y : Bignum) return Bignum is function "**" (X : Bignum; Y : SD) return Bignum; -- Internal routine where we know right operand is one word ---------- -- "**" -- ---------- function "**" (X : Bignum; Y : SD) return Bignum is begin case Y is -- X ** 0 is 1 when 0 => return Normalize (One_Data); -- X ** 1 is X when 1 => return Normalize (X.D); -- X ** 2 is X * X when 2 => return Big_Mul (X, X); -- For X greater than 2, use the recursion -- X even, X ** Y = (X ** (Y/2)) ** 2; -- X odd, X ** Y = (X ** (Y/2)) ** 2 * X; when others => declare XY2 : constant Bignum := X ** (Y / 2); XY2S : constant Bignum := Big_Mul (XY2, XY2); Res : Bignum; begin Free_Bignum (XY2); -- Raise storage error if intermediate value is getting too -- large, which we arbitrarily define as 200 words for now. if XY2S.Len > 200 then Free_Bignum (XY2S); raise Storage_Error with "exponentiation result is too large"; end if; -- Otherwise take care of even/odd cases if (Y and 1) = 0 then return XY2S; else Res := Big_Mul (XY2S, X); Free_Bignum (XY2S); return Res; end if; end; end case; end "**"; -- Start of processing for Big_Exp begin -- Error if right operand negative if Y.Neg then raise Constraint_Error with "exponentiation to negative power"; -- X ** 0 is always 1 (including 0 ** 0, so do this test first) elsif Y.Len = 0 then return Normalize (One_Data); -- 0 ** X is always 0 (for X non-zero) elsif X.Len = 0 then return Normalize (Zero_Data); -- (+1) ** Y = 1 -- (-1) ** Y = +/-1 depending on whether Y is even or odd elsif X.Len = 1 and then X.D (1) = 1 then return Normalize (X.D, Neg => X.Neg and then ((Y.D (Y.Len) and 1) = 1)); -- If the absolute value of the base is greater than 1, then the -- exponent must not be bigger than one word, otherwise the result -- is ludicrously large, and we just signal Storage_Error right away. elsif Y.Len > 1 then raise Storage_Error with "exponentiation result is too large"; -- Special case (+/-)2 ** K, where K is 1 .. 31 using a shift elsif X.Len = 1 and then X.D (1) = 2 and then Y.D (1) < 32 then declare D : constant Digit_Vector (1 .. 1) := (1 => Shift_Left (SD'(1), Natural (Y.D (1)))); begin return Normalize (D, X.Neg); end; -- Remaining cases have right operand of one word else return X ** Y.D (1); end if; end Big_Exp; ------------ -- Big_EQ -- ------------ function Big_EQ (X, Y : Bignum) return Boolean is begin return Compare (X.D, Y.D, X.Neg, Y.Neg) = EQ; end Big_EQ; ------------ -- Big_GE -- ------------ function Big_GE (X, Y : Bignum) return Boolean is begin return Compare (X.D, Y.D, X.Neg, Y.Neg) /= LT; end Big_GE; ------------ -- Big_GT -- ------------ function Big_GT (X, Y : Bignum) return Boolean is begin return Compare (X.D, Y.D, X.Neg, Y.Neg) = GT; end Big_GT; ------------ -- Big_LE -- ------------ function Big_LE (X, Y : Bignum) return Boolean is begin return Compare (X.D, Y.D, X.Neg, Y.Neg) /= GT; end Big_LE; ------------ -- Big_LT -- ------------ function Big_LT (X, Y : Bignum) return Boolean is begin return Compare (X.D, Y.D, X.Neg, Y.Neg) = LT; end Big_LT; ------------- -- Big_Mod -- ------------- -- This table is excerpted from RM 4.5.5(28-30) and shows how the result -- of Rem and Mod vary with the signs of the operands. -- A B A mod B A rem B A B A mod B A rem B -- 10 5 0 0 -10 5 0 0 -- 11 5 1 1 -11 5 4 -1 -- 12 5 2 2 -12 5 3 -2 -- 13 5 3 3 -13 5 2 -3 -- 14 5 4 4 -14 5 1 -4 -- A B A mod B A rem B A B A mod B A rem B -- 10 -5 0 0 -10 -5 0 0 -- 11 -5 -4 1 -11 -5 -1 -1 -- 12 -5 -3 2 -12 -5 -2 -2 -- 13 -5 -2 3 -13 -5 -3 -3 -- 14 -5 -1 4 -14 -5 -4 -4 function Big_Mod (X, Y : Bignum) return Bignum is Q, R : Bignum; begin -- If signs are same, result is same as Rem if X.Neg = Y.Neg then return Big_Rem (X, Y); -- Case where Mod is different else -- Do division Div_Rem (X, Y, Q, R, Discard_Quotient => True); -- Zero result is unchanged if R.Len = 0 then return R; -- Otherwise adjust result else declare T1 : constant Bignum := Big_Sub (Y, R); begin T1.Neg := Y.Neg; Free_Bignum (R); return T1; end; end if; end if; end Big_Mod; ------------- -- Big_Mul -- ------------- function Big_Mul (X, Y : Bignum) return Bignum is Result : Digit_Vector (1 .. X.Len + Y.Len) := (others => 0); -- Accumulate result (max length of result is sum of operand lengths) L : Length; -- Current result digit D : DD; -- Result digit begin for J in 1 .. X.Len loop for K in 1 .. Y.Len loop L := Result'Last - (X.Len - J) - (Y.Len - K); D := DD (X.D (J)) * DD (Y.D (K)) + DD (Result (L)); Result (L) := LSD (D); D := D / Base; -- D is carry which must be propagated while D /= 0 and then L >= 1 loop L := L - 1; D := D + DD (Result (L)); Result (L) := LSD (D); D := D / Base; end loop; -- Must not have a carry trying to extend max length pragma Assert (D = 0); end loop; end loop; -- Return result return Normalize (Result, X.Neg xor Y.Neg); end Big_Mul; ------------ -- Big_NE -- ------------ function Big_NE (X, Y : Bignum) return Boolean is begin return Compare (X.D, Y.D, X.Neg, Y.Neg) /= EQ; end Big_NE; ------------- -- Big_Neg -- ------------- function Big_Neg (X : Bignum) return Bignum is begin return Normalize (X.D, not X.Neg); end Big_Neg; ------------- -- Big_Rem -- ------------- -- This table is excerpted from RM 4.5.5(28-30) and shows how the result -- varies with the signs of the operands. -- A B A rem B A B A rem B -- 10 5 0 -10 5 0 -- 11 5 1 -11 5 -1 -- 12 5 2 -12 5 -2 -- 13 5 3 -13 5 -3 -- 14 5 4 -14 5 -4 -- A B A rem B A B A rem B -- 10 -5 0 -10 -5 0 -- 11 -5 1 -11 -5 -1 -- 12 -5 2 -12 -5 -2 -- 13 -5 3 -13 -5 -3 -- 14 -5 4 -14 -5 -4 function Big_Rem (X, Y : Bignum) return Bignum is Q, R : Bignum; begin Div_Rem (X, Y, Q, R, Discard_Quotient => True); R.Neg := R.Len > 0 and then X.Neg; return R; end Big_Rem; ------------- -- Big_Sub -- ------------- function Big_Sub (X, Y : Bignum) return Bignum is begin -- If right operand zero, return left operand (avoiding sharing) if Y.Len = 0 then return Normalize (X.D, X.Neg); -- Otherwise add negative of right operand else return Add (X.D, Y.D, X.Neg, not Y.Neg); end if; end Big_Sub; ------------- -- Compare -- ------------- function Compare (X, Y : Digit_Vector; X_Neg, Y_Neg : Boolean) return Compare_Result is begin -- Signs are different, that's decisive, since 0 is always plus if X_Neg /= Y_Neg then return (if X_Neg then LT else GT); -- Lengths are different, that's decisive since no leading zeroes elsif X'Last /= Y'Last then return (if (X'Last > Y'Last) xor X_Neg then GT else LT); -- Need to compare data else for J in X'Range loop if X (J) /= Y (J) then return (if (X (J) > Y (J)) xor X_Neg then GT else LT); end if; end loop; return EQ; end if; end Compare; ------------- -- Div_Rem -- ------------- procedure Div_Rem (X, Y : Bignum; Quotient : out Bignum; Remainder : out Bignum; Discard_Quotient : Boolean := False; Discard_Remainder : Boolean := False) is begin -- Error if division by zero if Y.Len = 0 then raise Constraint_Error with "division by zero"; end if; -- Handle simple cases with special tests -- If X < Y then quotient is zero and remainder is X if Compare (X.D, Y.D, False, False) = LT then Remainder := Normalize (X.D); Quotient := Normalize (Zero_Data); return; -- If both X and Y are less than 2**63-1, we can use Long_Long_Integer -- arithmetic. Note it is good not to do an accurate range check against -- Long_Long_Integer since -2**63 / -1 overflows. elsif (X.Len <= 1 or else (X.Len = 2 and then X.D (1) < 2**31)) and then (Y.Len <= 1 or else (Y.Len = 2 and then Y.D (1) < 2**31)) then declare A : constant LLI := abs (From_Bignum (X)); B : constant LLI := abs (From_Bignum (Y)); begin Quotient := To_Bignum (A / B); Remainder := To_Bignum (A rem B); return; end; -- Easy case if divisor is one digit elsif Y.Len = 1 then declare ND : DD; Div : constant DD := DD (Y.D (1)); Result : Digit_Vector (1 .. X.Len); Remdr : Digit_Vector (1 .. 1); begin ND := 0; for J in 1 .. X.Len loop ND := Base * ND + DD (X.D (J)); Result (J) := SD (ND / Div); ND := ND rem Div; end loop; Quotient := Normalize (Result); Remdr (1) := SD (ND); Remainder := Normalize (Remdr); return; end; end if; -- The complex full multi-precision case. We will employ algorithm -- D defined in the section "The Classical Algorithms" (sec. 4.3.1) -- of Donald Knuth's "The Art of Computer Programming", Vol. 2, 2nd -- edition. The terminology is adjusted for this section to match that -- reference. -- We are dividing X.Len digits of X (called u here) by Y.Len digits -- of Y (called v here), developing the quotient and remainder. The -- numbers are represented using Base, which was chosen so that we have -- the operations of multiplying to single digits (SD) to form a double -- digit (DD), and dividing a double digit (DD) by a single digit (SD) -- to give a single digit quotient and a single digit remainder. -- Algorithm D from Knuth -- Comments here with square brackets are directly from Knuth Algorithm_D : declare -- The following lower case variables correspond exactly to the -- terminology used in algorithm D. m : constant Length := X.Len - Y.Len; n : constant Length := Y.Len; b : constant DD := Base; u : Digit_Vector (0 .. m + n); v : Digit_Vector (1 .. n); q : Digit_Vector (0 .. m); r : Digit_Vector (1 .. n); u0 : SD renames u (0); v1 : SD renames v (1); v2 : SD renames v (2); d : DD; j : Length; qhat : DD; rhat : DD; temp : DD; begin -- Initialize data of left and right operands for J in 1 .. m + n loop u (J) := X.D (J); end loop; for J in 1 .. n loop v (J) := Y.D (J); end loop; -- [Division of nonnegative integers.] Given nonnegative integers u -- = (ul,u2..um+n) and v = (v1,v2..vn), where v1 /= 0 and n > 1, we -- form the quotient u / v = (q0,ql..qm) and the remainder u mod v = -- (r1,r2..rn). pragma Assert (v1 /= 0); pragma Assert (n > 1); -- Dl. [Normalize.] Set d = b/(vl + 1). Then set (u0,u1,u2..um+n) -- equal to (u1,u2..um+n) times d, and set (v1,v2..vn) equal to -- (v1,v2..vn) times d. Note the introduction of a new digit position -- u0 at the left of u1; if d = 1 all we need to do in this step is -- to set u0 = 0. d := b / (DD (v1) + 1); if d = 1 then u0 := 0; else declare Carry : DD; Tmp : DD; begin -- Multiply Dividend (u) by d Carry := 0; for J in reverse 1 .. m + n loop Tmp := DD (u (J)) * d + Carry; u (J) := LSD (Tmp); Carry := Tmp / Base; end loop; u0 := SD (Carry); -- Multiply Divisor (v) by d Carry := 0; for J in reverse 1 .. n loop Tmp := DD (v (J)) * d + Carry; v (J) := LSD (Tmp); Carry := Tmp / Base; end loop; pragma Assert (Carry = 0); end; end if; -- D2. [Initialize j.] Set j = 0. The loop on j, steps D2 through D7, -- will be essentially a division of (uj, uj+1..uj+n) by (v1,v2..vn) -- to get a single quotient digit qj. j := 0; -- Loop through digits loop -- Note: In the original printing, step D3 was as follows: -- D3. [Calculate qhat.] If uj = v1, set qhat to b-l; otherwise -- set qhat to (uj,uj+1)/v1. Now test if v2 * qhat is greater than -- (uj*b + uj+1 - qhat*v1)*b + uj+2. If so, decrease qhat by 1 and -- repeat this test -- This had a bug not discovered till 1995, see Vol 2 errata: -- http://www-cs-faculty.stanford.edu/~uno/err2-2e.ps.gz. Under -- rare circumstances the expression in the test could overflow. -- This version was further corrected in 2005, see Vol 2 errata: -- http://www-cs-faculty.stanford.edu/~uno/all2-pre.ps.gz. -- The code below is the fixed version of this step. -- D3. [Calculate qhat.] Set qhat to (uj,uj+1)/v1 and rhat to -- to (uj,uj+1) mod v1. temp := u (j) & u (j + 1); qhat := temp / DD (v1); rhat := temp mod DD (v1); -- D3 (continued). Now test if qhat >= b or v2*qhat > (rhat,uj+2): -- if so, decrease qhat by 1, increase rhat by v1, and repeat this -- test if rhat < b. [The test on v2 determines at high speed -- most of the cases in which the trial value qhat is one too -- large, and eliminates all cases where qhat is two too large.] while qhat >= b or else DD (v2) * qhat > LSD (rhat) & u (j + 2) loop qhat := qhat - 1; rhat := rhat + DD (v1); exit when rhat >= b; end loop; -- D4. [Multiply and subtract.] Replace (uj,uj+1..uj+n) by -- (uj,uj+1..uj+n) minus qhat times (v1,v2..vn). This step -- consists of a simple multiplication by a one-place number, -- combined with a subtraction. -- The digits (uj,uj+1..uj+n) are always kept positive; if the -- result of this step is actually negative then (uj,uj+1..uj+n) -- is left as the true value plus b**(n+1), i.e. as the b's -- complement of the true value, and a "borrow" to the left is -- remembered. declare Borrow : SD; Carry : DD; Temp : DD; Negative : Boolean; -- Records if subtraction causes a negative result, requiring -- an add back (case where qhat turned out to be 1 too large). begin Borrow := 0; for K in reverse 1 .. n loop Temp := qhat * DD (v (K)) + DD (Borrow); Borrow := MSD (Temp); if LSD (Temp) > u (j + K) then Borrow := Borrow + 1; end if; u (j + K) := u (j + K) - LSD (Temp); end loop; Negative := u (j) < Borrow; u (j) := u (j) - Borrow; -- D5. [Test remainder.] Set qj = qhat. If the result of step -- D4 was negative, we will do the add back step (step D6). q (j) := LSD (qhat); if Negative then -- D6. [Add back.] Decrease qj by 1, and add (0,v1,v2..vn) -- to (uj,uj+1,uj+2..uj+n). (A carry will occur to the left -- of uj, and it is be ignored since it cancels with the -- borrow that occurred in D4.) q (j) := q (j) - 1; Carry := 0; for K in reverse 1 .. n loop Temp := DD (v (K)) + DD (u (j + K)) + Carry; u (j + K) := LSD (Temp); Carry := Temp / Base; end loop; u (j) := u (j) + SD (Carry); end if; end; -- D7. [Loop on j.] Increase j by one. Now if j <= m, go back to -- D3 (the start of the loop on j). j := j + 1; exit when not (j <= m); end loop; -- D8. [Unnormalize.] Now (qo,ql..qm) is the desired quotient, and -- the desired remainder may be obtained by dividing (um+1..um+n) -- by d. if not Discard_Quotient then Quotient := Normalize (q); end if; if not Discard_Remainder then declare Remdr : DD; begin Remdr := 0; for K in 1 .. n loop Remdr := Base * Remdr + DD (u (m + K)); r (K) := SD (Remdr / d); Remdr := Remdr rem d; end loop; pragma Assert (Remdr = 0); end; Remainder := Normalize (r); end if; end Algorithm_D; end Div_Rem; ----------------- -- From_Bignum -- ----------------- function From_Bignum (X : Bignum) return Long_Long_Integer is begin if X.Len = 0 then return 0; elsif X.Len = 1 then return (if X.Neg then -LLI (X.D (1)) else LLI (X.D (1))); elsif X.Len = 2 then declare Mag : constant DD := X.D (1) & X.D (2); begin if X.Neg and then Mag <= 2 ** 63 then return -LLI (Mag); elsif Mag < 2 ** 63 then return LLI (Mag); end if; end; end if; raise Constraint_Error with "expression value out of range"; end From_Bignum; ------------------------- -- Bignum_In_LLI_Range -- ------------------------- function Bignum_In_LLI_Range (X : Bignum) return Boolean is begin -- If length is 0 or 1, definitely fits if X.Len <= 1 then return True; -- If length is greater than 2, definitely does not fit elsif X.Len > 2 then return False; -- Length is 2, more tests needed else declare Mag : constant DD := X.D (1) & X.D (2); begin return Mag < 2 ** 63 or else (X.Neg and then Mag = 2 ** 63); end; end if; end Bignum_In_LLI_Range; --------------- -- Normalize -- --------------- function Normalize (X : Digit_Vector; Neg : Boolean := False) return Bignum is B : Bignum; J : Length; begin J := X'First; while J <= X'Last and then X (J) = 0 loop J := J + 1; end loop; B := Allocate_Bignum (X'Last - J + 1); B.Neg := B.Len > 0 and then Neg; B.D := X (J .. X'Last); return B; end Normalize; --------------- -- To_Bignum -- --------------- function To_Bignum (X : Long_Long_Integer) return Bignum is R : Bignum; begin if X = 0 then R := Allocate_Bignum (0); -- One word result elsif X in -(2 ** 32 - 1) .. +(2 ** 32 - 1) then R := Allocate_Bignum (1); R.D (1) := SD (abs (X)); -- Largest negative number annoyance elsif X = Long_Long_Integer'First then R := Allocate_Bignum (2); R.D (1) := 2 ** 31; R.D (2) := 0; -- Normal two word case else R := Allocate_Bignum (2); R.D (2) := SD (abs (X) mod Base); R.D (1) := SD (abs (X) / Base); end if; R.Neg := X < 0; return R; end To_Bignum; end System.Bignums;
30.499096
79
0.463951
cb6c8f082e1e90eff4b2fdf5cbedb0484b988183
7,956
adb
Ada
samples/auth_cb.adb
jquorning/ada-security
b9aa8e7deffaf71d50d501e284b821b8b0f942c3
[ "Apache-2.0" ]
19
2015-01-18T23:04:59.000Z
2021-11-30T10:39:10.000Z
samples/auth_cb.adb
jquorning/ada-security
b9aa8e7deffaf71d50d501e284b821b8b0f942c3
[ "Apache-2.0" ]
4
2020-08-06T15:37:51.000Z
2022-02-04T20:19:39.000Z
samples/auth_cb.adb
jquorning/ada-security
b9aa8e7deffaf71d50d501e284b821b8b0f942c3
[ "Apache-2.0" ]
3
2021-01-04T10:23:22.000Z
2022-01-30T21:45:49.000Z
----------------------------------------------------------------------- -- auth_cb -- Authentication callback examples -- Copyright (C) 2013, 2020 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Strings.Fixed; with AWS.Session; with AWS.Messages; with AWS.Templates; with AWS.Services.Web_Block.Registry; with Util.Log.Loggers; package body Auth_CB is -- The logger Log : constant Util.Log.Loggers.Logger := Util.Log.Loggers.Create ("Auth_CB"); -- Name of the session attribute which holds information about the active authentication. OPENID_ASSOC_ATTRIBUTE : constant String := "openid-assoc"; USER_INFO_ATTRIBUTE : constant String := "user-info"; Null_Association : Security.Auth.Association; Null_Auth : Security.Auth.Authentication; package Auth_Session is new AWS.Session.Generic_Data (Security.Auth.Association, Null_Association); package User_Session is new AWS.Session.Generic_Data (Security.Auth.Authentication, Null_Auth); function Get_Auth_Name (Request : in AWS.Status.Data) return String; overriding function Get_Parameter (Params : in Auth_Config; Name : in String) return String is begin if Params.Exists (Name) then return Params.Get (Name); else return ""; end if; end Get_Parameter; function Get_Auth_Name (Request : in AWS.Status.Data) return String is URI : constant String := AWS.Status.URI (Request); Pos : constant Natural := Ada.Strings.Fixed.Index (URI, "/", Ada.Strings.Backward); begin if Pos = 0 then return ""; else Log.Info ("OpenID authentication with {0}", URI); return URI (Pos + 1 .. URI'Last); end if; end Get_Auth_Name; -- ------------------------------ -- Implement the first step of authentication: discover the OpenID (if any) provider, -- create the authorization request and redirect the user to the authorization server. -- Some authorization data is saved in the session for the verify process. -- ------------------------------ function Get_Authorization (Request : in AWS.Status.Data) return AWS.Response.Data is Name : constant String := Get_Auth_Name (Request); URL : constant String := Config.Get_Parameter ("auth.url." & Name); Mgr : Security.Auth.Manager; OP : Security.Auth.End_Point; Assoc : Security.Auth.Association; begin if URL'Length = 0 or Name'Length = 0 then return AWS.Response.URL (Location => "/login.html"); end if; Mgr.Initialize (Config, Name); -- Yadis discovery (get the XRDS file). This step does nothing for OAuth. Mgr.Discover (URL, OP); -- Associate to the OpenID provider and get an end-point with a key. Mgr.Associate (OP, Assoc); -- Save the association in the HTTP session and -- redirect the user to the OpenID provider. declare Auth_URL : constant String := Mgr.Get_Authentication_URL (OP, Assoc); SID : constant AWS.Session.Id := AWS.Status.Session (Request); begin Log.Info ("Redirect to auth URL: {0}", Auth_URL); Auth_Session.Set (SID, OPENID_ASSOC_ATTRIBUTE, Assoc); return AWS.Response.URL (Location => Auth_URL); end; end Get_Authorization; -- ------------------------------ -- Second step of authentication: verify the authorization response. The authorization -- data saved in the session is extracted and checked against the response. If it matches -- the response is verified to check if the authentication succeeded or not. -- The user is then redirected to the success page. -- ------------------------------ function Verify_Authorization (Request : in AWS.Status.Data) return AWS.Response.Data is use type Security.Auth.Auth_Result; -- Give access to the request parameters. type Auth_Params is limited new Security.Auth.Parameters with null record; overriding function Get_Parameter (Params : in Auth_Params; Name : in String) return String; overriding function Get_Parameter (Params : in Auth_Params; Name : in String) return String is pragma Unreferenced (Params); begin return AWS.Status.Parameter (Request, Name); end Get_Parameter; Mgr : Security.Auth.Manager; Assoc : Security.Auth.Association; Credential : Security.Auth.Authentication; Params : Auth_Params; SID : constant AWS.Session.Id := AWS.Status.Session (Request); begin Log.Info ("Verify openid authentication"); if not AWS.Session.Exist (SID, OPENID_ASSOC_ATTRIBUTE) then Log.Warn ("Session has expired during OpenID authentication process"); return AWS.Response.Build ("text/html", "Session has expired", AWS.Messages.S403); end if; Assoc := Auth_Session.Get (SID, OPENID_ASSOC_ATTRIBUTE); -- Cleanup the session and drop the association end point. AWS.Session.Remove (SID, OPENID_ASSOC_ATTRIBUTE); Mgr.Initialize (Name => Security.Auth.Get_Provider (Assoc), Params => Config); -- Verify that what we receive through the callback matches the association key. Mgr.Verify (Assoc, Params, Credential); if Security.Auth.Get_Status (Credential) /= Security.Auth.AUTHENTICATED then Log.Info ("Authentication has failed"); return AWS.Response.Build ("text/html", "Authentication failed", AWS.Messages.S403); end if; Log.Info ("Authentication succeeded for {0}", Security.Auth.Get_Email (Credential)); Log.Info ("Claimed id: {0}", Security.Auth.Get_Claimed_Id (Credential)); Log.Info ("Email: {0}", Security.Auth.Get_Email (Credential)); Log.Info ("Name: {0}", Security.Auth.Get_Full_Name (Credential)); -- Save the user information in the session (for the purpose of this demo). User_Session.Set (SID, USER_INFO_ATTRIBUTE, Credential); declare URL : constant String := Config.Get_Parameter ("openid.success_url"); begin Log.Info ("Redirect user to success URL: {0}", URL); return AWS.Response.URL (Location => URL); end; end Verify_Authorization; function User_Info (Request : in AWS.Status.Data) return AWS.Response.Data is SID : constant AWS.Session.Id := AWS.Status.Session (Request); Credential : Security.Auth.Authentication; Set : AWS.Templates.Translate_Set; begin if AWS.Session.Exist (SID, USER_INFO_ATTRIBUTE) then Credential := User_Session.Get (SID, USER_INFO_ATTRIBUTE); AWS.Templates.Insert (Set, AWS.Templates.Assoc ("ID", Security.Auth.Get_Claimed_Id (Credential))); AWS.Templates.Insert (Set, AWS.Templates.Assoc ("EMAIL", Security.Auth.Get_Email (Credential))); AWS.Templates.Insert (Set, AWS.Templates.Assoc ("NAME", Security.Auth.Get_Full_Name (Credential))); end if; return AWS.Services.Web_Block.Registry.Build ("success", Request, Set); end User_Info; end Auth_CB;
40.385787
94
0.64178
18d24dc1a08462fa8dbb7c409d93f649715f34f1
10,291
ads
Ada
src/gen-artifacts-docs.ads
My-Colaborations/dynamo
09704417a23050d5bb52d48a65a14a1c2d926184
[ "Apache-2.0" ]
null
null
null
src/gen-artifacts-docs.ads
My-Colaborations/dynamo
09704417a23050d5bb52d48a65a14a1c2d926184
[ "Apache-2.0" ]
null
null
null
src/gen-artifacts-docs.ads
My-Colaborations/dynamo
09704417a23050d5bb52d48a65a14a1c2d926184
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- gen-artifacts-docs -- Artifact for documentation -- Copyright (C) 2012, 2015, 2017, 2018, 2019, 2020 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Containers.Indefinite_Vectors; with Ada.Containers.Indefinite_Hashed_Maps; with Ada.Strings.Hash; with Ada.Strings.Unbounded; with Ada.Text_IO; with Gen.Model.Packages; private with Util.Strings.Maps; -- with Asis; -- with Asis.Text; -- with Asis.Elements; -- with Asis.Exceptions; -- with Asis.Errors; -- with Asis.Implementation; -- with Asis.Elements; -- with Asis.Declarations; -- The <b>Gen.Artifacts.Docs</b> package is an artifact for the generation of -- application documentation. Its purpose is to scan the project source files -- and extract some interesting information for a developer's guide. The artifact -- scans the Ada source files, the XML configuration files, the XHTML files. -- -- The generated documentation is intended to be published on a web site. -- The Google Wiki style is generated by default. -- -- 1/ In the first step, the project files are scanned and the useful -- documentation is extracted. -- -- 2/ In the second step, the documentation is merged and reconciled. Links to -- documentation pages and references are setup and the final pages are generated. -- -- Ada -- --- -- The documentation starts at the first '== TITLE ==' marker and finishes before the -- package specification. -- -- XHTML -- ----- -- Same as Ada. -- -- XML Files -- ---------- -- The documentation is part of the XML and the <b>documentation</b> or <b>description</b> -- tags are extracted. package Gen.Artifacts.Docs is -- Tag marker (same as Java). TAG_CHAR : constant Character := '@'; -- Specific tags recognized when analyzing the documentation. TAG_AUTHOR : constant String := "author"; TAG_TITLE : constant String := "title"; TAG_INCLUDE : constant String := "include"; TAG_INCLUDE_CONFIG : constant String := "include-config"; TAG_INCLUDE_BEAN : constant String := "include-bean"; TAG_INCLUDE_QUERY : constant String := "include-query"; TAG_INCLUDE_PERM : constant String := "include-permission"; TAG_INCLUDE_DOC : constant String := "include-doc"; TAG_SEE : constant String := "see"; Unknown_Tag : exception; type Doc_Format is (DOC_MARKDOWN, DOC_WIKI_GOOGLE); -- ------------------------------ -- Documentation artifact -- ------------------------------ type Artifact is new Gen.Artifacts.Artifact with private; -- Prepare the model after all the configuration files have been read and before -- actually invoking the generation. overriding procedure Prepare (Handler : in out Artifact; Model : in out Gen.Model.Packages.Model_Definition'Class; Context : in out Generator'Class); -- Set the output document format to generate. procedure Set_Format (Handler : in out Artifact; Format : in Doc_Format; Footer : in Boolean); -- Load from the file a list of link definitions which can be injected in the generated doc. -- This allows to avoid polluting the Ada code with external links. procedure Read_Links (Handler : in out Artifact; Path : in String); private type Line_Kind is (L_TEXT, L_LIST, L_LIST_ITEM, L_SEE, L_INCLUDE, L_INCLUDE_CONFIG, L_INCLUDE_BEAN, L_INCLUDE_PERMISSION, L_INCLUDE_QUERY, L_INCLUDE_DOC, L_START_CODE, L_END_CODE, L_HEADER_1, L_HEADER_2, L_HEADER_3, L_HEADER_4); subtype Line_Include_Kind is Line_Kind range L_INCLUDE .. L_INCLUDE_QUERY; type Line_Type (Len : Natural) is record Kind : Line_Kind := L_TEXT; Content : String (1 .. Len); end record; package Line_Vectors is new Ada.Containers.Indefinite_Vectors (Index_Type => Positive, Element_Type => Line_Type); type Line_Group_Vector is array (Line_Include_Kind) of Line_Vectors.Vector; type Doc_State is (IN_PARA, IN_SEPARATOR, IN_CODE, IN_CODE_SEPARATOR, IN_LIST); type Document_Formatter is abstract tagged record Links : Util.Strings.Maps.Map; end record; type Document_Formatter_Access is access all Document_Formatter'Class; type File_Document is record Name : Ada.Strings.Unbounded.Unbounded_String; Title : Ada.Strings.Unbounded.Unbounded_String; State : Doc_State := IN_PARA; Line_Number : Natural := 0; Lines : Line_Group_Vector; Was_Included : Boolean := False; Print_Footer : Boolean := True; Formatter : Document_Formatter_Access; end record; -- Get the document name from the file document (ex: <name>.wiki or <name>.md). function Get_Document_Name (Formatter : in Document_Formatter; Document : in File_Document) return String is abstract; -- Start a new document. procedure Start_Document (Formatter : in out Document_Formatter; Document : in File_Document; File : in Ada.Text_IO.File_Type) is abstract; -- Write a line in the target document formatting the line if necessary. procedure Write_Line (Formatter : in out Document_Formatter; File : in Ada.Text_IO.File_Type; Line : in Line_Type) is abstract; -- Finish the document. procedure Finish_Document (Formatter : in out Document_Formatter; Document : in File_Document; File : in Ada.Text_IO.File_Type; Source : in String) is abstract; package Doc_Maps is new Ada.Containers.Indefinite_Hashed_Maps (Key_Type => String, Element_Type => File_Document, Hash => Ada.Strings.Hash, Equivalent_Keys => "="); -- Include the document extract represented by <b>Name</b> into the document <b>Into</b>. -- The included document is marked so that it will not be generated. procedure Include (Docs : in out Doc_Maps.Map; Into : in out File_Document; Name : in String; Mode : in Line_Include_Kind; Position : in Natural); procedure Include (Docs : in out Doc_Maps.Map; Into : in out File_Document; Name : in String; Position : in Natural); -- Generate the project documentation that was collected in <b>Docs</b>. -- The documentation is merged so that the @include tags are replaced by the matching -- document extracts. procedure Generate (Docs : in out Doc_Maps.Map; Dir : in String); -- Returns True if the line indicates a bullet or numbered list. function Is_List (Line : in String) return Boolean; -- Returns True if the line indicates a code sample. function Is_Code (Line : in String) return Boolean; -- Append a raw text line to the document. procedure Append_Line (Doc : in out File_Document; Line : in String); -- Look and analyze the tag defined on the line. procedure Append_Tag (Doc : in out File_Document; Tag : in String); -- Analyse the documentation line and collect the documentation text. procedure Append (Doc : in out File_Document; Line : in String); -- After having collected the documentation, terminate the document by making sure -- the opened elements are closed. procedure Finish (Doc : in out File_Document); -- Set the name associated with the document extract. procedure Set_Name (Doc : in out File_Document; Name : in String); -- Set the title associated with the document extract. procedure Set_Title (Doc : in out File_Document; Title : in String); -- Scan the files in the directory refered to by <b>Path</b> and collect the documentation -- in the <b>Docs</b> hashed map. procedure Scan_Files (Handler : in out Artifact; Path : in String; Docs : in out Doc_Maps.Map); -- Read the Ada specification/body file and collect the useful documentation. -- To keep the implementation simple, we don't use the ASIS packages to scan and extract -- the documentation. We don't need to look at the Ada specification itself. Instead, -- we assume that the Ada source follows some Ada style guidelines. procedure Read_Ada_File (Handler : in out Artifact; File : in String; Result : in out File_Document); procedure Read_Xml_File (Handler : in out Artifact; File : in String; Result : in out File_Document); type Artifact is new Gen.Artifacts.Artifact with record Xslt_Command : Ada.Strings.Unbounded.Unbounded_String; Format : Doc_Format := DOC_WIKI_GOOGLE; Print_Footer : Boolean := True; Formatter : Document_Formatter_Access; end record; end Gen.Artifacts.Docs;
42.17623
96
0.619668
dc3402985cbf335d780b7270988210926abbe9f6
174,433
adb
Ada
HLS/solution1/.autopilot/db/doCorner.adb
NekoSilverFox/CornerDetectionOnZYNQ
5688fa851f57d00f0f2d309adf06df840a25e1da
[ "Apache-2.0" ]
null
null
null
HLS/solution1/.autopilot/db/doCorner.adb
NekoSilverFox/CornerDetectionOnZYNQ
5688fa851f57d00f0f2d309adf06df840a25e1da
[ "Apache-2.0" ]
null
null
null
HLS/solution1/.autopilot/db/doCorner.adb
NekoSilverFox/CornerDetectionOnZYNQ
5688fa851f57d00f0f2d309adf06df840a25e1da
[ "Apache-2.0" ]
null
null
null
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName/> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>doCorner</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>inStream_V_data_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>inStream.V.data.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>24</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>inStream_V_keep_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>inStream.V.keep.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>inStream_V_strb_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>inStream.V.strb.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>inStream_V_user_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>inStream.V.user.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>inStream_V_last_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>inStream.V.last.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>6</id> <name>inStream_V_id_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>inStream.V.id.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_7"> <Value> <Obj> <type>1</type> <id>7</id> <name>inStream_V_dest_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>inStream.V.dest.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_8"> <Value> <Obj> <type>1</type> <id>8</id> <name>outStream_V_data_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>outStream.V.data.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>24</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_9"> <Value> <Obj> <type>1</type> <id>9</id> <name>outStream_V_keep_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>outStream.V.keep.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_10"> <Value> <Obj> <type>1</type> <id>10</id> <name>outStream_V_strb_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>outStream.V.strb.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>3</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_11"> <Value> <Obj> <type>1</type> <id>11</id> <name>outStream_V_user_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>outStream.V.user.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_12"> <Value> <Obj> <type>1</type> <id>12</id> <name>outStream_V_last_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>outStream.V.last.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_13"> <Value> <Obj> <type>1</type> <id>13</id> <name>outStream_V_id_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>outStream.V.id.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_14"> <Value> <Obj> <type>1</type> <id>14</id> <name>outStream_V_dest_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>outStream.V.dest.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>19</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_15"> <Value> <Obj> <type>0</type> <id>31</id> <name>img_0_data_stream_0</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName>img_0.data_stream[0].V</originalName> <rtlName>img_0_data_stream_0_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>79</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>34</id> <name>img_0_data_stream_1</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName>img_0.data_stream[1].V</originalName> <rtlName>img_0_data_stream_1_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>80</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>37</id> <name>img_0_data_stream_2</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>35</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>35</second> </item> </second> </item> </inlineStackInfo> <originalName>img_0.data_stream[2].V</originalName> <rtlName>img_0_data_stream_2_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>81</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>40</id> <name>img_1_data_stream_0</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>36</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>36</second> </item> </second> </item> </inlineStackInfo> <originalName>img_1.data_stream[0].V</originalName> <rtlName>img_1_data_stream_0_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>82</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>43</id> <name>img_1_data_stream_1</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>36</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>36</second> </item> </second> </item> </inlineStackInfo> <originalName>img_1.data_stream[1].V</originalName> <rtlName>img_1_data_stream_1_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>83</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>5</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>46</id> <name>img_1_data_stream_2</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>36</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>36</second> </item> </second> </item> </inlineStackInfo> <originalName>img_1.data_stream[2].V</originalName> <rtlName>img_1_data_stream_2_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>84</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>6</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>49</id> <name>img_2_data_stream_0</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>37</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>37</second> </item> </second> </item> </inlineStackInfo> <originalName>img_2.data_stream[0].V</originalName> <rtlName>img_2_data_stream_0_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>85</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>7</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>52</id> <name>img_3_data_stream_0</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>38</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>38</second> </item> </second> </item> </inlineStackInfo> <originalName>img_3.data_stream[0].V</originalName> <rtlName>img_3_data_stream_0_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>86</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>8</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>55</id> <name>img_3_data_stream_1</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>38</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>38</second> </item> </second> </item> </inlineStackInfo> <originalName>img_3.data_stream[1].V</originalName> <rtlName>img_3_data_stream_1_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>87</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>9</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>58</id> <name>img_3_data_stream_2</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>38</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>38</second> </item> </second> </item> </inlineStackInfo> <originalName>img_3.data_stream[2].V</originalName> <rtlName>img_3_data_stream_2_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>88</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>10</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>61</id> <name>mask_data_stream_0_s</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>39</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>39</second> </item> </second> </item> </inlineStackInfo> <originalName>mask.data_stream[0].V</originalName> <rtlName>mask_data_stream_0_s_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>89</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>11</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>64</id> <name>dmask_data_stream_0</name> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName>dmask.data_stream[0].V</originalName> <rtlName>dmask_data_stream_0_U</rtlName> <coreName>FIFO</coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>90</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>12</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>70</id> <name/> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>44</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>44</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>AXIvideo2Mat_U0</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>11</count> <item_version>0</item_version> <item>92</item> <item>93</item> <item>94</item> <item>95</item> <item>96</item> <item>97</item> <item>98</item> <item>99</item> <item>100</item> <item>101</item> <item>102</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>13</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>71</id> <name/> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>46</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>46</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>rgb2gray_U0</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>10</count> <item_version>0</item_version> <item>104</item> <item>105</item> <item>106</item> <item>107</item> <item>108</item> <item>109</item> <item>110</item> <item>111</item> <item>668</item> <item>669</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>14</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>72</id> <name/> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_fast.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>327</lineNumber> <contextFuncName>FASTX&amp;lt;0, 768, 1024&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_fast.h</first> <second>FASTX&amp;lt;0, 768, 1024&amp;gt;</second> </first> <second>327</second> </item> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>FAST_t_opr_U0</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>5</count> <item_version>0</item_version> <item>113</item> <item>114</item> <item>115</item> <item>667</item> <item>670</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>15</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>73</id> <name/> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>48</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>48</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>Dilate_U0</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>5</count> <item_version>0</item_version> <item>117</item> <item>118</item> <item>119</item> <item>666</item> <item>671</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>16</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>74</id> <name/> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>49</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>PaintMask_U0</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>10</count> <item_version>0</item_version> <item>121</item> <item>122</item> <item>123</item> <item>124</item> <item>125</item> <item>126</item> <item>127</item> <item>128</item> <item>665</item> <item>672</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>17</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>75</id> <name/> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>50</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>Mat2AXIvideo_U0</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>13</count> <item_version>0</item_version> <item>130</item> <item>131</item> <item>132</item> <item>133</item> <item>134</item> <item>135</item> <item>136</item> <item>137</item> <item>138</item> <item>139</item> <item>140</item> <item>664</item> <item>673</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>18</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>76</id> <name/> <fileName>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>51</lineNumber> <contextFuncName>doCorner</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>XC7Z020_316_ES_ConerDetect/code/CornerDetect.cpp</first> <second>doCorner</second> </first> <second>51</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>19</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>7</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_34"> <Value> <Obj> <type>2</type> <id>78</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_35"> <Value> <Obj> <type>2</type> <id>91</id> <name>AXIvideo2Mat</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:AXIvideo2Mat&gt;</content> </item> <item class_id_reference="16" object_id="_36"> <Value> <Obj> <type>2</type> <id>103</id> <name>rgb2gray</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:rgb2gray&gt;</content> </item> <item class_id_reference="16" object_id="_37"> <Value> <Obj> <type>2</type> <id>112</id> <name>FAST_t_opr</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:FAST_t_opr&gt;</content> </item> <item class_id_reference="16" object_id="_38"> <Value> <Obj> <type>2</type> <id>116</id> <name>Dilate</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:Dilate&gt;</content> </item> <item class_id_reference="16" object_id="_39"> <Value> <Obj> <type>2</type> <id>120</id> <name>PaintMask</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:PaintMask&gt;</content> </item> <item class_id_reference="16" object_id="_40"> <Value> <Obj> <type>2</type> <id>129</id> <name>Mat2AXIvideo</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:Mat2AXIvideo&gt;</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_41"> <Obj> <type>3</type> <id>77</id> <name>doCorner</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>19</count> <item_version>0</item_version> <item>31</item> <item>34</item> <item>37</item> <item>40</item> <item>43</item> <item>46</item> <item>49</item> <item>52</item> <item>55</item> <item>58</item> <item>61</item> <item>64</item> <item>70</item> <item>71</item> <item>72</item> <item>73</item> <item>74</item> <item>75</item> <item>76</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>66</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_42"> <id>79</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_43"> <id>80</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_44"> <id>81</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_45"> <id>82</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_46"> <id>83</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_47"> <id>84</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_48"> <id>85</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_49"> <id>86</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_50"> <id>87</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_51"> <id>88</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_52"> <id>89</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_53"> <id>90</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_54"> <id>92</id> <edge_type>1</edge_type> <source_obj>91</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_55"> <id>93</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_56"> <id>94</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_57"> <id>95</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_58"> <id>96</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_59"> <id>97</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_60"> <id>98</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_61"> <id>99</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_62"> <id>100</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_63"> <id>101</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_64"> <id>102</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_65"> <id>104</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_66"> <id>105</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_67"> <id>106</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_68"> <id>107</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_69"> <id>108</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_70"> <id>109</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_71"> <id>110</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_72"> <id>111</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_73"> <id>113</id> <edge_type>1</edge_type> <source_obj>112</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_74"> <id>114</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_75"> <id>115</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_76"> <id>117</id> <edge_type>1</edge_type> <source_obj>116</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_77"> <id>118</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_78"> <id>119</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_79"> <id>121</id> <edge_type>1</edge_type> <source_obj>120</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_80"> <id>122</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_81"> <id>123</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_82"> <id>124</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_83"> <id>125</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_84"> <id>126</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_85"> <id>127</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_86"> <id>128</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_87"> <id>130</id> <edge_type>1</edge_type> <source_obj>129</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_88"> <id>131</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_89"> <id>132</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_90"> <id>133</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_91"> <id>134</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_92"> <id>135</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_93"> <id>136</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_94"> <id>137</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_95"> <id>138</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_96"> <id>139</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_97"> <id>140</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_98"> <id>664</id> <edge_type>4</edge_type> <source_obj>74</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_99"> <id>665</id> <edge_type>4</edge_type> <source_obj>73</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_100"> <id>666</id> <edge_type>4</edge_type> <source_obj>72</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_101"> <id>667</id> <edge_type>4</edge_type> <source_obj>71</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_102"> <id>668</id> <edge_type>4</edge_type> <source_obj>70</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_103"> <id>669</id> <edge_type>4</edge_type> <source_obj>70</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_104"> <id>670</id> <edge_type>4</edge_type> <source_obj>71</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_105"> <id>671</id> <edge_type>4</edge_type> <source_obj>72</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_106"> <id>672</id> <edge_type>4</edge_type> <source_obj>73</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_107"> <id>673</id> <edge_type>4</edge_type> <source_obj>74</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_108"> <mId>1</mId> <mTag>doCorner</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>77</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>2360837</mMinLatency> <mMaxLatency>2360837</mMaxLatency> <mIsDfPipe>1</mIsDfPipe> <mDfPipe class_id="23" tracking_level="1" version="0" object_id="_109"> <port_list class_id="24" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port_list> <process_list class_id="25" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_110"> <type>0</type> <name>AXIvideo2Mat_U0</name> <ssdmobj_id>70</ssdmobj_id> <pins class_id="27" tracking_level="0" version="0"> <count>10</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_111"> <port class_id="29" tracking_level="1" version="0" object_id="_112"> <name>AXI_video_strm_V_data_V</name> <dir>3</dir> <type>0</type> </port> <inst class_id="30" tracking_level="1" version="0" object_id="_113"> <type>0</type> <name>AXIvideo2Mat_U0</name> <ssdmobj_id>70</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_114"> <port class_id_reference="29" object_id="_115"> <name>AXI_video_strm_V_keep_V</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </item> <item class_id_reference="28" object_id="_116"> <port class_id_reference="29" object_id="_117"> <name>AXI_video_strm_V_strb_V</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </item> <item class_id_reference="28" object_id="_118"> <port class_id_reference="29" object_id="_119"> <name>AXI_video_strm_V_user_V</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </item> <item class_id_reference="28" object_id="_120"> <port class_id_reference="29" object_id="_121"> <name>AXI_video_strm_V_last_V</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </item> <item class_id_reference="28" object_id="_122"> <port class_id_reference="29" object_id="_123"> <name>AXI_video_strm_V_id_V</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </item> <item class_id_reference="28" object_id="_124"> <port class_id_reference="29" object_id="_125"> <name>AXI_video_strm_V_dest_V</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </item> <item class_id_reference="28" object_id="_126"> <port class_id_reference="29" object_id="_127"> <name>img_data_stream_0_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </item> <item class_id_reference="28" object_id="_128"> <port class_id_reference="29" object_id="_129"> <name>img_data_stream_1_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </item> <item class_id_reference="28" object_id="_130"> <port class_id_reference="29" object_id="_131"> <name>img_data_stream_2_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </item> </pins> </item> <item class_id_reference="26" object_id="_132"> <type>0</type> <name>rgb2gray_U0</name> <ssdmobj_id>71</ssdmobj_id> <pins> <count>7</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_133"> <port class_id_reference="29" object_id="_134"> <name>imgIn_data_stream_0_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_135"> <type>0</type> <name>rgb2gray_U0</name> <ssdmobj_id>71</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_136"> <port class_id_reference="29" object_id="_137"> <name>imgIn_data_stream_1_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </item> <item class_id_reference="28" object_id="_138"> <port class_id_reference="29" object_id="_139"> <name>imgIn_data_stream_2_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </item> <item class_id_reference="28" object_id="_140"> <port class_id_reference="29" object_id="_141"> <name>imgOut_3C_data_stream_0_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </item> <item class_id_reference="28" object_id="_142"> <port class_id_reference="29" object_id="_143"> <name>imgOut_3C_data_stream_1_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </item> <item class_id_reference="28" object_id="_144"> <port class_id_reference="29" object_id="_145"> <name>imgOut_3C_data_stream_2_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </item> <item class_id_reference="28" object_id="_146"> <port class_id_reference="29" object_id="_147"> <name>imgOut_1C_data_stream_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </item> </pins> </item> <item class_id_reference="26" object_id="_148"> <type>0</type> <name>FAST_t_opr_U0</name> <ssdmobj_id>72</ssdmobj_id> <pins> <count>2</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_149"> <port class_id_reference="29" object_id="_150"> <name>p_src_data_stream_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_151"> <type>0</type> <name>FAST_t_opr_U0</name> <ssdmobj_id>72</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_152"> <port class_id_reference="29" object_id="_153"> <name>p_mask_data_stream_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_151"/> </item> </pins> </item> <item class_id_reference="26" object_id="_154"> <type>0</type> <name>Dilate_U0</name> <ssdmobj_id>73</ssdmobj_id> <pins> <count>2</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_155"> <port class_id_reference="29" object_id="_156"> <name>p_src_data_stream_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_157"> <type>0</type> <name>Dilate_U0</name> <ssdmobj_id>73</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_158"> <port class_id_reference="29" object_id="_159"> <name>p_dst_data_stream_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_157"/> </item> </pins> </item> <item class_id_reference="26" object_id="_160"> <type>0</type> <name>PaintMask_U0</name> <ssdmobj_id>74</ssdmobj_id> <pins> <count>7</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_161"> <port class_id_reference="29" object_id="_162"> <name>p_src_data_stream_0_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_163"> <type>0</type> <name>PaintMask_U0</name> <ssdmobj_id>74</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_164"> <port class_id_reference="29" object_id="_165"> <name>p_src_data_stream_1_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </item> <item class_id_reference="28" object_id="_166"> <port class_id_reference="29" object_id="_167"> <name>p_src_data_stream_2_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </item> <item class_id_reference="28" object_id="_168"> <port class_id_reference="29" object_id="_169"> <name>p_mask_data_stream_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </item> <item class_id_reference="28" object_id="_170"> <port class_id_reference="29" object_id="_171"> <name>p_dst_data_stream_0_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </item> <item class_id_reference="28" object_id="_172"> <port class_id_reference="29" object_id="_173"> <name>p_dst_data_stream_1_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </item> <item class_id_reference="28" object_id="_174"> <port class_id_reference="29" object_id="_175"> <name>p_dst_data_stream_2_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </item> </pins> </item> <item class_id_reference="26" object_id="_176"> <type>0</type> <name>Mat2AXIvideo_U0</name> <ssdmobj_id>75</ssdmobj_id> <pins> <count>10</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_177"> <port class_id_reference="29" object_id="_178"> <name>img_data_stream_0_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_179"> <type>0</type> <name>Mat2AXIvideo_U0</name> <ssdmobj_id>75</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_180"> <port class_id_reference="29" object_id="_181"> <name>img_data_stream_1_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </item> <item class_id_reference="28" object_id="_182"> <port class_id_reference="29" object_id="_183"> <name>img_data_stream_2_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </item> <item class_id_reference="28" object_id="_184"> <port class_id_reference="29" object_id="_185"> <name>AXI_video_strm_V_data_V</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </item> <item class_id_reference="28" object_id="_186"> <port class_id_reference="29" object_id="_187"> <name>AXI_video_strm_V_keep_V</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </item> <item class_id_reference="28" object_id="_188"> <port class_id_reference="29" object_id="_189"> <name>AXI_video_strm_V_strb_V</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </item> <item class_id_reference="28" object_id="_190"> <port class_id_reference="29" object_id="_191"> <name>AXI_video_strm_V_user_V</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </item> <item class_id_reference="28" object_id="_192"> <port class_id_reference="29" object_id="_193"> <name>AXI_video_strm_V_last_V</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </item> <item class_id_reference="28" object_id="_194"> <port class_id_reference="29" object_id="_195"> <name>AXI_video_strm_V_id_V</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </item> <item class_id_reference="28" object_id="_196"> <port class_id_reference="29" object_id="_197"> <name>AXI_video_strm_V_dest_V</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </item> </pins> </item> </process_list> <channel_list class_id="31" tracking_level="0" version="0"> <count>12</count> <item_version>0</item_version> <item class_id="32" tracking_level="1" version="0" object_id="_198"> <type>1</type> <name>img_0_data_stream_0</name> <ssdmobj_id>31</ssdmobj_id> <ctype>0</ctype> <depth>2</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_199"> <port class_id_reference="29" object_id="_200"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </source> <sink class_id_reference="28" object_id="_201"> <port class_id_reference="29" object_id="_202"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </sink> </item> <item class_id_reference="32" object_id="_203"> <type>1</type> <name>img_0_data_stream_1</name> <ssdmobj_id>34</ssdmobj_id> <ctype>0</ctype> <depth>2</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_204"> <port class_id_reference="29" object_id="_205"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </source> <sink class_id_reference="28" object_id="_206"> <port class_id_reference="29" object_id="_207"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </sink> </item> <item class_id_reference="32" object_id="_208"> <type>1</type> <name>img_0_data_stream_2</name> <ssdmobj_id>37</ssdmobj_id> <ctype>0</ctype> <depth>2</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_209"> <port class_id_reference="29" object_id="_210"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_113"/> </source> <sink class_id_reference="28" object_id="_211"> <port class_id_reference="29" object_id="_212"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </sink> </item> <item class_id_reference="32" object_id="_213"> <type>1</type> <name>img_1_data_stream_0</name> <ssdmobj_id>40</ssdmobj_id> <ctype>0</ctype> <depth>20000</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_214"> <port class_id_reference="29" object_id="_215"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </source> <sink class_id_reference="28" object_id="_216"> <port class_id_reference="29" object_id="_217"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </sink> </item> <item class_id_reference="32" object_id="_218"> <type>1</type> <name>img_1_data_stream_1</name> <ssdmobj_id>43</ssdmobj_id> <ctype>0</ctype> <depth>20000</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_219"> <port class_id_reference="29" object_id="_220"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </source> <sink class_id_reference="28" object_id="_221"> <port class_id_reference="29" object_id="_222"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </sink> </item> <item class_id_reference="32" object_id="_223"> <type>1</type> <name>img_1_data_stream_2</name> <ssdmobj_id>46</ssdmobj_id> <ctype>0</ctype> <depth>20000</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_224"> <port class_id_reference="29" object_id="_225"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </source> <sink class_id_reference="28" object_id="_226"> <port class_id_reference="29" object_id="_227"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </sink> </item> <item class_id_reference="32" object_id="_228"> <type>1</type> <name>img_2_data_stream_0</name> <ssdmobj_id>49</ssdmobj_id> <ctype>0</ctype> <depth>2</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_229"> <port class_id_reference="29" object_id="_230"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_135"/> </source> <sink class_id_reference="28" object_id="_231"> <port class_id_reference="29" object_id="_232"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_151"/> </sink> </item> <item class_id_reference="32" object_id="_233"> <type>1</type> <name>mask_data_stream_0_s</name> <ssdmobj_id>61</ssdmobj_id> <ctype>0</ctype> <depth>2</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_234"> <port class_id_reference="29" object_id="_235"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_151"/> </source> <sink class_id_reference="28" object_id="_236"> <port class_id_reference="29" object_id="_237"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_157"/> </sink> </item> <item class_id_reference="32" object_id="_238"> <type>1</type> <name>dmask_data_stream_0</name> <ssdmobj_id>64</ssdmobj_id> <ctype>0</ctype> <depth>2</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_239"> <port class_id_reference="29" object_id="_240"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_157"/> </source> <sink class_id_reference="28" object_id="_241"> <port class_id_reference="29" object_id="_242"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </sink> </item> <item class_id_reference="32" object_id="_243"> <type>1</type> <name>img_3_data_stream_0</name> <ssdmobj_id>52</ssdmobj_id> <ctype>0</ctype> <depth>2</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_244"> <port class_id_reference="29" object_id="_245"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </source> <sink class_id_reference="28" object_id="_246"> <port class_id_reference="29" object_id="_247"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </sink> </item> <item class_id_reference="32" object_id="_248"> <type>1</type> <name>img_3_data_stream_1</name> <ssdmobj_id>55</ssdmobj_id> <ctype>0</ctype> <depth>2</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_249"> <port class_id_reference="29" object_id="_250"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </source> <sink class_id_reference="28" object_id="_251"> <port class_id_reference="29" object_id="_252"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </sink> </item> <item class_id_reference="32" object_id="_253"> <type>1</type> <name>img_3_data_stream_2</name> <ssdmobj_id>58</ssdmobj_id> <ctype>0</ctype> <depth>2</depth> <bitwidth>8</bitwidth> <source class_id_reference="28" object_id="_254"> <port class_id_reference="29" object_id="_255"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_163"/> </source> <sink class_id_reference="28" object_id="_256"> <port class_id_reference="29" object_id="_257"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_179"/> </sink> </item> </channel_list> <net_list class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </net_list> </mDfPipe> </item> </cdfg_regions> <fsm class_id="34" tracking_level="1" version="0" object_id="_258"> <states class_id="35" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="36" tracking_level="1" version="0" object_id="_259"> <id>1</id> <operations class_id="37" tracking_level="0" version="0"> <count>12</count> <item_version>0</item_version> <item class_id="38" tracking_level="1" version="0" object_id="_260"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_261"> <id>34</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_262"> <id>37</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_263"> <id>40</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_264"> <id>43</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_265"> <id>46</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_266"> <id>49</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_267"> <id>52</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_268"> <id>55</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_269"> <id>58</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_270"> <id>61</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_271"> <id>64</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_272"> <id>2</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_273"> <id>70</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_274"> <id>3</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_275"> <id>70</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_276"> <id>4</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_277"> <id>71</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_278"> <id>5</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_279"> <id>71</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_280"> <id>6</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_281"> <id>72</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_282"> <id>7</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_283"> <id>72</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_284"> <id>8</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_285"> <id>73</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_286"> <id>9</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_287"> <id>73</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_288"> <id>10</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_289"> <id>74</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_290"> <id>11</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_291"> <id>74</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_292"> <id>12</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_293"> <id>75</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_294"> <id>13</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_295"> <id>75</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_296"> <id>14</id> <operations> <count>44</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_297"> <id>15</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_298"> <id>16</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_299"> <id>17</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_300"> <id>18</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_301"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_302"> <id>20</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_303"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_304"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_305"> <id>23</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_306"> <id>24</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_307"> <id>25</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_308"> <id>26</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_309"> <id>27</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_310"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_311"> <id>29</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_312"> <id>30</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_313"> <id>32</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_314"> <id>33</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_315"> <id>35</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_316"> <id>36</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_317"> <id>38</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_318"> <id>39</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_319"> <id>41</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_320"> <id>42</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_321"> <id>44</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_322"> <id>45</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_323"> <id>47</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_324"> <id>48</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_325"> <id>50</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_326"> <id>51</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_327"> <id>53</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_328"> <id>54</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_329"> <id>56</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_330"> <id>57</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_331"> <id>59</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_332"> <id>60</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_333"> <id>62</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_334"> <id>63</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_335"> <id>65</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_336"> <id>66</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_337"> <id>67</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_338"> <id>68</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_339"> <id>69</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_340"> <id>76</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="39" tracking_level="0" version="0"> <count>13</count> <item_version>0</item_version> <item class_id="40" tracking_level="1" version="0" object_id="_341"> <inState>1</inState> <outState>2</outState> <condition class_id="41" tracking_level="0" version="0"> <id>-1</id> <sop class_id="42" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="43" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_342"> <inState>2</inState> <outState>3</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_343"> <inState>3</inState> <outState>4</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_344"> <inState>4</inState> <outState>5</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_345"> <inState>5</inState> <outState>6</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_346"> <inState>6</inState> <outState>7</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_347"> <inState>7</inState> <outState>8</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_348"> <inState>8</inState> <outState>9</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_349"> <inState>9</inState> <outState>10</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_350"> <inState>10</inState> <outState>11</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_351"> <inState>11</inState> <outState>12</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_352"> <inState>12</inState> <outState>13</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_353"> <inState>13</inState> <outState>14</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="44" tracking_level="1" version="0" object_id="_354"> <dp_component_resource class_id="45" tracking_level="0" version="0"> <count>12</count> <item_version>0</item_version> <item class_id="46" tracking_level="0" version="0"> <first>AXIvideo2Mat_U0 (AXIvideo2Mat)</first> <second class_id="47" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="48" tracking_level="0" version="0"> <first>FF</first> <second>262</second> </item> <item> <first>LUT</first> <second>148</second> </item> </second> </item> <item> <first>Dilate_U0 (Dilate)</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>BRAM</first> <second>3</second> </item> <item> <first>FF</first> <second>326</second> </item> <item> <first>LUT</first> <second>425</second> </item> </second> </item> <item> <first>FAST_t_opr_U0 (FAST_t_opr)</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>BRAM</first> <second>10</second> </item> <item> <first>FF</first> <second>9981</second> </item> <item> <first>LUT</first> <second>7770</second> </item> </second> </item> <item> <first>Mat2AXIvideo_U0 (Mat2AXIvideo)</first> <second> <count>2</count> <item_version>0</item_version> <item> <first>FF</first> <second>119</second> </item> <item> <first>LUT</first> <second>117</second> </item> </second> </item> <item> <first>PaintMask_U0 (PaintMask)</first> <second> <count>2</count> <item_version>0</item_version> <item> <first>FF</first> <second>40</second> </item> <item> <first>LUT</first> <second>106</second> </item> </second> </item> <item> <first>doCorner_CTRL_BUS_s_axi_U (doCorner_CTRL_BUS_s_axi)</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>36</second> </item> <item> <first>LUT</first> <second>40</second> </item> </second> </item> <item> <first>rgb2gray_U0 (rgb2gray)</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>DSP48E</first> <second>2</second> </item> <item> <first>FF</first> <second>81</second> </item> <item> <first>LUT</first> <second>85</second> </item> </second> </item> <item> <first>start_for_Dilate_U0_U (start_for_Dilate_U0)</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>start_for_FAST_t_qcK_U (start_for_FAST_t_qcK)</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>start_for_Mat2AXIsc4_U (start_for_Mat2AXIsc4)</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>start_for_PaintMarcU_U (start_for_PaintMarcU)</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>start_for_rgb2grapcA_U (start_for_rgb2grapcA)</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> </dp_component_resource> <dp_expression_resource> <count>2</count> <item_version>0</item_version> <item> <first>ap_idle ( and ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>1</second> </item> </second> </item> <item> <first>rgb2gray_U0_start_full_n ( and ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>1</second> </item> </second> </item> </dp_expression_resource> <dp_fifo_resource> <count>12</count> <item_version>0</item_version> <item> <first>dmask_data_stream_0_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>16</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> <item> <first>LUT</first> <second>20</second> </item> </second> </item> <item> <first>img_0_data_stream_0_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>16</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> <item> <first>LUT</first> <second>20</second> </item> </second> </item> <item> <first>img_0_data_stream_1_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>16</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> <item> <first>LUT</first> <second>20</second> </item> </second> </item> <item> <first>img_0_data_stream_2_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>16</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> <item> <first>LUT</first> <second>20</second> </item> </second> </item> <item> <first>img_1_data_stream_0_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>20000</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>160000</second> </item> <item> <first>BRAM</first> <second>16</second> </item> <item> <first>FF</first> <second>216</second> </item> <item> <first>LUT</first> <second>621</second> </item> </second> </item> <item> <first>img_1_data_stream_1_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>20000</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>160000</second> </item> <item> <first>BRAM</first> <second>16</second> </item> <item> <first>FF</first> <second>216</second> </item> <item> <first>LUT</first> <second>621</second> </item> </second> </item> <item> <first>img_1_data_stream_2_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>20000</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>160000</second> </item> <item> <first>BRAM</first> <second>16</second> </item> <item> <first>FF</first> <second>216</second> </item> <item> <first>LUT</first> <second>621</second> </item> </second> </item> <item> <first>img_2_data_stream_0_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>16</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> <item> <first>LUT</first> <second>20</second> </item> </second> </item> <item> <first>img_3_data_stream_0_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>16</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> <item> <first>LUT</first> <second>20</second> </item> </second> </item> <item> <first>img_3_data_stream_1_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>16</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> <item> <first>LUT</first> <second>20</second> </item> </second> </item> <item> <first>img_3_data_stream_2_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>16</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> <item> <first>LUT</first> <second>20</second> </item> </second> </item> <item> <first>mask_data_stream_0_s_U</first> <second> <count>6</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>8</second> </item> <item> <first>(2Size:D*B)</first> <second>16</second> </item> <item> <first>BRAM</first> <second>0</second> </item> <item> <first>FF</first> <second>5</second> </item> <item> <first>LUT</first> <second>20</second> </item> </second> </item> </dp_fifo_resource> <dp_memory_resource> <count>0</count> <item_version>0</item_version> </dp_memory_resource> <dp_multiplexer_resource> <count>0</count> <item_version>0</item_version> </dp_multiplexer_resource> <dp_register_resource> <count>0</count> <item_version>0</item_version> </dp_register_resource> <dp_dsp_resource> <count>12</count> <item_version>0</item_version> <item> <first>AXIvideo2Mat_U0</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>Dilate_U0</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>FAST_t_opr_U0</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>Mat2AXIvideo_U0</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>PaintMask_U0</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>doCorner_CTRL_BUS_s_axi_U</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>rgb2gray_U0</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>start_for_Dilate_U0_U</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>start_for_FAST_t_qcK_U</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>start_for_Mat2AXIsc4_U</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>start_for_PaintMarcU_U</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> <item> <first>start_for_rgb2grapcA_U</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> </dp_dsp_resource> <dp_component_map class_id="49" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="50" tracking_level="0" version="0"> <first>AXIvideo2Mat_U0 (AXIvideo2Mat)</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> <item> <first>Dilate_U0 (Dilate)</first> <second> <count>1</count> <item_version>0</item_version> <item>73</item> </second> </item> <item> <first>FAST_t_opr_U0 (FAST_t_opr)</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>Mat2AXIvideo_U0 (Mat2AXIvideo)</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>PaintMask_U0 (PaintMask)</first> <second> <count>1</count> <item_version>0</item_version> <item>74</item> </second> </item> <item> <first>rgb2gray_U0 (rgb2gray)</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> </dp_component_map> <dp_expression_map> <count>0</count> <item_version>0</item_version> </dp_expression_map> <dp_fifo_map> <count>12</count> <item_version>0</item_version> <item> <first>dmask_data_stream_0_U</first> <second> <count>1</count> <item_version>0</item_version> <item>293</item> </second> </item> <item> <first>img_0_data_stream_0_U</first> <second> <count>1</count> <item_version>0</item_version> <item>212</item> </second> </item> <item> <first>img_0_data_stream_1_U</first> <second> <count>1</count> <item_version>0</item_version> <item>222</item> </second> </item> <item> <first>img_0_data_stream_2_U</first> <second> <count>1</count> <item_version>0</item_version> <item>232</item> </second> </item> <item> <first>img_1_data_stream_0_U</first> <second> <count>1</count> <item_version>0</item_version> <item>243</item> </second> </item> <item> <first>img_1_data_stream_1_U</first> <second> <count>1</count> <item_version>0</item_version> <item>253</item> </second> </item> <item> <first>img_1_data_stream_2_U</first> <second> <count>1</count> <item_version>0</item_version> <item>263</item> </second> </item> <item> <first>img_2_data_stream_0_U</first> <second> <count>1</count> <item_version>0</item_version> <item>273</item> </second> </item> <item> <first>img_3_data_stream_0_U</first> <second> <count>1</count> <item_version>0</item_version> <item>303</item> </second> </item> <item> <first>img_3_data_stream_1_U</first> <second> <count>1</count> <item_version>0</item_version> <item>313</item> </second> </item> <item> <first>img_3_data_stream_2_U</first> <second> <count>1</count> <item_version>0</item_version> <item>323</item> </second> </item> <item> <first>mask_data_stream_0_s_U</first> <second> <count>1</count> <item_version>0</item_version> <item>283</item> </second> </item> </dp_fifo_map> <dp_memory_map> <count>0</count> <item_version>0</item_version> </dp_memory_map> </res> <node_label_latency class_id="51" tracking_level="0" version="0"> <count>19</count> <item_version>0</item_version> <item class_id="52" tracking_level="0" version="0"> <first>31</first> <second class_id="53" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>43</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>49</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>61</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>64</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>70</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>71</first> <second> <first>3</first> <second>1</second> </second> </item> <item> <first>72</first> <second> <first>5</first> <second>1</second> </second> </item> <item> <first>73</first> <second> <first>7</first> <second>1</second> </second> </item> <item> <first>74</first> <second> <first>9</first> <second>1</second> </second> </item> <item> <first>75</first> <second> <first>11</first> <second>1</second> </second> </item> <item> <first>76</first> <second> <first>13</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="54" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="55" tracking_level="0" version="0"> <first>77</first> <second class_id="56" tracking_level="0" version="0"> <first>0</first> <second>13</second> </second> </item> </bblk_ent_exit> <regions class_id="57" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="58" tracking_level="1" version="0" object_id="_355"> <region_name>doCorner</region_name> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>77</item> </basic_blocks> <nodes> <count>62</count> <item_version>0</item_version> <item>15</item> <item>16</item> <item>17</item> <item>18</item> <item>19</item> <item>20</item> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> <item>26</item> <item>27</item> <item>28</item> <item>29</item> <item>30</item> <item>31</item> <item>32</item> <item>33</item> <item>34</item> <item>35</item> <item>36</item> <item>37</item> <item>38</item> <item>39</item> <item>40</item> <item>41</item> <item>42</item> <item>43</item> <item>44</item> <item>45</item> <item>46</item> <item>47</item> <item>48</item> <item>49</item> <item>50</item> <item>51</item> <item>52</item> <item>53</item> <item>54</item> <item>55</item> <item>56</item> <item>57</item> <item>58</item> <item>59</item> <item>60</item> <item>61</item> <item>62</item> <item>63</item> <item>64</item> <item>65</item> <item>66</item> <item>67</item> <item>68</item> <item>69</item> <item>70</item> <item>71</item> <item>72</item> <item>73</item> <item>74</item> <item>75</item> <item>76</item> </nodes> <anchor_node>-1</anchor_node> <region_type>16</region_type> <interval>0</interval> <pipe_depth>0</pipe_depth> </item> </regions> <dp_fu_nodes class_id="59" tracking_level="0" version="0"> <count>18</count> <item_version>0</item_version> <item class_id="60" tracking_level="0" version="0"> <first>270</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>274</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>278</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>282</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>286</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>290</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> <item> <first>294</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> <item> <first>298</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>302</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>306</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>310</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> <item> <first>314</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>318</first> <second> <count>2</count> <item_version>0</item_version> <item>72</item> <item>72</item> </second> </item> <item> <first>324</first> <second> <count>2</count> <item_version>0</item_version> <item>73</item> <item>73</item> </second> </item> <item> <first>330</first> <second> <count>2</count> <item_version>0</item_version> <item>70</item> <item>70</item> </second> </item> <item> <first>351</first> <second> <count>2</count> <item_version>0</item_version> <item>71</item> <item>71</item> </second> </item> <item> <first>362</first> <second> <count>2</count> <item_version>0</item_version> <item>75</item> <item>75</item> </second> </item> <item> <first>383</first> <second> <count>2</count> <item_version>0</item_version> <item>74</item> <item>74</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="62" tracking_level="0" version="0"> <count>12</count> <item_version>0</item_version> <item class_id="63" tracking_level="0" version="0"> <first>dmask_data_stream_0_fu_314</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>img_0_data_stream_0_fu_270</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>img_0_data_stream_1_fu_274</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>img_0_data_stream_2_fu_278</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>img_1_data_stream_0_fu_282</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>img_1_data_stream_1_fu_286</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>img_1_data_stream_2_fu_290</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> <item> <first>img_2_data_stream_0_fu_294</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> <item> <first>img_3_data_stream_0_fu_298</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>img_3_data_stream_1_fu_302</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>img_3_data_stream_2_fu_306</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>mask_data_stream_0_s_fu_310</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>6</count> <item_version>0</item_version> <item> <first>grp_AXIvideo2Mat_fu_330</first> <second> <count>2</count> <item_version>0</item_version> <item>70</item> <item>70</item> </second> </item> <item> <first>grp_Dilate_fu_324</first> <second> <count>2</count> <item_version>0</item_version> <item>73</item> <item>73</item> </second> </item> <item> <first>grp_FAST_t_opr_fu_318</first> <second> <count>2</count> <item_version>0</item_version> <item>72</item> <item>72</item> </second> </item> <item> <first>grp_Mat2AXIvideo_fu_362</first> <second> <count>2</count> <item_version>0</item_version> <item>75</item> <item>75</item> </second> </item> <item> <first>grp_PaintMask_fu_383</first> <second> <count>2</count> <item_version>0</item_version> <item>74</item> <item>74</item> </second> </item> <item> <first>grp_rgb2gray_fu_351</first> <second> <count>2</count> <item_version>0</item_version> <item>71</item> <item>71</item> </second> </item> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="64" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>12</count> <item_version>0</item_version> <item> <first>394</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>400</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>406</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>412</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>418</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>424</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> <item> <first>430</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> <item> <first>436</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>442</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>448</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>454</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> <item> <first>460</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>12</count> <item_version>0</item_version> <item> <first>dmask_data_stream_0_reg_460</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>img_0_data_stream_0_reg_394</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>img_0_data_stream_1_reg_400</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>img_0_data_stream_2_reg_406</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>img_1_data_stream_0_reg_412</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>img_1_data_stream_1_reg_418</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>img_1_data_stream_2_reg_424</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> <item> <first>img_2_data_stream_0_reg_430</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> <item> <first>img_3_data_stream_0_reg_436</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>img_3_data_stream_1_reg_442</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>img_3_data_stream_2_reg_448</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>mask_data_stream_0_s_reg_454</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="65" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="66" tracking_level="0" version="0"> <first>inStream_V_data_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> </second> </item> <item> <first>inStream_V_dest_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> </second> </item> <item> <first>inStream_V_id_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> </second> </item> <item> <first>inStream_V_keep_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> </second> </item> <item> <first>inStream_V_last_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> </second> </item> <item> <first>inStream_V_strb_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> </second> </item> <item> <first>inStream_V_user_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> </second> </item> <item> <first>outStream_V_data_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> </second> </item> <item> <first>outStream_V_dest_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> </second> </item> <item> <first>outStream_V_id_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> </second> </item> <item> <first>outStream_V_keep_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> </second> </item> <item> <first>outStream_V_last_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> </second> </item> <item> <first>outStream_V_strb_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> </second> </item> <item> <first>outStream_V_user_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="67" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>12</count> <item_version>0</item_version> <item class_id="68" tracking_level="0" version="0"> <first>31</first> <second>FIFO</second> </item> <item> <first>34</first> <second>FIFO</second> </item> <item> <first>37</first> <second>FIFO</second> </item> <item> <first>40</first> <second>FIFO</second> </item> <item> <first>43</first> <second>FIFO</second> </item> <item> <first>46</first> <second>FIFO</second> </item> <item> <first>49</first> <second>FIFO</second> </item> <item> <first>52</first> <second>FIFO</second> </item> <item> <first>55</first> <second>FIFO</second> </item> <item> <first>58</first> <second>FIFO</second> </item> <item> <first>61</first> <second>FIFO</second> </item> <item> <first>64</first> <second>FIFO</second> </item> </node2core> </syndb> </boost_serialization>
32.96787
111
0.461862
183e53b824768381b3272c623cb8cf9f196cef8e
3,818
ads
Ada
aunit/aunit-test_fixtures.ads
btmalone/alog
164a0a3e82aee414dc6125b64cd8ccc3a01876f9
[ "Apache-2.0" ]
null
null
null
aunit/aunit-test_fixtures.ads
btmalone/alog
164a0a3e82aee414dc6125b64cd8ccc3a01876f9
[ "Apache-2.0" ]
3
2018-12-23T03:07:49.000Z
2019-06-03T20:16:30.000Z
aunit/aunit-test_fixtures.ads
btmalone/alog
164a0a3e82aee414dc6125b64cd8ccc3a01876f9
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- A U N I T . T E S T _ F I X T U R E S -- -- -- -- S p e c -- -- -- -- -- -- Copyright (C) 2008-2011, AdaCore -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- -- -- -- -- -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT is maintained by AdaCore (http://www.adacore.com) -- -- -- ------------------------------------------------------------------------------ -- <description> -- A Test_Fixture is used to provide a common environment for a set of test -- cases. -- -- To define a test case from a test fixture, see AUnit.Test_Caller. -- -- Each test runs in its own fixture so there can be no side effects among -- test runs. -- -- Here is an example: -- -- <code> -- package Math_Test is -- Type Test is new AUnit.Test_Fixtures.Test_Fixture with record -- M_Value1 : Integer; -- M_Value2 : Integer; -- end record; -- -- procedure Set_Up (T : in out Test); -- -- procedure Test_Addition (T : in out Test); -- -- end Math_Test; -- -- package body Math_Test is -- -- procedure Set_Up (T : in out Test) is -- begin -- T.M_Value1 := 2; -- T.M_Value2 := 3; -- end Set_Up; -- -- procedure Test_Addition (T : in out Test) is -- begin -- Assert (T.M_Value1 + T.M_Value2 = 5, -- "Incorrect addition for integers"); -- end Test_Addition; -- -- end Math_Test; -- </code> -- </description> with AUnit.Assertions; package AUnit.Test_Fixtures is type Test_Fixture is new AUnit.Assertions.Test with private; procedure Set_Up (Test : in out Test_Fixture); -- Set up performed before each test case procedure Tear_Down (Test : in out Test_Fixture); -- Tear down performed after each test case private type Test_Fixture is new AUnit.Assertions.Test with null record; end AUnit.Test_Fixtures;
41.956044
78
0.403353
1867196eda5e706f243dc1ed810922762463a78e
7,974
ads
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/system-vxworks-x86-vthread.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/system-vxworks-x86-vthread.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/system-vxworks-x86-vthread.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M -- -- -- -- S p e c -- -- (VxWorks 653 x86 vThreads) -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This version is for the AE653 vThreads full run-time package System is pragma Pure; -- Note that we take advantage of the implementation permission to make -- this unit Pure instead of Preelaborable; see RM 13.7.1(15). In Ada -- 2005, this is Pure in any case (AI-362). pragma No_Elaboration_Code_All; -- Allow the use of that restriction in units that WITH this unit type Name is (SYSTEM_NAME_GNAT); System_Name : constant Name := SYSTEM_NAME_GNAT; -- System-Dependent Named Numbers Min_Int : constant := -2 ** (Standard'Max_Integer_Size - 1); Max_Int : constant := 2 ** (Standard'Max_Integer_Size - 1) - 1; Max_Binary_Modulus : constant := 2 ** Standard'Max_Integer_Size; Max_Nonbinary_Modulus : constant := 2 ** Integer'Size - 1; Max_Base_Digits : constant := Long_Long_Float'Digits; Max_Digits : constant := Long_Long_Float'Digits; Max_Mantissa : constant := 63; Fine_Delta : constant := 2.0 ** (-Max_Mantissa); Tick : constant := 1.0 / 60.0; -- Storage-related Declarations type Address is private; pragma Preelaborable_Initialization (Address); Null_Address : constant Address; Storage_Unit : constant := 8; Word_Size : constant := 32; Memory_Size : constant := 2 ** 32; -- Address comparison function "<" (Left, Right : Address) return Boolean; function "<=" (Left, Right : Address) return Boolean; function ">" (Left, Right : Address) return Boolean; function ">=" (Left, Right : Address) return Boolean; function "=" (Left, Right : Address) return Boolean; pragma Import (Intrinsic, "<"); pragma Import (Intrinsic, "<="); pragma Import (Intrinsic, ">"); pragma Import (Intrinsic, ">="); pragma Import (Intrinsic, "="); -- Other System-Dependent Declarations type Bit_Order is (High_Order_First, Low_Order_First); Default_Bit_Order : constant Bit_Order := Low_Order_First; pragma Warnings (Off, Default_Bit_Order); -- kill constant condition warning -- Priority-related Declarations (RM D.1) -- Ada priorities are mapped to VxWorks priorities using the following -- transformation: 255 - Ada Priority -- Ada priorities are used as follows: -- 256 is reserved for the VxWorks kernel -- 248 - 255 correspond to hardware interrupt levels 0 .. 7 -- 247 is a catchall default "interrupt" priority for signals, -- allowing higher priority than normal tasks, but lower than -- hardware priority levels. Protected Object ceilings can -- override these values. -- 246 is used by the Interrupt_Manager task Max_Priority : constant Positive := 245; Max_Interrupt_Priority : constant Positive := 255; subtype Any_Priority is Integer range 0 .. 255; subtype Priority is Any_Priority range 0 .. 245; subtype Interrupt_Priority is Any_Priority range 246 .. 255; Default_Priority : constant Priority := 122; private type Address is mod Memory_Size; Null_Address : constant Address := 0; -------------------------------------- -- System Implementation Parameters -- -------------------------------------- -- These parameters provide information about the target that is used -- by the compiler. They are in the private part of System, where they -- can be accessed using the special circuitry in the Targparm unit -- whose source should be consulted for more detailed descriptions -- of the individual switch values. Backend_Divide_Checks : constant Boolean := False; Backend_Overflow_Checks : constant Boolean := True; Command_Line_Args : constant Boolean := False; Configurable_Run_Time : constant Boolean := False; Denorm : constant Boolean := True; Duration_32_Bits : constant Boolean := False; Exit_Status_Supported : constant Boolean := True; Fractional_Fixed_Ops : constant Boolean := False; Frontend_Layout : constant Boolean := False; Machine_Overflows : constant Boolean := True; Machine_Rounds : constant Boolean := True; Preallocated_Stacks : constant Boolean := False; Signed_Zeros : constant Boolean := True; Stack_Check_Default : constant Boolean := False; Stack_Check_Probes : constant Boolean := True; Stack_Check_Limits : constant Boolean := False; Support_Aggregates : constant Boolean := True; Support_Atomic_Primitives : constant Boolean := True; Support_Composite_Assign : constant Boolean := True; Support_Composite_Compare : constant Boolean := True; Support_Long_Shifts : constant Boolean := True; Always_Compatible_Rep : constant Boolean := False; Suppress_Standard_Library : constant Boolean := False; Use_Ada_Main_Program_Name : constant Boolean := True; Frontend_Exceptions : constant Boolean := False; ZCX_By_Default : constant Boolean := False; Executable_Extension : constant String := ".out"; end System;
48.036145
79
0.560697
4a14dd766ee1b2aefe1a5cab71edf696283ccad2
10,677
adb
Ada
regtests/ado-objects-tests.adb
Letractively/ada-ado
f0863c6975ae1a2c5349daee1e98a04fe11ba11e
[ "Apache-2.0" ]
null
null
null
regtests/ado-objects-tests.adb
Letractively/ada-ado
f0863c6975ae1a2c5349daee1e98a04fe11ba11e
[ "Apache-2.0" ]
null
null
null
regtests/ado-objects-tests.adb
Letractively/ada-ado
f0863c6975ae1a2c5349daee1e98a04fe11ba11e
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- ADO Objects Tests -- Tests for ADO.Objects -- Copyright (C) 2011, 2012, 2013, 2014 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Test_Caller; with ADO.Sessions; with Regtests.Simple.Model; with Regtests.Comments; package body ADO.Objects.Tests is use Util.Tests; use type Ada.Containers.Hash_Type; function Get_Allocate_Key (N : Identifier) return Object_Key; function Get_Allocate_Key (N : Identifier) return Object_Key is Result : Object_Key (Of_Type => KEY_INTEGER, Of_Class => Regtests.Simple.Model.ALLOCATE_TABLE'Access); begin Set_Value (Result, N); return Result; end Get_Allocate_Key; -- ------------------------------ -- Various tests on Hash and key comparison -- ------------------------------ procedure Test_Key (T : in out Test) is K1 : constant Object_Key := Get_Allocate_Key (1); K2 : Object_Key (Of_Type => KEY_STRING, Of_Class => Regtests.Simple.Model.USER_TABLE'Access); K3 : Object_Key := K1; K4 : Object_Key (Of_Type => KEY_INTEGER, Of_Class => Regtests.Simple.Model.USER_TABLE'Access); begin T.Assert (not (K1 = K2), "Key on different tables must be different"); T.Assert (not (K2 = K4), "Key with different type must be different"); T.Assert (K1 = K3, "Keys are identical"); T.Assert (Equivalent_Elements (K1, K3), "Keys are identical"); T.Assert (Equivalent_Elements (K3, K1), "Keys are identical"); T.Assert (Hash (K1) = Hash (K3), "Hash of identical keys should be identical"); Set_Value (K3, 2); T.Assert (not (K1 = K3), "Keys should be different"); T.Assert (Hash (K1) /= Hash (K3), "Hash should be different"); T.Assert (Hash (K1) /= Hash (K2), "Hash should be different"); Set_Value (K4, 1); T.Assert (Hash (K1) /= Hash (K4), "Hash on key with same value and different tables should be different"); T.Assert (not (K4 = K1), "Key on different tables should be different"); Set_Value (K2, 1); T.Assert (Hash (K1) /= Hash (K2), "Hash should be different"); end Test_Key; -- ------------------------------ -- Check: -- Object_Ref := (reference counting) -- Object_Ref.Copy -- Object_Ref.Get_xxx generated method -- Object_Ref.Set_xxx generated method -- Object_Ref.= -- ------------------------------ procedure Test_Object_Ref (T : in out Test) is use type Regtests.Simple.Model.User_Ref; Obj1 : Regtests.Simple.Model.User_Ref; Null_Obj : Regtests.Simple.Model.User_Ref; begin T.Assert (Obj1 = Null_Obj, "Two null objects are identical"); for I in 1 .. 10 loop Obj1.Set_Name ("User name"); T.Assert (Obj1.Get_Name = "User name", "User_Ref.Set_Name invalid result"); T.Assert (Obj1 /= Null_Obj, "Object is not identical as the null object"); declare Obj2 : constant Regtests.Simple.Model.User_Ref := Obj1; Obj3 : Regtests.Simple.Model.User_Ref; begin Obj1.Copy (Obj3); Obj3.Set_Id (2); -- Check the copy T.Assert (Obj2.Get_Name = "User name", "Object_Ref.Copy invalid copy"); T.Assert (Obj3.Get_Name = "User name", "Object_Ref.Copy invalid copy"); T.Assert (Obj2 = Obj1, "Object_Ref.'=' invalid comparison after assignment"); T.Assert (Obj3 /= Obj1, "Object_Ref.'=' invalid comparison after copy"); -- Change original, make sure it's the same of Obj2. Obj1.Set_Name ("Second name"); T.Assert (Obj2.Get_Name = "Second name", "Object_Ref.Copy invalid copy"); T.Assert (Obj2 = Obj1, "Object_Ref.'=' invalid comparison after assignment"); -- The copy is not modified T.Assert (Obj3.Get_Name = "User name", "Object_Ref.Copy invalid copy"); end; end loop; end Test_Object_Ref; -- ------------------------------ -- Test creation of an object with lazy loading. -- ------------------------------ procedure Test_Create_Object (T : in out Test) is User : Regtests.Simple.Model.User_Ref; Cmt : Regtests.Comments.Comment_Ref; begin -- Create an object within a transaction. declare S : ADO.Sessions.Master_Session := Regtests.Get_Master_Database; begin S.Begin_Transaction; User.Set_Name ("Joe"); User.Set_Value (0); User.Save (S); S.Commit; end; -- Load it from another session. declare S : ADO.Sessions.Master_Session := Regtests.Get_Master_Database; U2 : Regtests.Simple.Model.User_Ref; begin U2.Load (S, User.Get_Id); Assert_Equals (T, "Joe", Ada.Strings.Unbounded.To_String (U2.Get_Name), "Cannot load created object"); Assert_Equals (T, Integer (0), Integer (U2.Get_Value), "Invalid load"); T.Assert (User.Get_Key = U2.Get_Key, "Invalid key after load"); end; -- Create a comment for the user. declare S : ADO.Sessions.Master_Session := Regtests.Get_Master_Database; begin S.Begin_Transaction; Cmt.Set_Message (Ada.Strings.Unbounded.To_Unbounded_String ("A comment from Joe")); Cmt.Set_User (User); Cmt.Set_Entity_Id (2); Cmt.Set_Entity_Type (1); -- Cmt.Set_Date (ADO.DEFAULT_TIME); Cmt.Set_Date (Ada.Calendar.Clock); Cmt.Save (S); S.Commit; end; -- Load that comment. declare S : ADO.Sessions.Master_Session := Regtests.Get_Master_Database; C2 : Regtests.Comments.Comment_Ref; begin C2.Load (S, Cmt.Get_Id); T.Assert (not C2.Is_Null, "Loading of object failed"); T.Assert (Cmt.Get_Key = C2.Get_Key, "Invalid key after load"); T.Assert_Equals ("A comment from Joe", Ada.Strings.Unbounded.To_String (C2.Get_Message), "Invalid message"); T.Assert (not C2.Get_User.Is_Null, "User associated with the comment should not be null"); -- T.Assert (not C2.Get_Entity_Type.Is_Null, "Entity type was not set"); -- Check that we can access the user name (lazy load) Assert_Equals (T, "Joe", Ada.Strings.Unbounded.To_String (C2.Get_User.Get_Name), "Cannot load created object"); end; end Test_Create_Object; -- ------------------------------ -- Test creation and deletion of an object record -- ------------------------------ procedure Test_Delete_Object (T : in out Test) is User : Regtests.Simple.Model.User_Ref; begin -- Create an object within a transaction. declare S : ADO.Sessions.Master_Session := Regtests.Get_Master_Database; begin S.Begin_Transaction; User.Set_Name ("Joe (delete)"); User.Set_Value (0); User.Save (S); S.Commit; end; -- Load it and delete it from another session. declare S : ADO.Sessions.Master_Session := Regtests.Get_Master_Database; U2 : Regtests.Simple.Model.User_Ref; begin U2.Load (S, User.Get_Id); S.Begin_Transaction; U2.Delete (S); S.Commit; end; -- Try to load the deleted object. declare S : ADO.Sessions.Master_Session := Regtests.Get_Master_Database; U2 : Regtests.Simple.Model.User_Ref; begin U2.Load (S, User.Get_Id); T.Assert (False, "Load of a deleted object should raise NOT_FOUND"); exception when ADO.Objects.NOT_FOUND => null; end; end Test_Delete_Object; -- ------------------------------ -- Test Is_Inserted and Is_Null -- ------------------------------ procedure Test_Is_Inserted (T : in out Test) is User : Regtests.Simple.Model.User_Ref; begin T.Assert (not User.Is_Inserted, "A null object should not be marked as INSERTED"); T.Assert (User.Is_Null, "A null object should be marked as NULL"); -- Create an object within a transaction. declare S : ADO.Sessions.Master_Session := Regtests.Get_Master_Database; begin S.Begin_Transaction; User.Set_Name ("John"); T.Assert (not User.Is_Null, "User should not be NULL"); T.Assert (not User.Is_Inserted, "User was not saved and not yet inserted in database"); User.Set_Value (1); User.Save (S); S.Commit; T.Assert (User.Is_Inserted, "After a save operation, the user should be marked INSERTED"); T.Assert (not User.Is_Null, "User should not be NULL"); end; declare S : ADO.Sessions.Master_Session := Regtests.Get_Master_Database; John : Regtests.Simple.Model.User_Ref; begin John.Load (S, User.Get_Id); T.Assert (John.Is_Inserted, "After a load, the object should be marked INSERTED"); T.Assert (not John.Is_Null, "After a load, the object should not be NULL"); end; end Test_Is_Inserted; package Caller is new Util.Test_Caller (Test, "ADO.Objects"); -- ------------------------------ -- Add the tests in the test suite -- ------------------------------ procedure Add_Tests (Suite : in Util.Tests.Access_Test_Suite) is begin Caller.Add_Test (Suite, "Test ADO.Objects.Hash", Test_Key'Access); Caller.Add_Test (Suite, "Test Object_Ref.Get/Set", Test_Object_Ref'Access); Caller.Add_Test (Suite, "Test ADO.Objects.Create", Test_Create_Object'Access); Caller.Add_Test (Suite, "Test ADO.Objects.Delete", Test_Delete_Object'Access); Caller.Add_Test (Suite, "Test ADO.Objects.Is_Created", Test_Is_Inserted'Access); end Add_Tests; end ADO.Objects.Tests;
39.253676
99
0.593519
4a9e3ed0006365d09f9fed9cf72838d7e1cee0ec
1,852
ads
Ada
awa/plugins/awa-wikis/regtests/awa-wikis-modules-tests.ads
My-Colaborations/ada-awa
cc2dee291a14e4df0dbc9c10285bf284a7f1caa8
[ "Apache-2.0" ]
81
2015-01-18T23:02:30.000Z
2022-03-19T17:34:57.000Z
awa/plugins/awa-wikis/regtests/awa-wikis-modules-tests.ads
My-Colaborations/ada-awa
cc2dee291a14e4df0dbc9c10285bf284a7f1caa8
[ "Apache-2.0" ]
20
2015-12-09T19:26:19.000Z
2022-03-23T14:32:43.000Z
awa/plugins/awa-wikis/regtests/awa-wikis-modules-tests.ads
My-Colaborations/ada-awa
cc2dee291a14e4df0dbc9c10285bf284a7f1caa8
[ "Apache-2.0" ]
16
2015-06-29T02:44:06.000Z
2021-09-23T18:47:50.000Z
----------------------------------------------------------------------- -- awa-wikis-modules-tests -- Unit tests for wikis service -- Copyright (C) 2015, 2017 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Tests; with AWA.Tests; with ADO; package AWA.Wikis.Modules.Tests is procedure Add_Tests (Suite : in Util.Tests.Access_Test_Suite); type Test is new AWA.Tests.Test with record Manager : AWA.Wikis.Modules.Wiki_Module_Access; Wiki_Id : ADO.Identifier := ADO.NO_IDENTIFIER; Public_Id : ADO.Identifier := ADO.NO_IDENTIFIER; Private_Id : ADO.Identifier := ADO.NO_IDENTIFIER; end record; -- Test creation of a wiki space. procedure Test_Create_Wiki_Space (T : in out Test); -- Test creation of a wiki page. procedure Test_Create_Wiki_Page (T : in out Test); -- Test creation of a wiki page content. procedure Test_Create_Wiki_Content (T : in out Test); -- Test getting the wiki page as well as info, history pages. procedure Test_Wiki_Page (T : in out Test); -- Test updating the wiki page through a POST request. procedure Test_Update_Page (T : in out Test); end AWA.Wikis.Modules.Tests;
37.04
76
0.661987
dc514d02032267bca4644ff72a3ad088305daab3
8,465
adb
Ada
src/shipmodules.adb
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
80
2017-04-08T23:14:07.000Z
2022-02-10T22:30:51.000Z
src/shipmodules.adb
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
89
2017-06-24T08:18:26.000Z
2021-11-12T04:37:36.000Z
src/shipmodules.adb
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
9
2018-04-14T16:37:25.000Z
2020-03-21T14:33:49.000Z
-- Copyright 2016-2021 Bartek thindil Jasicki -- -- This file is part of Steam Sky. -- -- Steam Sky is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Steam Sky is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Steam Sky. If not, see <http://www.gnu.org/licenses/>. with Ada.Characters.Handling; use Ada.Characters.Handling; with Ada.Strings.Maps; use Ada.Strings.Maps; with DOM.Core; use DOM.Core; with DOM.Core.Documents; with DOM.Core.Nodes; use DOM.Core.Nodes; with DOM.Core.Elements; use DOM.Core.Elements; with Log; use Log; with Items; use Items; package body ShipModules is procedure LoadShipModules(Reader: Tree_Reader) is NodesList: Node_List; ModulesData: Document; TempRecord: BaseModule_Data; Action: Data_Action; ModuleNode: Node; SkillIndex: Natural; MaterialExists: Boolean; ModuleIndex: Unbounded_String; begin ModulesData := Get_Tree(Reader); NodesList := DOM.Core.Documents.Get_Elements_By_Tag_Name(ModulesData, "module"); Load_Modules_Loop : for I in 0 .. Length(NodesList) - 1 loop TempRecord := (Name => Null_Unbounded_String, MType => ENGINE, Weight => 0, Value => 0, MaxValue => 0, Durability => 0, RepairMaterial => Null_Unbounded_String, RepairSkill => 2, Price => 0, InstallTime => 60, Unique => False, Size => 1, Description => Null_Unbounded_String, MaxOwners => 1, Speed => 4, Reputation => -100); ModuleNode := Item(NodesList, I); ModuleIndex := To_Unbounded_String(Get_Attribute(ModuleNode, "index")); Action := (if Get_Attribute(ModuleNode, "action")'Length > 0 then Data_Action'Value(Get_Attribute(ModuleNode, "action")) else ADD); if Action in UPDATE | REMOVE then if not BaseModules_Container.Contains (Modules_List, ModuleIndex) then raise Data_Loading_Error with "Can't " & To_Lower(Data_Action'Image(Action)) & " ship module '" & To_String(ModuleIndex) & "', there is no ship module with that index."; end if; elsif BaseModules_Container.Contains(Modules_List, ModuleIndex) then raise Data_Loading_Error with "Can't add ship module '" & To_String(ModuleIndex) & "', there is already a ship with that index."; end if; if Action /= REMOVE then if Action = UPDATE then TempRecord := Modules_List(ModuleIndex); end if; if Get_Attribute(ModuleNode, "name")'Length > 0 then TempRecord.Name := To_Unbounded_String(Get_Attribute(ModuleNode, "name")); end if; if Get_Attribute(ModuleNode, "type")'Length > 0 then TempRecord.MType := ModuleType'Value(Get_Attribute(ModuleNode, "type")); end if; if Get_Attribute(ModuleNode, "weight")'Length > 0 then TempRecord.Weight := Natural'Value(Get_Attribute(ModuleNode, "weight")); end if; if Get_Attribute(ModuleNode, "value")'Length > 0 then TempRecord.Value := Integer'Value(Get_Attribute(ModuleNode, "value")); end if; if Get_Attribute(ModuleNode, "maxvalue")'Length > 0 then TempRecord.MaxValue := Integer'Value(Get_Attribute(ModuleNode, "maxvalue")); end if; if Get_Attribute(ModuleNode, "durability")'Length > 0 then TempRecord.Durability := Integer'Value(Get_Attribute(ModuleNode, "durability")); end if; if Get_Attribute(ModuleNode, "material")'Length > 0 then TempRecord.RepairMaterial := To_Unbounded_String(Get_Attribute(ModuleNode, "material")); MaterialExists := False; Check_Materials_Loop : for Material of Items_Types loop if Material = TempRecord.RepairMaterial then MaterialExists := True; exit Check_Materials_Loop; end if; end loop Check_Materials_Loop; if not MaterialExists then raise Data_Loading_Error with "Can't " & To_Lower(Data_Action'Image(Action)) & " ship module '" & To_String(ModuleIndex) & "', there is no item type '" & Get_Attribute(ModuleNode, "material") & "'."; end if; end if; if Get_Attribute(ModuleNode, "skill")'Length > 0 then SkillIndex := Find_Skill_Index(Get_Attribute(ModuleNode, "skill")); if SkillIndex = 0 then raise Data_Loading_Error with "Can't " & To_Lower(Data_Action'Image(Action)) & " ship module '" & To_String(ModuleIndex) & "', there is no skill named '" & Get_Attribute(ModuleNode, "skill") & "'."; end if; TempRecord.RepairSkill := SkillIndex; end if; if Get_Attribute(ModuleNode, "price")'Length > 0 then TempRecord.Price := Integer'Value(Get_Attribute(ModuleNode, "price")); end if; if Get_Attribute(ModuleNode, "installtime")'Length > 0 then TempRecord.InstallTime := Positive'Value(Get_Attribute(ModuleNode, "installtime")); end if; if Get_Attribute(ModuleNode, "unique") /= "" then TempRecord.Unique := True; end if; if Get_Attribute(ModuleNode, "size") /= "" then TempRecord.Size := Integer'Value(Get_Attribute(ModuleNode, "size")); end if; if Get_Attribute(ModuleNode, "maxowners")'Length > 0 then TempRecord.MaxOwners := Integer'Value(Get_Attribute(ModuleNode, "maxowners")); end if; if Get_Attribute(ModuleNode, "speed")'Length > 0 then TempRecord.Speed := Integer'Value(Get_Attribute(ModuleNode, "speed")); end if; if Get_Attribute(ModuleNode, "reputation")'Length > 0 then TempRecord.Reputation := Integer'Value(Get_Attribute(ModuleNode, "reputation")); end if; if Has_Child_Nodes(ModuleNode) then TempRecord.Description := To_Unbounded_String(Node_Value(First_Child(ModuleNode))); end if; if Action /= UPDATE then BaseModules_Container.Include (Modules_List, ModuleIndex, TempRecord); Log_Message ("Module added: " & To_String(TempRecord.Name), EVERYTHING); else Modules_List(ModuleIndex) := TempRecord; Log_Message ("Module updated: " & To_String(TempRecord.Name), EVERYTHING); end if; else BaseModules_Container.Exclude(Modules_List, ModuleIndex); Log_Message ("Module removed: " & To_String(ModuleIndex), EVERYTHING); end if; end loop Load_Modules_Loop; end LoadShipModules; function GetModuleType(ModuleIndex: Unbounded_String) return String is ModuleTypeName: Unbounded_String := To_Unbounded_String (To_Lower(ModuleType'Image(Modules_List(ModuleIndex).MType))); begin Replace_Element (ModuleTypeName, 1, To_Upper(Ada.Strings.Unbounded.Element(ModuleTypeName, 1))); Translate(ModuleTypeName, To_Mapping("_", " ")); return To_String(ModuleTypeName); end GetModuleType; end ShipModules;
44.088542
79
0.580744
dc7fba9d778b4272f35c7a8266e5bba289a01246
371
adb
Ada
src/sparknacl-sanitize_i64_seq.adb
yannickmoy/SPARKNaCl
c27fa811bf38b3706c1dc242f30e82967ad8f1c6
[ "BSD-2-Clause" ]
76
2020-02-24T20:30:15.000Z
2022-02-16T15:10:56.000Z
src/sparknacl-sanitize_i64_seq.adb
yannickmoy/SPARKNaCl
c27fa811bf38b3706c1dc242f30e82967ad8f1c6
[ "BSD-2-Clause" ]
10
2020-04-15T10:02:49.000Z
2022-02-24T20:10:46.000Z
src/sparknacl-sanitize_i64_seq.adb
yannickmoy/SPARKNaCl
c27fa811bf38b3706c1dc242f30e82967ad8f1c6
[ "BSD-2-Clause" ]
4
2020-03-10T15:19:45.000Z
2022-02-17T09:46:20.000Z
separate (SPARKNaCl) procedure Sanitize_I64_Seq (R : out I64_Seq) is begin R := (others => 0); pragma Inspection_Point (R); -- See RM H3.2 (9) -- Add target-dependent code here to -- 1. flush and invalidate data cache, -- 2. wait until writes have committed (e.g. a memory-fence instruction) -- 3. whatever else is required. end Sanitize_I64_Seq;
30.916667
76
0.679245
c5638ead0ed231b70ff95cc35d80379f547e2db4
23,393
adb
Ada
src/asf-views-nodes.adb
Letractively/ada-asf
da9f85f85666eba7e23fefea661160863b74154d
[ "Apache-2.0" ]
null
null
null
src/asf-views-nodes.adb
Letractively/ada-asf
da9f85f85666eba7e23fefea661160863b74154d
[ "Apache-2.0" ]
null
null
null
src/asf-views-nodes.adb
Letractively/ada-asf
da9f85f85666eba7e23fefea661160863b74154d
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- asf-views-nodes -- Facelet node tree representation -- Copyright (C) 2009, 2010, 2011, 2012, 2013, 2014 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with EL.Contexts.Default; with ASF.Components.Core; with ASF.Contexts.Writer; with Ada.Unchecked_Deallocation; with Ada.Exceptions; with Util.Log.Loggers; package body ASF.Views.Nodes is use EL.Expressions; procedure Free is new Ada.Unchecked_Deallocation (Tag_Node'Class, Tag_Node_Access); procedure Free is new Ada.Unchecked_Deallocation (Tag_Attribute_Array, Tag_Attribute_Array_Access); procedure Free is new Ada.Unchecked_Deallocation (Tag_Content, Tag_Content_Access); procedure Free is new Ada.Unchecked_Deallocation (EL.Expressions.Expression'Class, EL.Expressions.Expression_Access); use Util.Log; -- The logger Log : constant Loggers.Logger := Loggers.Create ("ASF.Views.Nodes"); -- ------------------------------ -- Attribute of a node. -- ------------------------------ -- ------------------------------ -- Report an error message for the attribute. -- ------------------------------ procedure Error (Attribute : in Tag_Attribute; Message : in String; Param1 : in String; Param2 : in String := "") is begin if Attribute.Tag /= null then Attribute.Tag.Error (Message, Param1, Param2); else Log.Error (Message, Param1, Param2); end if; end Error; -- ------------------------------ -- Compare the attribute name. -- ------------------------------ function "=" (Left : in Tag_Attribute; Right : in String) return Boolean is begin return Left.Name = Right; end "="; function "=" (Left, Right : in Tag_Attribute) return Boolean is begin return Left.Name = Right.Name; end "="; -- ------------------------------ -- Get the attribute name. -- ------------------------------ function Get_Name (Attribute : Tag_Attribute) return String is begin return To_String (Attribute.Name); end Get_Name; -- ------------------------------ -- Returns True if the attribute is static (not an EL expression). -- ------------------------------ function Is_Static (Attribute : Tag_Attribute) return Boolean is begin return Attribute.Binding = null; end Is_Static; -- ------------------------------ -- Get the attribute value. If the attribute is an EL expression -- evaluate that expression in the context of the given UI component. -- ------------------------------ function Get_Value (Attribute : Tag_Attribute; UI : UIComponent'Class) return EL.Objects.Object is procedure Handle_Exception (E : in Ada.Exceptions.Exception_Occurrence); procedure Handle_Exception (E : in Ada.Exceptions.Exception_Occurrence) is begin Error (Attribute, "Evaluation error: {0}", Ada.Exceptions.Exception_Message (E)); end Handle_Exception; begin if Attribute.Binding /= null then declare Ctx : constant EL.Contexts.ELContext_Access := UI.Get_Context.Get_ELContext; Context : EL.Contexts.Default.Guarded_Context (Handle_Exception'Access, Ctx); begin return Attribute.Binding.Get_Value (Context); end; else return EL.Objects.To_Object (Attribute.Value); end if; end Get_Value; -- ------------------------------ -- Get the attribute value. If the attribute is an EL expression -- evaluate that expression in the context of the given UI component. -- ------------------------------ function Get_Value (Attribute : Tag_Attribute; Context : Faces_Context'Class) return EL.Objects.Object is procedure Handle_Exception (E : in Ada.Exceptions.Exception_Occurrence); procedure Handle_Exception (E : in Ada.Exceptions.Exception_Occurrence) is begin Error (Attribute, "Evaluation error: {0}", Ada.Exceptions.Exception_Message (E)); end Handle_Exception; begin if Attribute.Binding /= null then declare Ctx : constant EL.Contexts.ELContext_Access := Context.Get_ELContext; Context : EL.Contexts.Default.Guarded_Context (Handle_Exception'Access, Ctx); begin return Attribute.Binding.Get_Value (Context); end; else return EL.Objects.To_Object (Attribute.Value); end if; end Get_Value; function Get_Value (Attribute : Tag_Attribute; Context : Facelet_Context'Class) return EL.Objects.Object is procedure Handle_Exception (E : in Ada.Exceptions.Exception_Occurrence); procedure Handle_Exception (E : in Ada.Exceptions.Exception_Occurrence) is begin Error (Attribute, "Evaluation error: {0}", Ada.Exceptions.Exception_Message (E)); end Handle_Exception; begin if Attribute.Binding /= null then declare Ctx : constant EL.Contexts.ELContext_Access := Context.Get_ELContext; Context : EL.Contexts.Default.Guarded_Context (Handle_Exception'Access, Ctx); begin return Attribute.Binding.Get_Value (Context); end; else return EL.Objects.To_Object (Attribute.Value); end if; end Get_Value; -- ------------------------------ -- Get the value from the attribute. If the attribute is null or evaluates to -- a NULL object, returns the default value. Convert the value into a string. -- ------------------------------ function Get_Value (Attribute : in Tag_Attribute_Access; Context : in Facelet_Context'Class; Default : in String) return String is begin if Attribute = null then return Default; else declare Value : constant EL.Objects.Object := Get_Value (Attribute.all, Context); begin if EL.Objects.Is_Null (Value) then return Default; else return EL.Objects.To_String (Value); end if; end; end if; end Get_Value; -- ------------------------------ -- Get the EL expression associated with the given tag attribute. -- ------------------------------ function Get_Expression (Attribute : in Tag_Attribute) return EL.Expressions.Expression is begin if Attribute.Binding /= null then return EL.Expressions.Expression (Attribute.Binding.all); else return EL.Expressions.Create_Expression (EL.Objects.To_Object (Attribute.Value)); end if; end Get_Expression; function Get_Value_Expression (Attribute : Tag_Attribute) return EL.Expressions.Value_Expression is begin if Attribute.Binding /= null then return EL.Expressions.Create_Expression (Attribute.Binding.all); else return EL.Expressions.Create_ValueExpression (EL.Objects.To_Object (Attribute.Value)); end if; end Get_Value_Expression; function Get_Method_Expression (Attribute : Tag_Attribute) return EL.Expressions.Method_Expression is begin if Attribute.Binding /= null then return EL.Expressions.Create_Expression (Attribute.Binding.all); else Error (Attribute, "Invalid method expression", ""); raise Constraint_Error with "Invalid method expression"; end if; end Get_Method_Expression; -- ------------------------------ -- Reduce the expression by eliminating known variables and computing -- constant expressions. The result expression is either another -- expression or a computed constant value. -- ------------------------------ function Reduce_Expression (Attribute : Tag_Attribute; Context : Facelet_Context'Class) return EL.Expressions.Expression is E : constant EL.Expressions.Expression := EL.Expressions.Expression (Attribute.Binding.all); begin return E.Reduce_Expression (Context.Get_ELContext.all); end Reduce_Expression; -- ------------------------------ -- Find the tag attribute having the given name. -- Returns an access to the attribute cell within the array or null -- if the no attribute matches the name. -- ------------------------------ function Find_Attribute (Attributes : Tag_Attribute_Array_Access; Name : String) return Tag_Attribute_Access is begin for I in Attributes'Range loop declare Attr : constant Tag_Attribute_Access := Attributes (I)'Access; begin if Attr.Name = Name then return Attr; end if; end; end loop; return null; end Find_Attribute; -- ------------------------------ -- XHTML node -- ------------------------------ -- ------------------------------ -- Get the line information where the tag node is defined. -- ------------------------------ function Get_Line_Info (Node : Tag_Node) return Line_Info is begin return Node.Line; end Get_Line_Info; -- ------------------------------ -- Get the line information as a string. -- ------------------------------ function Get_Line_Info (Node : Tag_Node) return String is L : constant String := Natural'Image (Node.Line.Line); C : constant String := Natural'Image (Node.Line.Column); begin if Node.Line.File = null then return "?:" & L (L'First + 1 .. L'Last) & ':' & C (C'First + 1 .. C'Last); else return Node.Line.File.Path & ':' & L (L'First + 1 .. L'Last) & ':' & C (C'First + 1 .. C'Last); end if; end Get_Line_Info; -- ------------------------------ -- Get the relative path name of the XHTML file in which this tag is defined. -- ------------------------------ function Get_File_Name (Node : in Tag_Node) return String is File : constant File_Info_Access := Node.Line.File; begin return File.Path (File.Relative_Pos .. File.Path'Last); end Get_File_Name; -- ------------------------------ -- Get the node attribute with the given name. -- Returns null if the node does not have such attribute. -- ------------------------------ function Get_Attribute (Node : Tag_Node; Name : String) return Tag_Attribute_Access is begin if Node.Attributes = null then return null; end if; return Find_Attribute (Node.Attributes, Name); end Get_Attribute; -- ------------------------------ -- Initialize the node -- ------------------------------ procedure Initialize (Node : in Tag_Node_Access; Binding : in Binding_Access; Line : in Line_Info; Parent : in Tag_Node_Access; Attributes : in Tag_Attribute_Array_Access) is begin if Binding /= null then Node.Factory := Binding.Component; else Node.Factory := null; end if; Node.Line := Line; Node.Parent := Parent; Node.Attributes := Attributes; if Node.Attributes /= null then for I in Attributes.all'Range loop Attributes (I).Tag := Node; end loop; end if; Append_Tag (Parent, Node); end Initialize; -- ------------------------------ -- Append a child tag node. -- ------------------------------ procedure Append_Tag (Node : in Tag_Node_Access; Child : in Tag_Node_Access) is begin if Node.First_Child = null then Node.First_Child := Child; else Node.Last_Child.Next := Child; end if; Node.Last_Child := Child; Child.Parent := Node; Child.Next := null; end Append_Tag; -- ------------------------------ -- Freeze the tag node tree and perform any initialization steps -- necessary to build the components efficiently. After this call -- the tag node tree should not be modified and it represents a read-only -- tree. -- ------------------------------ procedure Freeze (Node : access Tag_Node) is begin null; end Freeze; -- ------------------------------ -- Delete the node and its children freeing the memory as necessary -- ------------------------------ procedure Delete (Node : access Tag_Node) is Child : Tag_Node_Access := Node.First_Child; Next : Tag_Node_Access; begin while Child /= null loop Next := Child.Next; Child.Delete; Free (Child); Child := Next; end loop; if Node.Attributes /= null then for I in Node.Attributes'Range loop declare Expr : EL.Expressions.Expression_Access := Node.Attributes (I).Binding; begin Free (Expr); end; end loop; Free (Node.Attributes); end if; end Delete; procedure Destroy (Node : in out Tag_Node_Access) is begin Node.Delete; Free (Node); end Destroy; -- ------------------------------ -- Report an error message -- ------------------------------ procedure Error (Node : in Tag_Node'Class; Message : in String; Param1 : in String := ""; Param2 : in String := "") is L : constant String := Node.Get_Line_Info; begin Log.Error (L & ":" & Message, Param1, Param2); end Error; -- ------------------------------ -- Build the component attributes from the facelet tag node and the facelet context. -- ------------------------------ procedure Build_Attributes (UI : in out UIComponent'Class; Node : in Tag_Node'Class; Context : in out Facelet_Context'Class) is procedure Process_Attribute (Attr : in Tag_Attribute_Access); procedure Process_Attribute (Attr : in Tag_Attribute_Access) is begin if Attr.Binding /= null then -- Reduce the expression by eliminating variables which are defined in -- the Facelet context. We can obtain another expression or a constant value. declare Ctx : constant EL.Contexts.ELContext_Access := Context.Get_ELContext; Expr : constant EL.Expressions.Expression := EL.Expressions.Expression (Attr.Binding.all).Reduce_Expression (Ctx.all); begin if Expr.Is_Constant then UI.Set_Attribute (Def => Attr, Value => Expr.Get_Value (Ctx.all)); else UI.Set_Attribute (Def => Attr, Value => Expr); end if; end; end if; end Process_Attribute; -- Iterate over the attributes to resolve some value expressions. procedure Iterate_Attributes is new ASF.Views.Nodes.Iterate_Attributes (Process_Attribute); begin Iterate_Attributes (Node); end Build_Attributes; -- ------------------------------ -- Build the component tree from the tag node and attach it as -- the last child of the given parent. Calls recursively the -- method to create children. -- ------------------------------ procedure Build_Components (Node : access Tag_Node; Parent : in UIComponent_Access; Context : in out Facelet_Context'Class) is UI : constant UIComponent_Access := Node.Factory.all; begin Append (Parent, UI, Node); Build_Attributes (UI.all, Node.all, Context); UI.Initialize (UI.Get_Context.all); Node.Build_Children (UI, Context); end Build_Components; procedure Build_Children (Node : access Tag_Node; Parent : in UIComponent_Access; Context : in out Facelet_Context'Class) is Child : Tag_Node_Access; begin Child := Node.First_Child; while Child /= null loop Child.Build_Components (Parent, Context); Child := Child.Next; end loop; end Build_Children; -- ------------------------------ -- Iterate over the attributes defined on the node and -- execute the <b>Process</b> procedure. -- ------------------------------ procedure Iterate_Attributes (Node : in Tag_Node'Class) is begin for I in Node.Attributes'Range loop declare Attr : constant Tag_Attribute_Access := Node.Attributes (I)'Access; begin Process (Attr); end; end loop; end Iterate_Attributes; -- ------------------------------ -- Freeze the tag node tree. -- Count the number of Tag_Content represented by this node. -- ------------------------------ overriding procedure Freeze (Node : access Text_Tag_Node) is Content : access constant Tag_Content := Node.Content'Access; Count : Natural := 0; begin loop Content := Content.Next; Count := Count + 1; exit when Content = null; end loop; Node.Count := Count; end Freeze; overriding procedure Build_Components (Node : access Text_Tag_Node; Parent : in UIComponent_Access; Context : in out Facelet_Context'Class) is UI : constant ASF.Components.Core.UIText_Access := ASF.Components.Core.Create_UIText (Node.all'Access); Expr_Table : Expression_Access_Array_Access := null; Ctx : constant EL.Contexts.ELContext_Access := Context.Get_ELContext; Content : access constant Tag_Content := Node.Content'Access; Pos : Natural := 1; begin Append (Parent, UI.all'Access, Node); loop if not Content.Expr.Is_Null then -- Reduce the expression by eliminating variables which are defined in -- the Facelet context. We can obtain another expression or a constant value. declare Expr : constant EL.Expressions.Expression := Content.Expr.Reduce_Expression (Ctx.all); begin if Expr /= Content.Expr then if Expr_Table = null then Expr_Table := new Expression_Access_Array (1 .. Node.Count); UI.Set_Expression_Table (Expr_Table); end if; Expr_Table (Pos) := new EL.Expressions.Expression '(Expr); end if; end; end if; Content := Content.Next; Pos := Pos + 1; exit when Content = null; end loop; end Build_Components; -- ------------------------------ -- Delete the node and its children freeing the memory as necessary -- ------------------------------ procedure Delete (Node : access Text_Tag_Node) is Content : Tag_Content_Access := Node.Content.Next; begin while Content /= null loop declare Next : constant Tag_Content_Access := Content.Next; begin Free (Content); Content := Next; end; end loop; Node.Content.Next := null; Node.Last := null; end Delete; -- ------------------------------ -- Encode the content represented by this text node. -- The expressions are evaluated if necessary. -- ------------------------------ procedure Encode_All (Node : in Text_Tag_Node; Expr : in Expression_Access_Array_Access; Context : in Faces_Context'Class) is Writer : constant ASF.Contexts.Writer.Response_Writer_Access := Context.Get_Response_Writer; Content : access constant Tag_Content := Node.Content'Access; Pos : Natural := 1; begin loop Writer.Write (Content.Text); begin if Expr /= null and then Expr (Pos) /= null then declare Value : constant EL.Objects.Object := Expr (Pos).Get_Value (Context.Get_ELContext.all); begin if not EL.Objects.Is_Null (Value) then Writer.Write_Text (Value); end if; end; else declare Value : constant EL.Objects.Object := Content.Expr.Get_Value (Context.Get_ELContext.all); begin if not EL.Objects.Is_Null (Value) then Writer.Write_Text (Value); end if; end; end if; exception when E : others => Node.Error ("Evaluation error: {0}", Ada.Exceptions.Exception_Message (E)); end; Content := Content.Next; Pos := Pos + 1; exit when Content = null; end loop; end Encode_All; function First (Node : in Tag_Node_Access) return Cursor is Result : Cursor; begin Result.Node := Node.First_Child; return Result; end First; function Has_Element (C : Cursor) return Boolean is begin return C.Node /= null; end Has_Element; function Element (Position : Cursor) return Tag_Node_Access is begin return Position.Node; end Element; procedure Next (Position : in out Cursor) is begin Position.Node := Position.Node.Next; end Next; -- Create a tag node -- Create the text Tag function Create_Component_Node (Binding : in Binding_Access; Line : in Line_Info; Parent : in Tag_Node_Access; Attributes : in Tag_Attribute_Array_Access) return Tag_Node_Access is Node : constant Tag_Node_Access := new Tag_Node; begin Initialize (Node.all'Access, Binding, Line, Parent, Attributes); return Node.all'Access; end Create_Component_Node; end ASF.Views.Nodes;
36.212074
95
0.549224
18bb96038622fe370a9710604ebec1f21f809b0b
6,636
adb
Ada
regtests/util-properties-bundles-tests.adb
Letractively/ada-util
e4c63b93635dc07c46e95f12ba02d18903b307b3
[ "Apache-2.0" ]
null
null
null
regtests/util-properties-bundles-tests.adb
Letractively/ada-util
e4c63b93635dc07c46e95f12ba02d18903b307b3
[ "Apache-2.0" ]
null
null
null
regtests/util-properties-bundles-tests.adb
Letractively/ada-util
e4c63b93635dc07c46e95f12ba02d18903b307b3
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- Util -- Utilities -- Copyright (C) 2009, 2010, 2011, 2012, 2013 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Test_Caller; with Util.Properties.Bundles; with Util.Properties.Basic; with Util.Measures; package body Util.Properties.Bundles.Tests is use Util.Tests; use Util.Properties.Basic; -- Test the bundle procedure Test_Bundle (T : in out Test) is Props : aliased Properties.Manager; -- _Access := new Properties.Manager; Bundle : Properties.Bundles.Manager; V : Integer := 23; begin -- Create a first property (while the bundle is empty) -- Integer_Property.Set (Props.all, "test-integer", 123); -- Assert (Bundle.Exists ("test-integer"), "Invalid properties"); -- -- V := Integer_Property.Get (Bundle, "test-integer"); -- Assert (V = 123, "Property was not inserted"); -- Add a property set to the bundle Bundle.Add_Bundle (Props'Unchecked_Access); Integer_Property.Set (Props, "test-integer-second", 24); V := Integer_Property.Get (Props, "test-integer-second"); T.Assert (V = 24, "Property was not inserted"); V := Integer_Property.Get (Bundle, "test-integer-second"); T.Assert (V = 24, "Property was not inserted"); -- Bundle.Remove ("test-integer-second"); -- Assert (Props.all.Exists ("test-integer-second") = False, -- "The 'test-integer-second' property was not removed"); -- Assert (Bundle.Exists ("test-integer-second") = False, -- "Property not removed from bundle"); end Test_Bundle; procedure Test_Bundle_Loader (T : in out Test) is Factory : Loader; Bundle : Util.Properties.Bundles.Manager; begin Initialize (Factory, Util.Tests.Get_Test_Path ("regtests/bundles")); Load_Bundle (Factory, "bundle", "fr", Bundle); Assert_Equals (T, "Message France", String '(Bundle.Get ("message")), "Load fr bundle failed"); Assert_Equals (T, "Default", String '(Bundle.Get ("message_default")), "Load fr bundle failed"); Load_Bundle (Factory, "bundle", "en_GB", Bundle); Assert_Equals (T, "GB message", String '(Bundle.Get ("message")), "Load en_GB bundle failed"); Assert_Equals (T, "Default", String '(Bundle.Get ("message_default")), "Load en_GB bundle failed"); end Test_Bundle_Loader; -- Test overloading some bundle definition by having incomplete files. procedure Test_Bundle_Overload (T : in out Test) is Factory : Loader; Bundle : Util.Properties.Bundles.Manager; P1 : constant String := Util.Tests.Get_Test_Path ("regtests/bundles"); P2 : constant String := Util.Tests.Get_Test_Path ("bundles"); begin Initialize (Factory, P1 & ";" & P2); Load_Bundle (Factory, "dates", "fr", Bundle); -- Overloaded by regtests/bundles/dates.properties Assert_Equals (T, "New", String '(Bundle.Get ("util.test_variable")), "Load fr bundle failed (not defined)"); Assert_Equals (T, "Jan", String '(Bundle.Get ("util.month1.short")), "Load fr bundle failed (should not be overloaded)"); -- Not overloaded, value comes from bundles/dates_fr.properties Assert_Equals (T, "Mar", String '(Bundle.Get ("util.month3.short")), "Load fr bundle failed"); Load_Bundle (Factory, "dates", "en_GB", Bundle); Assert_Equals (T, "Jan_Overloaded", String '(Bundle.Get ("util.month1.short")), "Load en_GB bundle failed (should be overloaded)"); -- Not overloaded, value comes from bundles/dates_fr.properties Assert_Equals (T, "Mar", String '(Bundle.Get ("util.month3.short")), "Load en_GB bundle failed"); end Test_Bundle_Overload; -- ------------------------------ -- Test bundle resolution perf. -- ------------------------------ procedure Test_Bundle_Perf (T : in out Test) is Factory : Loader; Bundle : Util.Properties.Bundles.Manager; P1 : constant String := Util.Tests.Get_Test_Path ("regtests/bundles"); P2 : constant String := Util.Tests.Get_Test_Path ("bundles"); begin Initialize (Factory, P1 & ";" & P2); declare S1 : Util.Measures.Stamp; begin Load_Bundle (Factory, "dates", "fr", Bundle); Util.Measures.Report (S1, "Load_Bundle (first time)"); end; declare S1 : Util.Measures.Stamp; begin Load_Bundle (Factory, "dates", "fr", Bundle); Util.Measures.Report (S1, "Load_Bundle (second time)"); end; declare S1 : Util.Measures.Stamp; begin for I in 1 .. 1000 loop Load_Bundle (Factory, "dates", "fr", Bundle); end loop; Util.Measures.Report (S1, "Load_Bundle", 1000); end; -- Not overloaded, value comes from bundles/dates_fr.properties Assert_Equals (T, "Mar", String '(Bundle.Get ("util.month3.short")), "Load fr bundle failed"); end Test_Bundle_Perf; package Caller is new Util.Test_Caller (Test, "Properties.Bundles"); procedure Add_Tests (Suite : in Util.Tests.Access_Test_Suite) is begin Caller.Add_Test (Suite, "Test Util.Properties.Bundles", Test_Bundle'Access); Caller.Add_Test (Suite, "Test Util.Properties.Bundles.Load_Bundle", Test_Bundle_Loader'Access); Caller.Add_Test (Suite, "Test Util.Properties.Bundles.Load_Bundle (overloading)", Test_Bundle_Overload'Access); Caller.Add_Test (Suite, "Test Util.Properties.Bundles.Load_Bundle (perf)", Test_Bundle_Perf'Access); end Add_Tests; end Util.Properties.Bundles.Tests;
39.736527
87
0.608348
c5f371b2e8adddb2f8787120ceaef0d03825f347
1,973
ads
Ada
src/caches-tests.ads
HeisenbugLtd/cache-sim
a0059c22e2df1fdbf7ea3329c94a06f0d13954cf
[ "WTFPL" ]
null
null
null
src/caches-tests.ads
HeisenbugLtd/cache-sim
a0059c22e2df1fdbf7ea3329c94a06f0d13954cf
[ "WTFPL" ]
null
null
null
src/caches-tests.ads
HeisenbugLtd/cache-sim
a0059c22e2df1fdbf7ea3329c94a06f0d13954cf
[ "WTFPL" ]
null
null
null
------------------------------------------------------------------------------ -- Copyright (C) 2012-2020 by Heisenbug Ltd. -- -- This work is free. You can redistribute it and/or modify it under the -- terms of the Do What The Fuck You Want To Public License, Version 2, -- as published by Sam Hocevar. See the LICENSE file for more details. -------------------------------------------------------------------------------- pragma License (Unrestricted); -------------------------------------------------------------------------------- -- Cache testing subroutines. -------------------------------------------------------------------------------- package Caches.Tests is pragma Preelaborate; type Result_Array is array (Bytes range <>) of Float; ----------------------------------------------------------------------------- -- Linear -- -- Performs a test with a linear access pattern. Starting address is zero, -- Length is the number of accesses to be performed, Iterations is the -- number of iterations of the inner access loop. -- The step width range of the accesses can be controlled by the indices of -- the Result array. -- If Warmup is True, a first dry run will be performed before actually -- collecting performance data. This nulls out the effect of the first run -- with a cold cache and may greatly enhance test performance by reducing -- the number of necessary rounds required to collect meaningful average -- case performance data. -- The collected performance data for each step-width is returned in the -- Result array. ----------------------------------------------------------------------------- procedure Linear (The_Cache : in out Cache'Class; Length : in Bytes; Iterations : in Positive; Warmup : in Boolean; Result : out Result_Array); end Caches.Tests;
45.883721
80
0.503294
dc561953ef07646f5d44fa8f325191d1f2d598cd
4,892
ads
Ada
src/natools-s_expressions-file_readers.ads
faelys/natools
947c004e6f69ca144942c6af40e102d089223cf8
[ "0BSD" ]
null
null
null
src/natools-s_expressions-file_readers.ads
faelys/natools
947c004e6f69ca144942c6af40e102d089223cf8
[ "0BSD" ]
null
null
null
src/natools-s_expressions-file_readers.ads
faelys/natools
947c004e6f69ca144942c6af40e102d089223cf8
[ "0BSD" ]
null
null
null
------------------------------------------------------------------------------ -- Copyright (c) 2014, Natacha Porté -- -- -- -- Permission to use, copy, modify, and distribute this software for any -- -- purpose with or without fee is hereby granted, provided that the above -- -- copyright notice and this permission notice appear in all copies. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES -- -- WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF -- -- MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR -- -- ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES -- -- WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN -- -- ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF -- -- OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. -- ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- Natools.S_Expressions.File_Readers provides types that read into files -- -- on disk (using Stream_IO) and expose their data as S-expressions or as -- -- atoms. -- ------------------------------------------------------------------------------ with Natools.S_Expressions.Atom_Buffers; with Natools.S_Expressions.Atom_Refs; with Natools.S_Expressions.Lockable; private with Ada.Finalization; private with Ada.Streams.Stream_IO; private with Natools.S_Expressions.Parsers; package Natools.S_Expressions.File_Readers is type S_Reader is limited new Lockable.Descriptor with private; -- Make a disk file available as a S-expression function Reader (Name : String) return S_Reader; -- Create a S_Reader associated with file Name procedure Set_Filename (Object : in out S_Reader; Name : in String); -- Reset Object with the new file Name procedure Rewind (Object : in out S_Reader); -- Return to the beginning of the file type Atom_Reader is tagged limited private; -- Make a disk file available as a single atom function Reader (Name : String) return Atom_Reader; -- Create an Atom_Reader associated with file Name; procedure Set_Filename (Object : in out Atom_Reader; Name : in String); -- Reset Object with the new file Name function Length (Object : Atom_Reader) return Count; -- Return the file length function Read (Object : Atom_Reader) return Atom; -- Read the whole file and return it as a single atom function Read (Object : Atom_Reader) return Atom_Refs.Reference; -- Read the whole file to memory and return a reference to the data procedure Read (Object : in Atom_Reader; Data : out Atom; Length : out Count); -- Read the whole file and store it in Data, along with its Length. -- If Data is too small, only the file prefix is read. procedure Read (Object : in Atom_Reader; Buffer : out Atom_Buffers.Atom_Buffer; Block_Size : in Count := 1024); -- Read the whole file into Buffer generic type Atom_Access is access Atom; with procedure Unchecked_Deallocation (X : in out Atom_Access); procedure Query (Object : in Atom_Reader; Process : not null access procedure (Data : in Atom)); -- Read the whole file into a memory zone allocated through Atom_Access, -- and call Process on it. procedure Block_Query (Object : in Atom_Reader; Block_Size : in Count; Process : not null access procedure (Block : in Atom)); -- Read file block by block, and call Process on each of them private type Autoclose is new Ada.Finalization.Limited_Controlled with record File : Ada.Streams.Stream_IO.File_Type; end record; overriding procedure Finalize (Object : in out Autoclose); -- Close the underlying file if it was opened. -- Used because a compiler bug in GNAT prevents adding -- Ada.Finalization.Limited_Controlled as an ancestor of Parser, -- so close-on-finalization has to be provided through composition. type S_Reader is limited new Parsers.Parser with record Holder : Autoclose; end record; overriding procedure Read_More (Object : in out S_Reader; Buffer : out Atom_Buffers.Atom_Buffer); type Atom_Reader is new Ada.Finalization.Limited_Controlled with record File : Ada.Streams.Stream_IO.File_Type; end record; overriding procedure Finalize (Object : in out Atom_Reader); end Natools.S_Expressions.File_Readers;
40.766667
79
0.624285
d08f19606d066a1759f20374e005407165979429
1,395
ads
Ada
tier-1/xcb/source/thin/xcb-xcb_depth_iterator_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
2
2015-11-12T11:16:20.000Z
2021-08-24T22:32:04.000Z
tier-1/xcb/source/thin/xcb-xcb_depth_iterator_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
1
2018-06-05T05:19:35.000Z
2021-11-20T01:13:23.000Z
tier-1/xcb/source/thin/xcb-xcb_depth_iterator_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
null
null
null
-- This file is generated by SWIG. Please do not modify by hand. -- with Interfaces.C; with xcb.xcb_depth_t; with Interfaces.C; with Interfaces.C.Pointers; package xcb.xcb_depth_iterator_t is -- Item -- type Item is record data : access xcb.xcb_depth_t.Item; the_rem : aliased Interfaces.C.int; index : aliased Interfaces.C.int; end record; -- Item_Array -- type Item_Array is array (Interfaces.C.size_t range <>) of aliased xcb.xcb_depth_iterator_t.Item; -- Pointer -- package C_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_depth_iterator_t.Item, Element_Array => xcb.xcb_depth_iterator_t.Item_Array, Default_Terminator => (others => <>)); subtype Pointer is C_Pointers.Pointer; -- Pointer_Array -- type Pointer_Array is array (Interfaces.C.size_t range <>) of aliased xcb.xcb_depth_iterator_t .Pointer; -- Pointer_Pointer -- package C_Pointer_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_depth_iterator_t.Pointer, Element_Array => xcb.xcb_depth_iterator_t.Pointer_Array, Default_Terminator => null); subtype Pointer_Pointer is C_Pointer_Pointers.Pointer; end xcb.xcb_depth_iterator_t;
26.320755
79
0.663082
1874b1d274ad4454e2c4feb50a01848db042ca35
2,534
ads
Ada
resources/scripts/brute/bruteforcing.ads
0xflotus/Amass
89a8960c5254031364f966190e841d6951206d47
[ "Apache-2.0" ]
2
2020-11-29T07:30:31.000Z
2021-11-14T19:52:31.000Z
resources/scripts/brute/bruteforcing.ads
0xflotus/Amass
89a8960c5254031364f966190e841d6951206d47
[ "Apache-2.0" ]
null
null
null
resources/scripts/brute/bruteforcing.ads
0xflotus/Amass
89a8960c5254031364f966190e841d6951206d47
[ "Apache-2.0" ]
1
2020-12-28T06:50:15.000Z
2020-12-28T06:50:15.000Z
-- Copyright 2017 Jeff Foley. All rights reserved. -- Use of this source code is governed by Apache 2 LICENSE that can be found in the LICENSE file. name = "Brute Forcing" type = "brute" probes = {"www", "online", "webserver", "ns", "ns1", "mail", "smtp", "webmail", "shop", "dev", "prod", "test", "vpn", "ftp", "ssh", "secure", "whm", "admin", "webdisk", "mobile", "remote", "server", "cpanel", "cloud", "autodiscover", "api", "m", "blog"} function vertical(ctx, domain) local cfg = config(ctx) if cfg.mode == "passive" then return end if cfg['brute_forcing'].active then makenames(ctx, domain) end end function resolved(ctx, name, domain, records) local nparts = split(name, ".") local dparts = split(domain, ".") -- Do not process resolved root domain names if #nparts == #dparts then return end -- Do not generate names from CNAMEs or names without A/AAAA records if (#records == 0 or (has_cname(records) or not has_addr(records))) then return end local cfg = config(ctx) if cfg.mode == "passive" then return end local bf = cfg['brute_forcing'] if (bf.active and bf.recursive and (bf['min_for_recursive'] == 0)) then makenames(ctx, name) end end function subdomain(ctx, name, domain, times) local cfg = config(ctx) if cfg.mode == "passive" then return end local bf = cfg['brute_forcing'] if (bf.active and bf.recursive and (bf['min_for_recursive'] == times)) then makenames(ctx, name) end end function makenames(ctx, base) local wordlist = brute_wordlist(ctx) for i, word in pairs(wordlist) do newname(ctx, word .. "." .. base) end end function has_cname(records) if #records == 0 then return false end for i, rec in pairs(records) do if rec.rrtype == 5 then return true end end return false end function has_addr(records) if #records == 0 then return false end for i, rec in pairs(records) do if (rec.rrtype == 1 or rec.rrtype == 28) then return true end end return false end function split(str, delim) local result = {} local pattern = "[^%" .. delim .. "]+" local matches = find(str, pattern) if (matches == nil or #matches == 0) then return result end for i, match in pairs(matches) do table.insert(result, match) end return result end
23.247706
97
0.598264
d04dcb367900138eee83d1bc01263906a231d2b9
3,029
ads
Ada
src/base/beans/util-beans-basic-ranges.ads
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
60
2015-01-18T23:05:34.000Z
2022-03-20T18:56:30.000Z
src/base/beans/util-beans-basic-ranges.ads
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
20
2016-09-15T16:41:30.000Z
2022-03-29T22:02:32.000Z
src/base/beans/util-beans-basic-ranges.ads
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
10
2015-02-13T04:00:45.000Z
2022-03-20T18:57:54.000Z
----------------------------------------------------------------------- -- util-beans-basic-ranges -- Range of values with helper for list iteration -- Copyright (C) 2011 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Beans.Objects; with Util.Beans.Basic; -- The <b>Util.Beans.Basic.Ranges</b> generic package defines an object that holds -- a range definition. It implements the <b>List_Bean</b> interface which allows to -- iterate over the values of the defined range. generic type T is (<>); with function To_Object (From : in T) return Util.Beans.Objects.Object is <>; package Util.Beans.Basic.Ranges is -- ------------------------------ -- Range of discrete values -- ------------------------------ -- The <b>Range_Bean</b> defines a discrete range. It holds a lower and upper bound. -- A current value is also used for the <b>List_Bean</b> interface to iterate over the range. type Range_Bean is new Util.Beans.Basic.List_Bean with private; type Range_Bean_Access is access all Range_Bean'Class; -- Create a range definition. function Create (First, Last : in T) return Range_Bean; -- Get the range lower bound. function Get_First (From : in Range_Bean) return T; -- Get the range upper bound. function Get_Last (From : in Range_Bean) return T; -- Get the current value within the first/last bounds. function Get_Current (From : in Range_Bean) return T; -- Get the value identified by the name. -- If the name cannot be found, the method should return the Null object. overriding function Get_Value (From : in Range_Bean; Name : in String) return Util.Beans.Objects.Object; -- Get the number of elements in the list. overriding function Get_Count (From : in Range_Bean) return Natural; -- Set the current row index. Valid row indexes start at 1. overriding procedure Set_Row_Index (From : in out Range_Bean; Index : in Natural); -- Get the element at the current row index. overriding function Get_Row (From : in Range_Bean) return Util.Beans.Objects.Object; private type Range_Bean is new Util.Beans.Basic.List_Bean with record First : T := T'First; Last : T := T'First; Current : T := T'First; end record; end Util.Beans.Basic.Ranges;
38.833333
97
0.649719
d091be3a0cfe9e676854c027c21c95bdb2f9f74c
830
adb
Ada
example_1.1/src/circle.adb
rogermc2/GA_Ada
0b55eb5691ac1c543c79c9a06ffdbe2e47e8f1be
[ "ISC" ]
3
2019-04-12T01:09:55.000Z
2021-02-24T18:17:32.000Z
example_1.1/src/circle.adb
rogermc2/GA_Ada
0b55eb5691ac1c543c79c9a06ffdbe2e47e8f1be
[ "ISC" ]
1
2020-08-12T10:10:25.000Z
2020-08-12T10:10:25.000Z
example_1.1/src/circle.adb
rogermc2/GA_Ada
0b55eb5691ac1c543c79c9a06ffdbe2e47e8f1be
[ "ISC" ]
1
2019-04-12T01:14:15.000Z
2019-04-12T01:14:15.000Z
-- Program Circle -- Author Roger Mc Murtrie -- Created 16 November 2017 with Ada.Exceptions; use Ada.Exceptions; with Ada.Text_IO; use Ada.Text_IO; with Glfw; with Glfw.Windows; with Initialize; with Main_Loop; procedure Circle is Main_Window : Glfw.Windows.Window; Window_Title : constant String := "GA for Computer Scientists Example 1.1 An Example in Geometric Algebra"; begin Glfw.Init; Initialize (Main_Window, Window_Title); Main_Loop (Main_Window); Glfw.Shutdown; exception when anError : Constraint_Error => Put ("Circle returned constraint error: "); Put_Line (Exception_Information (anError)); when anError : others => Put_Line ("An exception occurred in Circle."); Put_Line (Exception_Information (anError)); end Circle;
23.714286
93
0.690361
d04b2e9e72f0d761ce54a547788dd29bab9d37f1
18,862
ads
Ada
gcc-gcc-7_3_0-release/gcc/ada/a-textio.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/ada/a-textio.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/ada/a-textio.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . T E X T _ I O -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2014, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- Note: the generic subpackages of Text_IO (Integer_IO, Float_IO, Fixed_IO, -- Modular_IO, Decimal_IO and Enumeration_IO) appear as private children in -- GNAT. These children are with'ed automatically if they are referenced, so -- this rearrangement is invisible to user programs, but has the advantage -- that only the needed parts of Text_IO are processed and loaded. with Ada.IO_Exceptions; with Ada.Streams; with System; with System.File_Control_Block; with System.WCh_Con; package Ada.Text_IO is pragma Elaborate_Body; type File_Type is limited private; type File_Mode is (In_File, Out_File, Append_File); -- The following representation clause allows the use of unchecked -- conversion for rapid translation between the File_Mode type -- used in this package and System.File_IO. for File_Mode use (In_File => 0, -- System.FIle_IO.File_Mode'Pos (In_File) Out_File => 2, -- System.File_IO.File_Mode'Pos (Out_File) Append_File => 3); -- System.File_IO.File_Mode'Pos (Append_File) type Count is range 0 .. Natural'Last; -- The value of Count'Last must be large enough so that the assumption that -- the Line, Column and Page counts can never exceed this value is valid. subtype Positive_Count is Count range 1 .. Count'Last; Unbounded : constant Count := 0; -- Line and page length subtype Field is Integer range 0 .. 255; -- Note: if for any reason, there is a need to increase this value, then it -- will be necessary to change the corresponding value in System.Img_Real -- in file s-imgrea.adb. subtype Number_Base is Integer range 2 .. 16; type Type_Set is (Lower_Case, Upper_Case); --------------------- -- File Management -- --------------------- procedure Create (File : in out File_Type; Mode : File_Mode := Out_File; Name : String := ""; Form : String := ""); procedure Open (File : in out File_Type; Mode : File_Mode; Name : String; Form : String := ""); procedure Close (File : in out File_Type); procedure Delete (File : in out File_Type); procedure Reset (File : in out File_Type; Mode : File_Mode); procedure Reset (File : in out File_Type); function Mode (File : File_Type) return File_Mode; function Name (File : File_Type) return String; function Form (File : File_Type) return String; function Is_Open (File : File_Type) return Boolean; ------------------------------------------------------ -- Control of default input, output and error files -- ------------------------------------------------------ procedure Set_Input (File : File_Type); procedure Set_Output (File : File_Type); procedure Set_Error (File : File_Type); function Standard_Input return File_Type; function Standard_Output return File_Type; function Standard_Error return File_Type; function Current_Input return File_Type; function Current_Output return File_Type; function Current_Error return File_Type; type File_Access is access constant File_Type; function Standard_Input return File_Access; function Standard_Output return File_Access; function Standard_Error return File_Access; function Current_Input return File_Access; function Current_Output return File_Access; function Current_Error return File_Access; -------------------- -- Buffer control -- -------------------- -- Note: The parameter file is IN OUT in the RM, but this is clearly -- an oversight, and was intended to be IN, see AI95-00057. procedure Flush (File : File_Type); procedure Flush; -------------------------------------------- -- Specification of line and page lengths -- -------------------------------------------- procedure Set_Line_Length (File : File_Type; To : Count); procedure Set_Line_Length (To : Count); procedure Set_Page_Length (File : File_Type; To : Count); procedure Set_Page_Length (To : Count); function Line_Length (File : File_Type) return Count; function Line_Length return Count; function Page_Length (File : File_Type) return Count; function Page_Length return Count; ------------------------------------ -- Column, Line, and Page Control -- ------------------------------------ procedure New_Line (File : File_Type; Spacing : Positive_Count := 1); procedure New_Line (Spacing : Positive_Count := 1); procedure Skip_Line (File : File_Type; Spacing : Positive_Count := 1); procedure Skip_Line (Spacing : Positive_Count := 1); function End_Of_Line (File : File_Type) return Boolean; function End_Of_Line return Boolean; procedure New_Page (File : File_Type); procedure New_Page; procedure Skip_Page (File : File_Type); procedure Skip_Page; function End_Of_Page (File : File_Type) return Boolean; function End_Of_Page return Boolean; function End_Of_File (File : File_Type) return Boolean; function End_Of_File return Boolean; procedure Set_Col (File : File_Type; To : Positive_Count); procedure Set_Col (To : Positive_Count); procedure Set_Line (File : File_Type; To : Positive_Count); procedure Set_Line (To : Positive_Count); function Col (File : File_Type) return Positive_Count; function Col return Positive_Count; function Line (File : File_Type) return Positive_Count; function Line return Positive_Count; function Page (File : File_Type) return Positive_Count; function Page return Positive_Count; ---------------------------- -- Character Input-Output -- ---------------------------- procedure Get (File : File_Type; Item : out Character); procedure Get (Item : out Character); procedure Put (File : File_Type; Item : Character); procedure Put (Item : Character); procedure Look_Ahead (File : File_Type; Item : out Character; End_Of_Line : out Boolean); procedure Look_Ahead (Item : out Character; End_Of_Line : out Boolean); procedure Get_Immediate (File : File_Type; Item : out Character); procedure Get_Immediate (Item : out Character); procedure Get_Immediate (File : File_Type; Item : out Character; Available : out Boolean); procedure Get_Immediate (Item : out Character; Available : out Boolean); ------------------------- -- String Input-Output -- ------------------------- procedure Get (File : File_Type; Item : out String); procedure Get (Item : out String); procedure Put (File : File_Type; Item : String); procedure Put (Item : String); procedure Get_Line (File : File_Type; Item : out String; Last : out Natural); procedure Get_Line (Item : out String; Last : out Natural); function Get_Line (File : File_Type) return String; pragma Ada_05 (Get_Line); function Get_Line return String; pragma Ada_05 (Get_Line); procedure Put_Line (File : File_Type; Item : String); procedure Put_Line (Item : String); --------------------------------------- -- Generic packages for Input-Output -- --------------------------------------- -- The generic packages: -- Ada.Text_IO.Integer_IO -- Ada.Text_IO.Modular_IO -- Ada.Text_IO.Float_IO -- Ada.Text_IO.Fixed_IO -- Ada.Text_IO.Decimal_IO -- Ada.Text_IO.Enumeration_IO -- are implemented as separate child packages in GNAT, so the -- spec and body of these packages are to be found in separate -- child units. This implementation detail is hidden from the -- Ada programmer by special circuitry in the compiler that -- treats these child packages as though they were nested in -- Text_IO. The advantage of this special processing is that -- the subsidiary routines needed if these generics are used -- are not loaded when they are not used. ---------------- -- Exceptions -- ---------------- Status_Error : exception renames IO_Exceptions.Status_Error; Mode_Error : exception renames IO_Exceptions.Mode_Error; Name_Error : exception renames IO_Exceptions.Name_Error; Use_Error : exception renames IO_Exceptions.Use_Error; Device_Error : exception renames IO_Exceptions.Device_Error; End_Error : exception renames IO_Exceptions.End_Error; Data_Error : exception renames IO_Exceptions.Data_Error; Layout_Error : exception renames IO_Exceptions.Layout_Error; private -- The following procedures have a File_Type formal of mode IN OUT because -- they may close the original file. The Close operation may raise an -- exception, but in that case we want any assignment to the formal to -- be effective anyway, so it must be passed by reference (or the caller -- will be left with a dangling pointer). pragma Export_Procedure (Internal => Close, External => "", Mechanism => Reference); pragma Export_Procedure (Internal => Delete, External => "", Mechanism => Reference); pragma Export_Procedure (Internal => Reset, External => "", Parameter_Types => (File_Type), Mechanism => Reference); pragma Export_Procedure (Internal => Reset, External => "", Parameter_Types => (File_Type, File_Mode), Mechanism => (File => Reference)); ----------------------------------- -- Handling of Format Characters -- ----------------------------------- -- Line marks are represented by the single character ASCII.LF (16#0A#). -- In DOS and similar systems, underlying file translation takes care -- of translating this to and from the standard CR/LF sequences used in -- these operating systems to mark the end of a line. On output there is -- always a line mark at the end of the last line, but on input, this -- line mark can be omitted, and is implied by the end of file. -- Page marks are represented by the single character ASCII.FF (16#0C#), -- The page mark at the end of the file may be omitted, and is normally -- omitted on output unless an explicit New_Page call is made before -- closing the file. No page mark is added when a file is appended to, -- so, in accordance with the permission in (RM A.10.2(4)), there may -- or may not be a page mark separating preexisting text in the file -- from the new text to be written. -- A file mark is marked by the physical end of file. In DOS translation -- mode on input, an EOF character (SUB = 16#1A#) gets translated to the -- physical end of file, so in effect this character is recognized as -- marking the end of file in DOS and similar systems. LM : constant := Character'Pos (ASCII.LF); -- Used as line mark PM : constant := Character'Pos (ASCII.FF); -- Used as page mark, except at end of file where it is implied -------------------------------- -- Text_IO File Control Block -- -------------------------------- Default_WCEM : System.WCh_Con.WC_Encoding_Method := System.WCh_Con.WCEM_UTF8; -- This gets modified during initialization (see body) using -- the default value established in the call to Set_Globals. package FCB renames System.File_Control_Block; type Text_AFCB; type File_Type is access all Text_AFCB; type Text_AFCB is new FCB.AFCB with record Page : Count := 1; Line : Count := 1; Col : Count := 1; Line_Length : Count := 0; Page_Length : Count := 0; Self : aliased File_Type; -- Set to point to the containing Text_AFCB block. This is used to -- implement the Current_{Error,Input,Output} functions which return -- a File_Access, the file access value returned is a pointer to -- the Self field of the corresponding file. Before_LM : Boolean := False; -- This flag is used to deal with the anomalies introduced by the -- peculiar definition of End_Of_File and End_Of_Page in Ada. These -- functions require looking ahead more than one character. Since -- there is no convenient way of backing up more than one character, -- what we do is to leave ourselves positioned past the LM, but set -- this flag, so that we know that from an Ada point of view we are -- in front of the LM, not after it. A little odd, but it works. Before_LM_PM : Boolean := False; -- This flag similarly handles the case of being physically positioned -- after a LM-PM sequence when logically we are before the LM-PM. This -- flag can only be set if Before_LM is also set. WC_Method : System.WCh_Con.WC_Encoding_Method := Default_WCEM; -- Encoding method to be used for this file. Text_IO does not deal with -- wide characters, but it does deal with upper half characters in the -- range 16#80#-16#FF# which may need encoding, e.g. in UTF-8 mode. Before_Upper_Half_Character : Boolean := False; -- This flag is set to indicate that an encoded upper half character has -- been read by Text_IO.Look_Ahead. If it is set to True, then it means -- that the stream is logically positioned before the character but is -- physically positioned after it. The character involved must be in -- the range 16#80#-16#FF#, i.e. if the flag is set, then we know the -- next character has a code greater than 16#7F#, and the value of this -- character is saved in Saved_Upper_Half_Character. Saved_Upper_Half_Character : Character; -- This field is valid only if Before_Upper_Half_Character is set. It -- contains an upper-half character read by Look_Ahead. If Look_Ahead -- reads a character in the range 16#00# to 16#7F#, then it can use -- ungetc to put it back, but ungetc cannot be called more than once, -- so for characters above this range, we don't try to back up the -- file. Instead we save the character in this field and set the flag -- Before_Upper_Half_Character to True to indicate that we are logically -- positioned before this character even though the stream is physically -- positioned after it. end record; function AFCB_Allocate (Control_Block : Text_AFCB) return FCB.AFCB_Ptr; procedure AFCB_Close (File : not null access Text_AFCB); procedure AFCB_Free (File : not null access Text_AFCB); procedure Read (File : in out Text_AFCB; Item : out Ada.Streams.Stream_Element_Array; Last : out Ada.Streams.Stream_Element_Offset); -- Read operation used when Text_IO file is treated directly as Stream procedure Write (File : in out Text_AFCB; Item : Ada.Streams.Stream_Element_Array); -- Write operation used when Text_IO file is treated directly as Stream ------------------------ -- The Standard Files -- ------------------------ Standard_In_AFCB : aliased Text_AFCB; Standard_Out_AFCB : aliased Text_AFCB; Standard_Err_AFCB : aliased Text_AFCB; Standard_In : aliased File_Type := Standard_In_AFCB'Access; Standard_Out : aliased File_Type := Standard_Out_AFCB'Access; Standard_Err : aliased File_Type := Standard_Err_AFCB'Access; -- Standard files Current_In : aliased File_Type := Standard_In; Current_Out : aliased File_Type := Standard_Out; Current_Err : aliased File_Type := Standard_Err; -- Current files function EOF_Char return Integer; -- Returns the system-specific character indicating the end of a text file. -- This is exported for use by child packages such as Enumeration_Aux to -- eliminate their needing to depend directly on Interfaces.C_Streams, -- which is not available in certain target environments (such as AAMP). procedure Initialize_Standard_Files; -- Initializes the file control blocks for the standard files. Called from -- the elaboration routine for this package, and from Reset_Standard_Files -- in package Ada.Text_IO.Reset_Standard_Files. end Ada.Text_IO;
39.961864
79
0.620878
d0e7a78762c75a6eb6561b6b04b2f733ca2e82ca
2,937
adb
Ada
source/units/program-units-declarations.adb
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
null
null
null
source/units/program-units-declarations.adb
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
null
null
null
source/units/program-units-declarations.adb
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
1
2019-10-16T09:05:27.000Z
2019-10-16T09:05:27.000Z
-- SPDX-FileCopyrightText: 2019 Max Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT ------------------------------------------------------------- package body Program.Units.Declarations is ------------------ -- Append_Child -- ------------------ procedure Append_Child (Self : in out Unit_Declaration; Value : Program.Compilation_Units.Compilation_Unit_Access) is begin Self.Childern.Append (Value); end Append_Child; ------------------------ -- Corresponding_Body -- ------------------------ overriding function Corresponding_Body (Self : access Unit_Declaration) return Program.Library_Unit_Bodies.Library_Unit_Body_Access is begin return Self.Impl; end Corresponding_Body; ---------------------------- -- Corresponding_Childern -- ---------------------------- overriding function Corresponding_Childern (Self : access Unit_Declaration) return Program.Compilation_Unit_Vectors.Compilation_Unit_Vector_Access is begin if Self.Childern.Is_Empty then return null; else return Self.Childern'Access; end if; end Corresponding_Childern; ---------------- -- Initialize -- ---------------- procedure Initialize (Self : in out Unit_Declaration; Compilation : Program.Compilations.Compilation_Access; Full_Name : Text; Context_Clause : Program.Element_Vectors.Element_Vector_Access; Declaration : not null Program.Elements.Element_Access; Parent : Program.Library_Unit_Declarations .Library_Unit_Declaration_Access) is begin Self.Initialize (Compilation => Compilation, Full_Name => Full_Name, Context_Clause => Context_Clause, Unit_Declaration => Declaration); Self.Parent := Parent; if Parent not in null then Unit_Declaration (Parent.all).Append_Child (Self'Unchecked_Access); end if; Self.Childern.Clear; end Initialize; -------------------------------------- -- Is_Library_Unit_Declaration_Unit -- -------------------------------------- overriding function Is_Library_Unit_Declaration_Unit (Self : Unit_Declaration) return Boolean is pragma Unreferenced (Self); begin return True; end Is_Library_Unit_Declaration_Unit; -------------- -- Set_Body -- -------------- procedure Set_Body (Self : in out Unit_Declaration; Value : Program.Library_Unit_Bodies.Library_Unit_Body_Access) is begin Self.Impl := Value; end Set_Body; ------------ -- Parent -- ------------ overriding function Parent (Self : access Unit_Declaration) return Program.Library_Unit_Declarations.Library_Unit_Declaration_Access is begin return Self.Parent; end Parent; end Program.Units.Declarations;
27.971429
78
0.593122
c5cebae45b1810aec8cc8f244ce818d385ad5be5
124
ads
Ada
tests/nonsmoke/functional/CompileTests/experimental_ada_tests/tests/parent-child.ads
LaudateCorpus1/rose-1
5fe906d2a01253130c5de465aded6a917a8476a0
[ "BSD-3-Clause" ]
null
null
null
tests/nonsmoke/functional/CompileTests/experimental_ada_tests/tests/parent-child.ads
LaudateCorpus1/rose-1
5fe906d2a01253130c5de465aded6a917a8476a0
[ "BSD-3-Clause" ]
65
2020-10-16T17:58:15.000Z
2022-03-23T17:59:44.000Z
tests/nonsmoke/functional/CompileTests/experimental_ada_tests/tests/parent-child.ads
passlab/rexompiler
5494df3766ab606e230c5f1785b438a019c9e353
[ "BSD-3-Clause" ]
null
null
null
package Parent.Child is type Domain_Member is private; private type Domain_Member is new Integer; end Parent.Child;
17.714286
37
0.774194
18f07bcfb9192a3d1b4912944b0c93bc8e407357
1,080
ads
Ada
tests/src/test_utils-abstract_decoder-cobs_stream.ads
Fabien-Chouteau/COBS
155ce5c2ecb4fffdac31df9dccd1a0d0c5916c2b
[ "MIT" ]
null
null
null
tests/src/test_utils-abstract_decoder-cobs_stream.ads
Fabien-Chouteau/COBS
155ce5c2ecb4fffdac31df9dccd1a0d0c5916c2b
[ "MIT" ]
null
null
null
tests/src/test_utils-abstract_decoder-cobs_stream.ads
Fabien-Chouteau/COBS
155ce5c2ecb4fffdac31df9dccd1a0d0c5916c2b
[ "MIT" ]
null
null
null
private with COBS.Stream.Decoder; package Test_Utils.Abstract_Decoder.COBS_Stream is subtype Parent is Test_Utils.Abstract_Decoder.Instance; type Instance (In_Place : Boolean := False) is limited new Parent with private; type Acc is access all Instance; type Any_Acc is access all Instance'Class; overriding procedure Receive (This : in out Instance; Data : Storage_Element); overriding procedure Update (This : in out Instance); overriding procedure End_Of_Test (This : in out Instance); private type Test_Decoder is new COBS.Stream.Decoder.Instance with record Frames : Data_Frame_Vectors.Vector; Output : Data_Frame; end record; overriding procedure Flush (This : in out Test_Decoder; Data : Storage_Array); overriding procedure End_Of_Frame (This : in out Test_Decoder); type Instance (In_Place : Boolean := False) is limited new Parent with record Decoder : Test_Decoder; end record; end Test_Utils.Abstract_Decoder.COBS_Stream;
24
68
0.7
1882182b73a4e2bdea6152126ebd661c2d544523
253,060
adb
Ada
Vivado_HLS_Tutorial/Design_Analysis/lab1/dct_prj/solution6/.autopilot/db/dct_Loop_Col_DCT_Loop_proc.bind.adb
williambong/Vivado
68efafbc44b65c0bb047dbafc0ff7f1b56ee36bb
[ "MIT" ]
null
null
null
Vivado_HLS_Tutorial/Design_Analysis/lab1/dct_prj/solution6/.autopilot/db/dct_Loop_Col_DCT_Loop_proc.bind.adb
williambong/Vivado
68efafbc44b65c0bb047dbafc0ff7f1b56ee36bb
[ "MIT" ]
null
null
null
Vivado_HLS_Tutorial/Design_Analysis/lab1/dct_prj/solution6/.autopilot/db/dct_Loop_Col_DCT_Loop_proc.bind.adb
williambong/Vivado
68efafbc44b65c0bb047dbafc0ff7f1b56ee36bb
[ "MIT" ]
null
null
null
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="11"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>dct_Loop_Col_DCT_Loop_proc</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>col_inbuf_0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>col_inbuf_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>col_inbuf_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>col_inbuf_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>col_inbuf_4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>6</id> <name>col_inbuf_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_7"> <Value> <Obj> <type>1</type> <id>7</id> <name>col_inbuf_6</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_8"> <Value> <Obj> <type>1</type> <id>8</id> <name>col_inbuf_7</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>8</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_9"> <Value> <Obj> <type>1</type> <id>9</id> <name>col_outbuf_i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>dst</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>64</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>88</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_10"> <Value> <Obj> <type>0</type> <id>18</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>116</item> </oprand_edges> <opcode>br</opcode> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>20</id> <name>indvar_flatten</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>7</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>118</item> <item>119</item> <item>120</item> <item>121</item> </oprand_edges> <opcode>phi</opcode> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>21</id> <name>i_2_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="11" tracking_level="0" version="0"> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second class_id="12" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="13" tracking_level="0" version="0"> <first class_id="14" tracking_level="0" version="0"> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>123</item> <item>124</item> <item>125</item> <item>126</item> </oprand_edges> <opcode>phi</opcode> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>22</id> <name>k_i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>k</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>127</item> <item>128</item> <item>129</item> <item>130</item> </oprand_edges> <opcode>phi</opcode> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>23</id> <name>exitcond_flatten</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>131</item> <item>133</item> </oprand_edges> <opcode>icmp</opcode> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>24</id> <name>indvar_flatten_next</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>7</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>134</item> <item>136</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>25</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>137</item> <item>138</item> <item>139</item> </oprand_edges> <opcode>br</opcode> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>29</id> <name>exitcond1_i4</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>140</item> <item>142</item> </oprand_edges> <opcode>icmp</opcode> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>30</id> <name>k_i_mid2</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>143</item> <item>144</item> <item>145</item> </oprand_edges> <opcode>select</opcode> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>31</id> <name>i2</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>87</lineNumber> <contextFuncName>dct_2d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>87</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>146</item> <item>148</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>32</id> <name>i_2_i_mid2</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>149</item> <item>150</item> <item>151</item> </oprand_edges> <opcode>select</opcode> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>33</id> <name>tmp_71_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>152</item> </oprand_edges> <opcode>zext</opcode> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>37</id> <name>tmp_i_10</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>153</item> </oprand_edges> <opcode>zext</opcode> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>38</id> <name>dct_coeff_table_0_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>154</item> <item>156</item> <item>157</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>39</id> <name>dct_coeff_table_0_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>158</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>40</id> <name>coeff_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>159</item> </oprand_edges> <opcode>zext</opcode> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>41</id> <name>col_inbuf_0_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>160</item> <item>161</item> <item>162</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>42</id> <name>col_inbuf_0_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>163</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>43</id> <name>tmp_72_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>164</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>44</id> <name>tmp_8_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>165</item> <item>166</item> </oprand_edges> <opcode>mul</opcode> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>45</id> <name>dct_coeff_table_1_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>167</item> <item>168</item> <item>169</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>46</id> <name>dct_coeff_table_1_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>170</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>47</id> <name>coeff_1_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>171</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>48</id> <name>col_inbuf_1_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>172</item> <item>173</item> <item>174</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>49</id> <name>col_inbuf_1_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>175</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>50</id> <name>tmp_72_1_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>176</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>51</id> <name>tmp_8_1_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>177</item> <item>178</item> </oprand_edges> <opcode>mul</opcode> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>52</id> <name>dct_coeff_table_2_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>179</item> <item>180</item> <item>181</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>53</id> <name>dct_coeff_table_2_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>182</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>54</id> <name>coeff_2_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>183</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>55</id> <name>col_inbuf_2_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>184</item> <item>185</item> <item>186</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>56</id> <name>col_inbuf_2_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>187</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>57</id> <name>tmp_72_2_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>188</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>58</id> <name>tmp_8_2_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>189</item> <item>190</item> </oprand_edges> <opcode>mul</opcode> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>59</id> <name>dct_coeff_table_3_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>191</item> <item>192</item> <item>193</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>60</id> <name>dct_coeff_table_3_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>194</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>61</id> <name>coeff_3_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>195</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>62</id> <name>col_inbuf_3_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>196</item> <item>197</item> <item>198</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>63</id> <name>col_inbuf_3_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>199</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>64</id> <name>tmp_72_3_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>200</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>65</id> <name>tmp_8_3_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>201</item> <item>202</item> </oprand_edges> <opcode>mul</opcode> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>66</id> <name>dct_coeff_table_4_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>203</item> <item>204</item> <item>205</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>67</id> <name>dct_coeff_table_4_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>206</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>68</id> <name>coeff_4_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>207</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>69</id> <name>col_inbuf_4_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>208</item> <item>209</item> <item>210</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>70</id> <name>col_inbuf_4_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>211</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>71</id> <name>tmp_72_4_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>212</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>72</id> <name>tmp_8_4_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>213</item> <item>214</item> </oprand_edges> <opcode>mul</opcode> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>73</id> <name>dct_coeff_table_5_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>215</item> <item>216</item> <item>217</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>74</id> <name>dct_coeff_table_5_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>218</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>75</id> <name>coeff_5_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>219</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>76</id> <name>col_inbuf_5_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>220</item> <item>221</item> <item>222</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_62"> <Value> <Obj> <type>0</type> <id>77</id> <name>col_inbuf_5_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>223</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_63"> <Value> <Obj> <type>0</type> <id>78</id> <name>tmp_72_5_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>224</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_64"> <Value> <Obj> <type>0</type> <id>79</id> <name>tmp_8_5_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>225</item> <item>226</item> </oprand_edges> <opcode>mul</opcode> </item> <item class_id_reference="9" object_id="_65"> <Value> <Obj> <type>0</type> <id>80</id> <name>dct_coeff_table_6_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>227</item> <item>228</item> <item>229</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_66"> <Value> <Obj> <type>0</type> <id>81</id> <name>dct_coeff_table_6_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>230</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_67"> <Value> <Obj> <type>0</type> <id>82</id> <name>coeff_6_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>231</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_68"> <Value> <Obj> <type>0</type> <id>83</id> <name>col_inbuf_6_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>232</item> <item>233</item> <item>234</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_69"> <Value> <Obj> <type>0</type> <id>84</id> <name>col_inbuf_6_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>235</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_70"> <Value> <Obj> <type>0</type> <id>85</id> <name>tmp_72_6_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>236</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_71"> <Value> <Obj> <type>0</type> <id>86</id> <name>tmp_8_6_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>237</item> <item>238</item> </oprand_edges> <opcode>mul</opcode> </item> <item class_id_reference="9" object_id="_72"> <Value> <Obj> <type>0</type> <id>87</id> <name>dct_coeff_table_7_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>239</item> <item>240</item> <item>241</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_73"> <Value> <Obj> <type>0</type> <id>88</id> <name>dct_coeff_table_7_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>15</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>242</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_74"> <Value> <Obj> <type>0</type> <id>89</id> <name>coeff_7_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>60</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>243</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_75"> <Value> <Obj> <type>0</type> <id>90</id> <name>col_inbuf_7_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>244</item> <item>245</item> <item>246</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_76"> <Value> <Obj> <type>0</type> <id>91</id> <name>col_inbuf_7_load</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>247</item> </oprand_edges> <opcode>load</opcode> </item> <item class_id_reference="9" object_id="_77"> <Value> <Obj> <type>0</type> <id>92</id> <name>tmp_72_7_cast_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>248</item> </oprand_edges> <opcode>sext</opcode> </item> <item class_id_reference="9" object_id="_78"> <Value> <Obj> <type>0</type> <id>93</id> <name>tmp_8_7_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>61</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>249</item> <item>250</item> </oprand_edges> <opcode>mul</opcode> </item> <item class_id_reference="9" object_id="_79"> <Value> <Obj> <type>0</type> <id>94</id> <name>tmp1</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>251</item> <item>252</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_80"> <Value> <Obj> <type>0</type> <id>95</id> <name>tmp2</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>253</item> <item>254</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_81"> <Value> <Obj> <type>0</type> <id>96</id> <name>tmp</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>255</item> <item>256</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_82"> <Value> <Obj> <type>0</type> <id>97</id> <name>tmp4</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>257</item> <item>258</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_83"> <Value> <Obj> <type>0</type> <id>98</id> <name>tmp6</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>259</item> <item>261</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_84"> <Value> <Obj> <type>0</type> <id>99</id> <name>tmp5</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>262</item> <item>263</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_85"> <Value> <Obj> <type>0</type> <id>100</id> <name>tmp3</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>264</item> <item>265</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_86"> <Value> <Obj> <type>0</type> <id>101</id> <name>tmp_2_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>266</item> <item>267</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_87"> <Value> <Obj> <type>0</type> <id>102</id> <name>tmp_4_i</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>269</item> <item>270</item> <item>272</item> <item>274</item> </oprand_edges> <opcode>partselect</opcode> </item> <item class_id_reference="9" object_id="_88"> <Value> <Obj> <type>0</type> <id>103</id> <name>tmp_i_trn_cast</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>275</item> </oprand_edges> <opcode>zext</opcode> </item> <item class_id_reference="9" object_id="_89"> <Value> <Obj> <type>0</type> <id>104</id> <name>tmp_8</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>7</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>277</item> <item>278</item> <item>280</item> </oprand_edges> <opcode>bitconcatenate</opcode> </item> <item class_id_reference="9" object_id="_90"> <Value> <Obj> <type>0</type> <id>105</id> <name>p_addr_cast</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>281</item> </oprand_edges> <opcode>zext</opcode> </item> <item class_id_reference="9" object_id="_91"> <Value> <Obj> <type>0</type> <id>106</id> <name>p_addr1</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>282</item> <item>283</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_92"> <Value> <Obj> <type>0</type> <id>107</id> <name>tmp_9</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>284</item> </oprand_edges> <opcode>zext</opcode> </item> <item class_id_reference="9" object_id="_93"> <Value> <Obj> <type>0</type> <id>108</id> <name>col_outbuf_i_addr</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>285</item> <item>286</item> <item>287</item> </oprand_edges> <opcode>getelementptr</opcode> </item> <item class_id_reference="9" object_id="_94"> <Value> <Obj> <type>0</type> <id>109</id> <name></name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>63</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>288</item> <item>289</item> </oprand_edges> <opcode>store</opcode> </item> <item class_id_reference="9" object_id="_95"> <Value> <Obj> <type>0</type> <id>111</id> <name>k</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName>k</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>290</item> <item>291</item> </oprand_edges> <opcode>add</opcode> </item> <item class_id_reference="9" object_id="_96"> <Value> <Obj> <type>0</type> <id>112</id> <name></name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>dct_1d</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_1d</second> </first> <second>57</second> </item> <item> <first> <first>dct.cpp</first> <second>dct_2d</second> </first> <second>88</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>292</item> </oprand_edges> <opcode>br</opcode> </item> <item class_id_reference="9" object_id="_97"> <Value> <Obj> <type>0</type> <id>114</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>11</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_98"> <Value> <Obj> <type>2</type> <id>117</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>7</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_99"> <Value> <Obj> <type>2</type> <id>122</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_100"> <Value> <Obj> <type>2</type> <id>132</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>7</bitwidth> </Value> <const_type>0</const_type> <content>64</content> </item> <item class_id_reference="16" object_id="_101"> <Value> <Obj> <type>2</type> <id>135</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>7</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_102"> <Value> <Obj> <type>2</type> <id>141</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>8</content> </item> <item class_id_reference="16" object_id="_103"> <Value> <Obj> <type>2</type> <id>147</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_104"> <Value> <Obj> <type>2</type> <id>155</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_105"> <Value> <Obj> <type>2</type> <id>260</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <const_type>0</const_type> <content>4096</content> </item> <item class_id_reference="16" object_id="_106"> <Value> <Obj> <type>2</type> <id>271</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>13</content> </item> <item class_id_reference="16" object_id="_107"> <Value> <Obj> <type>2</type> <id>273</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>28</content> </item> <item class_id_reference="16" object_id="_108"> <Value> <Obj> <type>2</type> <id>279</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_109"> <Obj> <type>3</type> <id>19</id> <name>newFuncRoot</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>18</item> </node_objs> </item> <item class_id_reference="18" object_id="_110"> <Obj> <type>3</type> <id>26</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>20</item> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> </node_objs> </item> <item class_id_reference="18" object_id="_111"> <Obj> <type>3</type> <id>113</id> <name>dct_1d.exit</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>80</count> <item_version>0</item_version> <item>29</item> <item>30</item> <item>31</item> <item>32</item> <item>33</item> <item>37</item> <item>38</item> <item>39</item> <item>40</item> <item>41</item> <item>42</item> <item>43</item> <item>44</item> <item>45</item> <item>46</item> <item>47</item> <item>48</item> <item>49</item> <item>50</item> <item>51</item> <item>52</item> <item>53</item> <item>54</item> <item>55</item> <item>56</item> <item>57</item> <item>58</item> <item>59</item> <item>60</item> <item>61</item> <item>62</item> <item>63</item> <item>64</item> <item>65</item> <item>66</item> <item>67</item> <item>68</item> <item>69</item> <item>70</item> <item>71</item> <item>72</item> <item>73</item> <item>74</item> <item>75</item> <item>76</item> <item>77</item> <item>78</item> <item>79</item> <item>80</item> <item>81</item> <item>82</item> <item>83</item> <item>84</item> <item>85</item> <item>86</item> <item>87</item> <item>88</item> <item>89</item> <item>90</item> <item>91</item> <item>92</item> <item>93</item> <item>94</item> <item>95</item> <item>96</item> <item>97</item> <item>98</item> <item>99</item> <item>100</item> <item>101</item> <item>102</item> <item>103</item> <item>104</item> <item>105</item> <item>106</item> <item>107</item> <item>108</item> <item>109</item> <item>111</item> <item>112</item> </node_objs> </item> <item class_id_reference="18" object_id="_112"> <Obj> <type>3</type> <id>115</id> <name>.preheader.i.exitStub</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>114</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>166</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_113"> <id>116</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_114"> <id>118</id> <edge_type>1</edge_type> <source_obj>117</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_115"> <id>119</id> <edge_type>2</edge_type> <source_obj>19</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_116"> <id>120</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_117"> <id>121</id> <edge_type>2</edge_type> <source_obj>113</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_118"> <id>123</id> <edge_type>1</edge_type> <source_obj>122</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_119"> <id>124</id> <edge_type>2</edge_type> <source_obj>19</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_120"> <id>125</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_121"> <id>126</id> <edge_type>2</edge_type> <source_obj>113</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_122"> <id>127</id> <edge_type>1</edge_type> <source_obj>122</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_123"> <id>128</id> <edge_type>2</edge_type> <source_obj>19</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_124"> <id>129</id> <edge_type>1</edge_type> <source_obj>111</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_125"> <id>130</id> <edge_type>2</edge_type> <source_obj>113</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_126"> <id>131</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_127"> <id>133</id> <edge_type>1</edge_type> <source_obj>132</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_128"> <id>134</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_129"> <id>136</id> <edge_type>1</edge_type> <source_obj>135</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_130"> <id>137</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_131"> <id>138</id> <edge_type>2</edge_type> <source_obj>113</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_132"> <id>139</id> <edge_type>2</edge_type> <source_obj>115</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_133"> <id>140</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>29</sink_obj> </item> <item class_id_reference="20" object_id="_134"> <id>142</id> <edge_type>1</edge_type> <source_obj>141</source_obj> <sink_obj>29</sink_obj> </item> <item class_id_reference="20" object_id="_135"> <id>143</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>30</sink_obj> </item> <item class_id_reference="20" object_id="_136"> <id>144</id> <edge_type>1</edge_type> <source_obj>122</source_obj> <sink_obj>30</sink_obj> </item> <item class_id_reference="20" object_id="_137"> <id>145</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>30</sink_obj> </item> <item class_id_reference="20" object_id="_138"> <id>146</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_139"> <id>148</id> <edge_type>1</edge_type> <source_obj>147</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_140"> <id>149</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_141"> <id>150</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_142"> <id>151</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_143"> <id>152</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_144"> <id>153</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>37</sink_obj> </item> <item class_id_reference="20" object_id="_145"> <id>154</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>38</sink_obj> </item> <item class_id_reference="20" object_id="_146"> <id>156</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>38</sink_obj> </item> <item class_id_reference="20" object_id="_147"> <id>157</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>38</sink_obj> </item> <item class_id_reference="20" object_id="_148"> <id>158</id> <edge_type>1</edge_type> <source_obj>38</source_obj> <sink_obj>39</sink_obj> </item> <item class_id_reference="20" object_id="_149"> <id>159</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>40</sink_obj> </item> <item class_id_reference="20" object_id="_150"> <id>160</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>41</sink_obj> </item> <item class_id_reference="20" object_id="_151"> <id>161</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>41</sink_obj> </item> <item class_id_reference="20" object_id="_152"> <id>162</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>41</sink_obj> </item> <item class_id_reference="20" object_id="_153"> <id>163</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>42</sink_obj> </item> <item class_id_reference="20" object_id="_154"> <id>164</id> <edge_type>1</edge_type> <source_obj>42</source_obj> <sink_obj>43</sink_obj> </item> <item class_id_reference="20" object_id="_155"> <id>165</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>44</sink_obj> </item> <item class_id_reference="20" object_id="_156"> <id>166</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>44</sink_obj> </item> <item class_id_reference="20" object_id="_157"> <id>167</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>45</sink_obj> </item> <item class_id_reference="20" object_id="_158"> <id>168</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>45</sink_obj> </item> <item class_id_reference="20" object_id="_159"> <id>169</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>45</sink_obj> </item> <item class_id_reference="20" object_id="_160"> <id>170</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>46</sink_obj> </item> <item class_id_reference="20" object_id="_161"> <id>171</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>47</sink_obj> </item> <item class_id_reference="20" object_id="_162"> <id>172</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_163"> <id>173</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_164"> <id>174</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>48</sink_obj> </item> <item class_id_reference="20" object_id="_165"> <id>175</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>49</sink_obj> </item> <item class_id_reference="20" object_id="_166"> <id>176</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>50</sink_obj> </item> <item class_id_reference="20" object_id="_167"> <id>177</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>51</sink_obj> </item> <item class_id_reference="20" object_id="_168"> <id>178</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>51</sink_obj> </item> <item class_id_reference="20" object_id="_169"> <id>179</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>52</sink_obj> </item> <item class_id_reference="20" object_id="_170"> <id>180</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>52</sink_obj> </item> <item class_id_reference="20" object_id="_171"> <id>181</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>52</sink_obj> </item> <item class_id_reference="20" object_id="_172"> <id>182</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>53</sink_obj> </item> <item class_id_reference="20" object_id="_173"> <id>183</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>54</sink_obj> </item> <item class_id_reference="20" object_id="_174"> <id>184</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>55</sink_obj> </item> <item class_id_reference="20" object_id="_175"> <id>185</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>55</sink_obj> </item> <item class_id_reference="20" object_id="_176"> <id>186</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>55</sink_obj> </item> <item class_id_reference="20" object_id="_177"> <id>187</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>56</sink_obj> </item> <item class_id_reference="20" object_id="_178"> <id>188</id> <edge_type>1</edge_type> <source_obj>56</source_obj> <sink_obj>57</sink_obj> </item> <item class_id_reference="20" object_id="_179"> <id>189</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>58</sink_obj> </item> <item class_id_reference="20" object_id="_180"> <id>190</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>58</sink_obj> </item> <item class_id_reference="20" object_id="_181"> <id>191</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>59</sink_obj> </item> <item class_id_reference="20" object_id="_182"> <id>192</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>59</sink_obj> </item> <item class_id_reference="20" object_id="_183"> <id>193</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>59</sink_obj> </item> <item class_id_reference="20" object_id="_184"> <id>194</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>60</sink_obj> </item> <item class_id_reference="20" object_id="_185"> <id>195</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>61</sink_obj> </item> <item class_id_reference="20" object_id="_186"> <id>196</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>62</sink_obj> </item> <item class_id_reference="20" object_id="_187"> <id>197</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>62</sink_obj> </item> <item class_id_reference="20" object_id="_188"> <id>198</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>62</sink_obj> </item> <item class_id_reference="20" object_id="_189"> <id>199</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>63</sink_obj> </item> <item class_id_reference="20" object_id="_190"> <id>200</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>64</sink_obj> </item> <item class_id_reference="20" object_id="_191"> <id>201</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>65</sink_obj> </item> <item class_id_reference="20" object_id="_192"> <id>202</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>65</sink_obj> </item> <item class_id_reference="20" object_id="_193"> <id>203</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>66</sink_obj> </item> <item class_id_reference="20" object_id="_194"> <id>204</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>66</sink_obj> </item> <item class_id_reference="20" object_id="_195"> <id>205</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>66</sink_obj> </item> <item class_id_reference="20" object_id="_196"> <id>206</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>67</sink_obj> </item> <item class_id_reference="20" object_id="_197"> <id>207</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>68</sink_obj> </item> <item class_id_reference="20" object_id="_198"> <id>208</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>69</sink_obj> </item> <item class_id_reference="20" object_id="_199"> <id>209</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>69</sink_obj> </item> <item class_id_reference="20" object_id="_200"> <id>210</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>69</sink_obj> </item> <item class_id_reference="20" object_id="_201"> <id>211</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>70</sink_obj> </item> <item class_id_reference="20" object_id="_202"> <id>212</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>71</sink_obj> </item> <item class_id_reference="20" object_id="_203"> <id>213</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>72</sink_obj> </item> <item class_id_reference="20" object_id="_204"> <id>214</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>72</sink_obj> </item> <item class_id_reference="20" object_id="_205"> <id>215</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>73</sink_obj> </item> <item class_id_reference="20" object_id="_206"> <id>216</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>73</sink_obj> </item> <item class_id_reference="20" object_id="_207"> <id>217</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>73</sink_obj> </item> <item class_id_reference="20" object_id="_208"> <id>218</id> <edge_type>1</edge_type> <source_obj>73</source_obj> <sink_obj>74</sink_obj> </item> <item class_id_reference="20" object_id="_209"> <id>219</id> <edge_type>1</edge_type> <source_obj>74</source_obj> <sink_obj>75</sink_obj> </item> <item class_id_reference="20" object_id="_210"> <id>220</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>76</sink_obj> </item> <item class_id_reference="20" object_id="_211"> <id>221</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>76</sink_obj> </item> <item class_id_reference="20" object_id="_212"> <id>222</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>76</sink_obj> </item> <item class_id_reference="20" object_id="_213"> <id>223</id> <edge_type>1</edge_type> <source_obj>76</source_obj> <sink_obj>77</sink_obj> </item> <item class_id_reference="20" object_id="_214"> <id>224</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>78</sink_obj> </item> <item class_id_reference="20" object_id="_215"> <id>225</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>79</sink_obj> </item> <item class_id_reference="20" object_id="_216"> <id>226</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>79</sink_obj> </item> <item class_id_reference="20" object_id="_217"> <id>227</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>80</sink_obj> </item> <item class_id_reference="20" object_id="_218"> <id>228</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>80</sink_obj> </item> <item class_id_reference="20" object_id="_219"> <id>229</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>80</sink_obj> </item> <item class_id_reference="20" object_id="_220"> <id>230</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>81</sink_obj> </item> <item class_id_reference="20" object_id="_221"> <id>231</id> <edge_type>1</edge_type> <source_obj>81</source_obj> <sink_obj>82</sink_obj> </item> <item class_id_reference="20" object_id="_222"> <id>232</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>83</sink_obj> </item> <item class_id_reference="20" object_id="_223"> <id>233</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>83</sink_obj> </item> <item class_id_reference="20" object_id="_224"> <id>234</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>83</sink_obj> </item> <item class_id_reference="20" object_id="_225"> <id>235</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>84</sink_obj> </item> <item class_id_reference="20" object_id="_226"> <id>236</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>85</sink_obj> </item> <item class_id_reference="20" object_id="_227"> <id>237</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>86</sink_obj> </item> <item class_id_reference="20" object_id="_228"> <id>238</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>86</sink_obj> </item> <item class_id_reference="20" object_id="_229"> <id>239</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>87</sink_obj> </item> <item class_id_reference="20" object_id="_230"> <id>240</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>87</sink_obj> </item> <item class_id_reference="20" object_id="_231"> <id>241</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>87</sink_obj> </item> <item class_id_reference="20" object_id="_232"> <id>242</id> <edge_type>1</edge_type> <source_obj>87</source_obj> <sink_obj>88</sink_obj> </item> <item class_id_reference="20" object_id="_233"> <id>243</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>89</sink_obj> </item> <item class_id_reference="20" object_id="_234"> <id>244</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>90</sink_obj> </item> <item class_id_reference="20" object_id="_235"> <id>245</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>90</sink_obj> </item> <item class_id_reference="20" object_id="_236"> <id>246</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>90</sink_obj> </item> <item class_id_reference="20" object_id="_237"> <id>247</id> <edge_type>1</edge_type> <source_obj>90</source_obj> <sink_obj>91</sink_obj> </item> <item class_id_reference="20" object_id="_238"> <id>248</id> <edge_type>1</edge_type> <source_obj>91</source_obj> <sink_obj>92</sink_obj> </item> <item class_id_reference="20" object_id="_239"> <id>249</id> <edge_type>1</edge_type> <source_obj>92</source_obj> <sink_obj>93</sink_obj> </item> <item class_id_reference="20" object_id="_240"> <id>250</id> <edge_type>1</edge_type> <source_obj>89</source_obj> <sink_obj>93</sink_obj> </item> <item class_id_reference="20" object_id="_241"> <id>251</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>94</sink_obj> </item> <item class_id_reference="20" object_id="_242"> <id>252</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>94</sink_obj> </item> <item class_id_reference="20" object_id="_243"> <id>253</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>95</sink_obj> </item> <item class_id_reference="20" object_id="_244"> <id>254</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>95</sink_obj> </item> <item class_id_reference="20" object_id="_245"> <id>255</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>96</sink_obj> </item> <item class_id_reference="20" object_id="_246"> <id>256</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>96</sink_obj> </item> <item class_id_reference="20" object_id="_247"> <id>257</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>97</sink_obj> </item> <item class_id_reference="20" object_id="_248"> <id>258</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>97</sink_obj> </item> <item class_id_reference="20" object_id="_249"> <id>259</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>98</sink_obj> </item> <item class_id_reference="20" object_id="_250"> <id>261</id> <edge_type>1</edge_type> <source_obj>260</source_obj> <sink_obj>98</sink_obj> </item> <item class_id_reference="20" object_id="_251"> <id>262</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>99</sink_obj> </item> <item class_id_reference="20" object_id="_252"> <id>263</id> <edge_type>1</edge_type> <source_obj>86</source_obj> <sink_obj>99</sink_obj> </item> <item class_id_reference="20" object_id="_253"> <id>264</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>100</sink_obj> </item> <item class_id_reference="20" object_id="_254"> <id>265</id> <edge_type>1</edge_type> <source_obj>97</source_obj> <sink_obj>100</sink_obj> </item> <item class_id_reference="20" object_id="_255"> <id>266</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>101</sink_obj> </item> <item class_id_reference="20" object_id="_256"> <id>267</id> <edge_type>1</edge_type> <source_obj>96</source_obj> <sink_obj>101</sink_obj> </item> <item class_id_reference="20" object_id="_257"> <id>270</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>102</sink_obj> </item> <item class_id_reference="20" object_id="_258"> <id>272</id> <edge_type>1</edge_type> <source_obj>271</source_obj> <sink_obj>102</sink_obj> </item> <item class_id_reference="20" object_id="_259"> <id>274</id> <edge_type>1</edge_type> <source_obj>273</source_obj> <sink_obj>102</sink_obj> </item> <item class_id_reference="20" object_id="_260"> <id>275</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>103</sink_obj> </item> <item class_id_reference="20" object_id="_261"> <id>278</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>104</sink_obj> </item> <item class_id_reference="20" object_id="_262"> <id>280</id> <edge_type>1</edge_type> <source_obj>279</source_obj> <sink_obj>104</sink_obj> </item> <item class_id_reference="20" object_id="_263"> <id>281</id> <edge_type>1</edge_type> <source_obj>104</source_obj> <sink_obj>105</sink_obj> </item> <item class_id_reference="20" object_id="_264"> <id>282</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>106</sink_obj> </item> <item class_id_reference="20" object_id="_265"> <id>283</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>106</sink_obj> </item> <item class_id_reference="20" object_id="_266"> <id>284</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>107</sink_obj> </item> <item class_id_reference="20" object_id="_267"> <id>285</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>108</sink_obj> </item> <item class_id_reference="20" object_id="_268"> <id>286</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>108</sink_obj> </item> <item class_id_reference="20" object_id="_269"> <id>287</id> <edge_type>1</edge_type> <source_obj>107</source_obj> <sink_obj>108</sink_obj> </item> <item class_id_reference="20" object_id="_270"> <id>288</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>109</sink_obj> </item> <item class_id_reference="20" object_id="_271"> <id>289</id> <edge_type>1</edge_type> <source_obj>108</source_obj> <sink_obj>109</sink_obj> </item> <item class_id_reference="20" object_id="_272"> <id>290</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>111</sink_obj> </item> <item class_id_reference="20" object_id="_273"> <id>291</id> <edge_type>1</edge_type> <source_obj>147</source_obj> <sink_obj>111</sink_obj> </item> <item class_id_reference="20" object_id="_274"> <id>292</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>112</sink_obj> </item> <item class_id_reference="20" object_id="_275"> <id>323</id> <edge_type>2</edge_type> <source_obj>19</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_276"> <id>324</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>115</sink_obj> </item> <item class_id_reference="20" object_id="_277"> <id>325</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>113</sink_obj> </item> <item class_id_reference="20" object_id="_278"> <id>326</id> <edge_type>2</edge_type> <source_obj>113</source_obj> <sink_obj>26</sink_obj> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_279"> <mId>1</mId> <mTag>dct_Loop_Col_DCT_Loop_proc</mTag> <mType>0</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>4</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>69</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_280"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>19</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_281"> <mId>3</mId> <mTag>Col_DCT_Loop_DCT_Outer_Loop</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>26</item> <item>113</item> </basic_blocks> <mII>1</mII> <mDepth>5</mDepth> <mMinTripCount>64</mMinTripCount> <mMaxTripCount>64</mMaxTripCount> <mMinLatency>67</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_282"> <mId>4</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>115</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_283"> <states class_id="25" tracking_level="0" version="0"> <count>7</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_284"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_285"> <id>18</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_286"> <id>2</id> <operations> <count>45</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_287"> <id>20</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_288"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_289"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_290"> <id>23</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_291"> <id>24</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_292"> <id>25</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_293"> <id>29</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_294"> <id>30</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_295"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_296"> <id>32</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_297"> <id>33</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_298"> <id>37</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_299"> <id>38</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_300"> <id>39</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_301"> <id>41</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_302"> <id>42</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_303"> <id>45</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_304"> <id>46</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_305"> <id>48</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_306"> <id>49</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_307"> <id>52</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_308"> <id>53</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_309"> <id>55</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_310"> <id>56</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_311"> <id>59</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_312"> <id>60</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_313"> <id>62</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_314"> <id>63</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_315"> <id>66</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_316"> <id>67</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_317"> <id>69</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_318"> <id>70</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_319"> <id>73</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_320"> <id>74</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_321"> <id>76</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_322"> <id>77</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_323"> <id>80</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_324"> <id>81</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_325"> <id>83</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_326"> <id>84</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_327"> <id>87</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_328"> <id>88</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_329"> <id>90</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_330"> <id>91</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_331"> <id>111</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_332"> <id>3</id> <operations> <count>16</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_333"> <id>39</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_334"> <id>42</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_335"> <id>46</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_336"> <id>49</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_337"> <id>53</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_338"> <id>56</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_339"> <id>60</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_340"> <id>63</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_341"> <id>67</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_342"> <id>70</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_343"> <id>74</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_344"> <id>77</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_345"> <id>81</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_346"> <id>84</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_347"> <id>88</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_348"> <id>91</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_349"> <id>4</id> <operations> <count>17</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_350"> <id>40</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_351"> <id>43</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_352"> <id>44</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_353"> <id>54</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_354"> <id>57</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_355"> <id>58</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_356"> <id>68</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_357"> <id>71</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_358"> <id>72</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_359"> <id>82</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_360"> <id>85</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_361"> <id>86</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_362"> <id>89</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_363"> <id>92</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_364"> <id>93</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_365"> <id>98</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_366"> <id>99</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_367"> <id>5</id> <operations> <count>16</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_368"> <id>47</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_369"> <id>50</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_370"> <id>51</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_371"> <id>61</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_372"> <id>64</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_373"> <id>65</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_374"> <id>75</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_375"> <id>78</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_376"> <id>79</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_377"> <id>94</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_378"> <id>95</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_379"> <id>96</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_380"> <id>97</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_381"> <id>100</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_382"> <id>101</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_383"> <id>102</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_384"> <id>6</id> <operations> <count>14</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_385"> <id>27</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_386"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_387"> <id>34</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_388"> <id>35</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_389"> <id>36</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_390"> <id>103</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_391"> <id>104</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_392"> <id>105</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_393"> <id>106</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_394"> <id>107</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_395"> <id>108</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_396"> <id>109</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_397"> <id>110</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_398"> <id>112</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_399"> <id>7</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_400"> <id>114</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>7</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_401"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>23</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_402"> <inState>3</inState> <outState>4</outState> <condition> <id>34</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_403"> <inState>4</inState> <outState>5</outState> <condition> <id>35</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_404"> <inState>5</inState> <outState>6</outState> <condition> <id>36</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_405"> <inState>6</inState> <outState>2</outState> <condition> <id>37</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_406"> <inState>2</inState> <outState>7</outState> <condition> <id>33</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item class_id="34" tracking_level="0" version="0"> <first class_id="35" tracking_level="0" version="0"> <first>23</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_407"> <inState>2</inState> <outState>3</outState> <condition> <id>38</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>23</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="36" tracking_level="1" version="0" object_id="_408"> <dp_component_resource class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_component_resource> <dp_expression_resource> <count>0</count> <item_version>0</item_version> </dp_expression_resource> <dp_fifo_resource> <count>0</count> <item_version>0</item_version> </dp_fifo_resource> <dp_memory_resource> <count>0</count> <item_version>0</item_version> </dp_memory_resource> <dp_multiplexer_resource> <count>0</count> <item_version>0</item_version> </dp_multiplexer_resource> <dp_register_resource> <count>0</count> <item_version>0</item_version> </dp_register_resource> <dp_component_map class_id="38" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_component_map> <dp_expression_map> <count>0</count> <item_version>0</item_version> </dp_expression_map> <dp_fifo_map> <count>0</count> <item_version>0</item_version> </dp_fifo_map> <dp_memory_map> <count>0</count> <item_version>0</item_version> </dp_memory_map> </res> <node_label_latency class_id="39" tracking_level="0" version="0"> <count>88</count> <item_version>0</item_version> <item class_id="40" tracking_level="0" version="0"> <first>18</first> <second class_id="41" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>20</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>38</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>40</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>43</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>47</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>49</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>50</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>54</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>56</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>57</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>61</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>62</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>63</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>64</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>68</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>69</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>70</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>71</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>73</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>74</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>75</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>76</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>77</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>78</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>79</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>80</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>81</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>82</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>83</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>84</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>85</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>86</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>87</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>88</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>89</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>90</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>91</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>92</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>93</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>94</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>95</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>96</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>97</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>98</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>99</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>100</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>101</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>102</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>103</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>104</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>105</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>106</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>107</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>108</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>109</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>111</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>112</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>114</first> <second> <first>2</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="42" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="43" tracking_level="0" version="0"> <first>19</first> <second class_id="44" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>113</first> <second> <first>1</first> <second>5</second> </second> </item> <item> <first>115</first> <second> <first>2</first> <second>2</second> </second> </item> </bblk_ent_exit> <regions class_id="45" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="46" tracking_level="1" version="0" object_id="_409"> <region_name>Col_DCT_Loop_DCT_Outer_Loop</region_name> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>26</item> <item>113</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>5</pipe_depth> </item> </regions> <dp_fu_nodes class_id="47" tracking_level="0" version="0"> <count>79</count> <item_version>0</item_version> <item class_id="48" tracking_level="0" version="0"> <first>82</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>89</first> <second> <count>2</count> <item_version>0</item_version> <item>39</item> <item>39</item> </second> </item> <item> <first>94</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>101</first> <second> <count>2</count> <item_version>0</item_version> <item>42</item> <item>42</item> </second> </item> <item> <first>106</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>113</first> <second> <count>2</count> <item_version>0</item_version> <item>46</item> <item>46</item> </second> </item> <item> <first>118</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>125</first> <second> <count>2</count> <item_version>0</item_version> <item>49</item> <item>49</item> </second> </item> <item> <first>130</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>137</first> <second> <count>2</count> <item_version>0</item_version> <item>53</item> <item>53</item> </second> </item> <item> <first>142</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>149</first> <second> <count>2</count> <item_version>0</item_version> <item>56</item> <item>56</item> </second> </item> <item> <first>154</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>161</first> <second> <count>2</count> <item_version>0</item_version> <item>60</item> <item>60</item> </second> </item> <item> <first>166</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>173</first> <second> <count>2</count> <item_version>0</item_version> <item>63</item> <item>63</item> </second> </item> <item> <first>178</first> <second> <count>1</count> <item_version>0</item_version> <item>66</item> </second> </item> <item> <first>185</first> <second> <count>2</count> <item_version>0</item_version> <item>67</item> <item>67</item> </second> </item> <item> <first>190</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>197</first> <second> <count>2</count> <item_version>0</item_version> <item>70</item> <item>70</item> </second> </item> <item> <first>202</first> <second> <count>1</count> <item_version>0</item_version> <item>73</item> </second> </item> <item> <first>209</first> <second> <count>2</count> <item_version>0</item_version> <item>74</item> <item>74</item> </second> </item> <item> <first>214</first> <second> <count>1</count> <item_version>0</item_version> <item>76</item> </second> </item> <item> <first>221</first> <second> <count>2</count> <item_version>0</item_version> <item>77</item> <item>77</item> </second> </item> <item> <first>226</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>233</first> <second> <count>2</count> <item_version>0</item_version> <item>81</item> <item>81</item> </second> </item> <item> <first>238</first> <second> <count>1</count> <item_version>0</item_version> <item>83</item> </second> </item> <item> <first>245</first> <second> <count>2</count> <item_version>0</item_version> <item>84</item> <item>84</item> </second> </item> <item> <first>250</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>257</first> <second> <count>2</count> <item_version>0</item_version> <item>88</item> <item>88</item> </second> </item> <item> <first>262</first> <second> <count>1</count> <item_version>0</item_version> <item>90</item> </second> </item> <item> <first>269</first> <second> <count>2</count> <item_version>0</item_version> <item>91</item> <item>91</item> </second> </item> <item> <first>274</first> <second> <count>1</count> <item_version>0</item_version> <item>108</item> </second> </item> <item> <first>281</first> <second> <count>1</count> <item_version>0</item_version> <item>109</item> </second> </item> <item> <first>290</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>301</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>312</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>319</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>325</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>331</first> <second> <count>1</count> <item_version>0</item_version> <item>29</item> </second> </item> <item> <first>337</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>345</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>351</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>359</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>371</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>383</first> <second> <count>1</count> <item_version>0</item_version> <item>111</item> </second> </item> <item> <first>389</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>392</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>395</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> <item> <first>401</first> <second> <count>1</count> <item_version>0</item_version> <item>54</item> </second> </item> <item> <first>404</first> <second> <count>1</count> <item_version>0</item_version> <item>57</item> </second> </item> <item> <first>407</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>413</first> <second> <count>1</count> <item_version>0</item_version> <item>68</item> </second> </item> <item> <first>416</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>419</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>425</first> <second> <count>1</count> <item_version>0</item_version> <item>82</item> </second> </item> <item> <first>428</first> <second> <count>1</count> <item_version>0</item_version> <item>85</item> </second> </item> <item> <first>431</first> <second> <count>1</count> <item_version>0</item_version> <item>89</item> </second> </item> <item> <first>434</first> <second> <count>1</count> <item_version>0</item_version> <item>92</item> </second> </item> <item> <first>437</first> <second> <count>1</count> <item_version>0</item_version> <item>47</item> </second> </item> <item> <first>440</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> <item> <first>443</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> <item> <first>446</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>449</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>452</first> <second> <count>1</count> <item_version>0</item_version> <item>78</item> </second> </item> <item> <first>455</first> <second> <count>1</count> <item_version>0</item_version> <item>96</item> </second> </item> <item> <first>459</first> <second> <count>1</count> <item_version>0</item_version> <item>100</item> </second> </item> <item> <first>463</first> <second> <count>1</count> <item_version>0</item_version> <item>101</item> </second> </item> <item> <first>469</first> <second> <count>1</count> <item_version>0</item_version> <item>102</item> </second> </item> <item> <first>479</first> <second> <count>1</count> <item_version>0</item_version> <item>103</item> </second> </item> <item> <first>482</first> <second> <count>1</count> <item_version>0</item_version> <item>104</item> </second> </item> <item> <first>489</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>493</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> <item> <first>499</first> <second> <count>1</count> <item_version>0</item_version> <item>107</item> </second> </item> <item> <first>504</first> <second> <count>2</count> <item_version>0</item_version> <item>86</item> <item>99</item> </second> </item> <item> <first>511</first> <second> <count>2</count> <item_version>0</item_version> <item>65</item> <item>95</item> </second> </item> <item> <first>519</first> <second> <count>2</count> <item_version>0</item_version> <item>79</item> <item>97</item> </second> </item> <item> <first>527</first> <second> <count>2</count> <item_version>0</item_version> <item>93</item> <item>98</item> </second> </item> <item> <first>536</first> <second> <count>2</count> <item_version>0</item_version> <item>51</item> <item>94</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="50" tracking_level="0" version="0"> <count>62</count> <item_version>0</item_version> <item class_id="51" tracking_level="0" version="0"> <first>coeff_1_cast_i_fu_437</first> <second> <count>1</count> <item_version>0</item_version> <item>47</item> </second> </item> <item> <first>coeff_2_cast_i_fu_401</first> <second> <count>1</count> <item_version>0</item_version> <item>54</item> </second> </item> <item> <first>coeff_3_cast_i_fu_443</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> <item> <first>coeff_4_cast_i_fu_413</first> <second> <count>1</count> <item_version>0</item_version> <item>68</item> </second> </item> <item> <first>coeff_5_cast_i_fu_449</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>coeff_6_cast_i_fu_425</first> <second> <count>1</count> <item_version>0</item_version> <item>82</item> </second> </item> <item> <first>coeff_7_cast_i_fu_431</first> <second> <count>1</count> <item_version>0</item_version> <item>89</item> </second> </item> <item> <first>coeff_cast_i_fu_389</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>col_inbuf_0_addr_gep_fu_94</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>col_inbuf_1_addr_gep_fu_118</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>col_inbuf_2_addr_gep_fu_142</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>col_inbuf_3_addr_gep_fu_166</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>col_inbuf_4_addr_gep_fu_190</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>col_inbuf_5_addr_gep_fu_214</first> <second> <count>1</count> <item_version>0</item_version> <item>76</item> </second> </item> <item> <first>col_inbuf_6_addr_gep_fu_238</first> <second> <count>1</count> <item_version>0</item_version> <item>83</item> </second> </item> <item> <first>col_inbuf_7_addr_gep_fu_262</first> <second> <count>1</count> <item_version>0</item_version> <item>90</item> </second> </item> <item> <first>col_outbuf_i_addr_gep_fu_274</first> <second> <count>1</count> <item_version>0</item_version> <item>108</item> </second> </item> <item> <first>dct_coeff_table_0_addr_gep_fu_82</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>dct_coeff_table_1_addr_gep_fu_106</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>dct_coeff_table_2_addr_gep_fu_130</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>dct_coeff_table_3_addr_gep_fu_154</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>dct_coeff_table_4_addr_gep_fu_178</first> <second> <count>1</count> <item_version>0</item_version> <item>66</item> </second> </item> <item> <first>dct_coeff_table_5_addr_gep_fu_202</first> <second> <count>1</count> <item_version>0</item_version> <item>73</item> </second> </item> <item> <first>dct_coeff_table_6_addr_gep_fu_226</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>dct_coeff_table_7_addr_gep_fu_250</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>exitcond1_i4_fu_331</first> <second> <count>1</count> <item_version>0</item_version> <item>29</item> </second> </item> <item> <first>exitcond_flatten_fu_319</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>grp_fu_504</first> <second> <count>2</count> <item_version>0</item_version> <item>86</item> <item>99</item> </second> </item> <item> <first>grp_fu_511</first> <second> <count>2</count> <item_version>0</item_version> <item>65</item> <item>95</item> </second> </item> <item> <first>grp_fu_519</first> <second> <count>2</count> <item_version>0</item_version> <item>79</item> <item>97</item> </second> </item> <item> <first>grp_fu_527</first> <second> <count>2</count> <item_version>0</item_version> <item>93</item> <item>98</item> </second> </item> <item> <first>grp_fu_536</first> <second> <count>2</count> <item_version>0</item_version> <item>51</item> <item>94</item> </second> </item> <item> <first>i2_fu_345</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>i_2_i_mid2_fu_351</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>i_2_i_phi_fu_301</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>indvar_flatten_next_fu_325</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>indvar_flatten_phi_fu_290</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>k_fu_383</first> <second> <count>1</count> <item_version>0</item_version> <item>111</item> </second> </item> <item> <first>k_i_mid2_fu_337</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>k_i_phi_fu_312</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>p_addr1_fu_493</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> <item> <first>p_addr_cast_fu_489</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>tmp3_fu_459</first> <second> <count>1</count> <item_version>0</item_version> <item>100</item> </second> </item> <item> <first>tmp_2_i_fu_463</first> <second> <count>1</count> <item_version>0</item_version> <item>101</item> </second> </item> <item> <first>tmp_4_i_fu_469</first> <second> <count>1</count> <item_version>0</item_version> <item>102</item> </second> </item> <item> <first>tmp_71_cast_i_fu_359</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>tmp_72_1_cast_i_fu_440</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> <item> <first>tmp_72_2_cast_i_fu_404</first> <second> <count>1</count> <item_version>0</item_version> <item>57</item> </second> </item> <item> <first>tmp_72_3_cast_i_fu_446</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>tmp_72_4_cast_i_fu_416</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>tmp_72_5_cast_i_fu_452</first> <second> <count>1</count> <item_version>0</item_version> <item>78</item> </second> </item> <item> <first>tmp_72_6_cast_i_fu_428</first> <second> <count>1</count> <item_version>0</item_version> <item>85</item> </second> </item> <item> <first>tmp_72_7_cast_i_fu_434</first> <second> <count>1</count> <item_version>0</item_version> <item>92</item> </second> </item> <item> <first>tmp_72_cast_i_fu_392</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>tmp_8_2_i_fu_407</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>tmp_8_4_i_fu_419</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>tmp_8_fu_482</first> <second> <count>1</count> <item_version>0</item_version> <item>104</item> </second> </item> <item> <first>tmp_8_i_fu_395</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> <item> <first>tmp_9_fu_499</first> <second> <count>1</count> <item_version>0</item_version> <item>107</item> </second> </item> <item> <first>tmp_fu_455</first> <second> <count>1</count> <item_version>0</item_version> <item>96</item> </second> </item> <item> <first>tmp_i_10_fu_371</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>tmp_i_trn_cast_fu_479</first> <second> <count>1</count> <item_version>0</item_version> <item>103</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="52" tracking_level="0" version="0"> <count>17</count> <item_version>0</item_version> <item class_id="53" tracking_level="0" version="0"> <first class_id="54" tracking_level="0" version="0"> <first>col_inbuf_0</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>42</item> <item>42</item> </second> </item> <item> <first> <first>col_inbuf_1</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>49</item> <item>49</item> </second> </item> <item> <first> <first>col_inbuf_2</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>56</item> <item>56</item> </second> </item> <item> <first> <first>col_inbuf_3</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>63</item> <item>63</item> </second> </item> <item> <first> <first>col_inbuf_4</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>70</item> <item>70</item> </second> </item> <item> <first> <first>col_inbuf_5</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>77</item> <item>77</item> </second> </item> <item> <first> <first>col_inbuf_6</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>84</item> <item>84</item> </second> </item> <item> <first> <first>col_inbuf_7</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>91</item> <item>91</item> </second> </item> <item> <first> <first>col_outbuf_i</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>109</item> </second> </item> <item> <first> <first>dct_coeff_table_0</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>39</item> <item>39</item> </second> </item> <item> <first> <first>dct_coeff_table_1</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>46</item> <item>46</item> </second> </item> <item> <first> <first>dct_coeff_table_2</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>53</item> <item>53</item> </second> </item> <item> <first> <first>dct_coeff_table_3</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>60</item> <item>60</item> </second> </item> <item> <first> <first>dct_coeff_table_4</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>67</item> <item>67</item> </second> </item> <item> <first> <first>dct_coeff_table_5</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>74</item> <item>74</item> </second> </item> <item> <first> <first>dct_coeff_table_6</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>81</item> <item>81</item> </second> </item> <item> <first> <first>dct_coeff_table_7</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>88</item> <item>88</item> </second> </item> </dp_mem_port_nodes> <dp_reg_nodes> <count>45</count> <item_version>0</item_version> <item> <first>286</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>297</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>308</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>544</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>548</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>553</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>558</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>564</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>569</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>574</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>579</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>584</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>589</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>594</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>599</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>604</first> <second> <count>1</count> <item_version>0</item_version> <item>66</item> </second> </item> <item> <first>609</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>614</first> <second> <count>1</count> <item_version>0</item_version> <item>73</item> </second> </item> <item> <first>619</first> <second> <count>1</count> <item_version>0</item_version> <item>76</item> </second> </item> <item> <first>624</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>629</first> <second> <count>1</count> <item_version>0</item_version> <item>83</item> </second> </item> <item> <first>634</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>639</first> <second> <count>1</count> <item_version>0</item_version> <item>90</item> </second> </item> <item> <first>644</first> <second> <count>1</count> <item_version>0</item_version> <item>111</item> </second> </item> <item> <first>649</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>654</first> <second> <count>1</count> <item_version>0</item_version> <item>42</item> </second> </item> <item> <first>659</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> <item> <first>664</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> <item> <first>669</first> <second> <count>1</count> <item_version>0</item_version> <item>53</item> </second> </item> <item> <first>674</first> <second> <count>1</count> <item_version>0</item_version> <item>56</item> </second> </item> <item> <first>679</first> <second> <count>1</count> <item_version>0</item_version> <item>60</item> </second> </item> <item> <first>684</first> <second> <count>1</count> <item_version>0</item_version> <item>63</item> </second> </item> <item> <first>689</first> <second> <count>1</count> <item_version>0</item_version> <item>67</item> </second> </item> <item> <first>694</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> <item> <first>699</first> <second> <count>1</count> <item_version>0</item_version> <item>74</item> </second> </item> <item> <first>704</first> <second> <count>1</count> <item_version>0</item_version> <item>77</item> </second> </item> <item> <first>709</first> <second> <count>1</count> <item_version>0</item_version> <item>81</item> </second> </item> <item> <first>714</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>719</first> <second> <count>1</count> <item_version>0</item_version> <item>88</item> </second> </item> <item> <first>724</first> <second> <count>1</count> <item_version>0</item_version> <item>91</item> </second> </item> <item> <first>729</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> <item> <first>734</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>739</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>744</first> <second> <count>1</count> <item_version>0</item_version> <item>99</item> </second> </item> <item> <first>749</first> <second> <count>1</count> <item_version>0</item_version> <item>102</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>45</count> <item_version>0</item_version> <item> <first>col_inbuf_0_addr_reg_569</first> <second> <count>1</count> <item_version>0</item_version> <item>41</item> </second> </item> <item> <first>col_inbuf_0_load_reg_654</first> <second> <count>1</count> <item_version>0</item_version> <item>42</item> </second> </item> <item> <first>col_inbuf_1_addr_reg_579</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>col_inbuf_1_load_reg_664</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> <item> <first>col_inbuf_2_addr_reg_589</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> <item> <first>col_inbuf_2_load_reg_674</first> <second> <count>1</count> <item_version>0</item_version> <item>56</item> </second> </item> <item> <first>col_inbuf_3_addr_reg_599</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>col_inbuf_3_load_reg_684</first> <second> <count>1</count> <item_version>0</item_version> <item>63</item> </second> </item> <item> <first>col_inbuf_4_addr_reg_609</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>col_inbuf_4_load_reg_694</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> <item> <first>col_inbuf_5_addr_reg_619</first> <second> <count>1</count> <item_version>0</item_version> <item>76</item> </second> </item> <item> <first>col_inbuf_5_load_reg_704</first> <second> <count>1</count> <item_version>0</item_version> <item>77</item> </second> </item> <item> <first>col_inbuf_6_addr_reg_629</first> <second> <count>1</count> <item_version>0</item_version> <item>83</item> </second> </item> <item> <first>col_inbuf_6_load_reg_714</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>col_inbuf_7_addr_reg_639</first> <second> <count>1</count> <item_version>0</item_version> <item>90</item> </second> </item> <item> <first>col_inbuf_7_load_reg_724</first> <second> <count>1</count> <item_version>0</item_version> <item>91</item> </second> </item> <item> <first>dct_coeff_table_0_addr_reg_564</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>dct_coeff_table_0_load_reg_649</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>dct_coeff_table_1_addr_reg_574</first> <second> <count>1</count> <item_version>0</item_version> <item>45</item> </second> </item> <item> <first>dct_coeff_table_1_load_reg_659</first> <second> <count>1</count> <item_version>0</item_version> <item>46</item> </second> </item> <item> <first>dct_coeff_table_2_addr_reg_584</first> <second> <count>1</count> <item_version>0</item_version> <item>52</item> </second> </item> <item> <first>dct_coeff_table_2_load_reg_669</first> <second> <count>1</count> <item_version>0</item_version> <item>53</item> </second> </item> <item> <first>dct_coeff_table_3_addr_reg_594</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>dct_coeff_table_3_load_reg_679</first> <second> <count>1</count> <item_version>0</item_version> <item>60</item> </second> </item> <item> <first>dct_coeff_table_4_addr_reg_604</first> <second> <count>1</count> <item_version>0</item_version> <item>66</item> </second> </item> <item> <first>dct_coeff_table_4_load_reg_689</first> <second> <count>1</count> <item_version>0</item_version> <item>67</item> </second> </item> <item> <first>dct_coeff_table_5_addr_reg_614</first> <second> <count>1</count> <item_version>0</item_version> <item>73</item> </second> </item> <item> <first>dct_coeff_table_5_load_reg_699</first> <second> <count>1</count> <item_version>0</item_version> <item>74</item> </second> </item> <item> <first>dct_coeff_table_6_addr_reg_624</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>dct_coeff_table_6_load_reg_709</first> <second> <count>1</count> <item_version>0</item_version> <item>81</item> </second> </item> <item> <first>dct_coeff_table_7_addr_reg_634</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>dct_coeff_table_7_load_reg_719</first> <second> <count>1</count> <item_version>0</item_version> <item>88</item> </second> </item> <item> <first>exitcond_flatten_reg_544</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>i_2_i_mid2_reg_558</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> <item> <first>i_2_i_reg_297</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>indvar_flatten_next_reg_548</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>indvar_flatten_reg_286</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>k_i_mid2_reg_553</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>k_i_reg_308</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>k_reg_644</first> <second> <count>1</count> <item_version>0</item_version> <item>111</item> </second> </item> <item> <first>tmp5_reg_744</first> <second> <count>1</count> <item_version>0</item_version> <item>99</item> </second> </item> <item> <first>tmp_4_i_reg_749</first> <second> <count>1</count> <item_version>0</item_version> <item>102</item> </second> </item> <item> <first>tmp_8_2_i_reg_734</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>tmp_8_4_i_reg_739</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>tmp_8_i_reg_729</first> <second> <count>1</count> <item_version>0</item_version> <item>44</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>3</count> <item_version>0</item_version> <item> <first>286</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>297</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>308</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> </dp_reg_phi> <dp_regname_phi> <count>3</count> <item_version>0</item_version> <item> <first>i_2_i_reg_297</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>indvar_flatten_reg_286</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>k_i_reg_308</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> </dp_regname_phi> <dp_port_io_nodes class_id="55" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="56" tracking_level="0" version="0"> <first>col_inbuf_0(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>42</item> <item>42</item> </second> </item> </second> </item> <item> <first>col_inbuf_1(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>49</item> <item>49</item> </second> </item> </second> </item> <item> <first>col_inbuf_2(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>56</item> <item>56</item> </second> </item> </second> </item> <item> <first>col_inbuf_3(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>63</item> <item>63</item> </second> </item> </second> </item> <item> <first>col_inbuf_4(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>70</item> <item>70</item> </second> </item> </second> </item> <item> <first>col_inbuf_5(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>77</item> <item>77</item> </second> </item> </second> </item> <item> <first>col_inbuf_6(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>84</item> <item>84</item> </second> </item> </second> </item> <item> <first>col_inbuf_7(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>91</item> <item>91</item> </second> </item> </second> </item> <item> <first>col_outbuf_i(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>109</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="57" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="58" tracking_level="0" version="0"> <first>1</first> <second>RAM</second> </item> <item> <first>2</first> <second>RAM</second> </item> <item> <first>3</first> <second>RAM</second> </item> <item> <first>4</first> <second>RAM</second> </item> <item> <first>5</first> <second>RAM</second> </item> <item> <first>6</first> <second>RAM</second> </item> <item> <first>7</first> <second>RAM</second> </item> <item> <first>8</first> <second>RAM</second> </item> <item> <first>9</first> <second>RAM</second> </item> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
24.526071
98
0.560883
dc39f932544478dc4385e96dfdd0aba931c40366
17,339
adb
Ada
source/xml/sax/xml-sax-writers.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/xml/sax/xml-sax-writers.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/xml/sax/xml-sax-writers.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- XML Processor -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ with League.Text_Codecs; with League.Characters; package body XML.SAX.Writers is use League.Strings; ---------------- -- Characters -- ---------------- procedure Characters (Self : in out SAX_Writer'Class; Text : League.Strings.Universal_String) is Success : Boolean := True; begin Self.Characters (Text, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Characters; ---------------- -- Characters -- ---------------- procedure Characters (Self : in out SAX_Writer'Class; Text : Wide_Wide_String) is begin Self.Characters (League.Strings.To_Universal_String (Text)); end Characters; ---------------- -- Characters -- ---------------- procedure Characters (Self : in out SAX_Writer'Class; Text : Wide_Wide_String; Success : in out Boolean) is begin Self.Characters (League.Strings.To_Universal_String (Text), Success); end Characters; ---------------- -- Characters -- ---------------- procedure Characters (Self : in out SAX_Writer'Class; Text : Wide_Wide_Character) is begin Self.Characters (League.Strings.Empty_Universal_String & League.Characters.To_Universal_Character (Text)); end Characters; ---------------- -- Characters -- ---------------- procedure Characters (Self : in out SAX_Writer'Class; Text : Wide_Wide_Character; Success : in out Boolean) is begin Self.Characters (League.Strings.Empty_Universal_String & League.Characters.To_Universal_Character (Text), Success); end Characters; ------------- -- Comment -- ------------- procedure Comment (Self : in out SAX_Writer'Class; Text : League.Strings.Universal_String) is Success : Boolean := True; begin Self.Comment (Text, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Comment; --------------- -- End_CDATA -- --------------- procedure End_CDATA (Self : in out SAX_Writer'Class) is Success : Boolean := True; begin Self.End_CDATA (Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end End_CDATA; ------------------ -- End_Document -- ------------------ procedure End_Document (Self : in out SAX_Writer'Class) is Success : Boolean := True; begin Self.End_Document (Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end End_Document; ------------- -- End_DTD -- ------------- procedure End_DTD (Self : in out SAX_Writer'Class) is Success : Boolean := True; begin Self.End_DTD (Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end End_DTD; ----------------- -- End_Element -- ----------------- procedure End_Element (Self : in out SAX_Writer'Class; Namespace_URI : League.Strings.Universal_String; Local_Name : League.Strings.Universal_String; Qualified_Name : League.Strings.Universal_String) is Success : Boolean := True; begin Self.End_Element (Namespace_URI, Local_Name, Qualified_Name, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end End_Element; ----------------- -- End_Element -- ----------------- procedure End_Element (Self : in out SAX_Writer'Class; Namespace_URI : League.Strings.Universal_String; Local_Name : League.Strings.Universal_String) is begin Self.End_Element (Namespace_URI => Namespace_URI, Local_Name => Local_Name, Qualified_Name => League.Strings.Empty_Universal_String); end End_Element; ----------------- -- End_Element -- ----------------- procedure End_Element (Self : in out SAX_Writer'Class; Qualified_Name : League.Strings.Universal_String) is begin Self.End_Element (Namespace_URI => League.Strings.Empty_Universal_String, Local_Name => League.Strings.Empty_Universal_String, Qualified_Name => Qualified_Name); end End_Element; ----------------- -- End_Element -- ----------------- procedure End_Element (Self : in out SAX_Writer'Class; Namespace_URI : League.Strings.Universal_String; Local_Name : League.Strings.Universal_String; Success : in out Boolean) is begin Self.End_Element (Namespace_URI => Namespace_URI, Local_Name => Local_Name, Qualified_Name => League.Strings.Empty_Universal_String, Success => Success); end End_Element; ----------------- -- End_Element -- ----------------- procedure End_Element (Self : in out SAX_Writer'Class; Qualified_Name : League.Strings.Universal_String; Success : in out Boolean) is begin Self.End_Element (Namespace_URI => League.Strings.Empty_Universal_String, Local_Name => League.Strings.Empty_Universal_String, Qualified_Name => Qualified_Name, Success => Success); end End_Element; ---------------- -- End_Entity -- ---------------- procedure End_Entity (Self : in out SAX_Writer'Class; Name : League.Strings.Universal_String) is Success : Boolean := True; begin Self.End_Entity (Name, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end End_Entity; ------------------------ -- End_Prefix_Mapping -- ------------------------ procedure End_Prefix_Mapping (Self : in out SAX_Writer'Class; Prefix : League.Strings.Universal_String := League.Strings.Empty_Universal_String) is Success : Boolean := True; begin Self.End_Entity (Prefix, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end End_Prefix_Mapping; -------------------------- -- Ignorable_Whitespace -- -------------------------- procedure Ignorable_Whitespace (Self : in out SAX_Writer'Class; Text : League.Strings.Universal_String) is Success : Boolean := True; begin Self.Ignorable_Whitespace (Text, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Ignorable_Whitespace; ---------------------------- -- Processing_Instruction -- ---------------------------- procedure Processing_Instruction (Self : in out SAX_Writer'Class; Target : League.Strings.Universal_String; Data : League.Strings.Universal_String := League.Strings.Empty_Universal_String) is Success : Boolean := True; begin Self.Processing_Instruction (Target, Data, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Processing_Instruction; -------------------- -- Skipped_Entity -- -------------------- procedure Skipped_Entity (Self : in out SAX_Writer'Class; Name : League.Strings.Universal_String) is Success : Boolean := True; begin Self.Skipped_Entity (Name, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Skipped_Entity; ----------------- -- Start_CDATA -- ----------------- procedure Start_CDATA (Self : in out SAX_Writer'Class) is Success : Boolean := True; begin Self.Start_CDATA (Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Start_CDATA; -------------------- -- Start_Document -- -------------------- procedure Start_Document (Self : in out SAX_Writer'Class) is Success : Boolean := True; begin Self.Start_Document (Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Start_Document; --------------- -- Start_DTD -- --------------- procedure Start_DTD (Self : in out SAX_Writer'Class; Name : League.Strings.Universal_String; Public_Id : League.Strings.Universal_String := League.Strings.Empty_Universal_String; System_Id : League.Strings.Universal_String := League.Strings.Empty_Universal_String) is Success : Boolean := True; begin Self.Start_DTD (Name, Public_Id, System_Id, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Start_DTD; ------------------- -- Start_Element -- ------------------- procedure Start_Element (Self : in out SAX_Writer'Class; Namespace_URI : League.Strings.Universal_String; Local_Name : League.Strings.Universal_String; Qualified_Name : League.Strings.Universal_String; Attributes : XML.SAX.Attributes.SAX_Attributes := XML.SAX.Attributes.Empty_SAX_Attributes) is Success : Boolean := True; begin Self.Start_Element (Namespace_URI, Local_Name, Qualified_Name, Attributes, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Start_Element; ------------------- -- Start_Element -- ------------------- procedure Start_Element (Self : in out SAX_Writer'Class; Namespace_URI : League.Strings.Universal_String; Local_Name : League.Strings.Universal_String; Attributes : XML.SAX.Attributes.SAX_Attributes := XML.SAX.Attributes.Empty_SAX_Attributes) is begin Self.Start_Element (Namespace_URI => Namespace_URI, Local_Name => Local_Name, Qualified_Name => League.Strings.Empty_Universal_String, Attributes => Attributes); end Start_Element; ------------------- -- Start_Element -- ------------------- procedure Start_Element (Self : in out SAX_Writer'Class; Qualified_Name : League.Strings.Universal_String; Attributes : XML.SAX.Attributes.SAX_Attributes := XML.SAX.Attributes.Empty_SAX_Attributes) is begin Self.Start_Element (Namespace_URI => League.Strings.Empty_Universal_String, Local_Name => League.Strings.Empty_Universal_String, Qualified_Name => Qualified_Name, Attributes => Attributes); end Start_Element; ------------------- -- Start_Element -- ------------------- procedure Start_Element (Self : in out SAX_Writer'Class; Namespace_URI : League.Strings.Universal_String; Local_Name : League.Strings.Universal_String; Attributes : XML.SAX.Attributes.SAX_Attributes := XML.SAX.Attributes.Empty_SAX_Attributes; Success : in out Boolean) is begin Self.Start_Element (Namespace_URI => Namespace_URI, Local_Name => Local_Name, Qualified_Name => League.Strings.Empty_Universal_String, Attributes => Attributes, Success => Success); end Start_Element; ------------------- -- Start_Element -- ------------------- procedure Start_Element (Self : in out SAX_Writer'Class; Qualified_Name : League.Strings.Universal_String; Attributes : XML.SAX.Attributes.SAX_Attributes := XML.SAX.Attributes.Empty_SAX_Attributes; Success : in out Boolean) is begin Self.Start_Element (Namespace_URI => League.Strings.Empty_Universal_String, Local_Name => League.Strings.Empty_Universal_String, Qualified_Name => Qualified_Name, Attributes => Attributes, Success => Success); end Start_Element; ------------------ -- Start_Entity -- ------------------ procedure Start_Entity (Self : in out SAX_Writer'Class; Name : League.Strings.Universal_String) is Success : Boolean := True; begin Self.Start_Entity (Name, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Start_Entity; -------------------------- -- Start_Prefix_Mapping -- -------------------------- procedure Start_Prefix_Mapping (Self : in out SAX_Writer'Class; Prefix : League.Strings.Universal_String := League.Strings.Empty_Universal_String; Namespace_URI : League.Strings.Universal_String) is Success : Boolean := True; begin Self.Start_Prefix_Mapping (Prefix, Namespace_URI, Success); if not Success then raise Constraint_Error with League.Text_Codecs.To_Exception_Message (Self.Error_String); end if; end Start_Prefix_Mapping; end XML.SAX.Writers;
30.852313
78
0.557126
4a2c08d1661b2c4950e9af231a1b3ee34994d8a9
5,758
adb
Ada
awa/regtests/awa_test_app.adb
My-Colaborations/ada-awa
cc2dee291a14e4df0dbc9c10285bf284a7f1caa8
[ "Apache-2.0" ]
81
2015-01-18T23:02:30.000Z
2022-03-19T17:34:57.000Z
awa/regtests/awa_test_app.adb
My-Colaborations/ada-awa
cc2dee291a14e4df0dbc9c10285bf284a7f1caa8
[ "Apache-2.0" ]
20
2015-12-09T19:26:19.000Z
2022-03-23T14:32:43.000Z
awa/regtests/awa_test_app.adb
My-Colaborations/ada-awa
cc2dee291a14e4df0dbc9c10285bf284a7f1caa8
[ "Apache-2.0" ]
16
2015-06-29T02:44:06.000Z
2021-09-23T18:47:50.000Z
----------------------------------------------------------------------- -- awa_test_app - -- Copyright (C) 2020 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Log.Loggers; package body AWA_Test_App is use AWA.Applications; Log : constant Util.Log.Loggers.Logger := Util.Log.Loggers.Create ("Adafr"); -- ------------------------------ -- Initialize the servlets provided by the application. -- This procedure is called by <b>Initialize</b>. -- It should register the application servlets. -- ------------------------------ overriding procedure Initialize_Servlets (App : in out Application) is begin Log.Info ("Initializing application servlets..."); App.Self := App'Unchecked_Access; App.Set_Global ("contextPath", CONTEXT_PATH); AWA.Applications.Application (App).Initialize_Servlets; App.Add_Servlet (Name => "faces", Server => App.Self.Faces'Access); App.Add_Servlet (Name => "files", Server => App.Self.Files'Access); App.Add_Servlet (Name => "ajax", Server => App.Self.Ajax'Access); App.Add_Servlet (Name => "measures", Server => App.Self.Measures'Access); App.Add_Servlet (Name => "auth", Server => App.Self.Auth'Access); App.Add_Servlet (Name => "verify-auth", Server => App.Self.Verify_Auth'Access); end Initialize_Servlets; -- ------------------------------ -- Initialize the filters provided by the application. -- This procedure is called by <b>Initialize</b>. -- It should register the application filters. -- ------------------------------ overriding procedure Initialize_Filters (App : in out Application) is begin Log.Info ("Initializing application filters..."); AWA.Applications.Application (App).Initialize_Filters; App.Add_Filter (Name => "dump", Filter => App.Self.Dump'Access); App.Add_Filter (Name => "measures", Filter => App.Self.Measures'Access); App.Add_Filter (Name => "service", Filter => App.Self.Service_Filter'Access); App.Add_Filter (Name => "no-cache", Filter => App.Self.No_Cache'Access); end Initialize_Filters; -- ------------------------------ -- Initialize the AWA modules provided by the application. -- This procedure is called by <b>Initialize</b>. -- It should register the modules used by the application. -- ------------------------------ overriding procedure Initialize_Modules (App : in out Application) is begin Log.Info ("Initializing application modules..."); App.Add_Converter (Name => "smartDateConverter", Converter => App.Self.Rel_Date_Converter'Access); App.Add_Converter (Name => "sizeConverter", Converter => App.Self.Size_Converter'Access); Register (App => App.Self.all'Access, Name => AWA.Users.Modules.NAME, URI => "user", Module => App.User_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Workspaces.Modules.NAME, URI => "workspaces", Module => App.Workspace_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Mail.Modules.NAME, URI => "mail", Module => App.Mail_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Comments.Modules.NAME, URI => "comments", Module => App.Comment_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Tags.Modules.NAME, URI => "tags", Module => App.Tag_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Jobs.Modules.NAME, URI => "jobs", Module => App.Job_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Storages.Modules.NAME, URI => "storages", Module => App.Storage_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Images.Modules.NAME, URI => "images", Module => App.Image_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Counters.Modules.NAME, URI => "counters", Module => App.Counter_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Blogs.Modules.NAME, URI => "blogs", Module => App.Blog_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Wikis.Modules.NAME, URI => "wikis", Module => App.Wiki_Module'Access); Register (App => App.Self.all'Access, Name => AWA.Wikis.Previews.NAME, URI => "wikis-preview", Module => App.Preview_Module'Access); end Initialize_Modules; end AWA_Test_App;
42.970149
85
0.559048
d0289c3d67257753b9c1ae57bab093f1971d782f
102
ads
Ada
src/orig/dds-request_reply-connext_c_entity_params.ads
alexcamposruiz/dds-requestreply
9f29d34554b5d3e9291151c6e92d2ce6cc31bb71
[ "MIT" ]
null
null
null
src/orig/dds-request_reply-connext_c_entity_params.ads
alexcamposruiz/dds-requestreply
9f29d34554b5d3e9291151c6e92d2ce6cc31bb71
[ "MIT" ]
null
null
null
src/orig/dds-request_reply-connext_c_entity_params.ads
alexcamposruiz/dds-requestreply
9f29d34554b5d3e9291151c6e92d2ce6cc31bb71
[ "MIT" ]
2
2020-04-06T19:34:15.000Z
2020-04-06T19:50:03.000Z
with DDS.Entity_Params; package DDS.Request_Reply.Connext_C_Entity_Params renames DDS.Entity_Params;
34
77
0.872549
d0c1d55394299a98b5aaa7f39b0563d28570fc93
151,363
adb
Ada
HLS/solution1/.autopilot/db/AXIvideo2Mat.sched.adb
NekoSilverFox/CornerDetectionOnZYNQ
5688fa851f57d00f0f2d309adf06df840a25e1da
[ "Apache-2.0" ]
null
null
null
HLS/solution1/.autopilot/db/AXIvideo2Mat.sched.adb
NekoSilverFox/CornerDetectionOnZYNQ
5688fa851f57d00f0f2d309adf06df840a25e1da
[ "Apache-2.0" ]
null
null
null
HLS/solution1/.autopilot/db/AXIvideo2Mat.sched.adb
NekoSilverFox/CornerDetectionOnZYNQ
5688fa851f57d00f0f2d309adf06df840a25e1da
[ "Apache-2.0" ]
null
null
null
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>AXIvideo2Mat</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>10</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>AXI_video_strm_V_data_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>AXI_video_strm.V.data.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>24</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>AXI_video_strm_V_keep_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>AXI_video_strm.V.keep.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>AXI_video_strm_V_strb_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>AXI_video_strm.V.strb.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>AXI_video_strm_V_user_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>AXI_video_strm.V.user.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>AXI_video_strm_V_last_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>AXI_video_strm.V.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>6</id> <name>AXI_video_strm_V_id_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>AXI_video_strm.V.id.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_7"> <Value> <Obj> <type>1</type> <id>7</id> <name>AXI_video_strm_V_dest_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>AXI_video_strm.V.dest.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_8"> <Value> <Obj> <type>1</type> <id>8</id> <name>img_data_stream_0_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>img.data_stream[0].V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_9"> <Value> <Obj> <type>1</type> <id>9</id> <name>img_data_stream_1_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>img.data_stream[1].V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_10"> <Value> <Obj> <type>1</type> <id>10</id> <name>img_data_stream_2_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>img.data_stream[2].V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>51</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_11"> <Value> <Obj> <type>0</type> <id>15</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>63</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>63</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>102</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>21</id> <name>empty</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>68</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>68</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>34</bitwidth> </Value> <oprand_edges> <count>8</count> <item_version>0</item_version> <item>104</item> <item>105</item> <item>106</item> <item>107</item> <item>108</item> <item>109</item> <item>110</item> <item>111</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>22</id> <name>tmp_data_V</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>68</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>68</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.data.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>24</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>112</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>23</id> <name>tmp_user_V</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>68</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>68</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.user.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>113</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>24</id> <name>tmp_last_V</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>68</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>68</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>114</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>5</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>26</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>65</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>65</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>115</item> <item>116</item> <item>117</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>6</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>28</id> <name>sof_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>119</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>7</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>29</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>121</item> <item>122</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.46</m_delay> <m_topoIndex>8</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>30</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>71</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>71</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>123</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.46</m_delay> <m_topoIndex>9</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>32</id> <name>axi_last_V1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>tmp.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>124</item> <item>125</item> <item>126</item> <item>127</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>10</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>33</id> <name>axi_data_V1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>tmp.data.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>24</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>128</item> <item>129</item> <item>130</item> <item>131</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>11</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>34</id> <name>t_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>i.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>132</item> <item>133</item> <item>135</item> <item>136</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>12</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>35</id> <name>exitcond1</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>71</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>71</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>137</item> <item>139</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.94</m_delay> <m_topoIndex>13</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>37</id> <name>i_V</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>71</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>71</second> </item> </second> </item> </inlineStackInfo> <originalName>i.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>140</item> <item>142</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.41</m_delay> <m_topoIndex>14</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>38</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>71</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>71</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>143</item> <item>144</item> <item>145</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>15</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>42</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>73</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>73</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>146</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.46</m_delay> <m_topoIndex>16</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>44</id> <name>eol_1</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>100</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>100</second> </item> </second> </item> </inlineStackInfo> <originalName>eol</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>147</item> <item>148</item> <item>149</item> <item>150</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>18</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>45</id> <name>axi_data_V_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>__Val2__</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>24</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>151</item> <item>152</item> <item>153</item> <item>154</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>19</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>46</id> <name>t_V_5</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>j.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>156</item> <item>157</item> <item>158</item> <item>159</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>20</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>47</id> <name>eol</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>81</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>81</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>161</item> <item>162</item> <item>163</item> <item>164</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>21</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>48</id> <name>exitcond</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>73</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>73</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>165</item> <item>167</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.88</m_delay> <m_topoIndex>22</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>50</id> <name>j_V</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>73</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>73</second> </item> </second> </item> </inlineStackInfo> <originalName>j.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>168</item> <item>170</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.48</m_delay> <m_topoIndex>23</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>51</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>73</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>73</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>171</item> <item>172</item> <item>173</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>24</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>53</id> <name>sof_1_load</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>76</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>76</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>175</item> <item>458</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>25</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>57</id> <name>brmerge</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>76</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>76</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>176</item> <item>177</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>26</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>58</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>76</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>76</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>178</item> <item>179</item> <item>180</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.46</m_delay> <m_topoIndex>27</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>60</id> <name>empty_70</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>81</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>81</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>34</bitwidth> </Value> <oprand_edges> <count>9</count> <item_version>0</item_version> <item>181</item> <item>182</item> <item>183</item> <item>184</item> <item>185</item> <item>186</item> <item>187</item> <item>188</item> <item>456</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>28</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>61</id> <name>tmp_data_V_1</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>81</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>81</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.data.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>24</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>189</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>29</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>62</id> <name>tmp_last_V_1</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>81</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>81</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>190</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>30</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>63</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>191</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.46</m_delay> <m_topoIndex>31</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>65</id> <name>axi_last_V_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>axi.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>192</item> <item>193</item> <item>194</item> <item>195</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>32</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>66</id> <name>p_Val2_s</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>axi.data.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>24</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>196</item> <item>197</item> <item>198</item> <item>199</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>33</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>67</id> <name>tmp_68</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_axi_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>AXIGetBitFields&amp;lt;24, unsigned char&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_axi_io.h</first> <second>AXIGetBitFields&amp;lt;24, unsigned char&amp;gt;</second> </first> <second>49</second> </item> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>92</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>200</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>34</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>68</id> <name>tmp_46</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_axi_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>AXIGetBitFields&amp;lt;24, unsigned char&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_axi_io.h</first> <second>AXIGetBitFields&amp;lt;24, unsigned char&amp;gt;</second> </first> <second>49</second> </item> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>92</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>202</item> <item>203</item> <item>205</item> <item>207</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>35</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>69</id> <name>tmp_47</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_axi_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>49</lineNumber> <contextFuncName>AXIGetBitFields&amp;lt;24, unsigned char&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_axi_io.h</first> <second>AXIGetBitFields&amp;lt;24, unsigned char&amp;gt;</second> </first> <second>49</second> </item> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>92</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>208</item> <item>209</item> <item>211</item> <item>213</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>36</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>72</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>703</lineNumber> <contextFuncName>write</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>operator&amp;lt;&amp;lt;</second> </first> <second>717</second> </item> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>write</second> </first> <second>703</second> </item> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>94</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>215</item> <item>216</item> <item>217</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.40</m_delay> <m_topoIndex>38</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>73</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>703</lineNumber> <contextFuncName>write</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>operator&amp;lt;&amp;lt;</second> </first> <second>717</second> </item> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>write</second> </first> <second>703</second> </item> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>94</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>218</item> <item>219</item> <item>220</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.40</m_delay> <m_topoIndex>39</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>74</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>703</lineNumber> <contextFuncName>write</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>operator&amp;lt;&amp;lt;</second> </first> <second>717</second> </item> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>write</second> </first> <second>703</second> </item> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>94</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>221</item> <item>222</item> <item>223</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.40</m_delay> <m_topoIndex>40</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>77</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>224</item> <item>225</item> <item>459</item> <item>460</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.46</m_delay> <m_topoIndex>37</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>78</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>73</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>73</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>226</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>41</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>80</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>96</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>96</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>174</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.46</m_delay> <m_topoIndex>42</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>82</id> <name>axi_last_V_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>axi.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>227</item> <item>228</item> <item>229</item> <item>230</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>43</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>83</id> <name>axi_data_V_3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>axi.data.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>24</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>231</item> <item>232</item> <item>233</item> <item>234</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>44</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>84</id> <name>eol_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>axi.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>235</item> <item>236</item> <item>237</item> <item>238</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>45</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>85</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>96</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>96</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>239</item> <item>240</item> <item>241</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>46</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>91</id> <name>empty_73</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>100</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>100</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>34</bitwidth> </Value> <oprand_edges> <count>9</count> <item_version>0</item_version> <item>242</item> <item>243</item> <item>244</item> <item>245</item> <item>246</item> <item>247</item> <item>248</item> <item>249</item> <item>457</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>47</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>92</id> <name>tmp_data_V_2</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>100</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>100</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.data.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>24</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>250</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>48</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>93</id> <name>tmp_last_V_2</name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>100</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>100</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.last.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>251</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>49</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>95</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>103</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>103</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>252</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>50</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>98</id> <name></name> <fileName>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</fileName> <fileDirectory>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</fileDirectory> <lineNumber>71</lineNumber> <contextFuncName>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\mi\Desktop\Programma\ZYNQ\XC7Z020_316_ES_ConerDetect\HLS</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>G:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_io.h</first> <second>AXIvideo2Mat&amp;lt;24, 768, 1024, 4096&amp;gt;</second> </first> <second>71</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>253</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>51</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>100</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>17</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>13</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_62"> <Value> <Obj> <type>2</type> <id>118</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_63"> <Value> <Obj> <type>2</type> <id>120</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_64"> <Value> <Obj> <type>2</type> <id>134</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_65"> <Value> <Obj> <type>2</type> <id>138</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <const_type>0</const_type> <content>768</content> </item> <item class_id_reference="16" object_id="_66"> <Value> <Obj> <type>2</type> <id>141</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_67"> <Value> <Obj> <type>2</type> <id>155</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_68"> <Value> <Obj> <type>2</type> <id>160</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_69"> <Value> <Obj> <type>2</type> <id>166</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1024</content> </item> <item class_id_reference="16" object_id="_70"> <Value> <Obj> <type>2</type> <id>169</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_71"> <Value> <Obj> <type>2</type> <id>204</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>8</content> </item> <item class_id_reference="16" object_id="_72"> <Value> <Obj> <type>2</type> <id>206</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>15</content> </item> <item class_id_reference="16" object_id="_73"> <Value> <Obj> <type>2</type> <id>210</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>16</content> </item> <item class_id_reference="16" object_id="_74"> <Value> <Obj> <type>2</type> <id>212</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>23</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_75"> <Obj> <type>3</type> <id>16</id> <name>._crit_edge</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>15</item> </node_objs> </item> <item class_id_reference="18" object_id="_76"> <Obj> <type>3</type> <id>27</id> <name>._crit_edge1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>26</item> </node_objs> </item> <item class_id_reference="18" object_id="_77"> <Obj> <type>3</type> <id>31</id> <name>.preheader232.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>28</item> <item>29</item> <item>30</item> </node_objs> </item> <item class_id_reference="18" object_id="_78"> <Obj> <type>3</type> <id>39</id> <name>.preheader232</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>6</count> <item_version>0</item_version> <item>32</item> <item>33</item> <item>34</item> <item>35</item> <item>37</item> <item>38</item> </node_objs> </item> <item class_id_reference="18" object_id="_79"> <Obj> <type>3</type> <id>43</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>42</item> </node_objs> </item> <item class_id_reference="18" object_id="_80"> <Obj> <type>3</type> <id>52</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>7</count> <item_version>0</item_version> <item>44</item> <item>45</item> <item>46</item> <item>47</item> <item>48</item> <item>50</item> <item>51</item> </node_objs> </item> <item class_id_reference="18" object_id="_81"> <Obj> <type>3</type> <id>59</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>53</item> <item>57</item> <item>58</item> </node_objs> </item> <item class_id_reference="18" object_id="_82"> <Obj> <type>3</type> <id>64</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>60</item> <item>61</item> <item>62</item> <item>63</item> </node_objs> </item> <item class_id_reference="18" object_id="_83"> <Obj> <type>3</type> <id>79</id> <name>._crit_edge2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>10</count> <item_version>0</item_version> <item>65</item> <item>66</item> <item>67</item> <item>68</item> <item>69</item> <item>72</item> <item>73</item> <item>74</item> <item>77</item> <item>78</item> </node_objs> </item> <item class_id_reference="18" object_id="_84"> <Obj> <type>3</type> <id>81</id> <name>.preheader.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>80</item> </node_objs> </item> <item class_id_reference="18" object_id="_85"> <Obj> <type>3</type> <id>86</id> <name>.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>82</item> <item>83</item> <item>84</item> <item>85</item> </node_objs> </item> <item class_id_reference="18" object_id="_86"> <Obj> <type>3</type> <id>96</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>91</item> <item>92</item> <item>93</item> <item>95</item> </node_objs> </item> <item class_id_reference="18" object_id="_87"> <Obj> <type>3</type> <id>99</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>98</item> </node_objs> </item> <item class_id_reference="18" object_id="_88"> <Obj> <type>3</type> <id>101</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>100</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>151</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_89"> <id>102</id> <edge_type>2</edge_type> <source_obj>27</source_obj> <sink_obj>15</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_90"> <id>105</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_91"> <id>106</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_92"> <id>107</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_93"> <id>108</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_94"> <id>109</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_95"> <id>110</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_96"> <id>111</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_97"> <id>112</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_98"> <id>113</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_99"> <id>114</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_100"> <id>115</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_101"> <id>116</id> <edge_type>2</edge_type> <source_obj>27</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_102"> <id>117</id> <edge_type>2</edge_type> <source_obj>31</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_103"> <id>119</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_104"> <id>121</id> <edge_type>1</edge_type> <source_obj>120</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_105"> <id>122</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_106"> <id>123</id> <edge_type>2</edge_type> <source_obj>39</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_107"> <id>124</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>32</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_108"> <id>125</id> <edge_type>2</edge_type> <source_obj>99</source_obj> <sink_obj>32</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_109"> <id>126</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_110"> <id>127</id> <edge_type>2</edge_type> <source_obj>31</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_111"> <id>128</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>33</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_112"> <id>129</id> <edge_type>2</edge_type> <source_obj>99</source_obj> <sink_obj>33</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_113"> <id>130</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_114"> <id>131</id> <edge_type>2</edge_type> <source_obj>31</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_115"> <id>132</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>34</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_116"> <id>133</id> <edge_type>2</edge_type> <source_obj>99</source_obj> <sink_obj>34</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_117"> <id>135</id> <edge_type>1</edge_type> <source_obj>134</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_118"> <id>136</id> <edge_type>2</edge_type> <source_obj>31</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_119"> <id>137</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_120"> <id>139</id> <edge_type>1</edge_type> <source_obj>138</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_121"> <id>140</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_122"> <id>142</id> <edge_type>1</edge_type> <source_obj>141</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_123"> <id>143</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_124"> <id>144</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_125"> <id>145</id> <edge_type>2</edge_type> <source_obj>101</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_126"> <id>146</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_127"> <id>147</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_128"> <id>148</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_129"> <id>149</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>44</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_130"> <id>150</id> <edge_type>2</edge_type> <source_obj>79</source_obj> <sink_obj>44</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_131"> <id>151</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_132"> <id>152</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_133"> <id>153</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>45</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_134"> <id>154</id> <edge_type>2</edge_type> <source_obj>79</source_obj> <sink_obj>45</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_135"> <id>156</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_136"> <id>157</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_137"> <id>158</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>46</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_138"> <id>159</id> <edge_type>2</edge_type> <source_obj>79</source_obj> <sink_obj>46</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_139"> <id>161</id> <edge_type>1</edge_type> <source_obj>160</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_140"> <id>162</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_141"> <id>163</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>47</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_142"> <id>164</id> <edge_type>2</edge_type> <source_obj>79</source_obj> <sink_obj>47</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_143"> <id>165</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_144"> <id>167</id> <edge_type>1</edge_type> <source_obj>166</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_145"> <id>168</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_146"> <id>170</id> <edge_type>1</edge_type> <source_obj>169</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_147"> <id>171</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_148"> <id>172</id> <edge_type>2</edge_type> <source_obj>59</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_149"> <id>173</id> <edge_type>2</edge_type> <source_obj>81</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_150"> <id>174</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_151"> <id>175</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_152"> <id>176</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_153"> <id>177</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_154"> <id>178</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_155"> <id>179</id> <edge_type>2</edge_type> <source_obj>64</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_156"> <id>180</id> <edge_type>2</edge_type> <source_obj>79</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_157"> <id>182</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_158"> <id>183</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_159"> <id>184</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_160"> <id>185</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_161"> <id>186</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_162"> <id>187</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_163"> <id>188</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_164"> <id>189</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_165"> <id>190</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_166"> <id>191</id> <edge_type>2</edge_type> <source_obj>79</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_167"> <id>192</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_168"> <id>193</id> <edge_type>2</edge_type> <source_obj>64</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_169"> <id>194</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_170"> <id>195</id> <edge_type>2</edge_type> <source_obj>59</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_171"> <id>196</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_172"> <id>197</id> <edge_type>2</edge_type> <source_obj>64</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_173"> <id>198</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_174"> <id>199</id> <edge_type>2</edge_type> <source_obj>59</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_175"> <id>200</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_176"> <id>203</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_177"> <id>205</id> <edge_type>1</edge_type> <source_obj>204</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_178"> <id>207</id> <edge_type>1</edge_type> <source_obj>206</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_179"> <id>209</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_180"> <id>211</id> <edge_type>1</edge_type> <source_obj>210</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_181"> <id>213</id> <edge_type>1</edge_type> <source_obj>212</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_182"> <id>216</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_183"> <id>217</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_184"> <id>219</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_185"> <id>220</id> <edge_type>1</edge_type> <source_obj>68</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_186"> <id>222</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_187"> <id>223</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_188"> <id>224</id> <edge_type>1</edge_type> <source_obj>160</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_189"> <id>225</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_190"> <id>226</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_191"> <id>227</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>82</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_192"> <id>228</id> <edge_type>2</edge_type> <source_obj>96</source_obj> <sink_obj>82</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_193"> <id>229</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_194"> <id>230</id> <edge_type>2</edge_type> <source_obj>81</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_195"> <id>231</id> <edge_type>1</edge_type> <source_obj>92</source_obj> <sink_obj>83</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_196"> <id>232</id> <edge_type>2</edge_type> <source_obj>96</source_obj> <sink_obj>83</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_197"> <id>233</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_198"> <id>234</id> <edge_type>2</edge_type> <source_obj>81</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_199"> <id>235</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>84</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_200"> <id>236</id> <edge_type>2</edge_type> <source_obj>96</source_obj> <sink_obj>84</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_201"> <id>237</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_202"> <id>238</id> <edge_type>2</edge_type> <source_obj>81</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_203"> <id>239</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_204"> <id>240</id> <edge_type>2</edge_type> <source_obj>96</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_205"> <id>241</id> <edge_type>2</edge_type> <source_obj>99</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_206"> <id>243</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_207"> <id>244</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_208"> <id>245</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_209"> <id>246</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_210"> <id>247</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_211"> <id>248</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_212"> <id>249</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_213"> <id>250</id> <edge_type>1</edge_type> <source_obj>91</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_214"> <id>251</id> <edge_type>1</edge_type> <source_obj>91</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_215"> <id>252</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_216"> <id>253</id> <edge_type>2</edge_type> <source_obj>39</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_217"> <id>438</id> <edge_type>2</edge_type> <source_obj>16</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_218"> <id>439</id> <edge_type>2</edge_type> <source_obj>27</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_219"> <id>440</id> <edge_type>2</edge_type> <source_obj>27</source_obj> <sink_obj>27</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_220"> <id>441</id> <edge_type>2</edge_type> <source_obj>31</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_221"> <id>442</id> <edge_type>2</edge_type> <source_obj>39</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_222"> <id>443</id> <edge_type>2</edge_type> <source_obj>39</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_223"> <id>444</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_224"> <id>445</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_225"> <id>446</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_226"> <id>447</id> <edge_type>2</edge_type> <source_obj>59</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_227"> <id>448</id> <edge_type>2</edge_type> <source_obj>59</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_228"> <id>449</id> <edge_type>2</edge_type> <source_obj>64</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_229"> <id>450</id> <edge_type>2</edge_type> <source_obj>79</source_obj> <sink_obj>52</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_230"> <id>451</id> <edge_type>2</edge_type> <source_obj>81</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_231"> <id>452</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_232"> <id>453</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_233"> <id>454</id> <edge_type>2</edge_type> <source_obj>96</source_obj> <sink_obj>86</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_234"> <id>455</id> <edge_type>2</edge_type> <source_obj>99</source_obj> <sink_obj>39</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_235"> <id>456</id> <edge_type>4</edge_type> <source_obj>21</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_236"> <id>457</id> <edge_type>4</edge_type> <source_obj>21</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_237"> <id>458</id> <edge_type>4</edge_type> <source_obj>29</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_238"> <id>459</id> <edge_type>4</edge_type> <source_obj>29</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_239"> <id>460</id> <edge_type>4</edge_type> <source_obj>53</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>11</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_240"> <mId>1</mId> <mTag>AXIvideo2Mat</mTag> <mType>0</mType> <sub_regions> <count>5</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>4</item> <item>5</item> <item>11</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>790275</mMinLatency> <mMaxLatency>790275</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_241"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>16</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_242"> <mId>3</mId> <mTag>loop_wait_for_start</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>27</item> </basic_blocks> <mII>1</mII> <mDepth>1</mDepth> <mMinTripCount>0</mMinTripCount> <mMaxTripCount>0</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_243"> <mId>4</mId> <mTag>Region 1</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>31</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_244"> <mId>5</mId> <mTag>loop_height</mTag> <mType>1</mType> <sub_regions> <count>5</count> <item_version>0</item_version> <item>6</item> <item>7</item> <item>8</item> <item>9</item> <item>10</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>768</mMinTripCount> <mMaxTripCount>768</mMaxTripCount> <mMinLatency>790272</mMinLatency> <mMaxLatency>790272</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_245"> <mId>6</mId> <mTag>Region 2</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>39</item> <item>43</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_246"> <mId>7</mId> <mTag>loop_width</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>4</count> <item_version>0</item_version> <item>52</item> <item>59</item> <item>64</item> <item>79</item> </basic_blocks> <mII>1</mII> <mDepth>2</mDepth> <mMinTripCount>1024</mMinTripCount> <mMaxTripCount>1024</mMaxTripCount> <mMinLatency>1024</mMinLatency> <mMaxLatency>1024</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_247"> <mId>8</mId> <mTag>Region 3</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>81</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_248"> <mId>9</mId> <mTag>loop_wait_for_eol</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>86</item> <item>96</item> </basic_blocks> <mII>1</mII> <mDepth>1</mDepth> <mMinTripCount>0</mMinTripCount> <mMaxTripCount>0</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_249"> <mId>10</mId> <mTag>Region 4</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>99</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_250"> <mId>11</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>101</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="-1"></res> <node_label_latency class_id="26" tracking_level="0" version="0"> <count>51</count> <item_version>0</item_version> <item class_id="27" tracking_level="0" version="0"> <first>15</first> <second class_id="28" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>38</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>47</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>50</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>57</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>61</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>62</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>63</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>68</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>69</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>73</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>74</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>77</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>78</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>80</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>82</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>83</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>84</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>85</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>91</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>92</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>93</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>95</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>98</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>100</first> <second> <first>3</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="29" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="30" tracking_level="0" version="0"> <first>16</first> <second class_id="31" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>27</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>31</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>39</first> <second> <first>3</first> <second>3</second> </second> </item> <item> <first>43</first> <second> <first>3</first> <second>3</second> </second> </item> <item> <first>52</first> <second> <first>4</first> <second>4</second> </second> </item> <item> <first>59</first> <second> <first>4</first> <second>5</second> </second> </item> <item> <first>64</first> <second> <first>4</first> <second>4</second> </second> </item> <item> <first>79</first> <second> <first>4</first> <second>5</second> </second> </item> <item> <first>81</first> <second> <first>5</first> <second>5</second> </second> </item> <item> <first>86</first> <second> <first>6</first> <second>6</second> </second> </item> <item> <first>96</first> <second> <first>6</first> <second>6</second> </second> </item> <item> <first>99</first> <second> <first>7</first> <second>7</second> </second> </item> <item> <first>101</first> <second> <first>3</first> <second>3</second> </second> </item> </bblk_ent_exit> <regions class_id="32" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="33" tracking_level="1" version="0" object_id="_251"> <region_name>loop_wait_for_start</region_name> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>27</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>1</pipe_depth> </item> <item class_id_reference="33" object_id="_252"> <region_name>loop_width</region_name> <basic_blocks> <count>4</count> <item_version>0</item_version> <item>52</item> <item>59</item> <item>64</item> <item>79</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>2</pipe_depth> </item> <item class_id_reference="33" object_id="_253"> <region_name>hls_label_2</region_name> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>79</item> </basic_blocks> <nodes> <count>6</count> <item_version>0</item_version> <item>70</item> <item>71</item> <item>72</item> <item>73</item> <item>74</item> <item>75</item> </nodes> <anchor_node>70</anchor_node> <region_type>1</region_type> <interval>0</interval> <pipe_depth>0</pipe_depth> </item> <item class_id_reference="33" object_id="_254"> <region_name>loop_wait_for_eol</region_name> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>86</item> <item>96</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>1</pipe_depth> </item> </regions> <dp_fu_nodes class_id="34" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="35" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="36" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="38" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
28.699848
102
0.619339
cb2b2976e4aa193b6fc12c5827023b0fc6cc6466
16,106
ads
Ada
gcc-gcc-7_3_0-release/gcc/ada/a-except-2005.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/ada/a-except-2005.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/ada/a-except-2005.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . E X C E P T I O N S -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2015, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This version of Ada.Exceptions fully supports Ada 95 and later language -- versions. It is used in all situations except for the build of the -- compiler and other basic tools. For these latter builds, we use an -- Ada 95-only version. -- The reason for this splitting off of a separate version is to support -- older bootstrap compilers that do not support Ada 2005 features, and -- Ada.Exceptions is part of the compiler sources. pragma Polling (Off); -- We must turn polling off for this unit, because otherwise we get -- elaboration circularities with ourself. with System; with System.Parameters; with System.Standard_Library; with System.Traceback_Entries; package Ada.Exceptions is pragma Preelaborate; -- In accordance with Ada 2005 AI-362. type Exception_Id is private; pragma Preelaborable_Initialization (Exception_Id); Null_Id : constant Exception_Id; type Exception_Occurrence is limited private; pragma Preelaborable_Initialization (Exception_Occurrence); type Exception_Occurrence_Access is access all Exception_Occurrence; Null_Occurrence : constant Exception_Occurrence; function Exception_Name (Id : Exception_Id) return String; function Exception_Name (X : Exception_Occurrence) return String; function Wide_Exception_Name (Id : Exception_Id) return Wide_String; pragma Ada_05 (Wide_Exception_Name); function Wide_Exception_Name (X : Exception_Occurrence) return Wide_String; pragma Ada_05 (Wide_Exception_Name); function Wide_Wide_Exception_Name (Id : Exception_Id) return Wide_Wide_String; pragma Ada_05 (Wide_Wide_Exception_Name); function Wide_Wide_Exception_Name (X : Exception_Occurrence) return Wide_Wide_String; pragma Ada_05 (Wide_Wide_Exception_Name); procedure Raise_Exception (E : Exception_Id; Message : String := ""); pragma No_Return (Raise_Exception); -- Note: In accordance with AI-466, CE is raised if E = Null_Id function Exception_Message (X : Exception_Occurrence) return String; procedure Reraise_Occurrence (X : Exception_Occurrence); -- Note: it would be really nice to give a pragma No_Return for this -- procedure, but it would be wrong, since Reraise_Occurrence does return -- if the argument is the null exception occurrence. See also procedure -- Reraise_Occurrence_Always in the private part of this package. function Exception_Identity (X : Exception_Occurrence) return Exception_Id; function Exception_Information (X : Exception_Occurrence) return String; -- The format of the exception information is as follows: -- -- exception name (as in Exception_Name) -- message (or a null line if no message) -- PID=nnnn -- 0xyyyyyyyy 0xyyyyyyyy ... -- -- The lines are separated by a ASCII.LF character -- -- The nnnn is the partition Id given as decimal digits -- -- The 0x... line represents traceback program counter locations, -- in order with the first one being the exception location. -- Note on ordering: the compiler uses the Save_Occurrence procedure, but -- not the function from Rtsfind, so it is important that the procedure -- come first, since Rtsfind finds the first matching entity. procedure Save_Occurrence (Target : out Exception_Occurrence; Source : Exception_Occurrence); function Save_Occurrence (Source : Exception_Occurrence) return Exception_Occurrence_Access; -- Ada 2005 (AI-438): The language revision introduces the following -- subprograms and attribute definitions. We do not provide them -- explicitly. instead, the corresponding stream attributes are made -- available through a pragma Stream_Convert in the private part. -- procedure Read_Exception_Occurrence -- (Stream : not null access Ada.Streams.Root_Stream_Type'Class; -- Item : out Exception_Occurrence); -- procedure Write_Exception_Occurrence -- (Stream : not null access Ada.Streams.Root_Stream_Type'Class; -- Item : Exception_Occurrence); -- for Exception_Occurrence'Read use Read_Exception_Occurrence; -- for Exception_Occurrence'Write use Write_Exception_Occurrence; private package SSL renames System.Standard_Library; package SP renames System.Parameters; subtype EOA is Exception_Occurrence_Access; Exception_Msg_Max_Length : constant := SP.Default_Exception_Msg_Max_Length; ------------------ -- Exception_Id -- ------------------ subtype Code_Loc is System.Address; -- Code location used in building exception tables and for call addresses -- when propagating an exception. Values of this type are created by using -- Label'Address or extracted from machine states using Get_Code_Loc. Null_Loc : constant Code_Loc := System.Null_Address; -- Null code location, used to flag outer level frame type Exception_Id is new SSL.Exception_Data_Ptr; function EId_To_String (X : Exception_Id) return String; function String_To_EId (S : String) return Exception_Id; pragma Stream_Convert (Exception_Id, String_To_EId, EId_To_String); -- Functions for implementing Exception_Id stream attributes Null_Id : constant Exception_Id := null; ------------------------- -- Private Subprograms -- ------------------------- function Exception_Name_Simple (X : Exception_Occurrence) return String; -- Like Exception_Name, but returns the simple non-qualified name of the -- exception. This is used to implement the Exception_Name function in -- Current_Exceptions (the DEC compatible unit). It is called from the -- compiler generated code (using Rtsfind, which does not respect the -- private barrier, so we can place this function in the private part -- where the compiler can find it, but the spec is unchanged.) procedure Raise_Exception_Always (E : Exception_Id; Message : String := ""); pragma No_Return (Raise_Exception_Always); pragma Export (Ada, Raise_Exception_Always, "__gnat_raise_exception"); -- This differs from Raise_Exception only in that the caller has determined -- that for sure the parameter E is not null, and that therefore no check -- for Null_Id is required. The expander converts Raise_Exception calls to -- Raise_Exception_Always if it can determine this is the case. The Export -- allows this routine to be accessed from Pure units. procedure Raise_From_Signal_Handler (E : Exception_Id; M : System.Address); pragma Export (Ada, Raise_From_Signal_Handler, "ada__exceptions__raise_from_signal_handler"); pragma No_Return (Raise_From_Signal_Handler); -- This routine is used to raise an exception from a signal handler. The -- signal handler has already stored the machine state (i.e. the state that -- corresponds to the location at which the signal was raised). E is the -- Exception_Id specifying what exception is being raised, and M is a -- pointer to a null-terminated string which is the message to be raised. -- Note that this routine never returns, so it is permissible to simply -- jump to this routine, rather than call it. This may be appropriate for -- systems where the right way to get out of signal handler is to alter the -- PC value in the machine state or in some other way ask the operating -- system to return here rather than to the original location. procedure Raise_From_Controlled_Operation (X : Ada.Exceptions.Exception_Occurrence); pragma No_Return (Raise_From_Controlled_Operation); pragma Export (Ada, Raise_From_Controlled_Operation, "__gnat_raise_from_controlled_operation"); -- Raise Program_Error, providing information about X (an exception raised -- during a controlled operation) in the exception message. procedure Reraise_Library_Exception_If_Any; pragma Export (Ada, Reraise_Library_Exception_If_Any, "__gnat_reraise_library_exception_if_any"); -- If there was an exception raised during library-level finalization, -- reraise the exception. procedure Reraise_Occurrence_Always (X : Exception_Occurrence); pragma No_Return (Reraise_Occurrence_Always); -- This differs from Raise_Occurrence only in that the caller guarantees -- that for sure the parameter X is not the null occurrence, and that -- therefore this procedure cannot return. The expander uses this routine -- in the translation of a raise statement with no parameter (reraise). procedure Reraise_Occurrence_No_Defer (X : Exception_Occurrence); pragma No_Return (Reraise_Occurrence_No_Defer); -- Exactly like Reraise_Occurrence, except that abort is not deferred -- before the call and the parameter X is known not to be the null -- occurrence. This is used in generated code when it is known that abort -- is already deferred. function Triggered_By_Abort return Boolean; -- Determine whether the current exception (if it exists) is an instance of -- Standard'Abort_Signal. ----------------------- -- Polling Interface -- ----------------------- -- The GNAT compiler has an option to generate polling calls to the Poll -- routine in this package. Specifying the -gnatP option for a compilation -- causes a call to Ada.Exceptions.Poll to be generated on every subprogram -- entry and on every iteration of a loop, thus avoiding the possibility of -- a case of unbounded time between calls. -- This polling interface may be used for instrumentation or debugging -- purposes (e.g. implementing watchpoints in software or in the debugger). -- In the GNAT technology itself, this interface is used to implement -- immediate asynchronous transfer of control and immediate abort on -- targets which do not provide for one thread interrupting another. -- Note: this used to be in a separate unit called System.Poll, but that -- caused horrible circular elaboration problems between System.Poll and -- Ada.Exceptions. procedure Poll; -- Check for asynchronous abort. Note that we do not inline the body. -- This makes the interface more useful for debugging purposes. -------------------------- -- Exception_Occurrence -- -------------------------- package TBE renames System.Traceback_Entries; Max_Tracebacks : constant := 50; -- Maximum number of trace backs stored in exception occurrence subtype Tracebacks_Array is TBE.Tracebacks_Array (1 .. Max_Tracebacks); -- Traceback array stored in exception occurrence type Exception_Occurrence is record Id : Exception_Id; -- Exception_Identity for this exception occurrence Machine_Occurrence : System.Address; -- The underlying machine occurrence. For GCC, this corresponds to the -- _Unwind_Exception structure address. Msg_Length : Natural := 0; -- Length of message (zero = no message) Msg : String (1 .. Exception_Msg_Max_Length); -- Characters of message Exception_Raised : Boolean := False; -- Set to true to indicate that this exception occurrence has actually -- been raised. When an exception occurrence is first created, this is -- set to False, then when it is processed by Raise_Current_Exception, -- it is set to True. If Raise_Current_Exception is used to raise an -- exception for which this flag is already True, then it knows that -- it is dealing with the reraise case (which is useful to distinguish -- for exception tracing purposes). Pid : Natural := 0; -- Partition_Id for partition raising exception Num_Tracebacks : Natural range 0 .. Max_Tracebacks := 0; -- Number of traceback entries stored Tracebacks : Tracebacks_Array; -- Stored tracebacks (in Tracebacks (1 .. Num_Tracebacks)) end record; function "=" (Left, Right : Exception_Occurrence) return Boolean is abstract; -- Don't allow comparison on exception occurrences, we should not need -- this, and it would not work right, because of the Msg and Tracebacks -- fields which have unused entries not copied by Save_Occurrence. function Get_Exception_Machine_Occurrence (X : Exception_Occurrence) return System.Address; pragma Export (Ada, Get_Exception_Machine_Occurrence, "__gnat_get_exception_machine_occurrence"); -- Get the machine occurrence corresponding to an exception occurrence. -- It is Null_Address if there is no machine occurrence (in runtimes that -- doesn't use GCC mechanism) or if it has been lost (Save_Occurrence -- doesn't save the machine occurrence). function EO_To_String (X : Exception_Occurrence) return String; function String_To_EO (S : String) return Exception_Occurrence; pragma Stream_Convert (Exception_Occurrence, String_To_EO, EO_To_String); -- Functions for implementing Exception_Occurrence stream attributes Null_Occurrence : constant Exception_Occurrence := ( Id => null, Machine_Occurrence => System.Null_Address, Msg_Length => 0, Msg => (others => ' '), Exception_Raised => False, Pid => 0, Num_Tracebacks => 0, Tracebacks => (others => TBE.Null_TB_Entry)); end Ada.Exceptions;
46.017143
79
0.665653
4af0c68613a3a233c0e81b2998dd36c63b670585
4,429
ads
Ada
arch/ARM/Nordic/drivers/nrf_common/nrf-twi.ads
shakram02/Ada_Drivers_Library
a407ca7ddbc2d9756647016c2f8fd8ef24a239ff
[ "BSD-3-Clause" ]
192
2016-06-01T18:32:04.000Z
2022-03-26T22:52:31.000Z
arch/ARM/Nordic/drivers/nrf_common/nrf-twi.ads
shakram02/Ada_Drivers_Library
a407ca7ddbc2d9756647016c2f8fd8ef24a239ff
[ "BSD-3-Clause" ]
239
2016-05-26T20:02:01.000Z
2022-03-31T09:46:56.000Z
arch/ARM/Nordic/drivers/nrf_common/nrf-twi.ads
shakram02/Ada_Drivers_Library
a407ca7ddbc2d9756647016c2f8fd8ef24a239ff
[ "BSD-3-Clause" ]
142
2016-06-05T08:12:20.000Z
2022-03-24T17:37:17.000Z
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2016-2020, AdaCore -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ with HAL; use HAL; with HAL.I2C; use HAL.I2C; with nRF.GPIO; use nRF.GPIO; with NRF_SVD.TWI; package nRF.TWI is type TWI_Speed is (TWI_100kbps, TWI_250kbps, TWI_400kbps); type TWI_Master (Periph : not null access NRF_SVD.TWI.TWI_Peripheral) is new HAL.I2C.I2C_Port with private; procedure Enable (This : in out TWI_Master); procedure Disable (This : in out TWI_Master); function Enabled (This : TWI_Master) return Boolean; procedure Configure (This : in out TWI_Master; SCL, SDA : GPIO_Pin_Index; Speed : TWI_Speed); procedure Disconnect (This : in out TWI_Master); -- Disconect the peripheral from the GPIO points overriding procedure Master_Transmit (This : in out TWI_Master; Addr : I2C_Address; Data : I2C_Data; Status : out I2C_Status; Timeout : Natural := 1000) with Pre => Enabled (This); overriding procedure Master_Receive (This : in out TWI_Master; Addr : I2C_Address; Data : out I2C_Data; Status : out I2C_Status; Timeout : Natural := 1000) with Pre => Enabled (This); overriding procedure Mem_Write (This : in out TWI_Master; Addr : I2C_Address; Mem_Addr : UInt16; Mem_Addr_Size : I2C_Memory_Address_Size; Data : I2C_Data; Status : out I2C_Status; Timeout : Natural := 1000) with Pre => Enabled (This); overriding procedure Mem_Read (This : in out TWI_Master; Addr : I2C_Address; Mem_Addr : UInt16; Mem_Addr_Size : I2C_Memory_Address_Size; Data : out I2C_Data; Status : out I2C_Status; Timeout : Natural := 1000) with Pre => Enabled (This); private type TWI_Master (Periph : not null access NRF_SVD.TWI.TWI_Peripheral) is new HAL.I2C.I2C_Port with record Do_Stop_Sequence : Boolean := True; end record; end nRF.TWI;
42.180952
78
0.55114
dc92f9ba26c399ea6a60bc7fec6801c5d26142f7
276
adb
Ada
msp430-gcc-tics/msp430-gcc-7.3.1.24-source-full/gcc/gcc/testsuite/gnat.dg/specs/varsize_return2_pkg.adb
TUDSSL/TICS
575ed1b34403b435540bc946c2e6dc5b6bf13072
[ "MIT" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
msp430-gcc-tics/msp430-gcc-7.3.1.24-source-full/gcc/gcc/testsuite/gnat.dg/specs/varsize_return2_pkg.adb
TUDSSL/TICS
575ed1b34403b435540bc946c2e6dc5b6bf13072
[ "MIT" ]
null
null
null
msp430-gcc-tics/msp430-gcc-7.3.1.24-source-full/gcc/gcc/testsuite/gnat.dg/specs/varsize_return2_pkg.adb
TUDSSL/TICS
575ed1b34403b435540bc946c2e6dc5b6bf13072
[ "MIT" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
package body Varsize_Return2_Pkg is function Len return Positive is begin return 4; end; package body G is function Get return Small_T is begin raise Program_Error; return Get; end; end G; end Varsize_Return2_Pkg;
14.526316
36
0.634058
18fed6db42c5691804537c55e8c5c768868af678
11,259
adb
Ada
Lab2/lab2.adb
gabemgem/LITEC
42679504cbd28425b3f33c09f50492bb60f827bb
[ "Unlicense" ]
null
null
null
Lab2/lab2.adb
gabemgem/LITEC
42679504cbd28425b3f33c09f50492bb60f827bb
[ "Unlicense" ]
null
null
null
Lab2/lab2.adb
gabemgem/LITEC
42679504cbd28425b3f33c09f50492bb60f827bb
[ "Unlicense" ]
null
null
null
M:lab2 F:G$SYSCLK_Init$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$UART0_Init$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$Sys_Init$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$putchar$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$getchar$0$0({2}DF,SC:U),C,0,0,0,0,0 F:G$getchar_nw$0$0({2}DF,SC:U),C,0,0,0,0,0 F:G$main$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$Port_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$Interrupt_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$Timer_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$ADC_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$Timer0_ISR$0$0({2}DF,SV:S),Z,0,0,1,1,0 F:G$ADC_Convert$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$random$0$0({2}DF,SC:U),Z,0,0,0,0,0 S:Llab2.getchar$c$1$10({1}SC:U),R,0,0,[] S:Llab2.getchar_nw$c$1$12({1}SC:U),R,0,0,[] S:G$counts$0$0({2}SI:U),E,0,0 S:G$temp$0$0({2}SI:U),E,0,0 S:G$input$0$0({1}SC:U),E,0,0 S:G$speed$0$0({1}SC:U),E,0,0 S:G$wait$0$0({2}SI:U),E,0,0 S:G$score$0$0({2}SI:U),E,0,0 S:G$points$0$0({2}SI:U),E,0,0 S:G$num$0$0({1}SC:U),E,0,0 S:G$i$0$0({1}SC:U),E,0,0 S:G$tryn$0$0({1}SC:U),E,0,0 S:Llab2.aligned_alloc$size$1$39({2}SI:U),E,0,0 S:Llab2.aligned_alloc$alignment$1$39({2}SI:U),E,0,0 S:G$P0$0$0({1}SC:U),I,0,0 S:G$SP$0$0({1}SC:U),I,0,0 S:G$DPL$0$0({1}SC:U),I,0,0 S:G$DPH$0$0({1}SC:U),I,0,0 S:G$P4$0$0({1}SC:U),I,0,0 S:G$P5$0$0({1}SC:U),I,0,0 S:G$P6$0$0({1}SC:U),I,0,0 S:G$PCON$0$0({1}SC:U),I,0,0 S:G$TCON$0$0({1}SC:U),I,0,0 S:G$TMOD$0$0({1}SC:U),I,0,0 S:G$TL0$0$0({1}SC:U),I,0,0 S:G$TL1$0$0({1}SC:U),I,0,0 S:G$TH0$0$0({1}SC:U),I,0,0 S:G$TH1$0$0({1}SC:U),I,0,0 S:G$CKCON$0$0({1}SC:U),I,0,0 S:G$PSCTL$0$0({1}SC:U),I,0,0 S:G$P1$0$0({1}SC:U),I,0,0 S:G$TMR3CN$0$0({1}SC:U),I,0,0 S:G$TMR3RLL$0$0({1}SC:U),I,0,0 S:G$TMR3RLH$0$0({1}SC:U),I,0,0 S:G$TMR3L$0$0({1}SC:U),I,0,0 S:G$TMR3H$0$0({1}SC:U),I,0,0 S:G$P7$0$0({1}SC:U),I,0,0 S:G$SCON$0$0({1}SC:U),I,0,0 S:G$SCON0$0$0({1}SC:U),I,0,0 S:G$SBUF$0$0({1}SC:U),I,0,0 S:G$SBUF0$0$0({1}SC:U),I,0,0 S:G$SPI0CFG$0$0({1}SC:U),I,0,0 S:G$SPI0DAT$0$0({1}SC:U),I,0,0 S:G$ADC1$0$0({1}SC:U),I,0,0 S:G$SPI0CKR$0$0({1}SC:U),I,0,0 S:G$CPT0CN$0$0({1}SC:U),I,0,0 S:G$CPT1CN$0$0({1}SC:U),I,0,0 S:G$P2$0$0({1}SC:U),I,0,0 S:G$EMI0TC$0$0({1}SC:U),I,0,0 S:G$EMI0CF$0$0({1}SC:U),I,0,0 S:G$PRT0CF$0$0({1}SC:U),I,0,0 S:G$P0MDOUT$0$0({1}SC:U),I,0,0 S:G$PRT1CF$0$0({1}SC:U),I,0,0 S:G$P1MDOUT$0$0({1}SC:U),I,0,0 S:G$PRT2CF$0$0({1}SC:U),I,0,0 S:G$P2MDOUT$0$0({1}SC:U),I,0,0 S:G$PRT3CF$0$0({1}SC:U),I,0,0 S:G$P3MDOUT$0$0({1}SC:U),I,0,0 S:G$IE$0$0({1}SC:U),I,0,0 S:G$SADDR0$0$0({1}SC:U),I,0,0 S:G$ADC1CN$0$0({1}SC:U),I,0,0 S:G$ADC1CF$0$0({1}SC:U),I,0,0 S:G$AMX1SL$0$0({1}SC:U),I,0,0 S:G$P3IF$0$0({1}SC:U),I,0,0 S:G$SADEN1$0$0({1}SC:U),I,0,0 S:G$EMI0CN$0$0({1}SC:U),I,0,0 S:G$_XPAGE$0$0({1}SC:U),I,0,0 S:G$P3$0$0({1}SC:U),I,0,0 S:G$OSCXCN$0$0({1}SC:U),I,0,0 S:G$OSCICN$0$0({1}SC:U),I,0,0 S:G$P74OUT$0$0({1}SC:U),I,0,0 S:G$FLSCL$0$0({1}SC:U),I,0,0 S:G$FLACL$0$0({1}SC:U),I,0,0 S:G$IP$0$0({1}SC:U),I,0,0 S:G$SADEN0$0$0({1}SC:U),I,0,0 S:G$AMX0CF$0$0({1}SC:U),I,0,0 S:G$AMX0SL$0$0({1}SC:U),I,0,0 S:G$ADC0CF$0$0({1}SC:U),I,0,0 S:G$P1MDIN$0$0({1}SC:U),I,0,0 S:G$ADC0L$0$0({1}SC:U),I,0,0 S:G$ADC0H$0$0({1}SC:U),I,0,0 S:G$SMB0CN$0$0({1}SC:U),I,0,0 S:G$SMB0STA$0$0({1}SC:U),I,0,0 S:G$SMB0DAT$0$0({1}SC:U),I,0,0 S:G$SMB0ADR$0$0({1}SC:U),I,0,0 S:G$ADC0GTL$0$0({1}SC:U),I,0,0 S:G$ADC0GTH$0$0({1}SC:U),I,0,0 S:G$ADC0LTL$0$0({1}SC:U),I,0,0 S:G$ADC0LTH$0$0({1}SC:U),I,0,0 S:G$T2CON$0$0({1}SC:U),I,0,0 S:G$T4CON$0$0({1}SC:U),I,0,0 S:G$RCAP2L$0$0({1}SC:U),I,0,0 S:G$RCAP2H$0$0({1}SC:U),I,0,0 S:G$TL2$0$0({1}SC:U),I,0,0 S:G$TH2$0$0({1}SC:U),I,0,0 S:G$SMB0CR$0$0({1}SC:U),I,0,0 S:G$PSW$0$0({1}SC:U),I,0,0 S:G$REF0CN$0$0({1}SC:U),I,0,0 S:G$DAC0L$0$0({1}SC:U),I,0,0 S:G$DAC0H$0$0({1}SC:U),I,0,0 S:G$DAC0CN$0$0({1}SC:U),I,0,0 S:G$DAC1L$0$0({1}SC:U),I,0,0 S:G$DAC1H$0$0({1}SC:U),I,0,0 S:G$DAC1CN$0$0({1}SC:U),I,0,0 S:G$PCA0CN$0$0({1}SC:U),I,0,0 S:G$PCA0MD$0$0({1}SC:U),I,0,0 S:G$PCA0CPM0$0$0({1}SC:U),I,0,0 S:G$PCA0CPM1$0$0({1}SC:U),I,0,0 S:G$PCA0CPM2$0$0({1}SC:U),I,0,0 S:G$PCA0CPM3$0$0({1}SC:U),I,0,0 S:G$PCA0CPM4$0$0({1}SC:U),I,0,0 S:G$ACC$0$0({1}SC:U),I,0,0 S:G$XBR0$0$0({1}SC:U),I,0,0 S:G$XBR1$0$0({1}SC:U),I,0,0 S:G$XBR2$0$0({1}SC:U),I,0,0 S:G$RCAP4L$0$0({1}SC:U),I,0,0 S:G$RCAP4H$0$0({1}SC:U),I,0,0 S:G$EIE1$0$0({1}SC:U),I,0,0 S:G$EIE2$0$0({1}SC:U),I,0,0 S:G$ADC0CN$0$0({1}SC:U),I,0,0 S:G$PCA0L$0$0({1}SC:U),I,0,0 S:G$PCA0CPL0$0$0({1}SC:U),I,0,0 S:G$PCA0CPL1$0$0({1}SC:U),I,0,0 S:G$PCA0CPL2$0$0({1}SC:U),I,0,0 S:G$PCA0CPL3$0$0({1}SC:U),I,0,0 S:G$PCA0CPL4$0$0({1}SC:U),I,0,0 S:G$RSTSRC$0$0({1}SC:U),I,0,0 S:G$B$0$0({1}SC:U),I,0,0 S:G$SCON1$0$0({1}SC:U),I,0,0 S:G$SBUF1$0$0({1}SC:U),I,0,0 S:G$SADDR1$0$0({1}SC:U),I,0,0 S:G$TL4$0$0({1}SC:U),I,0,0 S:G$TH4$0$0({1}SC:U),I,0,0 S:G$EIP1$0$0({1}SC:U),I,0,0 S:G$EIP2$0$0({1}SC:U),I,0,0 S:G$SPI0CN$0$0({1}SC:U),I,0,0 S:G$PCA0H$0$0({1}SC:U),I,0,0 S:G$PCA0CPH0$0$0({1}SC:U),I,0,0 S:G$PCA0CPH1$0$0({1}SC:U),I,0,0 S:G$PCA0CPH2$0$0({1}SC:U),I,0,0 S:G$PCA0CPH3$0$0({1}SC:U),I,0,0 S:G$PCA0CPH4$0$0({1}SC:U),I,0,0 S:G$WDTCN$0$0({1}SC:U),I,0,0 S:G$TMR0$0$0({2}SI:U),I,0,0 S:G$TMR1$0$0({2}SI:U),I,0,0 S:G$TMR2$0$0({2}SI:U),I,0,0 S:G$RCAP2$0$0({2}SI:U),I,0,0 S:G$TMR3$0$0({2}SI:U),I,0,0 S:G$TMR3RL$0$0({2}SI:U),I,0,0 S:G$TMR4$0$0({2}SI:U),I,0,0 S:G$RCAP4$0$0({2}SI:U),I,0,0 S:G$ADC0$0$0({2}SI:U),I,0,0 S:G$ADC0GT$0$0({2}SI:U),I,0,0 S:G$ADC0LT$0$0({2}SI:U),I,0,0 S:G$DAC0$0$0({2}SI:U),I,0,0 S:G$DAC1$0$0({2}SI:U),I,0,0 S:G$PCA0$0$0({2}SI:U),I,0,0 S:G$PCA0CP0$0$0({2}SI:U),I,0,0 S:G$PCA0CP1$0$0({2}SI:U),I,0,0 S:G$PCA0CP2$0$0({2}SI:U),I,0,0 S:G$PCA0CP3$0$0({2}SI:U),I,0,0 S:G$PCA0CP4$0$0({2}SI:U),I,0,0 S:G$P0_0$0$0({1}SX:U),J,0,0 S:G$P0_1$0$0({1}SX:U),J,0,0 S:G$P0_2$0$0({1}SX:U),J,0,0 S:G$P0_3$0$0({1}SX:U),J,0,0 S:G$P0_4$0$0({1}SX:U),J,0,0 S:G$P0_5$0$0({1}SX:U),J,0,0 S:G$P0_6$0$0({1}SX:U),J,0,0 S:G$P0_7$0$0({1}SX:U),J,0,0 S:G$IT0$0$0({1}SX:U),J,0,0 S:G$IE0$0$0({1}SX:U),J,0,0 S:G$IT1$0$0({1}SX:U),J,0,0 S:G$IE1$0$0({1}SX:U),J,0,0 S:G$TR0$0$0({1}SX:U),J,0,0 S:G$TF0$0$0({1}SX:U),J,0,0 S:G$TR1$0$0({1}SX:U),J,0,0 S:G$TF1$0$0({1}SX:U),J,0,0 S:G$P1_0$0$0({1}SX:U),J,0,0 S:G$P1_1$0$0({1}SX:U),J,0,0 S:G$P1_2$0$0({1}SX:U),J,0,0 S:G$P1_3$0$0({1}SX:U),J,0,0 S:G$P1_4$0$0({1}SX:U),J,0,0 S:G$P1_5$0$0({1}SX:U),J,0,0 S:G$P1_6$0$0({1}SX:U),J,0,0 S:G$P1_7$0$0({1}SX:U),J,0,0 S:G$RI$0$0({1}SX:U),J,0,0 S:G$RI0$0$0({1}SX:U),J,0,0 S:G$TI$0$0({1}SX:U),J,0,0 S:G$TI0$0$0({1}SX:U),J,0,0 S:G$RB8$0$0({1}SX:U),J,0,0 S:G$RB80$0$0({1}SX:U),J,0,0 S:G$TB8$0$0({1}SX:U),J,0,0 S:G$TB80$0$0({1}SX:U),J,0,0 S:G$REN$0$0({1}SX:U),J,0,0 S:G$REN0$0$0({1}SX:U),J,0,0 S:G$SM2$0$0({1}SX:U),J,0,0 S:G$SM20$0$0({1}SX:U),J,0,0 S:G$MCE0$0$0({1}SX:U),J,0,0 S:G$SM1$0$0({1}SX:U),J,0,0 S:G$SM10$0$0({1}SX:U),J,0,0 S:G$SM0$0$0({1}SX:U),J,0,0 S:G$SM00$0$0({1}SX:U),J,0,0 S:G$S0MODE$0$0({1}SX:U),J,0,0 S:G$P2_0$0$0({1}SX:U),J,0,0 S:G$P2_1$0$0({1}SX:U),J,0,0 S:G$P2_2$0$0({1}SX:U),J,0,0 S:G$P2_3$0$0({1}SX:U),J,0,0 S:G$P2_4$0$0({1}SX:U),J,0,0 S:G$P2_5$0$0({1}SX:U),J,0,0 S:G$P2_6$0$0({1}SX:U),J,0,0 S:G$P2_7$0$0({1}SX:U),J,0,0 S:G$EX0$0$0({1}SX:U),J,0,0 S:G$ET0$0$0({1}SX:U),J,0,0 S:G$EX1$0$0({1}SX:U),J,0,0 S:G$ET1$0$0({1}SX:U),J,0,0 S:G$ES0$0$0({1}SX:U),J,0,0 S:G$ES$0$0({1}SX:U),J,0,0 S:G$ET2$0$0({1}SX:U),J,0,0 S:G$EA$0$0({1}SX:U),J,0,0 S:G$P3_0$0$0({1}SX:U),J,0,0 S:G$P3_1$0$0({1}SX:U),J,0,0 S:G$P3_2$0$0({1}SX:U),J,0,0 S:G$P3_3$0$0({1}SX:U),J,0,0 S:G$P3_4$0$0({1}SX:U),J,0,0 S:G$P3_5$0$0({1}SX:U),J,0,0 S:G$P3_6$0$0({1}SX:U),J,0,0 S:G$P3_7$0$0({1}SX:U),J,0,0 S:G$PX0$0$0({1}SX:U),J,0,0 S:G$PT0$0$0({1}SX:U),J,0,0 S:G$PX1$0$0({1}SX:U),J,0,0 S:G$PT1$0$0({1}SX:U),J,0,0 S:G$PS0$0$0({1}SX:U),J,0,0 S:G$PS$0$0({1}SX:U),J,0,0 S:G$PT2$0$0({1}SX:U),J,0,0 S:G$SMBTOE$0$0({1}SX:U),J,0,0 S:G$SMBFTE$0$0({1}SX:U),J,0,0 S:G$AA$0$0({1}SX:U),J,0,0 S:G$SI$0$0({1}SX:U),J,0,0 S:G$STO$0$0({1}SX:U),J,0,0 S:G$STA$0$0({1}SX:U),J,0,0 S:G$ENSMB$0$0({1}SX:U),J,0,0 S:G$BUSY$0$0({1}SX:U),J,0,0 S:G$CPRL2$0$0({1}SX:U),J,0,0 S:G$CT2$0$0({1}SX:U),J,0,0 S:G$TR2$0$0({1}SX:U),J,0,0 S:G$EXEN2$0$0({1}SX:U),J,0,0 S:G$TCLK$0$0({1}SX:U),J,0,0 S:G$RCLK$0$0({1}SX:U),J,0,0 S:G$EXF2$0$0({1}SX:U),J,0,0 S:G$TF2$0$0({1}SX:U),J,0,0 S:G$P$0$0({1}SX:U),J,0,0 S:G$F1$0$0({1}SX:U),J,0,0 S:G$OV$0$0({1}SX:U),J,0,0 S:G$RS0$0$0({1}SX:U),J,0,0 S:G$RS1$0$0({1}SX:U),J,0,0 S:G$F0$0$0({1}SX:U),J,0,0 S:G$AC$0$0({1}SX:U),J,0,0 S:G$CY$0$0({1}SX:U),J,0,0 S:G$CCF0$0$0({1}SX:U),J,0,0 S:G$CCF1$0$0({1}SX:U),J,0,0 S:G$CCF2$0$0({1}SX:U),J,0,0 S:G$CCF3$0$0({1}SX:U),J,0,0 S:G$CCF4$0$0({1}SX:U),J,0,0 S:G$CR$0$0({1}SX:U),J,0,0 S:G$CF$0$0({1}SX:U),J,0,0 S:G$ADLJST$0$0({1}SX:U),J,0,0 S:G$AD0LJST$0$0({1}SX:U),J,0,0 S:G$ADWINT$0$0({1}SX:U),J,0,0 S:G$AD0WINT$0$0({1}SX:U),J,0,0 S:G$ADSTM0$0$0({1}SX:U),J,0,0 S:G$AD0CM0$0$0({1}SX:U),J,0,0 S:G$ADSTM1$0$0({1}SX:U),J,0,0 S:G$AD0CM1$0$0({1}SX:U),J,0,0 S:G$ADBUSY$0$0({1}SX:U),J,0,0 S:G$AD0BUSY$0$0({1}SX:U),J,0,0 S:G$ADCINT$0$0({1}SX:U),J,0,0 S:G$AD0INT$0$0({1}SX:U),J,0,0 S:G$ADCTM$0$0({1}SX:U),J,0,0 S:G$AD0TM$0$0({1}SX:U),J,0,0 S:G$ADCEN$0$0({1}SX:U),J,0,0 S:G$AD0EN$0$0({1}SX:U),J,0,0 S:G$SPIEN$0$0({1}SX:U),J,0,0 S:G$MSTEN$0$0({1}SX:U),J,0,0 S:G$SLVSEL$0$0({1}SX:U),J,0,0 S:G$TXBSY$0$0({1}SX:U),J,0,0 S:G$RXOVRN$0$0({1}SX:U),J,0,0 S:G$MODF$0$0({1}SX:U),J,0,0 S:G$WCOL$0$0({1}SX:U),J,0,0 S:G$SPIF$0$0({1}SX:U),J,0,0 S:G$POT$0$0({1}SX:U),J,0,0 S:G$PB0$0$0({1}SX:U),J,0,0 S:G$PB1$0$0({1}SX:U),J,0,0 S:G$PBEnter$0$0({1}SX:U),J,0,0 S:G$PB2$0$0({1}SX:U),J,0,0 S:G$SS$0$0({1}SX:U),J,0,0 S:G$BILED1$0$0({1}SX:U),J,0,0 S:G$BILED2$0$0({1}SX:U),J,0,0 S:G$LED2$0$0({1}SX:U),J,0,0 S:G$LED1$0$0({1}SX:U),J,0,0 S:G$LED0$0$0({1}SX:U),J,0,0 S:G$BUZZER$0$0({1}SX:U),J,0,0 S:G$SYSCLK_Init$0$0({2}DF,SV:S),C,0,0 S:G$UART0_Init$0$0({2}DF,SV:S),C,0,0 S:G$Sys_Init$0$0({2}DF,SV:S),C,0,0 S:G$getchar_nw$0$0({2}DF,SC:U),C,0,0 S:G$_print_format$0$0({2}DF,SI:S),C,0,0 S:G$printf_small$0$0({2}DF,SV:S),C,0,0 S:G$printf$0$0({2}DF,SI:S),C,0,0 S:G$vprintf$0$0({2}DF,SI:S),C,0,0 S:G$sprintf$0$0({2}DF,SI:S),C,0,0 S:G$vsprintf$0$0({2}DF,SI:S),C,0,0 S:G$puts$0$0({2}DF,SI:S),C,0,0 S:G$getchar$0$0({2}DF,SC:U),C,0,0 S:G$putchar$0$0({2}DF,SV:S),C,0,0 S:G$printf_fast$0$0({2}DF,SV:S),C,0,0 S:G$printf_fast_f$0$0({2}DF,SV:S),C,0,0 S:G$printf_tiny$0$0({2}DF,SV:S),C,0,0 S:G$atof$0$0({2}DF,SF:S),C,0,0 S:G$atoi$0$0({2}DF,SI:S),C,0,0 S:G$atol$0$0({2}DF,SL:S),C,0,0 S:G$_uitoa$0$0({2}DF,SV:S),C,0,0 S:G$_itoa$0$0({2}DF,SV:S),C,0,0 S:G$_ultoa$0$0({2}DF,SV:S),C,0,0 S:G$_ltoa$0$0({2}DF,SV:S),C,0,0 S:G$rand$0$0({2}DF,SI:S),C,0,0 S:G$srand$0$0({2}DF,SV:S),C,0,0 S:G$calloc$0$0({2}DF,DX,SV:S),C,0,0 S:G$malloc$0$0({2}DF,DX,SV:S),C,0,0 S:G$realloc$0$0({2}DF,DX,SV:S),C,0,0 S:G$aligned_alloc$0$0({2}DF,DG,SV:S),C,0,0 S:G$free$0$0({2}DF,SV:S),C,0,0 S:G$abs$0$0({2}DF,SI:S),C,0,0 S:G$labs$0$0({2}DF,SL:S),C,0,0 S:G$mblen$0$0({2}DF,SI:S),C,0,0 S:G$mbtowc$0$0({2}DF,SI:S),C,0,0 S:G$wctomb$0$0({2}DF,SI:S),C,0,0 S:G$main$0$0({2}DF,SV:S),C,0,0 S:Flab2$__str_0$0$0({10}DA10d,SC:S),D,0,0 S:Flab2$__str_1$0$0({24}DA24d,SC:S),D,0,0 S:Flab2$__str_2$0$0({33}DA33d,SC:S),D,0,0 S:Flab2$__str_3$0$0({76}DA76d,SC:S),D,0,0 S:Flab2$__str_4$0$0({63}DA63d,SC:S),D,0,0 S:Flab2$__str_5$0$0({60}DA60d,SC:S),D,0,0 S:Flab2$__str_6$0$0({61}DA61d,SC:S),D,0,0 S:Flab2$__str_7$0$0({53}DA53d,SC:S),D,0,0 S:Flab2$__str_8$0$0({52}DA52d,SC:S),D,0,0 S:Flab2$__str_9$0$0({40}DA40d,SC:S),D,0,0 S:Flab2$__str_10$0$0({20}DA20d,SC:S),D,0,0 S:Flab2$__str_11$0$0({59}DA59d,SC:S),D,0,0 S:Flab2$__str_12$0$0({67}DA67d,SC:S),D,0,0 S:Flab2$__str_13$0$0({39}DA39d,SC:S),D,0,0 S:Flab2$__str_14$0$0({13}DA13d,SC:S),D,0,0 S:Flab2$__str_15$0$0({38}DA38d,SC:S),D,0,0 S:Flab2$__str_16$0$0({18}DA18d,SC:S),D,0,0
30.347709
51
0.562839
d07ab218952c3b9957ffacc1433601b7e01127b3
8,684
adb
Ada
applet/aide/source/editors/aide-editor-of_record_type.adb
charlie5/aIDE
fab406dbcd9b72a4cb215ffebb05166c788d6365
[ "MIT" ]
3
2017-04-29T14:25:22.000Z
2017-09-29T10:15:28.000Z
applet/aide/source/editors/aide-editor-of_record_type.adb
charlie5/aIDE
fab406dbcd9b72a4cb215ffebb05166c788d6365
[ "MIT" ]
null
null
null
applet/aide/source/editors/aide-editor-of_record_type.adb
charlie5/aIDE
fab406dbcd9b72a4cb215ffebb05166c788d6365
[ "MIT" ]
null
null
null
with aIDE.Editor.of_record_component, aIDE.GUI, AdaM.record_Component, glib.Error, gtk.Builder, gtk.Handlers; with Ada.Text_IO; use Ada.Text_IO; package body aIDE.Editor.of_record_type is use Gtk.Builder, Glib, glib.Error; -- procedure on_index_type_Button_clicked (the_Entry : access Gtk_Button_Record'Class; -- the_Editor : in aIDE.Editor.of_record_type.view) -- is -- begin -- aIDE.GUI.show_types_Palette (Invoked_by => the_Entry.all'Access, -- Target => the_Editor.Target.main_Type); -- end on_index_type_Button_clicked; procedure on_rid_Button_clicked (the_Button : access Gtk_Button_Record'Class; the_Editor : in aIDE.Editor.of_record_type.view) is pragma Unreferenced (the_Editor); begin the_Button.get_Parent.destroy; end on_rid_Button_clicked; package Entry_return_Callbacks is new Gtk.Handlers.User_Return_Callback (Gtk_Entry_Record, Boolean, AdaM.a_Type.record_type.view); package Button_Callbacks is new Gtk.Handlers.User_Callback (Gtk_Button_Record, aIDE.Editor.of_record_type.view); function on_unconstrained_Label_clicked (the_Label : access Gtk_Label_Record'Class; Self : in aIDE.Editor.of_record_type.view) return Boolean is pragma Unreferenced (the_Label); begin -- Self.Target.is_Constrained; Self.freshen; return False; end on_unconstrained_Label_clicked; function on_constrained_Label_clicked (the_Label : access Gtk_Label_Record'Class; Self : in aIDE.Editor.of_record_type.view) return Boolean is pragma Unreferenced (the_Label); begin -- Self.Target.is_Constrained (Now => False); Self.freshen; return False; end on_constrained_Label_clicked; package Label_return_Callbacks is new Gtk.Handlers.User_Return_Callback (Gtk_Label_Record, Boolean, aIDE.Editor.of_record_type.view); package body Forge is function to_Editor (the_Target : in AdaM.a_Type.record_type.view) return View is use AdaM, Glib; Self : constant Editor.of_record_type.view := new Editor.of_record_type.item; the_Builder : Gtk_Builder; Error : aliased GError; Result : Guint; pragma Unreferenced (Result); begin Self.Target := the_Target; Gtk_New (the_Builder); Result := the_Builder.Add_From_File ("glade/editor/record_type_editor.glade", Error'Access); if Error /= null then raise Program_Error with "Error: adam.Editor.of_record_type ~ " & Get_Message (Error); end if; Self.top_Box := gtk_Box (the_Builder.get_Object ("top_Box")); Self.name_Entry := Gtk_Entry (the_Builder.get_Object ("name_Entry")); Self.is_Label := Gtk_Label (the_Builder.get_Object ("is_Label")); Self.record_Label := Gtk_Label (the_Builder.get_Object ("record_Label")); Self.components_Box := gtk_Box (the_Builder.get_Object ("components_Box")); Self.null_Label := Gtk_Label (the_Builder.get_Object ("null_Label")); Self.end_record_Label := Gtk_Label (the_Builder.get_Object ("end_record_Label")); Self.rid_Button := gtk_Button (the_Builder.get_Object ("rid_Button")); Self.name_Entry.set_Text (String (Self.Target.Name)); -- Entry_return_Callbacks.connect (Self.first_Entry, -- "focus-out-event", -- on_first_Entry_leave'Access, -- the_Target); -- -- Self.last_Entry.set_Text (Self.Target.Last); -- -- Entry_return_Callbacks.connect (Self.last_Entry, -- "focus-out-event", -- on_last_Entry_leave'Access, -- the_Target); -- Self.type_Button.set_Label (+Self.Target.main_Type.Name); -- -- button_Callbacks.connect (Self.type_Button, -- "clicked", -- on_index_type_Button_clicked'Access, -- Self); -- Button_Callbacks.Connect (Self.rid_Button, -- "clicked", -- on_rid_Button_clicked'Access, -- Self); -- Label_return_Callbacks.Connect (Self.unconstrained_Label, -- "button-release-event", -- on_unconstrained_Label_clicked'Access, -- Self); -- -- Label_return_Callbacks.Connect (Self.constrained_Label, -- "button-release-event", -- on_constrained_Label_clicked'Access, -- Self); Self.freshen; return Self; end to_Editor; end Forge; procedure destroy_Callback (Widget : not null access Gtk.Widget.Gtk_Widget_Record'Class) is begin Widget.destroy; end destroy_Callback; overriding procedure freshen (Self : in out Item) is use gtk.Widget; -- the_Literals : AdaM.a_Type.enumeration_literal.vector renames Self.Target.Literals; -- literal_Editor : aIDE.Editor.of_enumeration_literal.view; Children : AdaM.Entity.Entities renames Self.Target.Children.all; begin if Children.is_Empty then Self.null_Label.show; else Self.null_Label.hide; end if; for Each of Children loop declare Child : constant AdaM.record_Component.view := AdaM.record_Component.view (Each); new_Editor : constant aIDE.Editor.of_record_component.view := Editor.of_record_component.Forge.new_Editor (the_target => Child); begin Self.components_Box.pack_Start (new_Editor.top_Widget); end; end loop; -- if Self.is_in_unconstrained_Array -- then -- Self.unconstrained_Label.show; -- -- Self.first_Entry.hide; -- Self.last_Entry.hide; -- Self.range_Label.show; -- Self. constrained_Label.hide; -- else -- Self.unconstrained_Label.hide; -- end if; -- if Self.is_in_unconstrained_Array -- then -- Self.unconstrained_Label.show; -- -- Self.first_Entry.hide; -- Self.last_Entry.hide; -- Self.range_Label.show; -- Self. constrained_Label.hide; -- else -- Self.unconstrained_Label.hide; -- -- if Self.Target.is_Constrained -- then -- Self.range_Label.show; -- Self. constrained_Label.show; -- Self.first_Entry.show; -- Self.last_Entry.show; -- else -- Self.range_Label.hide; -- Self.first_Entry.hide; -- Self.last_Entry.hide; -- Self. constrained_Label.hide; -- Self.unconstrained_Label.hide; -- end if; -- end if; -- Self.first_Entry.set_Text (Self.Target.First); -- Self.last_Entry .set_Text (Self.Target.Last); -- Self.literals_Box.Foreach (destroy_Callback'Access); -- for Each of the_Literals -- loop -- literal_Editor := Editor.of_enumeration_literal.Forge.to_Editor (Each, -- targets_Parent => Self.Target.all'Access); -- Self.literals_Box.pack_Start (literal_Editor.top_Widget); -- end loop; end freshen; overriding function top_Widget (Self : in Item) return gtk.Widget.Gtk_Widget is begin return gtk.Widget.Gtk_Widget (Self.top_Box); end top_Widget; end aIDE.Editor.of_record_type;
33.789883
140
0.544219
18d5c2fb03ec18c47eede3ed401945ccceb93af1
4,359
ads
Ada
arch/ARM/STM32/svd/stm32l5x2/stm32_svd-pka.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
2
2018-05-16T03:56:39.000Z
2019-07-31T13:53:56.000Z
arch/ARM/STM32/svd/stm32l5x2/stm32_svd-pka.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
null
null
null
arch/ARM/STM32/svd/stm32l5x2/stm32_svd-pka.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
null
null
null
-- This spec has been automatically generated from xyzzy pragma Restrictions (No_Elaboration_Code); pragma Ada_2012; pragma Style_Checks (Off); with HAL; with System; package STM32_SVD.PKA is pragma Preelaborate; --------------- -- Registers -- --------------- subtype PKA_CR_MODE_Field is HAL.UInt6; -- PKA control register type PKA_CR_Register is record -- PKA Enable EN : Boolean := False; -- Start the operation START : Boolean := False; -- unspecified Reserved_2_7 : HAL.UInt6 := 16#0#; -- PKA operation code MODE : PKA_CR_MODE_Field := 16#0#; -- unspecified Reserved_14_16 : HAL.UInt3 := 16#0#; -- End of operation interrupt enable PROCENDIE : Boolean := False; -- unspecified Reserved_18_18 : HAL.Bit := 16#0#; -- RAM error interrupt enable RAMERRIE : Boolean := False; -- Address error interrupt enable ADDRERRIE : Boolean := False; -- unspecified Reserved_21_31 : HAL.UInt11 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for PKA_CR_Register use record EN at 0 range 0 .. 0; START at 0 range 1 .. 1; Reserved_2_7 at 0 range 2 .. 7; MODE at 0 range 8 .. 13; Reserved_14_16 at 0 range 14 .. 16; PROCENDIE at 0 range 17 .. 17; Reserved_18_18 at 0 range 18 .. 18; RAMERRIE at 0 range 19 .. 19; ADDRERRIE at 0 range 20 .. 20; Reserved_21_31 at 0 range 21 .. 31; end record; -- PKA status register type PKA_SR_Register is record -- unspecified Reserved_0_15 : HAL.UInt16; -- Read-only. PKA operation in progress BUSY : Boolean; -- Read-only. PKA end of operation flag PROCENDF : Boolean; -- unspecified Reserved_18_18 : HAL.Bit; -- Read-only. PKA ram error flag RAMERRF : Boolean; -- Read-only. address er flag ADDRERRF : Boolean; -- unspecified Reserved_21_31 : HAL.UInt11; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for PKA_SR_Register use record Reserved_0_15 at 0 range 0 .. 15; BUSY at 0 range 16 .. 16; PROCENDF at 0 range 17 .. 17; Reserved_18_18 at 0 range 18 .. 18; RAMERRF at 0 range 19 .. 19; ADDRERRF at 0 range 20 .. 20; Reserved_21_31 at 0 range 21 .. 31; end record; -- PKA clear flag register type PKA_CLRFR_Register is record -- unspecified Reserved_0_16 : HAL.UInt17 := 16#0#; -- Write-only. clear PKA end of operation flag PROCENDFC : Boolean := False; -- unspecified Reserved_18_18 : HAL.Bit := 16#0#; -- Write-only. CLEAR PKA RAM ERROR FLAG RAMERRFC : Boolean := False; -- Write-only. clear address error flag ADDRERRFC : Boolean := False; -- unspecified Reserved_21_31 : HAL.UInt11 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for PKA_CLRFR_Register use record Reserved_0_16 at 0 range 0 .. 16; PROCENDFC at 0 range 17 .. 17; Reserved_18_18 at 0 range 18 .. 18; RAMERRFC at 0 range 19 .. 19; ADDRERRFC at 0 range 20 .. 20; Reserved_21_31 at 0 range 21 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- PKA type PKA_Peripheral is record -- PKA control register PKA_CR : aliased PKA_CR_Register; -- PKA status register PKA_SR : aliased PKA_SR_Register; -- PKA clear flag register PKA_CLRFR : aliased PKA_CLRFR_Register; end record with Volatile; for PKA_Peripheral use record PKA_CR at 16#0# range 0 .. 31; PKA_SR at 16#4# range 0 .. 31; PKA_CLRFR at 16#8# range 0 .. 31; end record; -- PKA PKA_Periph : aliased PKA_Peripheral with Import, Address => PKA_Base; -- PKA SEC_PKA_Periph : aliased PKA_Peripheral with Import, Address => SEC_PKA_Base; end STM32_SVD.PKA;
30.062069
57
0.57995
d01993592625328ea4f53b07d3f982e0711a5134
853
ads
Ada
src/databatch.ads
sebsgit/textproc
2f12d6a030425e937ee0c6a67dcff6828fc1331f
[ "MIT" ]
null
null
null
src/databatch.ads
sebsgit/textproc
2f12d6a030425e937ee0c6a67dcff6828fc1331f
[ "MIT" ]
null
null
null
src/databatch.ads
sebsgit/textproc
2f12d6a030425e937ee0c6a67dcff6828fc1331f
[ "MIT" ]
null
null
null
with Ada.Containers.Vectors; use Ada.Containers; with MathUtils; package DataBatch is pragma Assertion_Policy (Pre => Check, Post => Check, Type_Invariant => Check); package VecPkg is new Ada.Containers.Vectors(Index_Type => Positive, Element_Type => MathUtils.Vector, "=" => MathUtils.Float_Vec."="); type Batch is tagged limited record data: VecPkg.Vector; end record; function size(b: in Batch) return Natural; procedure reserve(b: in out Batch; count: Positive); procedure randomize(b: in out Batch); procedure append(b: in out Batch; vec: MathUtils.Vector); function contains(b: in Batch; vec: in MathUtils.Vector) return Boolean; end DataBatch;
34.12
89
0.586166
dcdc5b793d4d852eccd9ef5cfc263760c7450da2
52
ads
Ada
software/hal/old/hal.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
12
2017-06-08T14:19:57.000Z
2022-03-09T02:48:59.000Z
software/hal/old/hal.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
6
2017-06-08T13:13:50.000Z
2020-05-15T09:32:43.000Z
software/hal/old/hal.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
3
2017-06-30T14:05:06.000Z
2022-02-17T12:20:45.000Z
package HAL is pragma Preelaborate; end HAL;
6.5
23
0.692308
18f7a91b472d6969b7bb3d37815a69093074a1e0
2,839
adb
Ada
source/strings/a-chasha.adb
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
33
2015-04-04T09:19:36.000Z
2021-11-10T05:33:34.000Z
source/strings/a-chasha.adb
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
8
2017-11-14T13:05:07.000Z
2018-08-09T15:28:49.000Z
source/strings/a-chasha.adb
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
9
2015-02-03T17:09:53.000Z
2021-11-12T01:16:05.000Z
package body Ada.Characters.ASCII.Handling is function Is_Control (Item : Character) return Boolean is begin return Item in Character'Val (0) .. Character'Val (16#1f#) or else Item = Character'Val (16#7f#); end Is_Control; function Is_Graphic (Item : Character) return Boolean is begin return Item in Character'Val (16#20#) .. Character'Val (16#7e#); end Is_Graphic; function Is_Letter (Item : Character) return Boolean is begin return Item in 'A' .. 'Z' or else Item in 'a' .. 'z'; end Is_Letter; function Is_Lower (Item : Character) return Boolean is begin return Item in 'a' .. 'z'; end Is_Lower; function Is_Upper (Item : Character) return Boolean is begin return Item in 'A' .. 'Z'; end Is_Upper; function Is_Digit (Item : Character) return Boolean is begin return Item in '0' .. '9'; end Is_Digit; function Is_Hexadecimal_Digit (Item : Character) return Boolean is begin return Item in '0' .. '9' or else Item in 'A' .. 'F' or else Item in 'a' .. 'f'; end Is_Hexadecimal_Digit; function Is_Alphanumeric (Item : Character) return Boolean is begin return Item in '0' .. '9' or else Item in 'A' .. 'Z' or else Item in 'a' .. 'z'; end Is_Alphanumeric; function Is_Special (Item : Character) return Boolean is begin return Item in Character'Val (16#20#) .. '/' or else Item in ':' .. '@' or else Item in '[' .. '`' or else Item in '{' .. '~'; end Is_Special; function To_Lower (Item : Character) return Character is begin if Item in 'A' .. 'Z' then return Character'Val (Character'Pos (Item) + 16#20#); else return Item; end if; end To_Lower; function To_Upper (Item : Character) return Character is begin if Item in 'a' .. 'z' then return Character'Val (Character'Pos (Item) - 16#20#); else return Item; end if; end To_Upper; function To_Basic (Item : Character) return Character is begin return Item; end To_Basic; function To_Lower (Item : String) return String is Length : constant Natural := Item'Length; begin return Result : String (1 .. Length) do for I in 0 .. Length - 1 loop Result (Result'First + I) := To_Lower (Item (Item'First + I)); end loop; end return; end To_Lower; function To_Upper (Item : String) return String is Length : constant Natural := Item'Length; begin return Result : String (1 .. Length) do for I in 0 .. Length - 1 loop Result (Result'First + I) := To_Upper (Item (Item'First + I)); end loop; end return; end To_Upper; end Ada.Characters.ASCII.Handling;
28.108911
74
0.599859
4a35726f1d6a94f4511edb98b0c34bdd5124bb1f
1,707
adb
Ada
module-06/src/oedipus-elementary_functions.adb
morellam-dev/cs-4308-concepts-of-programming-languages
d11f78726dd02bea9b569c7c6b8669e8897631b2
[ "MIT" ]
null
null
null
module-06/src/oedipus-elementary_functions.adb
morellam-dev/cs-4308-concepts-of-programming-languages
d11f78726dd02bea9b569c7c6b8669e8897631b2
[ "MIT" ]
null
null
null
module-06/src/oedipus-elementary_functions.adb
morellam-dev/cs-4308-concepts-of-programming-languages
d11f78726dd02bea9b569c7c6b8669e8897631b2
[ "MIT" ]
null
null
null
-- FILE: oedipus-elementary_functions.adb LICENSE: MIT © 2021 Mae Morella with Oedipus; use Oedipus; package body Oedipus.Elementary_Functions is function "+" (C1, C2 : in Complex) return Complex is Sum : Complex := Create_Complex (Get_Real (C1) + Get_Real (C2), Get_Imaginary (C1) + Get_Imaginary (C2)); begin return Sum; end "+"; function "-" (C : in Complex) return Complex is Neg : Complex := Create_Complex (-Get_Real (C), -Get_Imaginary (C)); begin return Neg; end "-"; function "+" (C : in Complex) return Complex is begin return C; end "+"; function "-" (C1, C2 : in Complex) return Complex is begin -- Depends on "+" and "-" operation return C1 + (-C2); end "-"; function "*" (C1, C2 : in Complex) return Complex is Prod : Complex := Create_Complex (Get_Real (C1) * Get_Real (C2) - Get_Imaginary (C1) * Get_Imaginary (C2), Get_Real (C1) * Get_Imaginary (C2) + Get_Imaginary (C1) * Get_Real (C2)); begin return Prod; end "*"; function Reciprocal (C : in Complex) return Complex is Denom : Float := Get_Real (C) * Get_Real (C) + Get_Imaginary (C) * Get_Imaginary (C); Recip : Complex := Create_Complex (A => Get_Real (C) / Denom, B => -Get_Imaginary (C) / Denom); begin if Denom = 0.0 then raise Div_By_Zero with "Cannot take reciprocal of 0.0 + 0.0i"; end if; return Recip; end Reciprocal; function "/" (C1, C2 : in Complex) return Complex is begin return C1 * Reciprocal (C2); end "/"; end Oedipus.Elementary_Functions;
27.095238
76
0.581722
c505ecc7a9da076e8276009bbc8c5d58ac007e91
2,250
ada
Ada
Task/Dining-philosophers/Ada/dining-philosophers-3.ada
mullikine/RosettaCodeData
4f0027c6ce83daa36118ee8b67915a13cd23ab67
[ "Info-ZIP" ]
1
2018-11-09T22:08:38.000Z
2018-11-09T22:08:38.000Z
Task/Dining-philosophers/Ada/dining-philosophers-3.ada
mullikine/RosettaCodeData
4f0027c6ce83daa36118ee8b67915a13cd23ab67
[ "Info-ZIP" ]
null
null
null
Task/Dining-philosophers/Ada/dining-philosophers-3.ada
mullikine/RosettaCodeData
4f0027c6ce83daa36118ee8b67915a13cd23ab67
[ "Info-ZIP" ]
1
2018-11-09T22:08:40.000Z
2018-11-09T22:08:40.000Z
with Ada.Numerics.Float_Random; use Ada.Numerics.Float_Random; with Ada.Text_IO; use Ada.Text_IO; procedure Test_Dining_Philosophers is type Philosopher is (Aristotle, Kant, Spinoza, Marx, Russel); protected type Fork is entry Grab; procedure Put_Down; private Seized : Boolean := False; end Fork; protected Host is entry Greet; procedure Farewell; private Guests : Natural := 0; end Host; protected body Fork is entry Grab when not Seized is begin Seized := True; end Grab; procedure Put_Down is begin Seized := False; end Put_Down; end Fork; protected body Host is entry Greet when Guests < 5 is begin Guests := Guests + 1; end Greet; procedure Farewell is begin Guests := Guests - 1; end Farewell; end Host; Life_Span : constant := 20; -- In his life a philosopher eats 20 times task type Person (ID : Philosopher; Left, Right : not null access Fork); task body Person is Dice : Generator; begin Reset (Dice); for Life_Cycle in 1..Life_Span loop Put_Line (Philosopher'Image (ID) & " is thinking"); delay Duration (Random (Dice) * 0.100); Put_Line (Philosopher'Image (ID) & " is hungry"); Host.Greet; Left.Grab; Right.Grab; Put_Line (Philosopher'Image (ID) & " is eating"); delay Duration (Random (Dice) * 0.100); Left.Put_Down; Right.Put_Down; Host.Farewell; end loop; Put_Line (Philosopher'Image (ID) & " is leaving"); end Person; Forks : array (1..5) of aliased Fork; -- Forks for hungry philosophers -- Start philosophers Ph_1 : Person (Aristotle, Forks (1)'Access, Forks (2)'Access); Ph_2 : Person (Kant, Forks (2)'Access, Forks (3)'Access); Ph_3 : Person (Spinoza, Forks (3)'Access, Forks (4)'Access); Ph_4 : Person (Marx, Forks (4)'Access, Forks (5)'Access); Ph_5 : Person (Russel, Forks (5)'Access, Forks (1)'Access); begin null; -- Nothing to do in the main task, just sit and behold end Test_Dining_Philosophers;
29.605263
76
0.596444
18773f848a287d20aad75624f7545d2cde0b04a2
3,737
ada
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/ce/ce3402a.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/ce/ce3402a.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/ce/ce3402a.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- CE3402A.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- OBJECTIVE: -- CHECK THAT NEW_LINE RAISES MODE_ERROR WHEN THE FILE MODE -- IS IN_FILE. -- APPLICABILITY CRITERIA: -- THIS TEST IS APPLICABLE ONLY TO IMPLEMENTATIONS WHICH SUPPORT -- TEXT FILES. -- HISTORY: -- ABW 08/26/82 -- SPS 09/16/82 -- TBN 11/04/86 REVISED TEST TO OUTPUT A NON_APPLICABLE -- RESULT WHEN FILES ARE NOT SUPPORTED. -- DWC 08/19/87 ADDED ATTEMPT TO DELETE THE FILE AND REPLACED -- RESET WITH CLOSE AND OPEN. WITH REPORT; USE REPORT; WITH TEXT_IO; USE TEXT_IO; PROCEDURE CE3402A IS INCOMPLETE : EXCEPTION; FILE1 : FILE_TYPE; SPAC : CONSTANT POSITIVE_COUNT := POSITIVE_COUNT (IDENT_INT(1)); BEGIN TEST ("CE3402A" , "CHECK THAT NEW_LINE RAISES MODE_ERROR " & "WHEN THE FILE MODE IS IN_FILE"); BEGIN CREATE (FILE1, OUT_FILE, LEGAL_FILE_NAME); EXCEPTION WHEN USE_ERROR => NOT_APPLICABLE ("USE_ERROR RAISED; TEXT CREATE " & "WITH OUT_FILE MODE"); RAISE INCOMPLETE; WHEN NAME_ERROR => NOT_APPLICABLE ("NAME_ERROR RAISED; TEXT CREATE " & "WITH OUT_FILE MODE"); RAISE INCOMPLETE; WHEN OTHERS => FAILED ("UNEXPECTED EXCEPTION RAISED; TEXT CREATE"); RAISE INCOMPLETE; END; BEGIN PUT_LINE (FILE1, "STUFF"); CLOSE (FILE1); OPEN (FILE1, IN_FILE, LEGAL_FILE_NAME); EXCEPTION WHEN USE_ERROR => NOT_APPLICABLE ("USE_ERROR RAISED FOR OPEN " & "WITH IN_FILE MODE"); RAISE INCOMPLETE; END; BEGIN NEW_LINE (FILE1,SPAC); FAILED ("MODE_ERROR NOT RAISED FOR IN_FILE"); EXCEPTION WHEN MODE_ERROR => NULL; WHEN OTHERS => FAILED ("WRONG EXCEPTION RAISED FOR IN_FILE"); END; BEGIN NEW_LINE (STANDARD_INPUT,SPAC); FAILED ("MODE_ERROR NOT RAISED FOR STANDARD_INPUT"); EXCEPTION WHEN MODE_ERROR => NULL; WHEN OTHERS => FAILED ("WRONG EXCEPTION RAISED FOR STANDARD_INPUT"); END; BEGIN DELETE (FILE1); EXCEPTION WHEN USE_ERROR => NULL; END; RESULT; EXCEPTION WHEN INCOMPLETE => RESULT; END CE3402A;
31.669492
79
0.588975
d0fd3d319df72e2c220b19280eaad08fcff1d784
956
adb
Ada
gnu/src/gdb/gdb/testsuite/gdb.ada/whatis_array_val/foo.adb
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
gnu/src/gdb/gdb/testsuite/gdb.ada/whatis_array_val/foo.adb
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
gnu/src/gdb/gdb/testsuite/gdb.ada/whatis_array_val/foo.adb
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
-- Copyright 2012-2015 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with Pck; use Pck; procedure Foo is type Color is (Black, Red, Green, Blue, White); type Full_Table is array (Color) of Integer; Full : Full_Table := (144, 233, 377, 610, 987); begin Do_Nothing (Full'Address); -- STOP end Foo;
36.769231
73
0.719665
d0616b2221528d2de51c263edb4d5d59be6e7c22
3,456
ads
Ada
tools/scitools/conf/understand/ada/ada05/s-wchwts.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
1
2020-01-20T21:26:46.000Z
2020-01-20T21:26:46.000Z
tools/scitools/conf/understand/ada/ada05/s-wchwts.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
null
null
null
tools/scitools/conf/understand/ada/ada05/s-wchwts.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M . W C H _ W T S -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2005, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- -- -- -- -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package contains the routine used to convert wide strings and wide -- wide stringsto strings for use by wide and wide wide character attributes -- (value, image etc.) and also by the numeric IO subpackages of -- Ada.Text_IO.Wide_Text_IO and Ada.Text_IO.Wide_Wide_Text_IO. with System.WCh_Con; package System.WCh_WtS is pragma Pure; function Wide_String_To_String (S : Wide_String; EM : System.WCh_Con.WC_Encoding_Method) return String; -- This routine simply takes its argument and converts it to a string, -- using the internal compiler escape sequence convention (defined in -- package Widechar) to translate characters that are out of range -- of type String. In the context of the Wide_Value attribute, the -- argument is the original attribute argument, and the result is used -- in a call to the corresponding Value attribute function. If the method -- for encoding is a shift-in, shift-out convention, then it is assumed -- that normal (non-wide character) mode holds at the start and end of -- the result string. EM indicates the wide character encoding method. -- Note: in the WCEM_Brackets case, we only use the brackets encoding -- for characters greater than 16#FF#. function Wide_Wide_String_To_String (S : Wide_Wide_String; EM : System.WCh_Con.WC_Encoding_Method) return String; -- Same processing, except for Wide_Wide_String end System.WCh_WtS;
53.169231
78
0.521701
d03b9401c37884373561d664c7edf85193618330
11,632
adb
Ada
samples/client/petstore/ada/src/model/samples-petstore-models.adb
pcoltau/openapi-generator
00abb4780c4ac8cfde9301071b653f062555cc79
[ "Apache-2.0" ]
3
2020-01-24T13:34:52.000Z
2021-12-07T17:45:15.000Z
samples/client/petstore/ada/src/model/samples-petstore-models.adb
pcoltau/openapi-generator
00abb4780c4ac8cfde9301071b653f062555cc79
[ "Apache-2.0" ]
10
2019-06-28T09:01:45.000Z
2022-02-26T12:19:16.000Z
samples/client/petstore/ada/src/model/samples-petstore-models.adb
pcoltau/openapi-generator
00abb4780c4ac8cfde9301071b653f062555cc79
[ "Apache-2.0" ]
4
2019-04-08T17:06:09.000Z
2020-06-09T18:16:08.000Z
-- OpenAPI Petstore -- This is a sample server Petstore server. For this sample, you can use the api key `special_key` to test the authorization filters. -- -- OpenAPI spec version: 1.0.0 -- -- -- NOTE: This package is auto generated by OpenAPI-Generator 4.0.0-SNAPSHOT. -- https://openapi-generator.tech -- Do not edit the class manually. package body Samples.Petstore.Models is use Swagger.Streams; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ApiResponseType) is begin Into.Start_Entity (Name); Into.Write_Entity ("code", Value.Code); Into.Write_Entity ("type", Value.P_Type); Into.Write_Entity ("message", Value.Message); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ApiResponseType_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ApiResponseType) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "code", Value.Code); Swagger.Streams.Deserialize (Object, "type", Value.P_Type); Swagger.Streams.Deserialize (Object, "message", Value.Message); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ApiResponseType_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : ApiResponseType; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in TagType) is begin Into.Start_Entity (Name); Serialize (Into, "id", Value.Id); Into.Write_Entity ("name", Value.Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in TagType_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out TagType) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "id", Value.Id); Swagger.Streams.Deserialize (Object, "name", Value.Name); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out TagType_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : TagType; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in CategoryType) is begin Into.Start_Entity (Name); Serialize (Into, "id", Value.Id); Into.Write_Entity ("name", Value.Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in CategoryType_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out CategoryType) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "id", Value.Id); Swagger.Streams.Deserialize (Object, "name", Value.Name); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out CategoryType_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : CategoryType; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PetType) is begin Into.Start_Entity (Name); Serialize (Into, "id", Value.Id); Serialize (Into, "category", Value.Category); Into.Write_Entity ("name", Value.Name); Serialize (Into, "photoUrls", Value.Photo_Urls); Serialize (Into, "tags", Value.Tags); Into.Write_Entity ("status", Value.Status); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PetType_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PetType) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "id", Value.Id); Swagger.Streams.Deserialize (Object, "category", Value.Category); Swagger.Streams.Deserialize (Object, "name", Value.Name); Swagger.Streams.Deserialize (Object, "photoUrls", Value.Photo_Urls); Swagger.Streams.Deserialize (Object, "tags", Value.Tags); Swagger.Streams.Deserialize (Object, "status", Value.Status); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PetType_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : PetType; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in UserType) is begin Into.Start_Entity (Name); Serialize (Into, "id", Value.Id); Into.Write_Entity ("username", Value.Username); Into.Write_Entity ("firstName", Value.First_Name); Into.Write_Entity ("lastName", Value.Last_Name); Into.Write_Entity ("email", Value.Email); Into.Write_Entity ("password", Value.Password); Into.Write_Entity ("phone", Value.Phone); Into.Write_Entity ("userStatus", Value.User_Status); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in UserType_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out UserType) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "id", Value.Id); Swagger.Streams.Deserialize (Object, "username", Value.Username); Swagger.Streams.Deserialize (Object, "firstName", Value.First_Name); Swagger.Streams.Deserialize (Object, "lastName", Value.Last_Name); Swagger.Streams.Deserialize (Object, "email", Value.Email); Swagger.Streams.Deserialize (Object, "password", Value.Password); Swagger.Streams.Deserialize (Object, "phone", Value.Phone); Swagger.Streams.Deserialize (Object, "userStatus", Value.User_Status); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out UserType_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : UserType; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderType) is begin Into.Start_Entity (Name); Serialize (Into, "id", Value.Id); Serialize (Into, "petId", Value.Pet_Id); Into.Write_Entity ("quantity", Value.Quantity); Into.Write_Entity ("shipDate", Value.Ship_Date); Into.Write_Entity ("status", Value.Status); Into.Write_Entity ("complete", Value.Complete); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderType_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderType) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "id", Value.Id); Swagger.Streams.Deserialize (Object, "petId", Value.Pet_Id); Swagger.Streams.Deserialize (Object, "quantity", Value.Quantity); Swagger.Streams.Deserialize (Object, "shipDate", Value.Ship_Date); Swagger.Streams.Deserialize (Object, "status", Value.Status); Swagger.Streams.Deserialize (Object, "complete", Value.Complete); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderType_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderType; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; end Samples.Petstore.Models;
34.619048
134
0.599553
18d68d2d31afa1c36b4d94655521a014bab756a7
5,543
adb
Ada
src/asis/asis-extensions-iterator.adb
jquorning/dynamo
10d68571476c270b8e45a9c5ef585fa9139b0d05
[ "Apache-2.0" ]
15
2015-01-18T23:04:19.000Z
2022-03-01T20:27:08.000Z
src/asis/asis-extensions-iterator.adb
jquorning/dynamo
10d68571476c270b8e45a9c5ef585fa9139b0d05
[ "Apache-2.0" ]
16
2018-06-10T07:09:30.000Z
2022-03-26T18:28:40.000Z
src/asis/asis-extensions-iterator.adb
jquorning/dynamo
10d68571476c270b8e45a9c5ef585fa9139b0d05
[ "Apache-2.0" ]
3
2015-11-11T18:00:14.000Z
2022-01-30T23:08:45.000Z
------------------------------------------------------------------------------ -- -- -- ASIS-for-GNAT IMPLEMENTATION COMPONENTS -- -- -- -- A S I S . E X T E N S I O N S . I T E R A T O R -- -- -- -- B o d y -- -- -- -- Copyright (c) 2003-2006, Free Software Foundation, Inc. -- -- -- -- ASIS-for-GNAT is free software; you can redistribute it and/or modify it -- -- under terms of the GNU General Public License as published by the Free -- -- Software Foundation; either version 2, or (at your option) any later -- -- version. ASIS-for-GNAT is distributed in the hope that it will be use- -- -- ful, but WITHOUT ANY WARRANTY; without even the implied warranty of MER- -- -- CHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General -- -- Public License for more details. You should have received a copy of the -- -- GNU General Public License distributed with ASIS-for-GNAT; see file -- -- COPYING. If not, write to the Free Software Foundation, 51 Franklin -- -- Street, Fifth Floor, Boston, MA 02110-1301, USA. -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- ASIS-for-GNAT was originally developed by the ASIS-for-GNAT team at the -- -- Software Engineering Laboratory of the Swiss Federal Institute of -- -- Technology (LGL-EPFL) in Lausanne, Switzerland, in cooperation with the -- -- Scientific Research Computer Center of Moscow State University (SRCC -- -- MSU), Russia, with funding partially provided by grants from the Swiss -- -- National Science Foundation and the Swiss Academy of Engineering -- -- Sciences. ASIS-for-GNAT is now maintained by AdaCore -- -- (http://www.adacore.com). -- -- -- ------------------------------------------------------------------------------ with Asis.Compilation_Units; use Asis.Compilation_Units; with Asis.Elements; use Asis.Elements; with Asis.Errors; use Asis.Errors; with Asis.Exceptions; use Asis.Exceptions; with Asis.Iterator; use Asis.Iterator; with A4G.Vcheck; use A4G.Vcheck; package body Asis.Extensions.Iterator is Package_Name : constant String := "Asis.Extensions.Iterator."; ------------------- -- Traverse_Unit -- ------------------- procedure Traverse_Unit (Unit : Asis.Compilation_Unit; Control : in out Traverse_Control; State : in out State_Information) is Arg_Kind : constant Unit_Kinds := Unit_Kind (Unit); procedure Process_Element is new Asis.Iterator.Traverse_Element (State_Information => State_Information, Pre_Operation => Pre_Operation, Post_Operation => Post_Operation); begin Check_Validity (Unit, Package_Name & "Control"); if not (Arg_Kind in A_Procedure .. A_Protected_Body_Subunit) then Raise_ASIS_Inappropriate_Compilation_Unit (Package_Name & "Traverse_Unit"); end if; declare Cont_Clause_Elements : constant Element_List := Asis.Elements.Context_Clause_Elements (Compilation_Unit => Unit, Include_Pragmas => True); Unit_Element : constant Asis.Element := Asis.Elements.Unit_Declaration (Unit); begin for I in Cont_Clause_Elements'Range loop Process_Element (Cont_Clause_Elements (I), Control, State); end loop; Process_Element (Unit_Element, Control, State); end; exception when ASIS_Inappropriate_Compilation_Unit => raise; when ASIS_Inappropriate_Context | ASIS_Inappropriate_Container | ASIS_Inappropriate_Element | ASIS_Inappropriate_Line | ASIS_Inappropriate_Line_Number => Add_Call_Information (Outer_Call => Package_Name & "Traverse_Unit"); raise; when ASIS_Failed => if Status_Indicator = Unhandled_Exception_Error then Add_Call_Information (Outer_Call => Package_Name & "Traverse_Unit"); end if; raise; when Ex : others => Report_ASIS_Bug (Query_Name => Package_Name & "Traverse_Unit", Ex => Ex, Arg_CU => Unit); end Traverse_Unit; end Asis.Extensions.Iterator;
45.065041
78
0.472127
cb2e3524ef8781f6e2ed2a8d5f400dfaa079d428
16,238
adb
Ada
llvm-gcc-4.2-2.9/gcc/ada/fmap.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
llvm-gcc-4.2-2.9/gcc/ada/fmap.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
llvm-gcc-4.2-2.9/gcc/ada/fmap.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- F M A P -- -- -- -- B o d y -- -- -- -- Copyright (C) 2001-2005, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with GNAT.OS_Lib; use GNAT.OS_Lib; with Namet; use Namet; with Opt; use Opt; with Osint; use Osint; with Output; use Output; with Table; with Unchecked_Conversion; with GNAT.HTable; package body Fmap is subtype Big_String is String (Positive); type Big_String_Ptr is access all Big_String; function To_Big_String_Ptr is new Unchecked_Conversion (Source_Buffer_Ptr, Big_String_Ptr); Max_Buffer : constant := 1_500; Buffer : String (1 .. Max_Buffer); -- Used to bufferize output when writing to a new mapping file Buffer_Last : Natural := 0; -- Index of last valid character in Buffer type Mapping is record Uname : Unit_Name_Type; Fname : File_Name_Type; end record; package File_Mapping is new Table.Table ( Table_Component_Type => Mapping, Table_Index_Type => Int, Table_Low_Bound => 0, Table_Initial => 1_000, Table_Increment => 1_000, Table_Name => "Fmap.File_Mapping"); -- Mapping table to map unit names to file names package Path_Mapping is new Table.Table ( Table_Component_Type => Mapping, Table_Index_Type => Int, Table_Low_Bound => 0, Table_Initial => 1_000, Table_Increment => 1_000, Table_Name => "Fmap.Path_Mapping"); -- Mapping table to map file names to path names type Header_Num is range 0 .. 1_000; function Hash (F : Unit_Name_Type) return Header_Num; -- Function used to compute hash of unit name No_Entry : constant Int := -1; -- Signals no entry in following table package Unit_Hash_Table is new GNAT.HTable.Simple_HTable ( Header_Num => Header_Num, Element => Int, No_Element => No_Entry, Key => Unit_Name_Type, Hash => Hash, Equal => "="); -- Hash table to map unit names to file names. Used in conjunction with -- table File_Mapping above. package File_Hash_Table is new GNAT.HTable.Simple_HTable ( Header_Num => Header_Num, Element => Int, No_Element => No_Entry, Key => File_Name_Type, Hash => Hash, Equal => "="); -- Hash table to map file names to path names. Used in conjunction with -- table Path_Mapping above. Last_In_Table : Int := 0; package Forbidden_Names is new GNAT.HTable.Simple_HTable ( Header_Num => Header_Num, Element => Boolean, No_Element => False, Key => File_Name_Type, Hash => Hash, Equal => "="); ----------------------------- -- Add_Forbidden_File_Name -- ----------------------------- procedure Add_Forbidden_File_Name (Name : Name_Id) is begin Forbidden_Names.Set (Name, True); end Add_Forbidden_File_Name; --------------------- -- Add_To_File_Map -- --------------------- procedure Add_To_File_Map (Unit_Name : Unit_Name_Type; File_Name : File_Name_Type; Path_Name : File_Name_Type) is begin File_Mapping.Increment_Last; Unit_Hash_Table.Set (Unit_Name, File_Mapping.Last); File_Mapping.Table (File_Mapping.Last) := (Uname => Unit_Name, Fname => File_Name); Path_Mapping.Increment_Last; File_Hash_Table.Set (File_Name, Path_Mapping.Last); Path_Mapping.Table (Path_Mapping.Last) := (Uname => Unit_Name, Fname => Path_Name); end Add_To_File_Map; ---------- -- Hash -- ---------- function Hash (F : Unit_Name_Type) return Header_Num is begin return Header_Num (Int (F) rem Header_Num'Range_Length); end Hash; ---------------- -- Initialize -- ---------------- procedure Initialize (File_Name : String) is Src : Source_Buffer_Ptr; Hi : Source_Ptr; BS : Big_String_Ptr; SP : String_Ptr; First : Positive := 1; Last : Natural := 0; Uname : Unit_Name_Type; Fname : Name_Id; Pname : Name_Id; The_Mapping : Mapping; procedure Empty_Tables (Warning : Boolean := True); -- Remove all entries in case of incorrect mapping file function Find_Name return Name_Id; -- Return Error_Name for "/", otherwise call Name_Find procedure Get_Line; -- Get a line from the mapping file procedure Report_Truncated; -- Report a warning when the mapping file is truncated -- (number of lines is not a multiple of 3). ------------------ -- Empty_Tables -- ------------------ procedure Empty_Tables (Warning : Boolean := True) is begin if Warning then Write_Str ("mapping file """); Write_Str (File_Name); Write_Line (""" is not taken into account"); end if; Unit_Hash_Table.Reset; File_Hash_Table.Reset; Path_Mapping.Set_Last (0); File_Mapping.Set_Last (0); Last_In_Table := 0; end Empty_Tables; -------------- -- Get_Line -- -------------- procedure Get_Line is use ASCII; begin First := Last + 1; -- If not at the end of file, skip the end of line while First < SP'Last and then (SP (First) = CR or else SP (First) = LF or else SP (First) = EOF) loop First := First + 1; end loop; -- If not at the end of file, find the end of this new line if First < SP'Last and then SP (First) /= EOF then Last := First; while Last < SP'Last and then SP (Last + 1) /= CR and then SP (Last + 1) /= LF and then SP (Last + 1) /= EOF loop Last := Last + 1; end loop; end if; end Get_Line; --------------- -- Find_Name -- --------------- function Find_Name return Name_Id is begin if Name_Buffer (1 .. Name_Len) = "/" then return Error_Name; else return Name_Find; end if; end Find_Name; ---------------------- -- Report_Truncated -- ---------------------- procedure Report_Truncated is begin Write_Str ("warning: mapping file """); Write_Str (File_Name); Write_Line (""" is truncated"); end Report_Truncated; -- Start of procedure Initialize begin Empty_Tables (Warning => False); Name_Len := File_Name'Length; Name_Buffer (1 .. Name_Len) := File_Name; Read_Source_File (Name_Enter, 0, Hi, Src, Config); if Src = null then Write_Str ("warning: could not read mapping file """); Write_Str (File_Name); Write_Line (""""); else BS := To_Big_String_Ptr (Src); SP := BS (1 .. Natural (Hi))'Unrestricted_Access; loop -- Get the unit name Get_Line; -- Exit if end of file has been reached exit when First > Last; if (Last < First + 2) or else (SP (Last - 1) /= '%') or else (SP (Last) /= 's' and then SP (Last) /= 'b') then Write_Str ("warning: mapping file """); Write_Str (File_Name); Write_Line (""" is incorrectly formatted"); Empty_Tables; return; end if; Name_Len := Last - First + 1; Name_Buffer (1 .. Name_Len) := SP (First .. Last); Uname := Find_Name; -- Get the file name Get_Line; -- If end of line has been reached, file is truncated if First > Last then Report_Truncated; Empty_Tables; return; end if; Name_Len := Last - First + 1; Name_Buffer (1 .. Name_Len) := SP (First .. Last); Canonical_Case_File_Name (Name_Buffer (1 .. Name_Len)); Fname := Find_Name; -- Get the path name Get_Line; -- If end of line has been reached, file is truncated if First > Last then Report_Truncated; Empty_Tables; return; end if; Name_Len := Last - First + 1; Name_Buffer (1 .. Name_Len) := SP (First .. Last); Pname := Find_Name; -- Check for duplicate entries if Unit_Hash_Table.Get (Uname) /= No_Entry then Write_Str ("warning: duplicate entry """); Write_Str (Get_Name_String (Uname)); Write_Str (""" in mapping file """); Write_Str (File_Name); Write_Line (""""); The_Mapping := File_Mapping.Table (Unit_Hash_Table.Get (Uname)); Write_Line (Get_Name_String (The_Mapping.Uname)); Write_Line (Get_Name_String (The_Mapping.Fname)); Empty_Tables; return; end if; if File_Hash_Table.Get (Fname) /= No_Entry then Write_Str ("warning: duplicate entry """); Write_Str (Get_Name_String (Fname)); Write_Str (""" in mapping file """); Write_Str (File_Name); Write_Line (""""); The_Mapping := Path_Mapping.Table (File_Hash_Table.Get (Fname)); Write_Line (Get_Name_String (The_Mapping.Uname)); Write_Line (Get_Name_String (The_Mapping.Fname)); Empty_Tables; return; end if; -- Add the mappings for this unit name Add_To_File_Map (Uname, Fname, Pname); end loop; end if; -- Record the length of the two mapping tables Last_In_Table := File_Mapping.Last; end Initialize; ---------------------- -- Mapped_File_Name -- ---------------------- function Mapped_File_Name (Unit : Unit_Name_Type) return File_Name_Type is The_Index : constant Int := Unit_Hash_Table.Get (Unit); begin if The_Index = No_Entry then return No_File; else return File_Mapping.Table (The_Index).Fname; end if; end Mapped_File_Name; ---------------------- -- Mapped_Path_Name -- ---------------------- function Mapped_Path_Name (File : File_Name_Type) return File_Name_Type is Index : Int := No_Entry; begin if Forbidden_Names.Get (File) then return Error_Name; end if; Index := File_Hash_Table.Get (File); if Index = No_Entry then return No_File; else return Path_Mapping.Table (Index).Fname; end if; end Mapped_Path_Name; -------------------------------- -- Remove_Forbidden_File_Name -- -------------------------------- procedure Remove_Forbidden_File_Name (Name : Name_Id) is begin Forbidden_Names.Set (Name, False); end Remove_Forbidden_File_Name; ------------------ -- Reset_Tables -- ------------------ procedure Reset_Tables is begin File_Mapping.Init; Path_Mapping.Init; Unit_Hash_Table.Reset; File_Hash_Table.Reset; Forbidden_Names.Reset; Last_In_Table := 0; end Reset_Tables; ------------------------- -- Update_Mapping_File -- ------------------------- procedure Update_Mapping_File (File_Name : String) is File : File_Descriptor; N_Bytes : Integer; Status : Boolean; -- For the call to Close procedure Put_Line (Name : Name_Id); -- Put Name as a line in the Mapping File -------------- -- Put_Line -- -------------- procedure Put_Line (Name : Name_Id) is begin Get_Name_String (Name); -- If the Buffer is full, write it to the file if Buffer_Last + Name_Len + 1 > Buffer'Last then N_Bytes := Write (File, Buffer (1)'Address, Buffer_Last); if N_Bytes < Buffer_Last then Fail ("disk full"); end if; Buffer_Last := 0; end if; -- Add the line to the Buffer Buffer (Buffer_Last + 1 .. Buffer_Last + Name_Len) := Name_Buffer (1 .. Name_Len); Buffer_Last := Buffer_Last + Name_Len + 1; Buffer (Buffer_Last) := ASCII.LF; end Put_Line; -- Start of Update_Mapping_File begin -- Only Update if there are new entries in the mappings if Last_In_Table < File_Mapping.Last then -- If the tables have been emptied, recreate the file. -- Otherwise, append to it. if Last_In_Table = 0 then declare Discard : Boolean; begin Delete_File (File_Name, Discard); end; File := Create_File (File_Name, Binary); else File := Open_Read_Write (Name => File_Name, Fmode => Binary); end if; if File /= Invalid_FD then if Last_In_Table > 0 then Lseek (File, 0, Seek_End); end if; for Unit in Last_In_Table + 1 .. File_Mapping.Last loop Put_Line (File_Mapping.Table (Unit).Uname); Put_Line (File_Mapping.Table (Unit).Fname); Put_Line (Path_Mapping.Table (Unit).Fname); end loop; -- Before closing the file, write the buffer to the file. -- It is guaranteed that the Buffer is not empty, because -- Put_Line has been called at least 3 times, and after -- a call to Put_Line, the Buffer is not empty. N_Bytes := Write (File, Buffer (1)'Address, Buffer_Last); if N_Bytes < Buffer_Last then Fail ("disk full"); end if; Close (File, Status); if not Status then Fail ("disk full"); end if; elsif not Quiet_Output then Write_Str ("warning: could not open mapping file """); Write_Str (File_Name); Write_Line (""" for update"); end if; end if; end Update_Mapping_File; end Fmap;
30.014787
79
0.517367
d0dc99d5b9273638130b4136e89f730d9b6edc2f
1,618
ads
Ada
regtests/ado-tests.ads
Letractively/ada-ado
f0863c6975ae1a2c5349daee1e98a04fe11ba11e
[ "Apache-2.0" ]
null
null
null
regtests/ado-tests.ads
Letractively/ada-ado
f0863c6975ae1a2c5349daee1e98a04fe11ba11e
[ "Apache-2.0" ]
null
null
null
regtests/ado-tests.ads
Letractively/ada-ado
f0863c6975ae1a2c5349daee1e98a04fe11ba11e
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- ADO Tests -- Database sequence generator -- Copyright (C) 2009, 2010, 2011, 2012 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Tests; package ADO.Tests is procedure Add_Tests (Suite : in Util.Tests.Access_Test_Suite); type Test is new Util.Tests.Test with record I1 : Integer; I2 : Integer; end record; procedure Set_Up (T : in out Test); procedure Test_Load (T : in out Test); procedure Test_Create_Load (T : in out Test); procedure Test_Not_Open (T : in out Test); procedure Test_Allocate (T : in out Test); procedure Test_Create_Save (T : in out Test); procedure Test_Perf_Create_Save (T : in out Test); procedure Test_Delete_All (T : in out Test); -- Test string insert. procedure Test_String (T : in out Test); -- Test blob insert. procedure Test_Blob (T : in out Test); end ADO.Tests;
31.72549
76
0.641533
dce989428547a9265bfbdece6b614c24f06ea361
2,803
ads
Ada
source/vampire-forms-full.ads
ytomino/vampire
2ff6c93af53e55c89cab70fedb63accba83bcd92
[ "OpenSSL", "Unlicense" ]
1
2016-12-19T13:25:14.000Z
2016-12-19T13:25:14.000Z
source/vampire-forms-full.ads
ytomino/vampire
2ff6c93af53e55c89cab70fedb63accba83bcd92
[ "OpenSSL", "Unlicense" ]
null
null
null
source/vampire-forms-full.ads
ytomino/vampire
2ff6c93af53e55c89cab70fedb63accba83bcd92
[ "OpenSSL", "Unlicense" ]
null
null
null
-- The Village of Vampire by YT, このソースコードはNYSLです package Vampire.Forms.Full is type Form_Type is limited new Root_Form_Type with null record; function Create return Form_Type; overriding function HTML_Version (Form : Form_Type) return Web.HTML.HTML_Version; overriding function Template_Set (Form : Form_Type) return Template_Set_Type; overriding function Parameters_To_Index_Page ( Form : Form_Type; User_Id : String; User_Password : String) return Web.Query_Strings; overriding function Parameters_To_User_Page ( Form : Form_Type; User_Id : String; User_Password : String) return Web.Query_Strings; overriding function Parameters_To_Village_Page ( Form : Form_Type; Village_Id : Villages.Village_Id; Day : Integer := -1; First : Tabula.Villages.Speech_Index'Base := -1; Last : Tabula.Villages.Speech_Index'Base := -1; Latest : Tabula.Villages.Speech_Positive_Count'Base := -1; User_Id : String; User_Password : String) return Web.Query_Strings; overriding procedure Write_In_HTML ( Stream : not null access Ada.Streams.Root_Stream_Type'Class; Form : in Form_Type; Item : in String; Pre : in Boolean := False); overriding procedure Write_In_Attribute ( Stream : not null access Ada.Streams.Root_Stream_Type'Class; Form : in Form_Type; Item : in String); overriding function Paging (Form : Form_Type) return Boolean; overriding function Speeches_Per_Page (Form : Form_Type) return Tabula.Villages.Speech_Positive_Count'Base; overriding function Get_User_Id ( Form : Form_Type; Query_Strings : Web.Query_Strings; Cookie : Web.Cookie) return String; overriding function Get_User_Password ( Form : Form_Type; Query_Strings : Web.Query_Strings; Cookie : Web.Cookie) return String; overriding procedure Set_User ( Form : in out Form_Type; Cookie : in out Web.Cookie; New_User_Id : in String; New_User_Password : in String); overriding function Is_User_Page ( Form : Form_Type; Query_Strings : Web.Query_Strings; Cookie : Web.Cookie) return Boolean; overriding function Get_Village_Id ( Form : Form_Type; Query_Strings : Web.Query_Strings) return Villages.Village_Id; overriding function Get_Day ( Form : Form_Type; Village : Villages.Village_Type'Class; Query_Strings : Web.Query_Strings) return Natural; overriding function Get_Range ( Form : Form_Type; Village : Villages.Village_Type'Class; Day : Natural; Now : Ada.Calendar.Time; Query_Strings : Web.Query_Strings) return Villages.Speech_Range_Type; overriding function Get_New_Village_Name ( Form : Form_Type; Inputs : Web.Query_Strings) return String; overriding function Get_Text ( Form : Form_Type; Inputs : Web.Query_Strings) return String; end Vampire.Forms.Full;
26.443396
78
0.750624
c598f139e2b119e22141deb37e7a941f232adec6
287
ads
Ada
mp-ui.ads
jrcarter/MP
ce4b2294169388cb3de46aef75dad57537aa379a
[ "BSD-3-Clause" ]
1
2020-09-16T05:17:25.000Z
2020-09-16T05:17:25.000Z
mp-ui.ads
jrcarter/MP
ce4b2294169388cb3de46aef75dad57537aa379a
[ "BSD-3-Clause" ]
null
null
null
mp-ui.ads
jrcarter/MP
ce4b2294169388cb3de46aef75dad57537aa379a
[ "BSD-3-Clause" ]
null
null
null
-- MP: a Music Player -- Copyright (C) 2020 by PragmAda Software Engineering. All rights reserved. -- Released under the terms of the BSD 3-Clause license; see https://opensource.org/licenses -- -- 2020-09-15 Initial version -- package MP.UI is pragma Elaborate_Body; end MP.UI;
28.7
92
0.724739
dcd30ad61893cd4e4ba9db894cdc7d4b1f91aba1
2,519
ads
Ada
tools/scitools/conf/understand/ada/ada05/s-widwch.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
1
2020-01-20T21:26:46.000Z
2020-01-20T21:26:46.000Z
tools/scitools/conf/understand/ada/ada05/s-widwch.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
null
null
null
tools/scitools/conf/understand/ada/ada05/s-widwch.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M . W I D _ W C H A R -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2005, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- -- -- -- -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package contains the routines used for Wide_[Wide_]Character'Width package System.Wid_WChar is pragma Pure; function Width_Wide_Character (Lo, Hi : Wide_Character) return Natural; -- Compute Width attribute for non-static type derived from Wide_Character. -- The arguments are the low and high bounds for the type. function Width_Wide_Wide_Character (Lo, Hi : Wide_Wide_Character) return Natural; -- Same function for type derived from Wide_Wide_Character end System.Wid_WChar;
51.408163
79
0.444224
18920629dfbe811a5a65878c14f4583662615ace
2,273
adb
Ada
3-mid/physics/interface/applet/demo/test_engine/launch_test_engine.adb
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
20
2015-11-04T09:23:59.000Z
2022-01-14T10:21:42.000Z
3-mid/physics/interface/applet/demo/test_engine/launch_test_engine.adb
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
2
2015-11-04T17:05:56.000Z
2015-12-08T03:16:13.000Z
3-mid/physics/interface/applet/demo/test_engine/launch_test_engine.adb
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
1
2015-12-07T12:53:52.000Z
2015-12-07T12:53:52.000Z
with physics.Space, physics.Shape, physics.Object, physics.Forge, physics.Engine, ada.text_IO; procedure launch_test_Engine -- -- Simply exercises the physics engine. -- is use physics.Math, physics.Forge, ada.text_IO; the_Space : physics.Space.view := physics.Space .view (new_Space (Physics.Box2d)); the_Sphere : physics.Shape .view := the_Space.new_circle_Shape; the_Box : physics.Shape .view := the_Space.new_circle_Shape; the_Ball : physics.Object.view := the_Space.new_Object (of_shape => the_Sphere, of_mass => 1.0, friction => 0.5, restitution => 0.5, at_site => (0.0, 10.0, 0.0), is_kinematic => False); the_Ground : physics.Object.view := the_Space.new_Object (of_shape => the_Box, of_mass => 0.0, friction => 0.5, restitution => 0.5, at_site => (0.0, 0.0, 0.0), is_kinematic => False); the_Engine : aliased physics.Engine.item; begin -- the_Engine.start (space_Kind => Physics.Box2d); the_Engine.start (the_Space); -- the_Engine.add (the_Ground); the_Engine.add (the_Ball); -- for Count in 1 .. 100 loop -- the_Space.evolve (by => 1.0/60.0); delay 1.0/500.0; put_Line ( "Sites ~ Ball => " & Image (the_Ball .Site) & " Ground => " & Image (the_Ground.Site)); end loop; the_Engine.stop; -- for Count in 1 .. 100 -- loop -- the_Space.evolve (by => 1.0/60.0); -- -- put_Line ( "Sites ~ Ball => " & Image (the_Ball .Site) -- & " Ground => " & Image (the_Ground.Site)); -- end loop; end launch_test_Engine;
34.439394
95
0.432468
4a43dd67a6eef6bd1d9feabcb27e4aa26f13d851
4,994
ads
Ada
support/MinGW/lib/gcc/mingw32/9.2.0/adainclude/a-tasatt.ads
orb-zhuchen/Orb
6da2404b949ac28bde786e08bf4debe4a27cd3a0
[ "CNRI-Python-GPL-Compatible", "MIT" ]
null
null
null
support/MinGW/lib/gcc/mingw32/9.2.0/adainclude/a-tasatt.ads
orb-zhuchen/Orb
6da2404b949ac28bde786e08bf4debe4a27cd3a0
[ "CNRI-Python-GPL-Compatible", "MIT" ]
null
null
null
support/MinGW/lib/gcc/mingw32/9.2.0/adainclude/a-tasatt.ads
orb-zhuchen/Orb
6da2404b949ac28bde786e08bf4debe4a27cd3a0
[ "CNRI-Python-GPL-Compatible", "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . T A S K _ A T T R I B U T E S -- -- -- -- S p e c -- -- -- -- Copyright (C) 2014-2019, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Task_Identification; generic type Attribute is private; Initial_Value : Attribute; package Ada.Task_Attributes is -- Note that this package will use an efficient implementation with no -- locks and no extra dynamic memory allocation if Attribute is the size -- of either Integer or System.Address, and Initial_Value is 0 (null for -- an access type). -- Other types and initial values are supported, but will require -- the use of locking and a level of indirection (meaning extra dynamic -- memory allocation). -- The maximum number of task attributes supported by this implementation -- is determined by the constant System.Parameters.Max_Attribute_Count. -- If you exceed this number, Storage_Error will be raised during the -- elaboration of the instantiation of this package. type Attribute_Handle is access all Attribute; function Value (T : Ada.Task_Identification.Task_Id := Ada.Task_Identification.Current_Task) return Attribute; -- Return the value of the corresponding attribute of T. Tasking_Error -- is raised if T is terminated and Program_Error will be raised if T -- is Null_Task_Id. function Reference (T : Ada.Task_Identification.Task_Id := Ada.Task_Identification.Current_Task) return Attribute_Handle; -- Return an access value that designates the corresponding attribute of -- T. Tasking_Error is raised if T is terminated and Program_Error will be -- raised if T is Null_Task_Id. procedure Set_Value (Val : Attribute; T : Ada.Task_Identification.Task_Id := Ada.Task_Identification.Current_Task); -- Finalize the old value of the attribute of T and assign Val to that -- attribute. Tasking_Error is raised if T is terminated and Program_Error -- will be raised if T is Null_Task_Id. procedure Reinitialize (T : Ada.Task_Identification.Task_Id := Ada.Task_Identification.Current_Task); -- Same as Set_Value (Initial_Value, T). Tasking_Error is raised if T is -- terminated and Program_Error will be raised if T is Null_Task_Id. private pragma Inline (Value); pragma Inline (Reference); pragma Inline (Set_Value); pragma Inline (Reinitialize); end Ada.Task_Attributes;
53.12766
78
0.545455
dc3e8b0a735e79677a5053b65d3fc5cc0ab11e8e
4,077
ads
Ada
source/web/tools/a2js/properties-declarations-function_renaming_declaration.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/web/tools/a2js/properties-declarations-function_renaming_declaration.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/web/tools/a2js/properties-declarations-function_renaming_declaration.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Web Framework -- -- -- -- Tools Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2015, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ with Asis; with Engines.Contexts; with League.Strings; package Properties.Declarations.Function_Renaming_Declaration is function Call_Convention (Engine : access Engines.Contexts.Context; Element : Asis.Declaration; Name : Engines.Convention_Property) return Engines.Convention_Kind; function Intrinsic_Name (Engine : access Engines.Contexts.Context; Element : Asis.Declaration; Name : Engines.Text_Property) return League.Strings.Universal_String; function Is_Dispatching (Engine : access Engines.Contexts.Context; Element : Asis.Declaration; Name : Engines.Boolean_Property) return Boolean; end Properties.Declarations.Function_Renaming_Declaration;
59.086957
78
0.448369
dc515f3bd3c5c71a805860a4e099fc1172c2717f
1,119
adb
Ada
gdb/testsuite/gdb.ada/frame_args/pck.adb
greyblue9/binutils-gdb
05377632b124fe7600eea7f4ee0e9a35d1b0cbdc
[ "BSD-3-Clause" ]
1
2020-10-14T03:24:35.000Z
2020-10-14T03:24:35.000Z
gdb/testsuite/gdb.ada/frame_args/pck.adb
greyblue9/binutils-gdb
05377632b124fe7600eea7f4ee0e9a35d1b0cbdc
[ "BSD-3-Clause" ]
null
null
null
gdb/testsuite/gdb.ada/frame_args/pck.adb
greyblue9/binutils-gdb
05377632b124fe7600eea7f4ee0e9a35d1b0cbdc
[ "BSD-3-Clause" ]
null
null
null
-- Copyright 2007-2021 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. package body Pck is procedure Break_Me is begin null; end Break_Me; procedure Call_Me (Int : Integer; Flt : Float; Bln : Boolean; Ary : Arr; Chr : Character; Sad : System.Address; Rec : Struct) is begin Break_Me; end Call_Me; end Pck;
30.243243
73
0.626452
18b2c8c60daaf7924a3953a4e9246cf5a5873db2
1,558
ads
Ada
tier-1/xcb/source/thin/xcb-xcb_glx_gen_lists_reply_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
2
2015-11-12T11:16:20.000Z
2021-08-24T22:32:04.000Z
tier-1/xcb/source/thin/xcb-xcb_glx_gen_lists_reply_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
1
2018-06-05T05:19:35.000Z
2021-11-20T01:13:23.000Z
tier-1/xcb/source/thin/xcb-xcb_glx_gen_lists_reply_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
null
null
null
-- This file is generated by SWIG. Please do not modify by hand. -- with Interfaces; with Interfaces.C; with Interfaces.C.Pointers; package xcb.xcb_glx_gen_lists_reply_t is -- Item -- type Item is record response_type : aliased Interfaces.Unsigned_8; pad0 : aliased Interfaces.Unsigned_8; sequence : aliased Interfaces.Unsigned_16; length : aliased Interfaces.Unsigned_32; ret_val : aliased Interfaces.Unsigned_32; end record; -- Item_Array -- type Item_Array is array (Interfaces.C.size_t range <>) of aliased xcb.xcb_glx_gen_lists_reply_t .Item; -- Pointer -- package C_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_glx_gen_lists_reply_t.Item, Element_Array => xcb.xcb_glx_gen_lists_reply_t.Item_Array, Default_Terminator => (others => <>)); subtype Pointer is C_Pointers.Pointer; -- Pointer_Array -- type Pointer_Array is array (Interfaces.C.size_t range <>) of aliased xcb.xcb_glx_gen_lists_reply_t .Pointer; -- Pointer_Pointer -- package C_Pointer_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_glx_gen_lists_reply_t.Pointer, Element_Array => xcb.xcb_glx_gen_lists_reply_t.Pointer_Array, Default_Terminator => null); subtype Pointer_Pointer is C_Pointer_Pointers.Pointer; end xcb.xcb_glx_gen_lists_reply_t;
28.327273
78
0.667522
cb282fa16be957e09bddceebf71cb0b07a00943f
4,569
ads
Ada
source/league/codecs/matreshka-internals-text_codecs-koi8r.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/league/codecs/matreshka-internals-text_codecs-koi8r.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/league/codecs/matreshka-internals-text_codecs-koi8r.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Localization, Internationalization, Globalization for Ada -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ private package Matreshka.Internals.Text_Codecs.KOI8R is pragma Preelaborate; ------------------------- -- KOI8R_Decoder -- ------------------------- type KOI8R_Decoder is new Abstract_Decoder with private; overriding function Is_Error (Self : KOI8R_Decoder) return Boolean; overriding function Is_Mailformed (Self : KOI8R_Decoder) return Boolean; overriding procedure Decode_Append (Self : in out KOI8R_Decoder; Data : Ada.Streams.Stream_Element_Array; String : in out Matreshka.Internals.Strings.Shared_String_Access); function Decoder (Mode : Decoder_Mode) return Abstract_Decoder'Class; ------------------------- -- KOI8R_Encoder -- ------------------------- type KOI8R_Encoder is new Abstract_Encoder with private; overriding procedure Encode (Self : in out KOI8R_Encoder; String : not null Matreshka.Internals.Strings.Shared_String_Access; Buffer : out MISEV.Shared_Stream_Element_Vector_Access); function Encoder return Abstract_Encoder'Class; private type KOI8R_Decoder is new Abstract_Decoder with null record; type KOI8R_Encoder is new Abstract_Encoder with null record; end Matreshka.Internals.Text_Codecs.KOI8R;
52.517241
78
0.477347
dc28357027c8cfb8c4a8ac225c6fb53ed32abf8b
5,662
ads
Ada
tools-src/gnu/gcc/gcc/ada/exp_ch4.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
80
2015-01-02T10:14:04.000Z
2021-06-07T06:29:49.000Z
tools-src/gnu/gcc/gcc/ada/exp_ch4.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
9
2015-05-14T11:03:12.000Z
2018-01-04T07:12:58.000Z
tools-src/gnu/gcc/gcc/ada/exp_ch4.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
69
2015-01-02T10:45:56.000Z
2021-09-06T07:52:13.000Z
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- E X P _ C H 4 -- -- -- -- S p e c -- -- -- -- $Revision$ -- -- -- Copyright (C) 1992-2001 Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 59 Temple Place - Suite 330, Boston, -- -- MA 02111-1307, USA. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- Expand routines for chapter 4 constructs with Types; use Types; package Exp_Ch4 is procedure Expand_N_Allocator (N : Node_Id); procedure Expand_N_And_Then (N : Node_Id); procedure Expand_N_Conditional_Expression (N : Node_Id); procedure Expand_N_In (N : Node_Id); procedure Expand_N_Explicit_Dereference (N : Node_Id); procedure Expand_N_Indexed_Component (N : Node_Id); procedure Expand_N_Not_In (N : Node_Id); procedure Expand_N_Null (N : Node_Id); procedure Expand_N_Op_Abs (N : Node_Id); procedure Expand_N_Op_Add (N : Node_Id); procedure Expand_N_Op_And (N : Node_Id); procedure Expand_N_Op_Concat (N : Node_Id); procedure Expand_N_Op_Divide (N : Node_Id); procedure Expand_N_Op_Expon (N : Node_Id); procedure Expand_N_Op_Eq (N : Node_Id); procedure Expand_N_Op_Ge (N : Node_Id); procedure Expand_N_Op_Gt (N : Node_Id); procedure Expand_N_Op_Le (N : Node_Id); procedure Expand_N_Op_Lt (N : Node_Id); procedure Expand_N_Op_Minus (N : Node_Id); procedure Expand_N_Op_Mod (N : Node_Id); procedure Expand_N_Op_Multiply (N : Node_Id); procedure Expand_N_Op_Ne (N : Node_Id); procedure Expand_N_Op_Not (N : Node_Id); procedure Expand_N_Op_Or (N : Node_Id); procedure Expand_N_Op_Plus (N : Node_Id); procedure Expand_N_Op_Rem (N : Node_Id); procedure Expand_N_Op_Rotate_Left (N : Node_Id); procedure Expand_N_Op_Rotate_Right (N : Node_Id); procedure Expand_N_Op_Shift_Left (N : Node_Id); procedure Expand_N_Op_Shift_Right (N : Node_Id); procedure Expand_N_Op_Shift_Right_Arithmetic (N : Node_Id); procedure Expand_N_Op_Subtract (N : Node_Id); procedure Expand_N_Op_Xor (N : Node_Id); procedure Expand_N_Or_Else (N : Node_Id); procedure Expand_N_Qualified_Expression (N : Node_Id); procedure Expand_N_Selected_Component (N : Node_Id); procedure Expand_N_Slice (N : Node_Id); procedure Expand_N_Type_Conversion (N : Node_Id); procedure Expand_N_Unchecked_Expression (N : Node_Id); procedure Expand_N_Unchecked_Type_Conversion (N : Node_Id); function Expand_Record_Equality (Nod : Node_Id; Typ : Entity_Id; Lhs : Node_Id; Rhs : Node_Id; Bodies : List_Id) return Node_Id; -- Expand a record equality into an expression that compares the fields -- individually to yield the required Boolean result. Loc is the -- location for the generated nodes. Typ is the type of the record, and -- Lhs, Rhs are the record expressions to be compared, these -- expressions need not to be analyzed but have to be side-effect free. -- Bodies is a list on which to attach bodies of local functions that -- are created in the process. This is the responsability of the caller -- to insert those bodies at the right place. Nod provdies the Sloc -- value for generated code. end Exp_Ch4;
59.6
78
0.499294
18747e9fef66745c4e2ccdf26ff3f16e99979811
5,097
adb
Ada
Ada95/samples/sample-menu_demo-handler.adb
mvaisakh/android_external_libncurses
d44c8a16d7f1ed276d0de0b3f6f1a5596c5f556f
[ "DOC", "Unlicense" ]
35
2015-03-07T13:26:22.000Z
2021-11-06T16:18:59.000Z
Ada95/samples/sample-menu_demo-handler.adb
mvaisakh/android_external_libncurses
d44c8a16d7f1ed276d0de0b3f6f1a5596c5f556f
[ "DOC", "Unlicense" ]
3
2017-04-07T21:02:48.000Z
2017-04-08T17:59:35.000Z
Ada95/samples/sample-menu_demo-handler.adb
mvaisakh/android_external_libncurses
d44c8a16d7f1ed276d0de0b3f6f1a5596c5f556f
[ "DOC", "Unlicense" ]
19
2015-06-16T06:13:44.000Z
2021-07-24T02:37:45.000Z
------------------------------------------------------------------------------ -- -- -- GNAT ncurses Binding Samples -- -- -- -- Sample.Menu_Demo.Handler -- -- -- -- B O D Y -- -- -- ------------------------------------------------------------------------------ -- Copyright (c) 1998,2004 Free Software Foundation, Inc. -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, distribute with modifications, sublicense, and/or sell -- -- copies of the Software, and to permit persons to whom the Software is -- -- furnished to do so, subject to the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE ABOVE COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, -- -- DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -- -- THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- -- -- -- Except as contained in this notice, the name(s) of the above copyright -- -- holders shall not be used in advertising or otherwise to promote the -- -- sale, use or other dealings in this Software without prior written -- -- authorization. -- ------------------------------------------------------------------------------ -- Author: Juergen Pfeifer, 1996 -- Version Control -- $Revision: 1.15 $ -- $Date: 2004/08/21 21:37:00 $ -- Binding Version 01.00 ------------------------------------------------------------------------------ with Sample.Menu_Demo.Aux; with Sample.Manifest; use Sample.Manifest; with Terminal_Interface.Curses.Mouse; use Terminal_Interface.Curses.Mouse; package body Sample.Menu_Demo.Handler is package Aux renames Sample.Menu_Demo.Aux; procedure Drive_Me (M : in Menu; Title : in String := "") is L : Line_Count; C : Column_Count; Y : Line_Position; X : Column_Position; begin Aux.Geometry (M, L, C, Y, X); Drive_Me (M, Y, X, Title); end Drive_Me; procedure Drive_Me (M : in Menu; Lin : in Line_Position; Col : in Column_Position; Title : in String := "") is Mask : Event_Mask := No_Events; Old : Event_Mask; Pan : Panel := Aux.Create (M, Title, Lin, Col); V : Cursor_Visibility := Invisible; begin -- We are only interested in Clicks with the left button Register_Reportable_Events (Left, All_Clicks, Mask); Old := Start_Mouse (Mask); Set_Cursor_Visibility (V); loop declare K : Key_Code := Aux.Get_Request (M, Pan); R : constant Driver_Result := Driver (M, K); begin case R is when Menu_Ok => null; when Unknown_Request => declare I : constant Item := Current (M); O : Item_Option_Set; begin if K = Key_Mouse then K := SELECT_ITEM; end if; Get_Options (I, O); if K = SELECT_ITEM and then not O.Selectable then Beep; else if My_Driver (M, K, Pan) then exit; end if; end if; end; when others => Beep; end case; end; end loop; End_Mouse (Old); Aux.Destroy (M, Pan); end Drive_Me; end Sample.Menu_Demo.Handler;
46.761468
78
0.437512
c5924c49cca319ad632c24d50fab4a3b5f8b88a5
524
ada
Ada
Task/Associative-array-Iteration/Ada/associative-array-iteration.ada
mullikine/RosettaCodeData
4f0027c6ce83daa36118ee8b67915a13cd23ab67
[ "Info-ZIP" ]
1
2018-11-09T22:08:38.000Z
2018-11-09T22:08:38.000Z
Task/Associative-array-Iteration/Ada/associative-array-iteration.ada
mullikine/RosettaCodeData
4f0027c6ce83daa36118ee8b67915a13cd23ab67
[ "Info-ZIP" ]
null
null
null
Task/Associative-array-Iteration/Ada/associative-array-iteration.ada
mullikine/RosettaCodeData
4f0027c6ce83daa36118ee8b67915a13cd23ab67
[ "Info-ZIP" ]
1
2018-11-09T22:08:40.000Z
2018-11-09T22:08:40.000Z
with Ada.Text_IO; use Ada.Text_IO; with Ada.Containers.Indefinite_Ordered_Maps; procedure Test_Iteration is package String_Maps is new Ada.Containers.Indefinite_Ordered_Maps (String, Integer); use String_Maps; A : Map; Index : Cursor; begin A.Insert ("hello", 1); A.Insert ("world", 2); A.Insert ("!", 3); Index := A.First; while Index /= No_Element loop Put_Line (Key (Index) & Integer'Image (Element (Index))); Index := Next (Index); end loop; end Test_Iteration;
26.2
67
0.658397
dc70bb832d32335c3022aee9010a497547ee16db
6,365
adb
Ada
tests/ada/test_queue.adb
jwhitham/x86determiniser
c0259518ee47ed7b398185f7ac7eb23ffb184a47
[ "MIT" ]
7
2016-11-16T05:08:47.000Z
2021-03-24T02:46:50.000Z
tests/ada/test_queue.adb
jwhitham/x86determiniser
c0259518ee47ed7b398185f7ac7eb23ffb184a47
[ "MIT" ]
2
2020-04-22T20:34:41.000Z
2020-04-26T13:59:53.000Z
tests/ada/test_queue.adb
jwhitham/x86determiniser
c0259518ee47ed7b398185f7ac7eb23ffb184a47
[ "MIT" ]
2
2020-04-22T20:09:31.000Z
2022-02-28T03:51:10.000Z
with Ada . Text_IO ; with Ada . Integer_Text_IO ; with Ada . Float_Text_IO ; with Binary_Heap ; with Measure_Time ; use Ada . Text_IO ; use Ada . Integer_Text_IO ; use Ada . Float_Text_IO ; with Ada.Numerics.Discrete_Random ; procedure test_queue is arr_size : constant Natural := 100 ; package Binary_Heap_For_Naturals is new Binary_Heap ( Natural , ">" , 0 ) ; use Binary_Heap_For_Naturals ; type My_Record is record number : Natural ; data : Integer ; end record ; function RecordCompareAsNumber ( a , b : My_Record ) return Boolean is begin return ( a . number > b . number ) ; end RecordCompareAsNumber ; BlankRecord : constant My_Record := ( others => 0 ) ; package Binary_Heap_For_My_Record is new Binary_Heap ( My_Record , RecordCompareAsNumber , BlankRecord ) ; use Binary_Heap_For_My_Record ; type pqa is access Binary_Heap_For_My_Record . Priority_Queue ; procedure My_Record_Adder_WC ( rqueue : in pqa ) is rec : My_Record ; begin rec . data := 2 ; for I in reverse 1 .. arr_size loop rec . number := I ; Insert ( rec , rqueue . all ) ; end loop ; end My_Record_Adder_WC ; procedure My_Record_Deleter ( rqueue : in pqa ) is rec : My_Record ; begin for I in 1 .. arr_size loop Delete_Min ( rec , rqueue . all ) ; end loop ; end My_Record_Deleter ; package Measure_Add_Time is new Measure_Time ( pqa , My_Record_Adder_WC ) ; package Measure_Del_Time is new Measure_Time ( pqa , My_Record_Deleter ) ; nqueue : Binary_Heap_For_Naturals . Priority_Queue ( arr_size ) ; ex : Boolean ; outvar : Natural ; begin put_line ( "Testing heap properties with natural numbers" ) ; for I in 1 .. arr_size loop Insert ( I * 10 , nqueue ) ; end loop ; ex := FALSE ; begin Insert ( 1 , nqueue ) ; exception when others => ex := TRUE ; end ; if ( not ex ) then put_line ( "No exception thrown on last item added." ) ; return ; end if ; Delete_Min ( outvar , nqueue ) ; if ( Find_Min ( nqueue ) /= 20 ) then put_line ( "Agh, why isn't the minimum 20?" ) ; return ; end if ; Insert ( 10 , nqueue ) ; if ( not Is_Full ( nqueue ) ) then put_line ( "Is not full!?" ) ; return ; end if ; for I in 1 .. arr_size loop Delete_Min ( outvar , nqueue ) ; if ( outvar /= ( I * 10 )) then put_line ( "Output from queue not as expected." ) ; put ( "Got " ) ; put ( outvar , 0 ) ; put ( " but expected " ) ; put ( I * 10 , 0 ) ; new_line ; return ; end if ; end loop ; if ( not Is_Empty ( nqueue ) ) then put_line ( "Queue is not empty, why not?" ) ; return ; end if ; put_line ( "Second stage pseudo random tests." ) ; declare subtype In_Numbers is Natural range 1 .. arr_size ; package Natural_Random is new Ada.Numerics.Discrete_Random ( In_Numbers ) ; Natural_Generator : Natural_Random . Generator; numbers : array ( 1 .. arr_size ) of Natural ; numbers_present : Natural ; J , K : Natural ; begin for I in 1 .. arr_size loop numbers ( I ) := I ; end loop ; numbers_present := arr_size ; Natural_Random . Reset ( Natural_Generator , 1 ) ; for I in 1 .. 100 loop if ( Natural_Random . Random ( Natural_Generator ) < numbers_present ) then -- add J := Natural_Random . Random ( Natural_Generator ) ; while ( numbers ( J ) = 0 ) loop J := J + 1 ; if ( J > arr_size ) then J := 1 ; end if ; end loop ; numbers ( J ) := 0 ; Insert ( J , nqueue ) ; numbers_present := numbers_present - 1 ; else -- remove J := 1 ; while ( numbers ( J ) /= 0 ) loop J := J + 1 ; end loop ; Delete_Min ( K , nqueue ) ; if ( K /= J ) then put ( "Delete gave unexpected value. Was expecting " ) ; put ( J ) ; put ( " but I got " ) ; put ( K ) ; new_line ; return ; end if ; numbers ( J ) := J ; numbers_present := numbers_present + 1 ; end if ; end loop ; end ; Make_Empty ( nqueue ) ; put_line ( "Tests good, benchmarking now." ) ; declare add , del_wc , del_ac : Natural ; rec : My_Record ; rqueue : pqa ; package Natural_Random is new Ada.Numerics.Discrete_Random ( Natural ) ; Natural_Generator : Natural_Random . Generator; begin rqueue := new Binary_Heap_For_My_Record . Priority_Queue ( arr_size ) ; add := Measure_Add_Time . Time ( rqueue , 1 ) ; del_wc := Measure_Del_Time . Time ( rqueue , 1 ) ; -- Do an average case pseudo random add rec . data := 1 ; Natural_Random . Reset ( Natural_Generator , 1 ) ; for I in 1 .. arr_size loop rec . number := Natural_Random . Random ( Natural_Generator ) ; Insert ( rec , rqueue . all ) ; end loop ; del_ac := Measure_Del_Time . Time ( rqueue , 1 ) ; put ( "For " ) ; put ( arr_size , 0 ) ; put_line ( " operations" ) ; put ( "Average/worst case add time: " ) ; put ( add , 0 ) ; put_line ( " instructions" ) ; put ( "Average delete time: " ) ; put ( del_ac , 0 ) ; put_line ( " instructions" ) ; put ( "Worst case delete time: " ) ; put ( del_wc , 0 ) ; put_line ( " instructions" ) ; end ; end test_queue ;
27.435345
83
0.501021
dc6954696922b7127215776ef3685593630e5d32
10,129
ads
Ada
gcc-gcc-7_3_0-release/gcc/ada/i-vxwoio.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/ada/i-vxwoio.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/ada/i-vxwoio.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- I N T E R F A C E S . V X W O R K S . I O -- -- -- -- S p e c -- -- -- -- Copyright (C) 2002-2009, Free Software Foundation, Inc. -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNARL was developed by the GNARL team at Florida State University. -- -- Extensive contributions were provided by Ada Core Technologies, Inc. -- -- -- ------------------------------------------------------------------------------ -- This package provides a binding to the functions fileno and ioctl -- in VxWorks, providing a set of definitions of ioctl function codes -- and options for the use of these functions. -- A particular use of this interface is to enable use of Get_Immediate -- in Ada.Text_IO. There is no way in VxWorks to provide the desired -- functionality of Get_Immediate (no buffering and no waiting for a -- line return) without flushing the buffer, which violates the Ada -- semantic requirements for Ada.Text_IO. with Interfaces.C_Streams; package Interfaces.VxWorks.IO is ------------------------- -- The ioctl Interface -- -------------------------- type FUNCODE is new int; -- Type of the function codes in ioctl type IOOPT is mod 2 ** int'Size; -- Type of the option codes in ioctl -- ioctl function codes (for more information see ioLib.h) -- These values could be generated automatically in System.OS_Constants??? FIONREAD : constant FUNCODE := 1; FIOFLUSH : constant FUNCODE := 2; FIOOPTIONS : constant FUNCODE := 3; FIOBAUDRATE : constant FUNCODE := 4; FIODISKFORMAT : constant FUNCODE := 5; FIODISKINIT : constant FUNCODE := 6; FIOSEEK : constant FUNCODE := 7; FIOWHERE : constant FUNCODE := 8; FIODIRENTRY : constant FUNCODE := 9; FIORENAME : constant FUNCODE := 10; FIOREADYCHANGE : constant FUNCODE := 11; FIONWRITE : constant FUNCODE := 12; FIODISKCHANGE : constant FUNCODE := 13; FIOCANCEL : constant FUNCODE := 14; FIOSQUEEZE : constant FUNCODE := 15; FIONBIO : constant FUNCODE := 16; FIONMSGS : constant FUNCODE := 17; FIOGETNAME : constant FUNCODE := 18; FIOGETOPTIONS : constant FUNCODE := 19; FIOSETOPTIONS : constant FUNCODE := FIOOPTIONS; FIOISATTY : constant FUNCODE := 20; FIOSYNC : constant FUNCODE := 21; FIOPROTOHOOK : constant FUNCODE := 22; FIOPROTOARG : constant FUNCODE := 23; FIORBUFSET : constant FUNCODE := 24; FIOWBUFSET : constant FUNCODE := 25; FIORFLUSH : constant FUNCODE := 26; FIOWFLUSH : constant FUNCODE := 27; FIOSELECT : constant FUNCODE := 28; FIOUNSELECT : constant FUNCODE := 29; FIONFREE : constant FUNCODE := 30; FIOMKDIR : constant FUNCODE := 31; FIORMDIR : constant FUNCODE := 32; FIOLABELGET : constant FUNCODE := 33; FIOLABELSET : constant FUNCODE := 34; FIOATTRIBSE : constant FUNCODE := 35; FIOCONTIG : constant FUNCODE := 36; FIOREADDIR : constant FUNCODE := 37; FIOFSTATGET : constant FUNCODE := 38; FIOUNMOUNT : constant FUNCODE := 39; FIOSCSICOMMAND : constant FUNCODE := 40; FIONCONTIG : constant FUNCODE := 41; FIOTRUNC : constant FUNCODE := 42; FIOGETFL : constant FUNCODE := 43; FIOTIMESET : constant FUNCODE := 44; FIOINODETONAM : constant FUNCODE := 45; FIOFSTATFSGE : constant FUNCODE := 46; -- ioctl option values OPT_ECHO : constant IOOPT := 16#0001#; OPT_CRMOD : constant IOOPT := 16#0002#; OPT_TANDEM : constant IOOPT := 16#0004#; OPT_7_BIT : constant IOOPT := 16#0008#; OPT_MON_TRAP : constant IOOPT := 16#0010#; OPT_ABORT : constant IOOPT := 16#0020#; OPT_LINE : constant IOOPT := 16#0040#; OPT_RAW : constant IOOPT := 16#0000#; OPT_TERMINAL : constant IOOPT := OPT_ECHO or OPT_CRMOD or OPT_TANDEM or OPT_MON_TRAP or OPT_7_BIT or OPT_ABORT or OPT_LINE; function fileno (Fp : Interfaces.C_Streams.FILEs) return int; pragma Import (C, fileno, "fileno"); -- Binding to the C routine fileno function ioctl (Fd : int; Function_Code : FUNCODE; Arg : IOOPT) return int; pragma Import (C, ioctl, "ioctl"); -- Binding to the C routine ioctl -- -- Note: we are taking advantage of the fact that on currently supported -- VxWorks targets, it is fine to directly bind to a variadic C function. ------------------------------ -- Control of Get_Immediate -- ------------------------------ -- The procedures in this section make use of the interface to ioctl -- and fileno to provide a mechanism for enabling unbuffered behavior -- for Get_Immediate in VxWorks. -- The situation is that the RM requires that the use of Get_Immediate -- be identical to Get except that it is desirable (not required) that -- there be no buffering or line editing. -- Unfortunately, in VxWorks, the only way to enable this desired -- unbuffered behavior involves changing into raw mode. But this -- transition into raw mode flushes the input buffer, a behavior -- not permitted by the RM semantics for Get_Immediate. -- Given that Get_Immediate cannot be accurately implemented in -- raw mode, it seems best not to enable it by default, and instead -- to require specific programmer action, with the programmer being -- aware that input may be lost. -- The following is an example of the use of the two procedures -- in this section (Enable_Get_Immediate and Disable_Get_Immediate) -- with Ada.Text_IO; use Ada.Text_IO; -- with Ada.Text_IO.C_Streams; use Ada.Text_IO.C_Streams; -- with Interfaces.VxWorks.IO; use Interfaces.VxWorks.IO; -- procedure Example_IO is -- Input : Character; -- Available : Boolean; -- Success : Boolean; -- begin -- Enable_Get_Immediate (C_Stream (Current_Input), Success); -- if Success = False then -- raise Device_Error; -- end if; -- -- Example with the first type of Get_Immediate -- -- Waits for an entry on the input. Immediately returns -- -- after having received an character on the input -- Put ("Input -> "); -- Get_Immediate (Input); -- New_Line; -- Put_Line ("Character read: " & Input); -- -- Example with the second type of Get_Immediate -- -- This is equivalent to a non blocking read -- for J in 1 .. 10 loop -- Put ("Input -> "); -- Get_Immediate (Input, Available); -- New_Line; -- if Available = True then -- Put_Line ("Character read: " & Input); -- end if; -- delay 1.0; -- end loop; -- Disable_Get_Immediate (C_Stream (Current_Input), Success); -- if Success = False then -- raise Device_Error; -- end if; -- exception -- when Device_Error => -- Put_Line ("Device Error. Check your configuration"); -- end Example_IO; procedure Enable_Get_Immediate (File : Interfaces.C_Streams.FILEs; Success : out Boolean); -- On VxWorks, a call to this procedure is required before subsequent calls -- to Get_Immediate have the desired effect of not waiting for a line -- return. The reason that this call is not automatic on this target is -- that the call flushes the input buffer, discarding any previous input. -- Note: Following a call to Enable_Get_Immediate, the only permitted -- operations on the relevant file are Get_Immediate operations. Any -- other operations have undefined behavior. procedure Disable_Get_Immediate (File : Interfaces.C_Streams.FILEs; Success : out Boolean); -- This procedure resets File to standard mode, and permits subsequent -- use of the full range of Ada.Text_IO functions end Interfaces.VxWorks.IO;
44.03913
79
0.56432
c5ab6bd5cf287681916b700701719a77421c51a7
4,149
ads
Ada
source/amf/mof/cmof/amf-cmof-primitive_types.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/mof/cmof/amf-cmof-primitive_types.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/mof/cmof/amf-cmof-primitive_types.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2011, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ -- A primitive type defines a predefined data type, without any relevant -- substructure (i.e., it has no parts in the context of UML). A primitive -- datatype may have an algebra and operations defined outside of UML, for -- example, mathematically. ------------------------------------------------------------------------------ with AMF.CMOF.Data_Types; package AMF.CMOF.Primitive_Types is pragma Preelaborate; type CMOF_Primitive_Type is limited interface and AMF.CMOF.Data_Types.CMOF_Data_Type; type CMOF_Primitive_Type_Access is access all CMOF_Primitive_Type'Class; for CMOF_Primitive_Type_Access'Storage_Size use 0; end AMF.CMOF.Primitive_Types;
63.830769
78
0.432634
dc50c0c59139eb3a1b369668fb281393129506a2
5,612
ads
Ada
src/sys/encoders/util-encoders-hmac-sha1.ads
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
60
2015-01-18T23:05:34.000Z
2022-03-20T18:56:30.000Z
src/sys/encoders/util-encoders-hmac-sha1.ads
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
20
2016-09-15T16:41:30.000Z
2022-03-29T22:02:32.000Z
src/sys/encoders/util-encoders-hmac-sha1.ads
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
10
2015-02-13T04:00:45.000Z
2022-03-20T18:57:54.000Z
----------------------------------------------------------------------- -- util-encoders-hmac-sha1 -- Compute HMAC-SHA1 authentication code -- Copyright (C) 2011, 2012, 2017, 2019 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Streams; with Ada.Finalization; with Util.Encoders.SHA1; -- The <b>Util.Encodes.HMAC.SHA1</b> package generates HMAC-SHA1 authentication -- (See RFC 2104 - HMAC: Keyed-Hashing for Message Authentication). package Util.Encoders.HMAC.SHA1 is pragma Preelaborate; -- Sign the data string with the key and return the HMAC-SHA1 code in binary. function Sign (Key : in String; Data : in String) return Util.Encoders.SHA1.Hash_Array; -- Sign the data string with the key and return the HMAC-SHA1 code as hexadecimal string. function Sign (Key : in String; Data : in String) return Util.Encoders.SHA1.Digest; -- Sign the data array with the key and return the HMAC-SHA256 code in the result. procedure Sign (Key : in Ada.Streams.Stream_Element_Array; Data : in Ada.Streams.Stream_Element_Array; Result : out Util.Encoders.SHA1.Hash_Array); -- Sign the data string with the key and return the HMAC-SHA1 code as base64 string. -- When <b>URL</b> is True, use the base64 URL alphabet to encode in base64. function Sign_Base64 (Key : in String; Data : in String; URL : in Boolean := False) return Util.Encoders.SHA1.Base64_Digest; -- ------------------------------ -- HMAC-SHA1 Context -- ------------------------------ type Context is limited private; -- Set the hmac private key. The key must be set before calling any <b>Update</b> -- procedure. procedure Set_Key (E : in out Context; Key : in String); -- Set the hmac private key. The key must be set before calling any <b>Update</b> -- procedure. procedure Set_Key (E : in out Context; Key : in Ada.Streams.Stream_Element_Array); -- Update the hash with the string. procedure Update (E : in out Context; S : in String); -- Update the hash with the string. procedure Update (E : in out Context; S : in Ada.Streams.Stream_Element_Array); -- Computes the HMAC-SHA1 with the private key and the data collected by -- the <b>Update</b> procedures. Returns the raw binary hash in <b>Hash</b>. procedure Finish (E : in out Context; Hash : out Util.Encoders.SHA1.Hash_Array); -- Computes the HMAC-SHA1 with the private key and the data collected by -- the <b>Update</b> procedures. Returns the hexadecimal hash in <b>Hash</b>. procedure Finish (E : in out Context; Hash : out Util.Encoders.SHA1.Digest); -- Computes the HMAC-SHA1 with the private key and the data collected by -- the <b>Update</b> procedures. Returns the base64 hash in <b>Hash</b>. -- When <b>URL</b> is True, use the base64 URL alphabet to encode in base64. procedure Finish_Base64 (E : in out Context; Hash : out Util.Encoders.SHA1.Base64_Digest; URL : in Boolean := False); -- ------------------------------ -- HMAC-SHA1 encoder -- ------------------------------ -- This <b>Encoder</b> translates the (binary) input stream into -- an SHA1 hexadecimal stream. The encoding alphabet is: 0123456789ABCDEF. type Encoder is new Util.Encoders.Transformer with private; -- Encodes the binary input stream represented by <b>Data</b> into -- an SHA-1 hash output stream <b>Into</b>. -- -- If the transformer does not have enough room to write the result, -- it must return in <b>Encoded</b> the index of the last encoded -- position in the <b>Data</b> stream. -- -- The transformer returns in <b>Last</b> the last valid position -- in the output stream <b>Into</b>. -- -- The <b>Encoding_Error</b> exception is raised if the input -- stream cannot be transformed. overriding procedure Transform (E : in out Encoder; Data : in Ada.Streams.Stream_Element_Array; Into : out Ada.Streams.Stream_Element_Array; Last : out Ada.Streams.Stream_Element_Offset; Encoded : out Ada.Streams.Stream_Element_Offset); private type Encoder is new Util.Encoders.Transformer with null record; type Context is new Ada.Finalization.Limited_Controlled with record SHA : Util.Encoders.SHA1.Context; Key : Ada.Streams.Stream_Element_Array (0 .. 63); Key_Len : Ada.Streams.Stream_Element_Offset; end record; -- Initialize the SHA-1 context. overriding procedure Initialize (E : in out Context); end Util.Encoders.HMAC.SHA1;
43.84375
93
0.617605
cbe7a4f59e31c410aabc8936ade2d2053b3df6ed
22,292
adb
Ada
src/ada/src/services/route_aggregator/uxas-comms-lmcp_net_client-service-route_aggregation.adb
VVCAS-Sean/OpenUxAS
dcd7be29d182d278a5387908f568d6f8a06b79ee
[ "NASA-1.3" ]
88
2017-08-24T07:02:01.000Z
2022-03-18T04:34:17.000Z
src/ada/src/services/route_aggregator/uxas-comms-lmcp_net_client-service-route_aggregation.adb
VVCAS-Sean/OpenUxAS
dcd7be29d182d278a5387908f568d6f8a06b79ee
[ "NASA-1.3" ]
46
2017-06-08T18:18:08.000Z
2022-03-15T18:24:43.000Z
src/ada/src/services/route_aggregator/uxas-comms-lmcp_net_client-service-route_aggregation.adb
VVCAS-Sean/OpenUxAS
dcd7be29d182d278a5387908f568d6f8a06b79ee
[ "NASA-1.3" ]
53
2017-06-22T14:48:05.000Z
2022-02-15T16:59:38.000Z
with Ada.Characters.Handling; with DOM.Core.Elements; with LMCP_Messages; with LMCP_Message_Conversions; with AFRL.CMASI.AirVehicleConfiguration; use AFRL.CMASI.AirVehicleConfiguration; with AFRL.CMASI.AirVehicleState; use AFRL.CMASI.AirVehicleState; with AFRL.CMASI.AutomationRequest; use AFRL.CMASI.AutomationRequest; with AFRL.Impact.ImpactAutomationRequest; use AFRL.Impact.ImpactAutomationRequest; with AFRL.Vehicles.GroundVehicleConfiguration; use AFRL.Vehicles.GroundVehicleConfiguration; with AFRL.Vehicles.GroundVehicleState; use AFRL.Vehicles.GroundVehicleState; with AFRL.Vehicles.SurfaceVehicleConfiguration; use AFRL.Vehicles.SurfaceVehicleConfiguration; with AFRL.Vehicles.SurfaceVehicleState; use AFRL.Vehicles.SurfaceVehicleState; with UxAS.Messages.Route.RoutePlanResponse; use UxAS.Messages.Route.RoutePlanResponse; with UxAS.Messages.Route.RouteRequest; use UxAS.Messages.Route.RouteRequest; with AFRL.CMASI.EntityConfiguration; use AFRL.CMASI.EntityConfiguration; with AFRL.CMASI.EntityState; use AFRL.CMASI.EntityState; with AVTAS.LMCP.Types; with UxAS.Messages.lmcptask.TaskPlanOptions; use UxAS.Messages.lmcptask.TaskPlanOptions; with UxAS.Messages.lmcptask.UniqueAutomationRequest; use UxAS.Messages.lmcptask.UniqueAutomationRequest; with Ada.Containers; use Ada.Containers; package body UxAS.Comms.LMCP_Net_Client.Service.Route_Aggregation is procedure Handle_AirVehicleConfig_Msg (This : in out Route_Aggregator_Service; Msg : EntityConfiguration_Any); procedure Handle_AirVehicleState_Msg (This : in out Route_Aggregator_Service; Msg : EntityState_Any); procedure Handle_GroundVehicleConfig_Msg (This : in out Route_Aggregator_Service; Msg : EntityConfiguration_Any); procedure Handle_GroundVehicleState_Msg (This : in out Route_Aggregator_Service; Msg : EntityState_Any); procedure Handle_ImpactAutomationRequest_Msg (This : in out Route_Aggregator_Service; Msg : ImpactAutomationRequest_Any); procedure Handle_RoutePlanResponse_Msg (This : in out Route_Aggregator_Service; Msg : RoutePlanResponse_Any); procedure Handle_RouteRequest_Msg (This : in out Route_Aggregator_Service; Msg : RouteRequest_Any); procedure Handle_SurfaceVehicleConfig_Msg (This : in out Route_Aggregator_Service; Msg : EntityConfiguration_Any); procedure Handle_SurfaceVehicleState_Msg (This : in out Route_Aggregator_Service; Msg : EntityState_Any); procedure Handle_TaskPlanOptions_Msg (This : in out Route_Aggregator_Service; Msg : TaskPlanOptions_Any); procedure Handle_UniqueAutomationRequest_Msg (This : in out Route_Aggregator_Service; Msg : UniqueAutomationRequest_Any); --------------- -- Configure -- --------------- overriding procedure Configure (This : in out Route_Aggregator_Service; XML_Node : DOM.Core.Element; Result : out Boolean) is Unused : Boolean; begin declare Attr_Value : constant String := DOM.Core.Elements.Get_Attribute (XML_Node, Name => "FastPlan"); use Ada.Characters.Handling; begin if Attr_Value = "" then This.Config.m_fastPlan := False; -- FastPlan is an optional parameter elsif To_Lower (Attr_Value) = "true" then This.Config.m_fastPlan := True; elsif To_Lower (Attr_Value) = "false" then This.Config.m_fastPlan := False; else -- malformed boolean value Result := False; return; end if; end; -- track states and configurations for assignment cost matrix calculation -- [EntityStates] are used to calculate costs from current position to first task -- [EntityConfigurations] are used for nominal speed values (all costs are in terms of time to arrive) -- addSubscriptionAddress(afrl::CMASI::EntityConfiguration::Subscription); This.Add_Subscription_Address (AFRL.CMASI.EntityConfiguration.Subscription, Unused); for Descendant of EntityConfiguration_Descendants loop This.Add_Subscription_Address (Descendant, Unused); end loop; -- addSubscriptionAddress(afrl::CMASI::EntityState::Subscription); This.Add_Subscription_Address (AFRL.CMASI.EntityState.Subscription, Unused); for Descendant of AFRL.CMASI.EntityState.EntityState_Descendants loop This.Add_Subscription_Address (Descendant, Unused); end loop; -- track requests to kickoff matrix calculation -- addSubscriptionAddress(UxAS::messages::task::UniqueAutomationRequest::Subscription); This.Add_Subscription_Address (UxAS.Messages.lmcptask.UniqueAutomationRequest.Subscription, Unused); -- subscribe to task plan options to build matrix -- addSubscriptionAddress(UxAS::messages::task::TaskPlanOptions::Subscription); This.Add_Subscription_Address (UxAS.Messages.lmcptask.TaskPlanOptions.Subscription, Unused); -- handle batch route requests -- addSubscriptionAddress(UxAS::messages::route::RouteRequest::Subscription); This.Add_Subscription_Address (UxAS.Messages.Route.RouteRequest.Subscription, Unused); -- listen for responses to requests from route planner(s) -- addSubscriptionAddress(UxAS::messages::route::RoutePlanResponse::Subscription); This.Add_Subscription_Address (UxAS.Messages.Route.RoutePlanResponse.Subscription, Unused); -- // Subscribe to group messages (whisper from local route planner) -- //TODO REVIEW DESIGN "RouteAggregator" "RoutePlanner" flip message addressing effecting session behavior -- return true; // may not have the proper fast plan value, but proceed anyway Result := True; end Configure; ------------ -- Create -- ------------ function Create return Any_Service is Result : Any_Service; begin Result := new Route_Aggregator_Service; Result.Construct_Service (Service_Type => Type_Name, Work_Directory_Name => Directory_Name); return Result; end Create; --------------------------------- -- Handle_AirVehicleConfig_Msg -- --------------------------------- procedure Handle_AirVehicleConfig_Msg (This : in out Route_Aggregator_Service; Msg : EntityConfiguration_Any) is Id : constant Common.Int64 := Common.Int64 (Msg.getID); begin -- { -- int64_t id = std::static_pointer_cast<afrl::CMASI::EntityConfiguration>(receivedLMCPMessage->m_object)->getID(); -- m_entityConfigurations[id] = std::static_pointer_cast<afrl::CMASI::EntityConfiguration>(receivedLMCPMessage->m_object); -- m_airVehicles.insert(id); -- } if not Contains (This.Config.m_airVehicles, Id) then This.Config.m_airVehicles := Add (This.Config.m_airVehicles, Id); end if; if not Contains (This.Config.m_entityStates, Int64_Sequences.First, Last (This.Config.m_entityStates), Id) then This.Config.m_entityStates := Add (This.Config.m_entityStates, Id); end if; end Handle_AirVehicleConfig_Msg; -------------------------------- -- Handle_AirVehicleState_Msg -- -------------------------------- procedure Handle_AirVehicleState_Msg (This : in out Route_Aggregator_Service; Msg : EntityState_Any) is use LMCP_Message_Conversions; Entity_State : constant LMCP_Messages.EntityState := As_EntityState_Message (Msg); Id : constant Common.Int64 := Entity_State.Id; begin -- { -- int64_t id = std::static_pointer_cast<afrl::CMASI::EntityState>(receivedLMCPMessage->m_object)->getID(); -- m_entityStates[id] = std::static_pointer_cast<afrl::CMASI::EntityState>(receivedLMCPMessage->m_object); -- m_airVehicles.insert(id); -- } if not Contains (This.Config.m_airVehicles, Id) then This.Config.m_airVehicles := Add (This.Config.m_airVehicles, Id); end if; if not Contains (This.Config.m_entityStates, Int64_Sequences.First, Last (This.Config.m_entityStates), Id) then This.Config.m_entityStates := Add (This.Config.m_entityStates, Id); end if; if ES_Maps.Has_Key (This.Config.m_entityStatesInfo, Id) then This.Config.m_entityStatesInfo := ES_Maps.Set (This.Config.m_entityStatesInfo, Id, Entity_State); else This.Config.m_entityStatesInfo := ES_Maps.Add (This.Config.m_entityStatesInfo, Id, Entity_State); end if; end Handle_AirVehicleState_Msg; ------------------------------------ -- Handle_GroundVehicleConfig_Msg -- ------------------------------------ procedure Handle_GroundVehicleConfig_Msg (This : in out Route_Aggregator_Service; Msg : EntityConfiguration_Any) is Id : constant Common.Int64 := Common.Int64 (Msg.getID); begin -- { -- int64_t id = std::static_pointer_cast<afrl::CMASI::EntityConfiguration>(receivedLMCPMessage->m_object)->getID(); -- m_entityConfigurations[id] = std::static_pointer_cast<afrl::CMASI::EntityConfiguration>(receivedLMCPMessage->m_object); -- m_groundVehicles.insert(id); -- } if not Contains (This.Config.m_groundVehicles, Id) then This.Config.m_groundVehicles := Add (This.Config.m_groundVehicles, Id); end if; if not Contains (This.Config.m_entityStates, Int64_Sequences.First, Last (This.Config.m_entityStates), Id) then This.Config.m_entityStates := Add (This.Config.m_entityStates, Id); end if; end Handle_GroundVehicleConfig_Msg; ----------------------------------- -- Handle_GroundVehicleState_Msg -- ----------------------------------- procedure Handle_GroundVehicleState_Msg (This : in out Route_Aggregator_Service; Msg : EntityState_Any) is use LMCP_Message_Conversions; Entity_State : constant LMCP_Messages.EntityState := As_EntityState_Message (Msg); Id : constant Common.Int64 := Entity_State.Id; begin -- { -- int64_t id = std::static_pointer_cast<afrl::CMASI::EntityState>(receivedLMCPMessage->m_object)->getID(); -- m_entityStates[id] = std::static_pointer_cast<afrl::CMASI::EntityState>(receivedLMCPMessage->m_object); -- m_groundVehicles.insert(id); -- } if not Contains (This.Config.m_groundVehicles, Id) then This.Config.m_groundVehicles := Add (This.Config.m_groundVehicles, Id); end if; if not Contains (This.Config.m_entityStates, Int64_Sequences.First, Last (This.Config.m_entityStates), Id) then This.Config.m_entityStates := Add (This.Config.m_entityStates, Id); end if; if ES_Maps.Has_Key (This.Config.m_entityStatesInfo, Id) then This.Config.m_entityStatesInfo := ES_Maps.Set (This.Config.m_entityStatesInfo, Id, Entity_State); else This.Config.m_entityStatesInfo := ES_Maps.Add (This.Config.m_entityStatesInfo, Id, Entity_State); end if; end Handle_GroundVehicleState_Msg; ---------------------------------------- -- Handle_ImpactAutomationRequest_Msg -- ---------------------------------------- procedure Handle_ImpactAutomationRequest_Msg (This : in out Route_Aggregator_Service; Msg : ImpactAutomationRequest_Any) is -- auto areq = std::shared_ptr<UxAS::messages::task::UniqueAutomationRequest>(); AReq : constant UniqueAutomationRequest_Any := new UxAS.Messages.lmcptask.UniqueAutomationRequest.UniqueAutomationRequest; begin -- auto sreq = std::static_pointer_cast<afrl::impact::ImpactAutomationRequest>(receivedLMCPMessage->m_object); -- Msg corresponds to sreq in this Ada version -- areq->setOriginalRequest(sreq->getTrialRequest()->clone()); AReq.setOriginalRequest (new AutomationRequest'(Msg.getTrialRequest.all)); -- m_uniqueAutomationRequests[m_autoRequestId++] = areq; -- areq->setRequestID(m_autoRequestId); AReq.setRequestID (AVTAS.LMCP.Types.Int64 (This.State.m_autoRequestId + 1)); -- //ResetTaskOptions(areq); // clear m_taskOptions and wait for refresh from tasks -- CheckAllTaskOptionsReceived(); Route_Aggregator.Handle_Unique_Automation_Request (This.Config, This.Mailbox, This.State, LMCP_Message_Conversions.As_UniqueAutomationRequest_Message (AReq)); end Handle_ImpactAutomationRequest_Msg; ---------------------------------- -- Handle_RoutePlanResponse_Msg -- ---------------------------------- procedure Handle_RoutePlanResponse_Msg (This : in out Route_Aggregator_Service; Msg : RoutePlanResponse_Any) is use LMCP_Message_Conversions; begin Route_Aggregator.Handle_Route_Plan_Response (This.Mailbox, This.State, As_RoutePlanResponse_Message (Msg)); end Handle_RoutePlanResponse_Msg; ----------------------------- -- Handle_RouteRequest_Msg -- ----------------------------- procedure Handle_RouteRequest_Msg (This : in out Route_Aggregator_Service; Msg : RouteRequest_Any) is use LMCP_Message_Conversions; begin Route_Aggregator.Handle_Route_Request (This.Config, This.Mailbox, This.State, As_RouteRequest_Message (Msg)); end Handle_RouteRequest_Msg; ------------------------------------- -- Handle_SurfaceVehicleConfig_Msg -- ------------------------------------- procedure Handle_SurfaceVehicleConfig_Msg (This : in out Route_Aggregator_Service; Msg : EntityConfiguration_Any) is Id : constant Common.Int64 := Common.Int64 (Msg.getID); begin -- { -- int64_t id = std::static_pointer_cast<afrl::CMASI::EntityConfiguration>(receivedLMCPMessage->m_object)->getID(); -- m_entityConfigurations[id] = std::static_pointer_cast<afrl::CMASI::EntityConfiguration>(receivedLMCPMessage->m_object); -- m_surfaceVehicles.insert(id); -- } if not Contains (This.Config.m_surfaceVehicles, Id) then This.Config.m_surfaceVehicles := Add (This.Config.m_surfaceVehicles, Id); end if; if not Contains (This.Config.m_entityStates, Int64_Sequences.First, Last (This.Config.m_entityStates), Id) then This.Config.m_entityStates := Add (This.Config.m_entityStates, Id); end if; end Handle_SurfaceVehicleConfig_Msg; ------------------------------------ -- Handle_SurfaceVehicleState_Msg -- ------------------------------------ procedure Handle_SurfaceVehicleState_Msg (This : in out Route_Aggregator_Service; Msg : EntityState_Any) is use LMCP_Message_Conversions; Entity_State : constant LMCP_Messages.EntityState := As_EntityState_Message (Msg); Id : constant Common.Int64 := Entity_State.Id; begin -- { -- int64_t id = std::static_pointer_cast<afrl::CMASI::EntityState>(receivedLMCPMessage->m_object)->getID(); -- m_entityStates[id] = std::static_pointer_cast<afrl::CMASI::EntityState>(receivedLMCPMessage->m_object); -- m_surfaceVehicles.insert(id); -- } if not Contains (This.Config.m_surfaceVehicles, Id) then This.Config.m_surfaceVehicles := Add (This.Config.m_surfaceVehicles, Id); end if; if not Contains (This.Config.m_entityStates, Int64_Sequences.First, Last (This.Config.m_entityStates), Id) then This.Config.m_entityStates := Add (This.Config.m_entityStates, Id); end if; if ES_Maps.Has_Key (This.Config.m_entityStatesInfo, Id) then This.Config.m_entityStatesInfo := ES_Maps.Set (This.Config.m_entityStatesInfo, Id, Entity_State); else This.Config.m_entityStatesInfo := ES_Maps.Add (This.Config.m_entityStatesInfo, Id, Entity_State); end if; end Handle_SurfaceVehicleState_Msg; -------------------------------- -- Handle_TaskPlanOptions_Msg -- -------------------------------- procedure Handle_TaskPlanOptions_Msg (This : in out Route_Aggregator_Service; Msg : TaskPlanOptions_Any) is begin Route_Aggregator.Handle_Task_Plan_Options (This.Mailbox, This.Config, This.State, LMCP_Message_Conversions.As_TaskPlanOption_Message (Msg)); end Handle_TaskPlanOptions_Msg; ---------------------------------------- -- Handle_UniqueAutomationRequest_Msg -- ---------------------------------------- procedure Handle_UniqueAutomationRequest_Msg (This : in out Route_Aggregator_Service; Msg : UniqueAutomationRequest_Any) is begin -- { -- auto areq = std::static_pointer_cast<UxAS::messages::task::UniqueAutomationRequest>(receivedLMCPMessage->m_object); -- m_uniqueAutomationRequests[m_autoRequestId++] = areq; -- //ResetTaskOptions(areq); // clear m_taskOptions and wait for refresh from tasks -- CheckAllTaskOptionsReceived(); -- } Route_Aggregator.Handle_Unique_Automation_Request (This.Config, This.Mailbox, This.State, LMCP_Message_Conversions.As_UniqueAutomationRequest_Message (Msg)); end Handle_UniqueAutomationRequest_Msg; ---------------- -- Initialize -- ---------------- overriding procedure Initialize (This : in out Route_Aggregator_Service; Result : out Boolean) is begin Result := True; -- per the C++ version Route_Aggregator_Communication.Initialize (This.Mailbox, Source_Group => Value (This.Message_Source_Group), Unique_Id => Common.Int64 (UxAS.Comms.LMCP_Net_Client.Unique_Entity_Send_Message_Id), Entity_Id => Common.UInt32 (This.Entity_Id), Service_Id => Common.UInt32 (This.Network_Id)); end Initialize; ----------------------------------- -- Process_Received_LMCP_Message -- ----------------------------------- overriding procedure Process_Received_LMCP_Message (This : in out Route_Aggregator_Service; Received_Message : not null Any_LMCP_Message; Should_Terminate : out Boolean) is begin -- if (UxAS::messages::route::isRoutePlanResponse(receivedLMCPMessage->m_object.get())) if Received_Message.Payload.all in RoutePlanResponse'Class then This.Handle_RoutePlanResponse_Msg (RoutePlanResponse_Any (Received_Message.Payload)); -- else if (UxAS::messages::route::isRouteRequest(receivedLMCPMessage->m_object.get())) elsif Received_Message.Payload.all in RouteRequest'Class then This.Handle_RouteRequest_Msg (RouteRequest_Any (Received_Message.Payload)); -- else if (std::dynamic_pointer_cast<afrl::CMASI::AirVehicleState>(receivedLMCPMessage->m_object)) elsif Received_Message.Payload.all in AirVehicleState'Class then This.Handle_AirVehicleState_Msg (EntityState_Any (Received_Message.Payload)); -- else if (afrl::vehicles::isGroundVehicleState(receivedLMCPMessage->m_object.get())) elsif Received_Message.Payload.all in GroundVehicleState'Class then This.Handle_GroundVehicleState_Msg (EntityState_Any (Received_Message.Payload)); -- else if (afrl::vehicles::isSurfaceVehicleState(receivedLMCPMessage->m_object.get())) elsif Received_Message.Payload.all in SurfaceVehicleState'Class then This.Handle_SurfaceVehicleState_Msg (EntityState_Any (Received_Message.Payload)); -- else if (std::dynamic_pointer_cast<afrl::CMASI::AirVehicleConfiguration>(receivedLMCPMessage->m_object)) elsif Received_Message.Payload.all in AirVehicleConfiguration'Class then This.Handle_AirVehicleConfig_Msg (EntityConfiguration_Any (Received_Message.Payload)); -- else if (afrl::vehicles::isGroundVehicleConfiguration(receivedLMCPMessage->m_object.get())) elsif Received_Message.Payload.all in GroundVehicleConfiguration'Class then This.Handle_GroundVehicleConfig_Msg (EntityConfiguration_Any (Received_Message.Payload)); -- else if (afrl::vehicles::isSurfaceVehicleConfiguration(receivedLMCPMessage->m_object.get())) elsif Received_Message.Payload.all in SurfaceVehicleConfiguration'Class then This.Handle_SurfaceVehicleConfig_Msg (EntityConfiguration_Any (Received_Message.Payload)); -- else if (UxAS::messages::task::isUniqueAutomationRequest(receivedLMCPMessage->m_object.get())) elsif Received_Message.Payload.all in UxAS.Messages.lmcptask.UniqueAutomationRequest.UniqueAutomationRequest'Class then This.Handle_UniqueAutomationRequest_Msg (UniqueAutomationRequest_Any (Received_Message.Payload)); -- else if (afrl::impact::isImpactAutomationRequest(receivedLMCPMessage->m_object.get())) elsif Received_Message.Payload.all in ImpactAutomationRequest'Class then This.Handle_ImpactAutomationRequest_Msg (ImpactAutomationRequest_Any (Received_Message.Payload)); -- else if (UxAS::messages::task::isTaskPlanOptions(receivedLMCPMessage->m_object.get())) elsif Received_Message.Payload.all in TaskPlanOptions'Class then This.Handle_TaskPlanOptions_Msg (TaskPlanOptions_Any (Received_Message.Payload)); end if; Should_Terminate := False; end Process_Received_LMCP_Message; --------------------------------- -- Registry_Service_Type_Names -- --------------------------------- function Registry_Service_Type_Names return Service_Type_Names_List is (Service_Type_Names_List'(1 => Instance (Service_Type_Name_Max_Length, Content => Type_Name))); ----------------------------- -- Package Executable Part -- ----------------------------- -- This is the executable part for the package, invoked automatically and only once. begin -- All concrete service subclasses must call this procedure in their -- own package like this, with their own params. Register_Service_Creation_Function_Pointers (Registry_Service_Type_Names, Create'Access); end UxAS.Comms.LMCP_Net_Client.Service.Route_Aggregation;
43.968442
133
0.680962
18f49203ad8075779db7f027be7c54247c1fc286
2,535
ads
Ada
runtime/ravenscar-sfp-stm32f427/gnarl-common/a-taster.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
12
2017-06-08T14:19:57.000Z
2022-03-09T02:48:59.000Z
runtime/ravenscar-sfp-stm32f427/gnarl-common/a-taster.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
6
2017-06-08T13:13:50.000Z
2020-05-15T09:32:43.000Z
runtime/ravenscar-sfp-stm32f427/gnarl-common/a-taster.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
3
2017-06-30T14:05:06.000Z
2022-02-17T12:20:45.000Z
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . T A S K _ T E R M I N A T I O N -- -- -- -- S p e c -- -- -- -- Copyright (C) 2005-2014, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This is a simplified version of this package to be used in when the -- Ravenscar profile and there are no exception handlers present (either of -- the restrictions No_Exception_Handlers or No_Exception_Propagation are in -- effect). This means that the only task termination cause that need to be -- taken into account is normal task termination (abort is not allowed by -- the Ravenscar profile and the restricted exception support does not -- include Exception_Occurrence). with Ada.Task_Identification; package Ada.Task_Termination with SPARK_Mode => On is pragma Preelaborate (Task_Termination); type Termination_Handler is access protected procedure (T : Ada.Task_Identification.Task_Id); -- ??? This type is not conformant with the RM, as cause and exception -- occurrence are missing. Adding cause would be easy, but in the sfp -- profile there is no declaration of Exception_Occurrence. procedure Set_Dependents_Fallback_Handler (Handler : Termination_Handler); function Current_Task_Fallback_Handler return Termination_Handler; end Ada.Task_Termination;
56.333333
78
0.493886
dcd1441258a1fe004bb1d91b3ebb43f2fdb1075a
10,553
ads
Ada
registrar-source_files.ads
annexi-strayline/AURA
fbbc4bc963ee82872a67e088b68f0565ba5b50a7
[ "BSD-3-Clause" ]
13
2021-09-28T18:14:32.000Z
2022-02-09T17:48:53.000Z
registrar-source_files.ads
annexi-strayline/AURA
fbbc4bc963ee82872a67e088b68f0565ba5b50a7
[ "BSD-3-Clause" ]
9
2021-09-28T19:18:25.000Z
2022-01-14T22:54:06.000Z
registrar-source_files.ads
annexi-strayline/AURA
fbbc4bc963ee82872a67e088b68f0565ba5b50a7
[ "BSD-3-Clause" ]
1
2021-10-21T21:19:08.000Z
2021-10-21T21:19:08.000Z
------------------------------------------------------------------------------ -- -- -- Ada User Repository Annex (AURA) -- -- ANNEXI-STRAYLINE Reference Implementation -- -- -- -- Command Line Interface -- -- -- -- ------------------------------------------------------------------------ -- -- -- -- Copyright (C) 2019-2020, ANNEXI-STRAYLINE Trans-Human Ltd. -- -- All rights reserved. -- -- -- -- Original Contributors: -- -- * Richard Wai (ANNEXI-STRAYLINE) -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- -- -- * Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- The Source_Files package provides a single type for managing individual -- source files. Once initialized, a source file is opened and left open. -- -- Stream access is controlled, such that only one stream may be active -- at any given time, since multiple stream access to file objects is not -- generally considered workable with Ada.Streams; with Ada.Containers.Vectors; private with Ada.Finalization; private with Ada.Streams.Stream_IO; private with Ada.Strings.Unbounded; with Stream_Hashing; package Registrar.Source_Files is ----------------- -- Source_File -- ----------------- type Source_File (<>) is tagged limited private; -- Source_File objects are allocated from the Standard Storage Pool, and -- should have a life that is the same as the program (never deallocated) -- -- The registrar has exclusive control over the allocation and deallocation -- of source files. -- -- Any source files entered into an accessible registry will never be -- deallocated. -- -- Unchecked_Deallocation must never be instantiated for Source_File_Access -- except in the child private package Allocation. type Source_File_Access is access Source_File; procedure Read (Stream: not null access Ada.Streams.Root_Stream_Type'Class; Item : out Source_File_Access); procedure Write (Stream: not null access Ada.Streams.Root_Stream_Type'Class; Item : in Source_File_Access) is null; for Source_File_Access'Read use Read; for Source_File_Access'Write use Write; -- We don't write anything, and always pretend to read-in null. This is to -- facilitate rational 'Write and 'Read operations when streaming -- Library_Units function Full_Name (Source: Source_File) return String; -- Returns the "full name" of the file as defined by the Ada.Directories -- language-defined package, or a null string if the file is not open function Hash (Source: Source_File) return Stream_Hashing.Hash_Type; -- The stream hash is computed on allocation of the file, and on -- the finalization of a Read_Write checkout. -- -- This value is not necessarily task-safe, but it's use is expected to -- be used during phases where source files are not being modified. -- -- The Hash value is always updated before the checkout is released -- Source_File_Vectors -- ------------------------- package Source_File_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => Source_File_Access); ------------------- -- Source_Stream -- ------------------- type Source_Stream (<>) is limited new Ada.Streams.Root_Stream_Type with private; -- Source_Streams give task-safe stream access to a given Source_File object -- -- The Stream of a Source_File may only have a single Source_Stream checked- -- out at any given time. -- -- Attempting a check-out on an already checked-out stream blocks until the -- already checked-out stream is released. -- -- The underlying file is always opened (with the appropriate mode) when -- the stream is checked-out and closed when the check-out is released. -- -- The effects of invoking Write on a Read_Only Stream should be expected to -- reflect an attempt to invoke Write on a Stream_IO File set to mode -- In_File. not overriding function Checkout_Read_Stream (Source: not null Source_File_Access) return Source_Stream; not overriding function Checkout_Write_Stream (Source : not null Source_File_Access; Rewrite: in Boolean := False) return Source_Stream; -- If Rewrite is True, the file is first deleted, and then created not overriding function End_Of_File (Stream: in Source_Stream) return Boolean; -- Equivilent to Ada.Streams.Stream_IO.End_Of_File on the underlying -- file not overriding procedure Position_At_Start (Stream: in out Source_Stream); -- Resets the Position of the file not overriding procedure Position_At_End (Stream: in out Source_Stream); -- Positions the index of the file to the end overriding procedure Read (Stream: in out Source_Stream; Item : out Ada.Streams.Stream_Element_Array; Last : out Ada.Streams.Stream_Element_Offset); overriding procedure Write (Stream: in out Source_Stream; Item : in Ada.Streams.Stream_Element_Array); private -------------------------- -- Stream_Checkout_Lock -- -------------------------- -- A single lock shared by all Source_File objects. Stream_Checkout_Tokens -- are linked to Source_File, which contains the lock. protected type Stream_Checkout_Lock is entry Lock; procedure Release; function Locked return Boolean; private Lock_Actual: Boolean := False; end Stream_Checkout_Lock; ----------------- -- Source_File -- ----------------- type Source_File is tagged limited record File_Actual : Ada.Streams.Stream_IO.File_Type; Full_Name : Ada.Strings.Unbounded.Unbounded_String; Current_Hash : Stream_Hashing.Hash_Type; Stream_Checkout: Stream_Checkout_Lock; end record; not overriding procedure Compute_Hash (File: in out Source_File); -- Computes the hash of File, and sets the Current_Hash component to the -- resulting value. This procedure should be called either when a -- Source_File is allocated, or while it is still checked-out. -- -- File is expected to be Open, is Reset to In_File before computing the -- hash. --------------------------- -- Stream_Checkout_Token -- --------------------------- -- In lieu of being able to make a Root_Stream_Type also controlled, the -- Stream_Checkout_Token is as a component of Source_Stream which will -- be finalized with Source_Stream, and cause the token to be released type Stream_Checkout_Token is limited new Ada.Finalization.Limited_Controlled with record File: Source_File_Access := null; end record; overriding procedure Finalize (Token: in out Stream_Checkout_Token); ------------------- -- Source_Stream -- ------------------- -- By linking the Source_Stream to a Source_File, and by also containing -- a Stream_Checkout_Token linked to the same Source_File, we can be sure -- that finalization of a Source_Stream object can release the lock type Source_Stream is limited new Ada.Streams.Root_Stream_Type with record File : Source_File_Access := null; Stream_Actual: Ada.Streams.Stream_IO.Stream_Access := null; Checkout : Stream_Checkout_Token; end record; end Registrar.Source_Files;
42.724696
79
0.569317
4ae2245db5724cfe2a756d47ebfb9f94ade370e5
3,353
adb
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/i-vxwoio.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/i-vxwoio.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/i-vxwoio.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- I N T E R F A C E S . V X W O R K S . I O -- -- -- -- B o d y -- -- -- -- Copyright (C) 2002-2020, Free Software Foundation, Inc. -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNARL was developed by the GNARL team at Florida State University. -- -- Extensive contributions were provided by Ada Core Technologies, Inc. -- -- -- ------------------------------------------------------------------------------ package body Interfaces.VxWorks.IO is -------------------------- -- Enable_Get_Immediate -- -------------------------- procedure Enable_Get_Immediate (File : Interfaces.C_Streams.FILEs; Success : out Boolean) is Status : int; Fd : int; begin Fd := fileno (File); Status := ioctl (Fd, FIOSETOPTIONS, OPT_RAW); if Status /= int (ERROR) then Success := True; else Success := False; end if; end Enable_Get_Immediate; --------------------------- -- Disable_Get_Immediate -- --------------------------- procedure Disable_Get_Immediate (File : Interfaces.C_Streams.FILEs; Success : out Boolean) is Status : int; Fd : int; begin Fd := fileno (File); Status := ioctl (Fd, FIOSETOPTIONS, OPT_TERMINAL); Success := (if Status /= int (ERROR) then True else False); end Disable_Get_Immediate; end Interfaces.VxWorks.IO;
45.931507
78
0.417537
dc88e8531a12e9f1cd3f18176450fa18b0647f72
7,108
adb
Ada
tests/src/testsuite-encode-multiframe_tests.adb
Fabien-Chouteau/COBS
155ce5c2ecb4fffdac31df9dccd1a0d0c5916c2b
[ "MIT" ]
null
null
null
tests/src/testsuite-encode-multiframe_tests.adb
Fabien-Chouteau/COBS
155ce5c2ecb4fffdac31df9dccd1a0d0c5916c2b
[ "MIT" ]
null
null
null
tests/src/testsuite-encode-multiframe_tests.adb
Fabien-Chouteau/COBS
155ce5c2ecb4fffdac31df9dccd1a0d0c5916c2b
[ "MIT" ]
null
null
null
with System.Storage_Elements; use System.Storage_Elements; with AUnit.Assertions; use AUnit.Assertions; with AAA.Strings; with Test_Utils; use Test_Utils; with Ada.Containers.Indefinite_Vectors; package body Testsuite.Encode.Multiframe_Tests is pragma Style_Checks ("gnatyM120-s"); package Input_Frames_Package is new Ada.Containers.Indefinite_Vectors (Natural, Storage_Array); ---------------- -- Basic_Test -- ---------------- procedure Basic_Test (Fixture : in out Encoder_Fixture; Input : Input_Frames_Package.Vector; Expected : Storage_Array) is Expected_Frame : constant Data_Frame := From_Array (Expected); begin Fixture.Encoder.Clear; for Frame of Input loop for Elt of Frame loop Fixture.Encoder.Receive (Elt); end loop; Fixture.Encoder.End_Of_Frame; end loop; Fixture.Encoder.End_Of_Test; Assert (Fixture.Encoder.Number_Of_Frames = 1, "Unexpected number of output frames: " & Fixture.Encoder.Number_Of_Frames'Img); declare Output_Frame : constant Data_Frame := Fixture.Encoder.Get_Frame (0); begin if Output_Frame /= Expected_Frame then declare Diff : constant AAA.Strings.Vector := Test_Utils.Diff (From_Array (Expected), Fixture.Encoder.Get_Frame (0)); begin Assert (False, Diff.Flatten (ASCII.LF)); end; end if; end; end Basic_Test; --------------- -- Test_Zero -- --------------- procedure Test_Zero (Fixture : in out Encoder_Fixture) is Input : Input_Frames_Package.Vector; begin Input.Append ((0 => 0)); Input.Append ((0 => 0)); Input.Append ((0 => 0)); Basic_Test (Fixture, Input => Input, Expected => (01, 01, 00, 01, 01, 00, 01, 01, 00)); end Test_Zero; ---------------- -- Test_1_254 -- ---------------- procedure Test_1_254 (Fixture : in out Encoder_Fixture) is Long_Input : Storage_Array (0 .. 255); Input : Input_Frames_Package.Vector; begin for X in Long_Input'Range loop Long_Input (X) := Storage_Element (X); end loop; Input.Append (Long_Input (1 .. 254)); Input.Append (Long_Input (1 .. 254)); Input.Append (Long_Input (1 .. 254)); Basic_Test (Fixture, Input => Input, Expected => (0 => 16#FF#) & Long_Input (1 .. 254) & (0 => 16#00#) & (0 => 16#FF#) & Long_Input (1 .. 254) & (0 => 16#00#) & (0 => 16#FF#) & Long_Input (1 .. 254) & (0 => 16#00#)); end Test_1_254; ---------------- -- Test_0_254 -- ---------------- procedure Test_0_254 (Fixture : in out Encoder_Fixture) is Long_Input : Storage_Array (0 .. 255); Input : Input_Frames_Package.Vector; begin for X in Long_Input'Range loop Long_Input (X) := Storage_Element (X); end loop; Input.Append (Long_Input (0 .. 254)); Input.Append (Long_Input (0 .. 254)); Input.Append (Long_Input (0 .. 254)); Basic_Test (Fixture, Input => Input, Expected => (16#01#, 16#FF#) & Long_Input (1 .. 254) & (0 => 16#00#) & (16#01#, 16#FF#) & Long_Input (1 .. 254) & (0 => 16#00#) & (16#01#, 16#FF#) & Long_Input (1 .. 254) & (0 => 16#00#)); end Test_0_254; ---------------- -- Test_1_255 -- ---------------- procedure Test_1_255 (Fixture : in out Encoder_Fixture) is Long_Input : Storage_Array (0 .. 255); Input : Input_Frames_Package.Vector; begin for X in Long_Input'Range loop Long_Input (X) := Storage_Element (X); end loop; Input.Append (Long_Input (1 .. 255)); Input.Append (Long_Input (1 .. 255)); Input.Append (Long_Input (1 .. 255)); Basic_Test (Fixture, Input => Input, Expected => (0 => 16#FF#) & Long_Input (1 .. 254) & (16#02#, 16#FF#, 16#00#) & (0 => 16#FF#) & Long_Input (1 .. 254) & (16#02#, 16#FF#, 16#00#) & (0 => 16#FF#) & Long_Input (1 .. 254) & (16#02#, 16#FF#, 16#00#)); end Test_1_255; ------------------ -- Test_2_255_0 -- ------------------ procedure Test_2_255_0 (Fixture : in out Encoder_Fixture) is Long_Input : Storage_Array (0 .. 255); Input : Input_Frames_Package.Vector; begin for X in Long_Input'Range loop Long_Input (X) := Storage_Element (X); end loop; Input.Append (Long_Input (2 .. 255) & (0 => 16#0#)); Input.Append (Long_Input (2 .. 255) & (0 => 16#0#)); Input.Append (Long_Input (2 .. 255) & (0 => 16#0#)); Basic_Test (Fixture, Input => Input, Expected => (0 => 16#FF#) & Long_Input (2 .. 255) & (16#01#, 16#01#, 16#00#) & (0 => 16#FF#) & Long_Input (2 .. 255) & (16#01#, 16#01#, 16#00#) & (0 => 16#FF#) & Long_Input (2 .. 255) & (16#01#, 16#01#, 16#00#)); end Test_2_255_0; -------------------- -- Test_3_255_0_1 -- -------------------- procedure Test_3_255_0_1 (Fixture : in out Encoder_Fixture) is Long_Input : Storage_Array (0 .. 255); Input : Input_Frames_Package.Vector; begin for X in Long_Input'Range loop Long_Input (X) := Storage_Element (X); end loop; Input.Append (Long_Input (3 .. 255) & (16#0#, 16#01#)); Input.Append (Long_Input (3 .. 255) & (16#0#, 16#01#)); Input.Append (Long_Input (3 .. 255) & (16#0#, 16#01#)); Basic_Test (Fixture, Input => Input, Expected => (0 => 16#FE#) & Long_Input (3 .. 255) & (16#02#, 16#01#, 16#00#) & (0 => 16#FE#) & Long_Input (3 .. 255) & (16#02#, 16#01#, 16#00#) & (0 => 16#FE#) & Long_Input (3 .. 255) & (16#02#, 16#01#, 16#00#)); end Test_3_255_0_1; --------------- -- Add_Tests -- --------------- procedure Add_Tests (Suite : in out AUnit.Test_Suites.Test_Suite'Class) is begin Suite.Add_Test (Encoder_Caller.Create ("Multiframe zeroes", Test_Zero'Access)); Suite.Add_Test (Encoder_Caller.Create ("Multiframe 1 .. 254", Test_1_254'Access)); Suite.Add_Test (Encoder_Caller.Create ("Multiframe 0 .. 254", Test_0_254'Access)); Suite.Add_Test (Encoder_Caller.Create ("Multiframe 1 .. 255", Test_1_255'Access)); Suite.Add_Test (Encoder_Caller.Create ("Multiframe 2 .. 255 & 0", Test_2_255_0'Access)); Suite.Add_Test (Encoder_Caller.Create ("Multiframe 3 .. 255 & 0 & 1", Test_3_255_0_1'Access)); end Add_Tests; end Testsuite.Encode.Multiframe_Tests;
32.605505
100
0.517445
c5f1be25f9d8318e1b2b1ac708818dc4e959b72e
3,804
adb
Ada
src/gen-artifacts-distribs-concat.adb
jquorning/dynamo
10d68571476c270b8e45a9c5ef585fa9139b0d05
[ "Apache-2.0" ]
15
2015-01-18T23:04:19.000Z
2022-03-01T20:27:08.000Z
src/gen-artifacts-distribs-concat.adb
jquorning/dynamo
10d68571476c270b8e45a9c5ef585fa9139b0d05
[ "Apache-2.0" ]
16
2018-06-10T07:09:30.000Z
2022-03-26T18:28:40.000Z
src/gen-artifacts-distribs-concat.adb
jquorning/dynamo
10d68571476c270b8e45a9c5ef585fa9139b0d05
[ "Apache-2.0" ]
3
2015-11-11T18:00:14.000Z
2022-01-30T23:08:45.000Z
----------------------------------------------------------------------- -- gen-artifacts-distribs-concat -- Concatenate based distribution artifact -- Copyright (C) 2012, 2020 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Directories; with Ada.Streams.Stream_IO; with Ada.Exceptions; with Ada.IO_Exceptions; with Util.Log.Loggers; with Util.Streams; with Util.Streams.Files; package body Gen.Artifacts.Distribs.Concat is use Util.Log; Log : constant Loggers.Logger := Loggers.Create ("Gen.Artifacts.Distribs.Concat"); -- ------------------------------ -- Create a distribution rule to copy a set of files or directories. -- ------------------------------ function Create_Rule (Node : in DOM.Core.Node) return Distrib_Rule_Access is pragma Unreferenced (Node); Result : constant Concat_Rule_Access := new Concat_Rule; begin return Result.all'Access; end Create_Rule; -- ------------------------------ -- Get a name to qualify the installation rule (used for logs). -- ------------------------------ overriding function Get_Install_Name (Rule : in Concat_Rule) return String is pragma Unreferenced (Rule); begin return "concat"; end Get_Install_Name; -- ------------------------------ -- Install the file <b>File</b> according to the distribution rule. -- Concatenate the files listed in <b>Files</b> in the target path specified by <b>Path</b>. -- ------------------------------ overriding procedure Install (Rule : in Concat_Rule; Path : in String; Files : in File_Vector; Context : in out Generator'Class) is procedure Concat_File (File : in File_Record); Dir : constant String := Ada.Directories.Containing_Directory (Path); Output : Util.Streams.Files.File_Stream; -- ------------------------------ -- Append the file to the output -- ------------------------------ procedure Concat_File (File : in File_Record) is File_Path : constant String := Rule.Get_Source_Path (File); Input : Util.Streams.Files.File_Stream; begin if Rule.Level >= Util.Log.INFO_LEVEL then Log.Info (" concat {0} to {1}", File_Path, Path); end if; Input.Open (Name => File_Path, Mode => Ada.Streams.Stream_IO.In_File); Util.Streams.Copy (From => Input, Into => Output); Input.Close; exception when Ex : Ada.IO_Exceptions.Name_Error => Context.Error ("Cannot read {0}: ", File_Path, Ada.Exceptions.Exception_Message (Ex)); end Concat_File; Iter : File_Cursor := Files.First; begin Ada.Directories.Create_Path (Dir); Output.Create (Name => Path, Mode => Ada.Streams.Stream_IO.Out_File); while File_Record_Vectors.Has_Element (Iter) loop File_Record_Vectors.Query_Element (Iter, Concat_File'Access); File_Record_Vectors.Next (Iter); end loop; Output.Close; end Install; end Gen.Artifacts.Distribs.Concat;
37.663366
96
0.591746
c5d6e29e18291097870e5d0411c3fd39309826e5
7,389
ada
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c9/c93005e.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c9/c93005e.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c9/c93005e.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- C93005E.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- CHECK THAT IF AN EXCEPTION IS RAISED IN A DECLARATIVE PART OR PACKAGE -- SPECIFICATION, A TASK DECLARED IN THE SAME DECLARATIVE PART BECOMES -- COMPLETED BEFORE IT HAS BEEN ACTIVATED; ANY TASKS AWAITING A -- RENDEZVOUS WITH THE COMPLETED RECEIVE TASKING_ERROR. -- CASE 3: TASKS IN PACKAGE SPECIFICATION. -- THE TASKS DON'T DEPEND ON THE PACKAGE SPECIFICATION. -- RAC 19-MAR-1985 -- JBG 06/03/85 -- EG 10/30/85 ELIMINATE THE USE OF NUMERIC_ERROR IN TEST. -- PWN 09/11/94 REMOVED PRAGMA PRIORITY FOR ADA 9X. WITH REPORT; USE REPORT; WITH SYSTEM; USE SYSTEM; PRAGMA ELABORATE (REPORT); PACKAGE C93005E_PK1 IS -- THIS TYPE OF TASK IS ALWAYS UNACTIVATED. TASK TYPE UNACTIVATED IS ENTRY E; END UNACTIVATED; TYPE ACC_UNACTIVATED IS ACCESS UNACTIVATED; TYPE BAD_REC IS RECORD T : UNACTIVATED; I : POSITIVE := IDENT_INT(0); -- RAISE CONSTRAINT_ERROR. END RECORD; TYPE ACC_BAD_REC IS ACCESS BAD_REC; -- ******************************************* -- DEFINITIONS FOR MUST NOT BE TERMINATED TASKS -- ******************************************* -- -- THIS SET OF DECLARATIONS DEFINES A RECORD TYPE MNT (MUST NOT -- TERMINATE). WHENEVER SUCH A RECORD IS DECLARED, A COUNT IS -- INCREMENTED AND A TASK IS CREATED. THE TASK WILL DECREMENT THE -- COUNT UNLESS IT IS INCORRECTLY AND PREMATURELY TERMINATED. -- THE ROUTINE CHECK IS CALLED TO VERIFY WHETHER THE COUNT -- HAS RETURNED TO 0 (ALL MNT TASKS GOT A CHANCE TO DO THEIR -- DECREMENT). -- AN MNT TASK. SUCH TASKS MUST NOT BE TERMINATED -- BY ANYONE BUT THEMSELVES. -- TASK TYPE MNT_TASK IS END MNT_TASK; FUNCTION F RETURN INTEGER; -- THE RECORD THAT IS DECLARED TO HOLD AN MNT TASK -- AND FORCE CALLING F BEFORE CREATING THE TASK. -- F INCREMENTS THE COUNT, THE TASK DECREMENTS THE -- COUNT. -- TYPE MNT IS RECORD DUMMY : INTEGER := F; T : MNT_TASK; END RECORD; PROCEDURE CHECK; -- ******************************************* -- END OF DEFINITIONS FOR MUST NOT BE TERMINATED TASKS -- ******************************************* END C93005E_PK1; with Impdef; PACKAGE BODY C93005E_PK1 IS -- THIS TASK IS CALLED IF AN UNACTIVATED TASK -- IS EVER INCORRECTLY ACTIVATED. IT REPORTS FAILURE. TASK T IS ENTRY E; END; -- *********************************************** -- START OF DEFINITIONS FOR MUST NOT TERMINATE TASKS -- *********************************************** -- COUNT OF TASKS THAT MUST NOT BE TERMINATED AND -- ARE STILL ACTIVE. MNT_COUNT : INTEGER := 0; -- TASK TO SYNCHRONIZE THE MNT_COUNT VARIABLE TASK MNT_COUNTER IS ENTRY INCR; ENTRY DECR; END MNT_COUNTER; -- SYNCHRONIZING TASK TASK BODY MNT_COUNTER IS BEGIN LOOP SELECT ACCEPT INCR DO MNT_COUNT := MNT_COUNT +1; END INCR; OR ACCEPT DECR DO MNT_COUNT := MNT_COUNT -1; END DECR; OR TERMINATE; END SELECT; END LOOP; END MNT_COUNTER; -- INCREMENT THE MNT_COUNT WHEN A TASK IS CREATED -- FUNCTION F RETURN INTEGER IS BEGIN MNT_COUNTER.INCR; RETURN 0; END F; -- CHECK THAT THE MUST NOT BE TERMINATED TASKS ARE -- NOT YET TERMINATED AND THAT THE SYNCRHONIZING TASK -- ITSELF IS NOT TERMINATED. -- PROCEDURE CHECK IS BEGIN IF MNT_COUNT /= 0 OR MNT_COUNTER'TERMINATED THEN FAILED ("SOME MUST-NOT-TERMINATE TASK WAS PREMATURELY " & "TERMINATED"); END IF; -- RESET THE COUNT FOR THE NEXT SUBTEST: MNT_COUNT := 0; END CHECK; -- A MUST NOT BE TERMINATED TASK. DELAY LONG ENOUGH -- TO BE THE LAST TASK OF A SCOPE TO TERMINATE. THEN -- DECREMENT THE COUNTER. -- TASK BODY MNT_TASK IS BEGIN DELAY 5.0 * Impdef.One_Second; MNT_COUNTER.DECR; END MNT_TASK; -- *********************************************** -- END OF DEFINITIONS FOR MUST NOT TERMINATE TASKS -- *********************************************** TASK BODY T IS BEGIN LOOP SELECT ACCEPT E DO FAILED ("SOME TYPE U TASK WAS ACTIVATED"); END E; OR TERMINATE; END SELECT; END LOOP; END T; -- TASKS OF TYPE UNACTIVATED MUST NEVER BE ACTIVATED. -- TASK BODY UNACTIVATED IS BEGIN T.E; END UNACTIVATED; END C93005E_PK1; WITH REPORT, C93005E_PK1; USE REPORT, C93005E_PK1; WITH SYSTEM; USE SYSTEM; PROCEDURE C93005E IS BEGIN TEST("C93005E", "TEST EXCEPTIONS TERMINATE NOT YET ACTIVATED " & "TASKS"); COMMENT("SUBTEST 3: TASK IN DECL PART OF PACKAGE SPEC"); COMMENT(" THE TASKS DON'T DEPEND ON THE DECLARATIVE PART"); B31: DECLARE X : MNT; BEGIN B32: BEGIN B33: DECLARE PACKAGE RAISES_EXCEPTION IS TYPE ACC_MNT IS ACCESS MNT; Y : ACC_MNT := NEW MNT; PTR : ACC_BAD_REC := NEW BAD_REC; END RAISES_EXCEPTION; BEGIN -- WOULD HAVE BEEN ACTIVATED HERE FAILED("EXCEPTION NOT RAISED"); EXCEPTION WHEN OTHERS => FAILED ("EXCEPTION RAISED IN WRONG SCOPE"); END B33; EXCEPTION WHEN CONSTRAINT_ERROR => COMMENT("SUBTEST 3 COMPLETED"); WHEN OTHERS => FAILED ("WRONG EXCEPTION RAISED IN B32"); END B32; END B31; CHECK; RESULT; EXCEPTION WHEN OTHERS => FAILED ("EXCEPTION NOT ABSORBED"); RESULT; END C93005E;
29.794355
79
0.560698
d046d3ef1935acd7b7b7044c1f53191a9a3a6ba1
22,962
adb
Ada
model_multistart/0/hls4ml_prj/myproject_prj/solution1/.autopilot/db/dense_large.adb
filipemlins/nas-hls4ml
b35afc4f684d803d352776c40f3a6cbbf47c4b1c
[ "MIT" ]
null
null
null
model_multistart/0/hls4ml_prj/myproject_prj/solution1/.autopilot/db/dense_large.adb
filipemlins/nas-hls4ml
b35afc4f684d803d352776c40f3a6cbbf47c4b1c
[ "MIT" ]
null
null
null
model_multistart/0/hls4ml_prj/myproject_prj/solution1/.autopilot/db/dense_large.adb
filipemlins/nas-hls4ml
b35afc4f684d803d352776c40f3a6cbbf47c4b1c
[ "MIT" ]
null
null
null
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName/> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>dense_large</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>data_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>data.V</originalName> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>13</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>84</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>res_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>res.V</originalName> <rtlName/> <coreName>RAM</coreName> </Obj> <bitwidth>14</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>10</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_3"> <Value> <Obj> <type>0</type> <id>5</id> <name/> <fileName>firmware/nnet_utils/nnet_dense_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>276</lineNumber> <contextFuncName>dense_large&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config17&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>firmware/nnet_utils/nnet_dense_large.h</first> <second>dense_large&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config17&amp;gt;</second> </first> <second>276</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>grp_dense_large_rf_gt_ni_2_fu_10</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>5</count> <item_version>0</item_version> <item>9</item> <item>10</item> <item>11</item> <item>12</item> <item>13</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_4"> <Value> <Obj> <type>0</type> <id>6</id> <name/> <fileName>firmware/nnet_utils/nnet_dense_large.h</fileName> <fileDirectory>..</fileDirectory> <lineNumber>280</lineNumber> <contextFuncName>dense_large&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config17&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/filipe/MEGA/GitHub/nas-hls4ml/model_multistart/0/hls4ml_prj</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>firmware/nnet_utils/nnet_dense_large.h</first> <second>dense_large&amp;lt;ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, ap_fixed&amp;lt;14, 2, 0, 0, 0&amp;gt;, config17&amp;gt;</second> </first> <second>280</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_5"> <Value> <Obj> <type>2</type> <id>8</id> <name>dense_large_rf_gt_ni_2</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:dense_large_rf_gt_ni.2&gt;</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_6"> <Obj> <type>3</type> <id>7</id> <name>dense_large</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>5</item> <item>6</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>5</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_7"> <id>9</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>5</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_8"> <id>10</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>5</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_9"> <id>11</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>5</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_10"> <id>12</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>5</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_11"> <id>13</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>5</sink_obj> <is_back_edge>0</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_12"> <mId>1</mId> <mTag>dense_large</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>7</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>13064</mMinLatency> <mMaxLatency>33224</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_13"> <states class_id="25" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_14"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_15"> <id>5</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_16"> <id>2</id> <operations> <count>2</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_17"> <id>5</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_18"> <id>6</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_19"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>-1</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="34" tracking_level="1" version="0" object_id="_20"> <dp_component_resource class_id="35" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="36" tracking_level="0" version="0"> <first>grp_dense_large_rf_gt_ni_2_fu_10 (dense_large_rf_gt_ni_2)</first> <second class_id="37" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="38" tracking_level="0" version="0"> <first>BRAM</first> <second>2</second> </item> <item> <first>DSP48E</first> <second>2</second> </item> <item> <first>FF</first> <second>514</second> </item> <item> <first>LUT</first> <second>850</second> </item> </second> </item> </dp_component_resource> <dp_expression_resource> <count>1</count> <item_version>0</item_version> <item> <first>ap_block_state1 ( or ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>2</second> </item> </second> </item> </dp_expression_resource> <dp_fifo_resource> <count>0</count> <item_version>0</item_version> </dp_fifo_resource> <dp_memory_resource> <count>0</count> <item_version>0</item_version> </dp_memory_resource> <dp_multiplexer_resource> <count>2</count> <item_version>0</item_version> <item> <first>ap_NS_fsm</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>3</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>3</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> <item> <first>ap_done</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> </dp_multiplexer_resource> <dp_register_resource> <count>3</count> <item_version>0</item_version> <item> <first>ap_CS_fsm</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>2</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>2</second> </item> </second> </item> <item> <first>ap_done_reg</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>grp_dense_large_rf_gt_ni_2_fu_10_ap_start_reg</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> </dp_register_resource> <dp_dsp_resource> <count>1</count> <item_version>0</item_version> <item> <first>grp_dense_large_rf_gt_ni_2_fu_10</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> </dp_dsp_resource> <dp_component_map class_id="39" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="40" tracking_level="0" version="0"> <first>grp_dense_large_rf_gt_ni_2_fu_10 (dense_large_rf_gt_ni_2)</first> <second> <count>1</count> <item_version>0</item_version> <item>5</item> </second> </item> </dp_component_map> <dp_expression_map> <count>0</count> <item_version>0</item_version> </dp_expression_map> <dp_fifo_map> <count>0</count> <item_version>0</item_version> </dp_fifo_map> <dp_memory_map> <count>0</count> <item_version>0</item_version> </dp_memory_map> </res> <node_label_latency class_id="41" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="42" tracking_level="0" version="0"> <first>5</first> <second class_id="43" tracking_level="0" version="0"> <first>0</first> <second>1</second> </second> </item> <item> <first>6</first> <second> <first>1</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="44" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="45" tracking_level="0" version="0"> <first>7</first> <second class_id="46" tracking_level="0" version="0"> <first>0</first> <second>1</second> </second> </item> </bblk_ent_exit> <regions class_id="47" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </regions> <dp_fu_nodes class_id="48" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="49" tracking_level="0" version="0"> <first>10</first> <second> <count>2</count> <item_version>0</item_version> <item>5</item> <item>5</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="51" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>1</count> <item_version>0</item_version> <item class_id="52" tracking_level="0" version="0"> <first>grp_dense_large_rf_gt_ni_2_fu_10</first> <second> <count>2</count> <item_version>0</item_version> <item>5</item> <item>5</item> </second> </item> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="53" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="54" tracking_level="0" version="0"> <first class_id="55" tracking_level="0" version="0"> <first>outidx</first> <second>100</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>5</item> </second> </item> <item> <first> <first>w17_V</first> <second>100</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>5</item> </second> </item> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="56" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="57" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="58" tracking_level="0" version="0"> <first>1</first> <second>RAM</second> </item> <item> <first>2</first> <second>RAM</second> </item> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
33.134199
164
0.500131
4a618fc2b7ade106c54672697d58b86749da582e
284
ads
Ada
source/parser/program-parsers-on_reduce_501.ads
optikos/oasis
9f64d46d26d964790d69f9db681c874cfb3bf96d
[ "MIT" ]
null
null
null
source/parser/program-parsers-on_reduce_501.ads
optikos/oasis
9f64d46d26d964790d69f9db681c874cfb3bf96d
[ "MIT" ]
null
null
null
source/parser/program-parsers-on_reduce_501.ads
optikos/oasis
9f64d46d26d964790d69f9db681c874cfb3bf96d
[ "MIT" ]
2
2019-09-14T23:18:50.000Z
2019-10-02T10:11:40.000Z
with Anagram.Grammars; with Program.Parsers.Nodes; private procedure Program.Parsers.On_Reduce_501 (Self : access Parse_Context; Prod : Anagram.Grammars.Production_Index; Nodes : in out Program.Parsers.Nodes.Node_Array); pragma Preelaborate (Program.Parsers.On_Reduce_501);
35.5
52
0.799296
dce0a3803e4171fc7e2c14db733e8f43901886dc
4,202
ads
Ada
1-base/lace/source/events/mixin/lace-make_observer.ads
charlie5/lace-alire
9ace9682cf4daac7adb9f980c2868d6225b8111c
[ "0BSD" ]
1
2022-01-20T07:13:42.000Z
2022-01-20T07:13:42.000Z
1-base/lace/source/events/mixin/lace-make_observer.ads
charlie5/lace-alire
9ace9682cf4daac7adb9f980c2868d6225b8111c
[ "0BSD" ]
null
null
null
1-base/lace/source/events/mixin/lace-make_observer.ads
charlie5/lace-alire
9ace9682cf4daac7adb9f980c2868d6225b8111c
[ "0BSD" ]
null
null
null
with lace.Event, lace.Response, lace.Observer; private with ada.Containers.indefinite_hashed_Maps, ada.Strings.Hash; generic type T is abstract tagged limited private; package lace.make_Observer -- -- Makes a user class T into an event Observer. -- is pragma remote_Types; type Item is abstract limited new T and Observer.item with private; type View is access all Item'Class; procedure destroy (Self : in out Item); ------------ -- Responses -- overriding procedure add (Self : access Item; the_Response : in Response.view; to_Kind : in Event.Kind; from_Subject : in Event.subject_Name); overriding procedure rid (Self : access Item; the_Response : in Response.view; to_Kind : in Event.Kind; from_Subject : in Event.subject_Name); overriding procedure relay_responseless_Events (Self : in out Item; To : in Observer.view); ------------- -- Operations -- overriding procedure receive (Self : access Item; the_Event : in Event.item'Class := event.null_Event; from_Subject : in Event.subject_Name); overriding procedure respond (Self : access Item); private ---------------------- -- Event response maps -- use type event.Kind; use type Response.view; package event_response_Maps is new ada.Containers.indefinite_hashed_Maps (key_type => Event.Kind, element_type => Response.view, hash => Event.Hash, equivalent_keys => "="); subtype event_response_Map is event_response_Maps.Map; type event_response_Map_view is access all event_response_Map; ---------------------------------- -- Subject maps of event responses -- package subject_Maps_of_event_responses is new ada.Containers.indefinite_hashed_Maps (key_type => Event.subject_Name, element_type => event_response_Map_view, hash => ada.Strings.Hash, equivalent_keys => "="); subtype subject_Map_of_event_responses is subject_Maps_of_event_responses.Map; ----------------- -- Safe Responses -- protected type safe_Responses is procedure destroy; ------------ -- Responses -- procedure add (Self : access Item'Class; the_Response : in Response.view; to_Kind : in Event.Kind; from_Subject : in Event.subject_Name); procedure rid (Self : access Item'Class; the_Response : in Response.view; to_Kind : in Event.Kind; from_Subject : in Event.subject_Name); procedure relay_responseless_Events (To : in Observer.view); function relay_Target return Observer.view; function Contains (Subject : in Event.subject_Name) return Boolean; function Element (Subject : in Event.subject_Name) return event_response_Map; ------------- -- Operations -- procedure receive (Self : access Item'Class; the_Event : in Event.item'Class := Event.null_Event; from_Subject : in Event.subject_Name); private my_Responses : subject_Map_of_event_responses; my_relay_Target : Observer.view; end safe_Responses; ---------------- -- Observer Item -- type Item is abstract limited new T and Observer.item with record Responses : safe_Responses; end record; end lace.make_Observer;
30.230216
114
0.518563