hexsha
stringlengths
40
40
size
int64
3
1.05M
ext
stringlengths
1
11
lang
stringlengths
1
21
max_stars_repo_path
stringlengths
3
945
max_stars_repo_name
stringlengths
4
112
max_stars_repo_head_hexsha
stringlengths
40
78
max_stars_repo_licenses
sequence
max_stars_count
float64
1
191k
max_stars_repo_stars_event_min_datetime
stringlengths
24
24
max_stars_repo_stars_event_max_datetime
stringlengths
24
24
max_issues_repo_path
stringlengths
3
945
max_issues_repo_name
stringlengths
4
113
max_issues_repo_head_hexsha
stringlengths
40
78
max_issues_repo_licenses
sequence
max_issues_count
float64
1
116k
max_issues_repo_issues_event_min_datetime
stringlengths
24
24
max_issues_repo_issues_event_max_datetime
stringlengths
24
24
max_forks_repo_path
stringlengths
3
945
max_forks_repo_name
stringlengths
4
113
max_forks_repo_head_hexsha
stringlengths
40
78
max_forks_repo_licenses
sequence
max_forks_count
float64
1
105k
max_forks_repo_forks_event_min_datetime
stringlengths
24
24
max_forks_repo_forks_event_max_datetime
stringlengths
24
24
content
stringlengths
3
1.05M
avg_line_length
float64
1
966k
max_line_length
int64
1
977k
alphanum_fraction
float64
0
1
dca4882288b4ec748395e21789df382f6cfcaa6c
918
ads
Ada
src/core/util-algorithms.ads
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
60
2015-01-18T23:05:34.000Z
2022-03-20T18:56:30.000Z
src/core/util-algorithms.ads
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
20
2016-09-15T16:41:30.000Z
2022-03-29T22:02:32.000Z
src/core/util-algorithms.ads
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
10
2015-02-13T04:00:45.000Z
2022-03-20T18:57:54.000Z
----------------------------------------------------------------------- -- util-algorithms -- Various algorithms -- Copyright (C) 2013 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- package Util.Algorithms is pragma Pure; end Util.Algorithms;
38.25
76
0.620915
cba30e237124edab701c6093c28834013edecad6
5,381
ada
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c3/c35508l.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c3/c35508l.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c3/c35508l.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- C35508L.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- CHECK THAT 'POS' AND 'VAL' YIELD THE CORRECT RESULTS WHEN THE -- PREFIX IS A FORMAL DISCRETE TYPE WHOSE ACTUAL PARAMETER IS A -- BOOLEAN TYPE. -- RJW 3/24/86 WITH REPORT; USE REPORT; PROCEDURE C35508L IS BEGIN TEST ("C35508L", "CHECK THAT 'POS' AND 'VAL' YIELD THE " & "CORRECT RESULTS WHEN THE PREFIX IS A " & "FORMAL DISCRETE TYPE WHOSE ACTUAL PARAMETER " & "IS A BOOLEAN TYPE" ); DECLARE TYPE NEWBOOL IS NEW BOOLEAN; GENERIC TYPE BOOL IS (<>); PROCEDURE P (STR : STRING; B : BOOL; I : INTEGER); PROCEDURE P (STR : STRING; B : BOOL; I : INTEGER) IS SUBTYPE SBOOL IS BOOL RANGE BOOL'VAL (IDENT_INT(0)) .. BOOL'VAL (IDENT_INT(0)); BEGIN IF BOOL'POS (B) /= I THEN FAILED ( "WRONG " & STR & "'POS FOR " & BOOL'IMAGE (B) & " - 1" ); END IF; IF BOOL'VAL (I) /= B THEN FAILED ( "WRONG " & STR & "'VAL FOR " & INTEGER'IMAGE (I) & " - 1" ); END IF; IF SBOOL'POS (B) /= I THEN FAILED ( "WRONG " & STR & "'POS FOR " & BOOL'IMAGE (B) & " - 2" ); END IF; IF SBOOL'VAL (I) /= B THEN FAILED ( "WRONG " & STR & "'VAL FOR " & INTEGER'IMAGE (I) & " - 2" ); END IF; END P; GENERIC TYPE BOOL IS (<>); PROCEDURE Q (STR : STRING; B : BOOL; I : INTEGER); PROCEDURE Q (STR : STRING; B : BOOL; I : INTEGER) IS SUBTYPE SBOOL IS BOOL RANGE BOOL'VAL (IDENT_INT(0)) .. BOOL'VAL (IDENT_INT(0)); BEGIN BEGIN IF BOOL'VAL (I) = B THEN FAILED (STR & "'VAL OF " & INTEGER'IMAGE (I) & " = " & BOOL'IMAGE (B)); END IF; FAILED ( "NO EXCEPTION RAISED FOR " & STR & "'VAL OF " & INTEGER'IMAGE (I) ); EXCEPTION WHEN CONSTRAINT_ERROR => NULL; WHEN OTHERS => FAILED ( "WRONG EXCEPTION RAISED FOR " & STR & "'VAL " & "OF " & INTEGER'IMAGE (I) ); END; BEGIN IF SBOOL'VAL (I) = B THEN FAILED (STR & " SBOOL'VAL OF " & INTEGER'IMAGE(I) & " = " & BOOL'IMAGE (B) ); END IF; FAILED( "NO EXCEPTION RAISED FOR VAL OF " & INTEGER'IMAGE (I) & "WITH SBOOL OF " & STR); EXCEPTION WHEN CONSTRAINT_ERROR => NULL; WHEN OTHERS => FAILED ( "WRONG EXCEPTION RAISED FOR " & STR & "'VAL " & "OF " & INTEGER'IMAGE (I) & "WITH SBOOL " ); END; END Q; PROCEDURE NP1 IS NEW P ( BOOL => BOOLEAN ); PROCEDURE NP2 IS NEW P ( BOOL => NEWBOOL ); PROCEDURE NQ1 IS NEW Q ( BOOL => BOOLEAN ); PROCEDURE NQ2 IS NEW Q ( BOOL => NEWBOOL ); BEGIN NP1 ( "BOOLEAN", IDENT_BOOL(FALSE) , IDENT_INT(0) ); NP1 ( "BOOLEAN", IDENT_BOOL(TRUE) , IDENT_INT(1) ); NP2 ( "NEWBOOL", FALSE , 0 ); NP2 ( "NEWBOOL", TRUE , 1 ); NQ1 ( "BOOLEAN", IDENT_BOOL(FALSE) , IDENT_INT(-1) ); NQ1 ( "BOOLEAN", IDENT_BOOL(TRUE) , IDENT_INT(2) ); NQ2 ( "NEWBOOL", FALSE , -1 ); NQ2 ( "NEWBOOL", TRUE , 2 ); END; RESULT; END C35508L;
40.458647
79
0.454562
4a36398936f684ffde597d9251f2856d05f93517
10,618
adb
Ada
tools-src/gnu/gcc/gcc/ada/live.adb
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
80
2015-01-02T10:14:04.000Z
2021-06-07T06:29:49.000Z
tools-src/gnu/gcc/gcc/ada/live.adb
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
9
2015-05-14T11:03:12.000Z
2018-01-04T07:12:58.000Z
tools-src/gnu/gcc/gcc/ada/live.adb
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
69
2015-01-02T10:45:56.000Z
2021-09-06T07:52:13.000Z
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- L I V E -- -- -- -- B o d y -- -- -- -- $Revision$ -- -- -- Copyright (C) 2000-2001 Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 59 Temple Place - Suite 330, Boston, -- -- MA 02111-1307, USA. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Atree; use Atree; with Einfo; use Einfo; with Lib; use Lib; with Nlists; use Nlists; with Sem_Util; use Sem_Util; with Sinfo; use Sinfo; with Types; use Types; package body Live is -- Name_Set -- The Name_Set type is used to store the temporary mark bits -- used by the garbage collection of entities. Using a separate -- array prevents using up any valuable per-node space and possibly -- results in better locality and cache usage. type Name_Set is array (Node_Id range <>) of Boolean; pragma Pack (Name_Set); function Marked (Marks : Name_Set; Name : Node_Id) return Boolean; pragma Inline (Marked); procedure Set_Marked (Marks : in out Name_Set; Name : Node_Id; Mark : Boolean := True); pragma Inline (Set_Marked); -- Algorithm -- The problem of finding live entities is solved in two steps: procedure Mark (Root : Node_Id; Marks : out Name_Set); -- Mark all live entities in Root as Marked. procedure Sweep (Root : Node_Id; Marks : Name_Set); -- For all unmarked entities in Root set Is_Eliminated to true -- The Mark phase is split into two phases: procedure Init_Marked (Root : Node_Id; Marks : out Name_Set); -- For all subprograms, reset Is_Public flag if a pragma Eliminate -- applies to the entity, and set the Marked flag to Is_Public procedure Trace_Marked (Root : Node_Id; Marks : in out Name_Set); -- Traverse the tree skipping any unmarked subprogram bodies. -- All visited entities are marked, as well as entities denoted -- by a visited identifier or operator. When an entity is first -- marked it is traced as well. -- Local functions function Body_Of (E : Entity_Id) return Node_Id; -- Returns subprogram body corresponding to entity E function Spec_Of (N : Node_Id) return Entity_Id; -- Given a subprogram body N, return defining identifier of its declaration -- ??? the body of this package contains no comments at all, this -- should be fixed! ------------- -- Body_Of -- ------------- function Body_Of (E : Entity_Id) return Node_Id is Decl : Node_Id := Unit_Declaration_Node (E); Result : Node_Id; Kind : Node_Kind := Nkind (Decl); begin if Kind = N_Subprogram_Body then Result := Decl; elsif Kind /= N_Subprogram_Declaration and Kind /= N_Subprogram_Body_Stub then Result := Empty; else Result := Corresponding_Body (Decl); if Result /= Empty then Result := Unit_Declaration_Node (Result); end if; end if; return Result; end Body_Of; ------------------------------ -- Collect_Garbage_Entities -- ------------------------------ procedure Collect_Garbage_Entities is Root : constant Node_Id := Cunit (Main_Unit); Marks : Name_Set (0 .. Last_Node_Id); begin Mark (Root, Marks); Sweep (Root, Marks); end Collect_Garbage_Entities; ----------------- -- Init_Marked -- ----------------- procedure Init_Marked (Root : Node_Id; Marks : out Name_Set) is function Process (N : Node_Id) return Traverse_Result; procedure Traverse is new Traverse_Proc (Process); function Process (N : Node_Id) return Traverse_Result is begin case Nkind (N) is when N_Entity'Range => if Is_Eliminated (N) then Set_Is_Public (N, False); end if; Set_Marked (Marks, N, Is_Public (N)); when N_Subprogram_Body => Traverse (Spec_Of (N)); when N_Package_Body_Stub => if Present (Library_Unit (N)) then Traverse (Proper_Body (Unit (Library_Unit (N)))); end if; when N_Package_Body => declare Elmt : Node_Id := First (Declarations (N)); begin while Present (Elmt) loop Traverse (Elmt); Next (Elmt); end loop; end; when others => null; end case; return OK; end Process; -- Start of processing for Init_Marked begin Marks := (others => False); Traverse (Root); end Init_Marked; ---------- -- Mark -- ---------- procedure Mark (Root : Node_Id; Marks : out Name_Set) is begin Init_Marked (Root, Marks); Trace_Marked (Root, Marks); end Mark; ------------ -- Marked -- ------------ function Marked (Marks : Name_Set; Name : Node_Id) return Boolean is begin return Marks (Name); end Marked; ---------------- -- Set_Marked -- ---------------- procedure Set_Marked (Marks : in out Name_Set; Name : Node_Id; Mark : Boolean := True) is begin Marks (Name) := Mark; end Set_Marked; ------------- -- Spec_Of -- ------------- function Spec_Of (N : Node_Id) return Entity_Id is begin if Acts_As_Spec (N) then return Defining_Entity (N); else return Corresponding_Spec (N); end if; end Spec_Of; ----------- -- Sweep -- ----------- procedure Sweep (Root : Node_Id; Marks : Name_Set) is function Process (N : Node_Id) return Traverse_Result; procedure Traverse is new Traverse_Proc (Process); function Process (N : Node_Id) return Traverse_Result is begin case Nkind (N) is when N_Entity'Range => Set_Is_Eliminated (N, not Marked (Marks, N)); when N_Subprogram_Body => Traverse (Spec_Of (N)); when N_Package_Body_Stub => if Present (Library_Unit (N)) then Traverse (Proper_Body (Unit (Library_Unit (N)))); end if; when N_Package_Body => declare Elmt : Node_Id := First (Declarations (N)); begin while Present (Elmt) loop Traverse (Elmt); Next (Elmt); end loop; end; when others => null; end case; return OK; end Process; begin Traverse (Root); end Sweep; ------------------ -- Trace_Marked -- ------------------ procedure Trace_Marked (Root : Node_Id; Marks : in out Name_Set) is function Process (N : Node_Id) return Traverse_Result; procedure Process (N : Node_Id); procedure Traverse is new Traverse_Proc (Process); procedure Process (N : Node_Id) is Result : Traverse_Result; begin Result := Process (N); end Process; function Process (N : Node_Id) return Traverse_Result is Result : Traverse_Result := OK; B : Node_Id; E : Entity_Id; begin case Nkind (N) is when N_Pragma | N_Generic_Declaration'Range | N_Subprogram_Declaration | N_Subprogram_Body_Stub => Result := Skip; when N_Subprogram_Body => if not Marked (Marks, Spec_Of (N)) then Result := Skip; end if; when N_Package_Body_Stub => if Present (Library_Unit (N)) then Traverse (Proper_Body (Unit (Library_Unit (N)))); end if; when N_Identifier | N_Operator_Symbol | N_Expanded_Name => E := Entity (N); if E /= Empty and then not Marked (Marks, E) then Process (E); if Is_Subprogram (E) then B := Body_Of (E); if B /= Empty then Traverse (B); end if; end if; end if; when N_Entity'Range => if (Ekind (N) = E_Component) and then not Marked (Marks, N) then if Present (Discriminant_Checking_Func (N)) then Process (Discriminant_Checking_Func (N)); end if; end if; Set_Marked (Marks, N); when others => null; end case; return Result; end Process; -- Start of processing for Trace_Marked begin Traverse (Root); end Trace_Marked; end Live;
30.599424
79
0.501695
cb6ee29451717e43b6a1732ec6f39c4e88e74c30
2,786
ads
Ada
AD_src/auto_differentiation.ads
sciencylab/lagrangian-solver
0f77265c1105658a27a9fa316bf5f046ac233774
[ "MIT" ]
null
null
null
AD_src/auto_differentiation.ads
sciencylab/lagrangian-solver
0f77265c1105658a27a9fa316bf5f046ac233774
[ "MIT" ]
null
null
null
AD_src/auto_differentiation.ads
sciencylab/lagrangian-solver
0f77265c1105658a27a9fa316bf5f046ac233774
[ "MIT" ]
null
null
null
with Numerics, Numerics.Sparse_Matrices; use Numerics, Numerics.Sparse_Matrices; package Auto_Differentiation is type Evaluation_Level is (Value, Gradient, Hessian); Level : Evaluation_Level := Hessian; type AD_Type is private; type AD_2D is array (1 .. 2) of AD_Type; type AD_Vector is array (Nat range <>) of AD_Type; function Var (X : in Real; I, N : in Nat; Dx : in Real := 1.0) return AD_Type; function Const (X : in Real; N : in Nat) return AD_Type; function Zero (N : in Nat) return AD_Type; function Var (X : in Real_Vector; Length : in Nat; Start : in Nat := 1) return AD_Vector; function Var (X : in Real_Vector) return AD_Vector is (Var (X => X, Length => X'Length)); function Val (X : in AD_Type) return Real; function Grad (X : in AD_Type) return Sparse_Vector; -- function Grad (X : in AD_Type) return Real_Vector; function Hessian (X : in AD_Type) return Sparse_Matrix; function Length (X : in AD_Type) return Pos; function "+" (X : in Real; Y : in AD_Type) return AD_Type; function "+" (X : in AD_Type; Y : in Real) return AD_Type is (Y + X); function "-" (X : in Real; Y : in AD_Type) return AD_Type; function "-" (X : in AD_Type; Y : in Real) return AD_Type; function "*" (Y : in Real; X : in AD_Type) return AD_Type; function "*" (X : in AD_Type; Y : in Real) return AD_Type is (Y * X); function "/" (X : in Real; Y : in AD_Type) return AD_Type; function "/" (X : in AD_Type; Y : in Real) return AD_Type is ((1.0 / Y) * X) with Pre => Y /= 0.0; function "+" (X, Y : in AD_Type) return AD_Type; function "-" (X, Y : in AD_Type) return AD_Type; function "*" (X, Y : in AD_Type) return AD_Type; function "/" (X, Y : in AD_Type) return AD_Type; function "**" (X : in AD_Type; N : in Integer) return AD_Type; function Sin (X : in AD_Type) return AD_Type; function Cos (X : in AD_Type) return AD_Type; function Tan (X : in AD_Type) return AD_Type; function Exp (X : in AD_Type) return AD_Type; function Log (X : in AD_Type) return AD_Type; function "+" (X : in AD_Type) return AD_Type is (X); function "-" (X : in AD_Type) return AD_Type; function "+" (X, Y : in AD_2D) return AD_2D; function "-" (X, Y : in AD_2D) return AD_2D; function Dot (X, Y : in AD_2D) return AD_Type; ------------- procedures ---------------------- procedure Print (X : in AD_Type); private type AD_Type is record N : Pos := 0; Val : Real; Grad : Sparse_Vector; Hessian : Sparse_Matrix; end record; G0 : constant Sparse_Vector := Zero (1); H0 : constant Sparse_Matrix := Zero (1); end Auto_Differentiation;
33.97561
80
0.609476
c51129df0dbdc03b701a1cf119eb1767cd1041ec
42,607
ads
Ada
gcc-gcc-7_3_0-release/gcc/ada/ali.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/ada/ali.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/ada/ali.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- A L I -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2016, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING3. If not, go to -- -- http://www.gnu.org/licenses for a complete copy of the license. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package defines the internal data structures used for representation -- of Ada Library Information (ALI) acquired from the ALI files generated by -- the front end. with Casing; use Casing; with Gnatvsn; use Gnatvsn; with Namet; use Namet; with Rident; use Rident; with Table; with Types; use Types; with GNAT.HTable; use GNAT.HTable; package ALI is -------------- -- Id Types -- -------------- type ALI_Id is range 0 .. 99_999_999; -- Id values used for ALIs table entries type Unit_Id is range 0 .. 99_999_999; -- Id values used for Unit table entries type With_Id is range 0 .. 99_999_999; -- Id values used for Withs table entries type Arg_Id is range 0 .. 99_999_999; -- Id values used for argument table entries type Sdep_Id is range 0 .. 99_999_999; -- Id values used for Sdep table entries type Source_Id is range 0 .. 99_999_999; -- Id values used for Source table entries type Interrupt_State_Id is range 0 .. 99_999_999; -- Id values used for Interrupt_State table entries type Priority_Specific_Dispatching_Id is range 0 .. 99_999_999; -- Id values used for Priority_Specific_Dispatching table entries -------------------- -- ALI File Table -- -------------------- -- Each ALI file read generates an entry in the ALIs table No_ALI_Id : constant ALI_Id := ALI_Id'First; -- Special value indicating no ALI entry First_ALI_Entry : constant ALI_Id := No_ALI_Id + 1; -- Id of first actual entry in table type Main_Program_Type is (None, Proc, Func); -- Indicator of whether unit can be used as main program type ALIs_Record is record Afile : File_Name_Type; -- Name of ALI file Ofile_Full_Name : File_Name_Type; -- Full name of object file corresponding to the ALI file Sfile : File_Name_Type; -- Name of source file that generates this ALI file (which is equal -- to the name of the source file in the first unit table entry for -- this ALI file, since the body if present is always first). Ver : String (1 .. Ver_Len_Max); -- Value of library version (V line in ALI file). Not set if -- V lines are ignored as a result of the Ignore_Lines parameter. Ver_Len : Natural; -- Length of characters stored in Ver. Not set if V lines are ignored as -- a result of the Ignore_Lines parameter. SAL_Interface : Boolean; -- Set True when this is an interface to a standalone library First_Unit : Unit_Id; -- Id of first Unit table entry for this file Last_Unit : Unit_Id; -- Id of last Unit table entry for this file First_Sdep : Sdep_Id; -- Id of first Sdep table entry for this file Last_Sdep : Sdep_Id; -- Id of last Sdep table entry for this file Main_Program : Main_Program_Type; -- Indicator of whether first unit can be used as main program. Not set -- if 'M' appears in Ignore_Lines. Main_Priority : Int; -- Indicates priority value if Main_Program field indicates that this -- can be a main program. A value of -1 (No_Main_Priority) indicates -- that no parameter was found, or no M line was present. Not set if -- 'M' appears in Ignore_Lines. Main_CPU : Int; -- Indicates processor if Main_Program field indicates that this can -- be a main program. A value of -1 (No_Main_CPU) indicates that no C -- parameter was found, or no M line was present. Not set if 'M' appears -- in Ignore_Lines. Time_Slice_Value : Int; -- Indicates value of time slice parameter from T=xxx on main program -- line. A value of -1 indicates that no T=xxx parameter was found, or -- no M line was present. Not set if 'M' appears in Ignore_Lines. WC_Encoding : Character; -- Wide character encoding if main procedure. Otherwise not relevant. -- Not set if 'M' appears in Ignore_Lines. Locking_Policy : Character; -- Indicates locking policy for units in this file. Space means tasking -- was not used, or that no Locking_Policy pragma was present or that -- this is a language defined unit. Otherwise set to first character -- (upper case) of policy name. Not set if 'P' appears in Ignore_Lines. Partition_Elaboration_Policy : Character; -- Indicates partition elaboration policy for units in this file. Space -- means that no Partition_Elaboration_Policy pragma was present or that -- this is a language defined unit. Otherwise set to first character -- (upper case) of policy name. Not set if 'P' appears in Ignore_Lines. Queuing_Policy : Character; -- Indicates queuing policy for units in this file. Space means tasking -- was not used, or that no Queuing_Policy pragma was present or that -- this is a language defined unit. Otherwise set to first character -- (upper case) of policy name. Not set if 'P' appears in Ignore_Lines. Task_Dispatching_Policy : Character; -- Indicates task dispatching policy for units in this file. Space means -- tasking was not used, or that no Task_Dispatching_Policy pragma was -- present or that this is a language defined unit. Otherwise set to -- first character (upper case) of policy name. Not set if 'P' appears -- in Ignore_Lines. Compile_Errors : Boolean; -- Set to True if compile errors for unit. Note that No_Object will -- always be set as well in this case. Not set if 'P' appears in -- Ignore_Lines. GNATprove_Mode : Boolean; -- Set to True if ALI and object file produced in GNATprove_Mode as -- signalled by GP appearing on the P line. Not set if 'P' appears in -- Ignore_Lines. No_Object : Boolean; -- Set to True if no object file generated. Not set if 'P' appears in -- Ignore_Lines. Normalize_Scalars : Boolean; -- Set to True if file was compiled with Normalize_Scalars. Not set if -- 'P' appears in Ignore_Lines. SSO_Default : Character; -- Set to 'H' or 'L' if file was compiled with a configuration pragma -- file containing Default_Scalar_Storage_Order (High/Low_Order_First). -- Set to ' ' if neither pragma was present. Not set if 'P' appears in -- Ignore_Lines. Unit_Exception_Table : Boolean; -- Set to True if unit exception table pointer generated. Not set if 'P' -- appears in Ignore_Lines. Frontend_Exceptions : Boolean; -- Set to True if file was compiled with front-end exceptions. Not set -- if 'P' appears in Ignore_Lines. Zero_Cost_Exceptions : Boolean; -- Set to True if file was compiled with zero cost exceptions. Not set -- if 'P' appears in Ignore_Lines. Restrictions : Restrictions_Info; -- Restrictions information reconstructed from R lines First_Interrupt_State : Interrupt_State_Id; Last_Interrupt_State : Interrupt_State_Id'Base; -- These point to the first and last entries in the interrupt state -- table for this unit. If no entries, then Last_Interrupt_State = -- First_Interrupt_State - 1 (that's why the 'Base reference is there, -- it can be one less than the lower bound of the subtype). Not set if -- 'I' appears in Ignore_Lines First_Specific_Dispatching : Priority_Specific_Dispatching_Id; Last_Specific_Dispatching : Priority_Specific_Dispatching_Id'Base; -- These point to the first and last entries in the priority specific -- dispatching table for this unit. If there are no entries, then -- Last_Specific_Dispatching = First_Specific_Dispatching - 1. That -- is why the 'Base reference is there, it can be one less than the -- lower bound of the subtype. Not set if 'S' appears in Ignore_Lines. end record; No_Main_Priority : constant Int := -1; -- Code for no main priority set No_Main_CPU : constant Int := -1; -- Code for no main cpu set package ALIs is new Table.Table ( Table_Component_Type => ALIs_Record, Table_Index_Type => ALI_Id, Table_Low_Bound => First_ALI_Entry, Table_Initial => 500, Table_Increment => 200, Table_Name => "ALIs"); ---------------- -- Unit Table -- ---------------- -- Each unit within an ALI file generates an entry in the unit table No_Unit_Id : constant Unit_Id := Unit_Id'First; -- Special value indicating no unit table entry First_Unit_Entry : constant Unit_Id := No_Unit_Id + 1; -- Id of first actual entry in table type Unit_Type is (Is_Spec, Is_Body, Is_Spec_Only, Is_Body_Only); -- Indicates type of entry, if both body and spec appear in the ALI file, -- then the first unit is marked Is_Body, and the second is marked Is_Spec. -- If only a spec appears, then it is marked as Is_Spec_Only, and if only -- a body appears, then it is marked Is_Body_Only). subtype Version_String is String (1 .. 8); -- Version string, taken from unit record type Unit_Record is record My_ALI : ALI_Id; -- Corresponding ALI entry Uname : Unit_Name_Type; -- Name of Unit Sfile : File_Name_Type; -- Name of source file Preelab : Boolean; -- Indicates presence of PR parameter for a preelaborated package No_Elab : Boolean; -- Indicates presence of NE parameter for a unit that has does not -- have an elaboration routine (since it has no elaboration code). Pure : Boolean; -- Indicates presence of PU parameter for a package having pragma Pure Dynamic_Elab : Boolean; -- Set to True if the unit was compiled with dynamic elaboration checks -- (i.e. either -gnatE or pragma Elaboration_Checks (RM) was used to -- compile the unit). Elaborate_Body : Boolean; -- Indicates presence of EB parameter for a package which has a pragma -- Elaborate_Body, and also for generic package instantiations. Set_Elab_Entity : Boolean; -- Indicates presence of EE parameter for a unit which has an -- elaboration entity which must be set true as part of the -- elaboration of the unit. Has_RACW : Boolean; -- Indicates presence of RA parameter for a package that declares at -- least one Remote Access to Class_Wide (RACW) object. Remote_Types : Boolean; -- Indicates presence of RT parameter for a package which has a -- pragma Remote_Types. Serious_Errors : Boolean; -- Indicates presence of SE parameter indicating that compilation of -- the unit encountered as serious error. Shared_Passive : Boolean; -- Indicates presence of SP parameter for a package which has a pragma -- Shared_Passive. RCI : Boolean; -- Indicates presence of RC parameter for a package which has a pragma -- Remote_Call_Interface. Predefined : Boolean; -- Indicates if unit is language predefined (or a child of such a unit) Internal : Boolean; -- Indicates if unit is an internal unit (or a child of such a unit) First_With : With_Id; -- Id of first withs table entry for this file Last_With : With_Id; -- Id of last withs table entry for this file First_Arg : Arg_Id; -- Id of first args table entry for this file Last_Arg : Arg_Id; -- Id of last args table entry for this file Utype : Unit_Type; -- Type of entry Is_Generic : Boolean; -- True for generic unit (i.e. a generic declaration, or a generic -- body). False for a non-generic unit. Unit_Kind : Character; -- Indicates the nature of the unit. 'p' for Packages and 's' for -- subprograms. Version : Version_String; -- Version of unit Icasing : Casing_Type; -- Indicates casing of identifiers in source file for this unit. This -- is used for informational output, and also for constructing the main -- unit if it is being built in Ada. Kcasing : Casing_Type; -- Indicates casing of keywords in source file for this unit. This is -- used for informational output, and also for constructing the main -- unit if it is being built in Ada. Elab_Position : aliased Natural; -- Initialized to zero. Set non-zero when a unit is chosen and -- placed in the elaboration order. The value represents the -- ordinal position in the elaboration order. Init_Scalars : Boolean; -- Set True if IS qualifier appears in ALI file, indicating that -- an Initialize_Scalars pragma applies to the unit. SAL_Interface : Boolean; -- Set True when this is an interface to a standalone library Directly_Scanned : Boolean; -- True iff it is a unit from an ALI file specified to gnatbind Body_Needed_For_SAL : Boolean; -- Indicates that the source for the body of the unit (subprogram, -- package, or generic unit) must be included in a standalone library. Elaborate_Body_Desirable : Boolean; -- Indicates that the front end elaboration circuitry decided that it -- would be a good idea if this package had Elaborate_Body. The binder -- will attempt, but does not promise, to place the elaboration call -- for the body right after the call for the spec, or at least as close -- together as possible. Optimize_Alignment : Character; -- Optimize_Alignment setting. Set to L/S/T/O for OL/OS/OT/OO present Has_Finalizer : Boolean; -- Indicates whether a package body or a spec has a library-level -- finalization routine. end record; package Units is new Table.Table ( Table_Component_Type => Unit_Record, Table_Index_Type => Unit_Id, Table_Low_Bound => First_Unit_Entry, Table_Initial => 100, Table_Increment => 200, Table_Name => "Unit"); --------------------------- -- Interrupt State Table -- --------------------------- -- An entry is made in this table for each I (interrupt state) line -- encountered in the input ALI file. The First/Last_Interrupt_Id -- fields of the ALI file entry show the range of entries defined -- within a particular ALI file. type Interrupt_State_Record is record Interrupt_Id : Nat; -- Id from interrupt state entry Interrupt_State : Character; -- State from interrupt state entry ('u'/'r'/'s') IS_Pragma_Line : Nat; -- Line number of Interrupt_State pragma end record; package Interrupt_States is new Table.Table ( Table_Component_Type => Interrupt_State_Record, Table_Index_Type => Interrupt_State_Id'Base, Table_Low_Bound => Interrupt_State_Id'First, Table_Initial => 100, Table_Increment => 200, Table_Name => "Interrupt_States"); ----------------------------------------- -- Priority Specific Dispatching Table -- ----------------------------------------- -- An entry is made in this table for each S (priority specific -- dispatching) line encountered in the input ALI file. The -- First/Last_Specific_Dispatching_Id fields of the ALI file -- entry show the range of entries defined within a particular -- ALI file. type Specific_Dispatching_Record is record Dispatching_Policy : Character; -- First character (upper case) of the corresponding policy name First_Priority : Nat; -- Lower bound of the priority range to which the specified dispatching -- policy applies. Last_Priority : Nat; -- Upper bound of the priority range to which the specified dispatching -- policy applies. PSD_Pragma_Line : Nat; -- Line number of Priority_Specific_Dispatching pragma end record; package Specific_Dispatching is new Table.Table ( Table_Component_Type => Specific_Dispatching_Record, Table_Index_Type => Priority_Specific_Dispatching_Id'Base, Table_Low_Bound => Priority_Specific_Dispatching_Id'First, Table_Initial => 100, Table_Increment => 200, Table_Name => "Priority_Specific_Dispatching"); -------------- -- Switches -- -------------- -- These switches record status information about ali files that -- have been read, for quick reference without searching tables. -- Note: a switch will be left set at its default value if the line -- which might otherwise set it is ignored (from Ignore_Lines). Dynamic_Elaboration_Checks_Specified : Boolean := False; -- Set to False by Initialize_ALI. Set to True if Scan_ALI reads -- a unit for which dynamic elaboration checking is enabled. Frontend_Exceptions_Specified : Boolean := False; -- Set to False by Initialize_ALI. Set to True if an ali file is read that -- has a P line specifying the generation of front-end exceptions. GNATprove_Mode_Specified : Boolean := False; -- Set to True if an ali file was produced in GNATprove mode. Initialize_Scalars_Used : Boolean := False; -- Set True if an ali file contains the Initialize_Scalars flag Locking_Policy_Specified : Character := ' '; -- Set to blank by Initialize_ALI. Set to the appropriate locking policy -- character if an ali file contains a P line setting the locking policy. No_Normalize_Scalars_Specified : Boolean := False; -- Set to False by Initialize_ALI. Set to True if an ali file indicates -- that the file was compiled without normalize scalars. No_Object_Specified : Boolean := False; -- Set to False by Initialize_ALI. Set to True if an ali file contains -- the No_Object flag. Normalize_Scalars_Specified : Boolean := False; -- Set to False by Initialize_ALI. Set to True if an ali file indicates -- that the file was compiled in Normalize_Scalars mode. Partition_Elaboration_Policy_Specified : Character := ' '; -- Set to blank by Initialize_ALI. Set to the appropriate partition -- elaboration policy character if an ali file contains a P line setting -- the policy. Queuing_Policy_Specified : Character := ' '; -- Set to blank by Initialize_ALI. Set to the appropriate queuing policy -- character if an ali file contains a P line setting the queuing policy. Cumulative_Restrictions : Restrictions_Info := No_Restrictions; -- This variable records the cumulative contributions of R lines in all -- ali files, showing whether a restriction pragma exists anywhere, and -- accumulating the aggregate knowledge of violations. SSO_Default_Specified : Boolean := False; -- Set to True if at least one ALI file contains an OH/OL flag indicating -- that it was compiled with a configuration pragmas file containing the -- pragma Default_Scalar_Storage_Order (OH/OL present in ALI file P line). Stack_Check_Switch_Set : Boolean := False; -- Set to True if at least one ALI file contains '-fstack-check' in its -- argument list. Task_Dispatching_Policy_Specified : Character := ' '; -- Set to blank by Initialize_ALI. Set to the appropriate task dispatching -- policy character if an ali file contains a P line setting the -- task dispatching policy. Unreserve_All_Interrupts_Specified : Boolean := False; -- Set to False by Initialize_ALI. Set to True if an ali file is read that -- has P line specifying unreserve all interrupts mode. Zero_Cost_Exceptions_Specified : Boolean := False; -- Set to False by Initialize_ALI. Set to True if an ali file is read that -- has a P line specifying the generation of zero cost exceptions. ----------------- -- Withs Table -- ----------------- -- Each With line (W line) in an ALI file generates a Withs table entry -- Note: there will be no entries in this table if 'W' lines are ignored No_With_Id : constant With_Id := With_Id'First; -- Special value indicating no withs table entry First_With_Entry : constant With_Id := No_With_Id + 1; -- Id of first actual entry in table type With_Record is record Uname : Unit_Name_Type; -- Name of Unit Sfile : File_Name_Type; -- Name of source file, set to No_File in generic case Afile : File_Name_Type; -- Name of ALI file, set to No_File in generic case Elaborate : Boolean; -- Indicates presence of E parameter Elaborate_All : Boolean; -- Indicates presence of EA parameter Elab_All_Desirable : Boolean; -- Indicates presence of AD parameter Elab_Desirable : Boolean; -- Indicates presence of ED parameter SAL_Interface : Boolean := False; -- True if the Unit is an Interface of a Stand-Alone Library Limited_With : Boolean := False; -- True if unit is named in a limited_with_clause Implicit_With_From_Instantiation : Boolean := False; -- True if this is an implicit with from a generic instantiation end record; package Withs is new Table.Table ( Table_Component_Type => With_Record, Table_Index_Type => With_Id, Table_Low_Bound => First_With_Entry, Table_Initial => 5000, Table_Increment => 200, Table_Name => "Withs"); --------------------- -- Arguments Table -- --------------------- -- Each Arg line (A line) in an ALI file generates an Args table entry -- Note: there will be no entries in this table if 'A' lines are ignored No_Arg_Id : constant Arg_Id := Arg_Id'First; -- Special value indicating no args table entry First_Arg_Entry : constant Arg_Id := No_Arg_Id + 1; -- Id of first actual entry in table package Args is new Table.Table ( Table_Component_Type => String_Ptr, Table_Index_Type => Arg_Id, Table_Low_Bound => First_Arg_Entry, Table_Initial => 1000, Table_Increment => 100, Table_Name => "Args"); -------------------------- -- Linker_Options Table -- -------------------------- -- If an ALI file has one of more Linker_Options lines, then a single -- entry is made in this table. If more than one Linker_Options lines -- appears in a given ALI file, then the arguments are concatenated -- to form the entry in this table, using a NUL character as the -- separator, and a final NUL character is appended to the end. -- Note: there will be no entries in this table if 'L' lines are ignored type Linker_Option_Record is record Name : Name_Id; -- Name entry containing concatenated list of Linker_Options -- arguments separated by NUL and ended by NUL as described above. Unit : Unit_Id; -- Unit_Id for the entry Internal_File : Boolean; -- Set True if the linker options are from an internal file. This is -- used to insert certain standard entries after all the user entries -- but before the entries from the run-time. Original_Pos : Positive; -- Keep track of original position in the linker options table. This -- is used to implement a stable sort when we sort the linker options -- table. end record; -- The indexes of active entries in this table range from 1 to the -- value of Linker_Options.Last. The zero'th element is for sort call. package Linker_Options is new Table.Table ( Table_Component_Type => Linker_Option_Record, Table_Index_Type => Integer, Table_Low_Bound => 0, Table_Initial => 200, Table_Increment => 400, Table_Name => "Linker_Options"); ----------------- -- Notes Table -- ----------------- -- The notes table records entries from N lines type Notes_Record is record Pragma_Type : Character; -- 'A', 'C', 'I', 'S', 'T' for Annotate/Comment/Ident/Subtitle/Title Pragma_Line : Nat; -- Line number of pragma Pragma_Col : Nat; -- Column number of pragma Pragma_Source_File : File_Name_Type; -- Source file of pragma Pragma_Args : Name_Id; -- Pragma arguments. No_Name if no arguments, otherwise a single -- name table entry consisting of all the characters on the notes -- line from the first non-blank character following the source -- location to the last character on the line. end record; -- The indexes of active entries in this table range from 1 to the -- value of Linker_Options.Last. The zero'th element is for convenience -- if the table needs to be sorted. package Notes is new Table.Table ( Table_Component_Type => Notes_Record, Table_Index_Type => Integer, Table_Low_Bound => 0, Table_Initial => 200, Table_Increment => 400, Table_Name => "Notes"); ------------------------------------------- -- External Version Reference Hash Table -- ------------------------------------------- -- This hash table keeps track of external version reference strings -- as read from E lines in the ali file. The stored values do not -- include the terminating quote characters. -- Note: there will be no entries in this table if 'E' lines are ignored type Vindex is range 0 .. 98; -- Type to define range of headers function SHash (S : String_Ptr) return Vindex; -- Hash function for this table function SEq (F1, F2 : String_Ptr) return Boolean; -- Equality function for this table package Version_Ref is new Simple_HTable ( Header_Num => Vindex, Element => Boolean, No_Element => False, Key => String_Ptr, Hash => SHash, Equal => SEq); ------------------------- -- No_Dependency Table -- ------------------------- -- Each R line for a No_Dependency Restriction generates an entry in -- this No_Dependency table. type No_Dep_Record is record ALI_File : ALI_Id; -- ALI File containing the entry No_Dep_Unit : Name_Id; -- Id for names table entry including entire name, including periods end record; package No_Deps is new Table.Table ( Table_Component_Type => No_Dep_Record, Table_Index_Type => Integer, Table_Low_Bound => 0, Table_Initial => 200, Table_Increment => 400, Table_Name => "No_Deps"); ------------------------------------ -- Sdep (Source Dependency) Table -- ------------------------------------ -- Each source dependency (D line) in an ALI file generates an entry in the -- Sdep table. -- Note: there will be no entries in this table if 'D' lines are ignored No_Sdep_Id : constant Sdep_Id := Sdep_Id'First; -- Special value indicating no Sdep table entry First_Sdep_Entry : Sdep_Id := No_Sdep_Id + 1; -- Id of first Sdep entry for current ali file. This is initialized to the -- first Sdep entry in the table, and then incremented appropriately as -- successive ALI files are scanned. type Sdep_Record is record Sfile : File_Name_Type; -- Name of source file Stamp : Time_Stamp_Type; -- Time stamp value. Note that this will be all zero characters for the -- dummy entries for missing or non-dependent files. Checksum : Word; -- Checksum value. Note that this will be all zero characters for the -- dummy entries for missing or non-dependent files Dummy_Entry : Boolean; -- Set True for dummy entries that correspond to missing files or files -- where no dependency relationship exists. Subunit_Name : Name_Id; -- Name_Id for subunit name if present, else No_Name Unit_Name : Name_Id; -- Name_Id for the unit name if not a subunit (No_Name for a subunit) Rfile : File_Name_Type; -- Reference file name. Same as Sfile unless a Source_Reference pragma -- was used, in which case it reflects the name used in the pragma. Start_Line : Nat; -- Starting line number in file. Always 1, unless a Source_Reference -- pragma was used, in which case it reflects the line number value -- given in the pragma. end record; package Sdep is new Table.Table ( Table_Component_Type => Sdep_Record, Table_Index_Type => Sdep_Id, Table_Low_Bound => First_Sdep_Entry, Table_Initial => 5000, Table_Increment => 200, Table_Name => "Sdep"); ---------------------------- -- Use of Name Table Info -- ---------------------------- -- All unit names and file names are entered into the Names table. The Info -- fields of these entries are used as follows: -- Unit name Info field has Unit_Id of unit table entry -- ALI file name Info field has ALI_Id of ALI table entry -- Source file name Info field has Source_Id of source table entry -------------------------- -- Cross-Reference Data -- -------------------------- -- The following table records cross-reference sections, there is one entry -- for each X header line in the ALI file for an xref section. -- Note: there will be no entries in this table if 'X' lines are ignored type Xref_Section_Record is record File_Num : Sdep_Id; -- Dependency number for file (entry in Sdep.Table) File_Name : File_Name_Type; -- Name of file First_Entity : Nat; -- First entry in Xref_Entity table Last_Entity : Nat; -- Last entry in Xref_Entity table end record; package Xref_Section is new Table.Table ( Table_Component_Type => Xref_Section_Record, Table_Index_Type => Nat, Table_Low_Bound => 1, Table_Initial => 50, Table_Increment => 300, Table_Name => "Xref_Section"); -- The following is used to indicate whether a typeref field is present -- for the entity, and if so what kind of typeref field. type Tref_Kind is ( Tref_None, -- No typeref present Tref_Access, -- Access type typeref (points to designated type) Tref_Derived, -- Derived type typeref (points to parent type) Tref_Type); -- All other cases type Visibility_Kind is (Global, -- Library level entity Static, -- Static C/C++ entity Other); -- Local and other entity -- The following table records entities for which xrefs are recorded type Xref_Entity_Record is record Line : Pos; -- Line number of definition Etype : Character; -- Set to the identification character for the entity. See section -- "Cross-Reference Entity Identifiers" in lib-xref.ads for details. Col : Pos; -- Column number of definition Visibility : Visibility_Kind; -- Visibility of entity Entity : Name_Id; -- Name of entity Iref_File_Num : Sdep_Id; -- This field is set to the dependency reference for the file containing -- the generic entity that this one instantiates, or to No_Sdep_Id if -- the current entity is not an instantiation Iref_Line : Nat; -- This field is set to the line number in Iref_File_Num of the generic -- entity that this one instantiates, or to zero if the current entity -- is not an instantiation. Rref_Line : Nat; -- This field is set to the line number of a renaming reference if -- one is present, or to zero if no renaming reference is present Rref_Col : Nat; -- This field is set to the column number of a renaming reference -- if one is present, or to zero if no renaming reference is present. Tref : Tref_Kind; -- Indicates if a typeref is present, and if so what kind. Set to -- Tref_None if no typeref field is present. Tref_File_Num : Sdep_Id; -- This field is set to No_Sdep_Id if no typeref is present, or -- if the typeref refers to an entity in standard. Otherwise it -- it is the dependency reference for the file containing the -- declaration of the typeref entity. Tref_Line : Nat; -- This field is set to zero if no typeref is present, or if the -- typeref refers to an entity in standard. Otherwise it contains -- the line number of the declaration of the typeref entity. Tref_Type : Character; -- This field is set to blank if no typeref is present, or if the -- typeref refers to an entity in standard. Otherwise it contains -- the identification character for the typeref entity. See section -- "Cross-Reference Entity Identifiers" in lib-xref.ads for details. Tref_Col : Nat; -- This field is set to zero if no typeref is present, or if the -- typeref refers to an entity in standard. Otherwise it contains -- the column number of the declaration of the parent type. Tref_Standard_Entity : Name_Id; -- This field is set to No_Name if no typeref is present or if the -- typeref refers to a declared entity rather than an entity in -- package Standard. If there is a typeref that references an -- entity in package Standard, then this field is a Name_Id -- reference for the entity name. Oref_File_Num : Sdep_Id; -- This field is set to No_Sdep_Id if the entity doesn't override any -- other entity, or to the dependency reference for the overridden -- entity. Oref_Line : Nat; Oref_Col : Nat; -- These two fields are set to the line and column of the overridden -- entity. First_Xref : Nat; -- Index into Xref table of first cross-reference Last_Xref : Nat; -- Index into Xref table of last cross-reference. The value in -- Last_Xref can be less than the First_Xref value to indicate -- that no entries are present in the Xref Table. end record; package Xref_Entity is new Table.Table ( Table_Component_Type => Xref_Entity_Record, Table_Index_Type => Nat, Table_Low_Bound => 1, Table_Initial => 500, Table_Increment => 300, Table_Name => "Xref_Entity"); Array_Index_Reference : constant Character := '*'; Interface_Reference : constant Character := 'I'; -- Some special types of references. In the ALI file itself, these -- are output as attributes of the entity, not as references, but -- there is no provision in Xref_Entity_Record for storing multiple -- such references. -- The following table records actual cross-references type Xref_Record is record File_Num : Sdep_Id; -- Set to the file dependency number for the cross-reference. Note -- that if no file entry is present explicitly, this is just a copy -- of the reference for the current cross-reference section. Line : Nat; -- Line number for the reference. This is zero when referencing a -- predefined entity, but in this case Name is set. Rtype : Character; -- Indicates type of reference, using code used in ALI file: -- r = reference -- m = modification -- b = body entity -- c = completion of private or incomplete type -- x = type extension -- i = implicit reference -- Array_Index_Reference = reference to the index of an array -- Interface_Reference = reference to an interface implemented -- by the type -- See description in lib-xref.ads for further details Col : Nat; -- Column number for the reference Name : Name_Id := No_Name; -- This is only used when referencing a predefined entity. Currently, -- this only occurs for array indexes. -- Note: for instantiation references, Rtype is set to ' ', and Col is -- set to zero. One or more such entries can follow any other reference. -- When there is more than one such entry, this is to be read as: -- e.g. ref1 ref2 ref3 -- ref1 is a reference to an entity that was instantied at ref2. -- ref2 itself is also the result of an instantiation, that took -- place at ref3 Imported_Lang : Name_Id := No_Name; Imported_Name : Name_Id := No_Name; -- Language and name of imported entity reference end record; package Xref is new Table.Table ( Table_Component_Type => Xref_Record, Table_Index_Type => Nat, Table_Low_Bound => 1, Table_Initial => 2000, Table_Increment => 300, Table_Name => "Xref"); -------------------------------------- -- Subprograms for Reading ALI File -- -------------------------------------- procedure Initialize_ALI; -- Initialize the ALI tables. Also resets all switch values to defaults function Scan_ALI (F : File_Name_Type; T : Text_Buffer_Ptr; Ignore_ED : Boolean; Err : Boolean; Read_Xref : Boolean := False; Read_Lines : String := ""; Ignore_Lines : String := "X"; Ignore_Errors : Boolean := False; Directly_Scanned : Boolean := False) return ALI_Id; -- Given the text, T, of an ALI file, F, scan and store the information -- from the file, and return the Id of the resulting entry in the ALI -- table. Switch settings may be modified as described above in the -- switch description settings. -- -- Ignore_ED is normally False. If set to True, it indicates that -- all AD/ED (elaboration desirable) indications in the ALI file are -- to be ignored. This parameter is obsolete now that the -f switch -- is removed from gnatbind, and should be removed ??? -- -- Err determines the action taken on an incorrectly formatted file. -- If Err is False, then an error message is output, and the program -- is terminated. If Err is True, then no error message is output, -- and No_ALI_Id is returned. -- -- Ignore_Lines requests that Scan_ALI ignore any lines that start -- with any given key character. The default value of X causes all -- Xref lines to be ignored. The corresponding data in the ALI -- tables will not be filled in this case. It is not possible -- to ignore U (unit) lines, they are always read. -- -- Read_Lines requests that Scan_ALI process only lines that start -- with one of the given characters. The corresponding data in the -- ALI file for any characters not given in the list will not be -- set. The default value of the null string indicates that all -- lines should be read (unless Ignore_Lines is specified). U -- (unit) lines are always read regardless of the value of this -- parameter. -- -- Note: either Ignore_Lines or Read_Lines should be non-null, but not -- both. If both are provided then only the Read_Lines value is used, -- and the Ignore_Lines parameter is ignored. -- -- Read_XREF is set True to read and acquire the cross-reference -- information. If Read_XREF is set to True, then the effect is to ignore -- all lines other than U, W, D and X lines and the Ignore_Lines and -- Read_Lines parameters are ignored (i.e. the use of True for Read_XREF -- is equivalent to specifying an argument of "UWDX" for Read_Lines. -- -- Ignore_Errors is normally False. If it is set True, then Scan_ALI -- will do its best to scan through a file and extract all information -- it can, even if there are errors. In this case Err is only set if -- Scan_ALI was completely unable to process the file (e.g. it did not -- look like an ALI file at all). Ignore_Errors is intended to improve -- the downward compatibility of new compilers with old tools. -- -- Directly_Scanned is normally False. If it is set to True, then the -- units (spec and/or body) corresponding to the ALI file are marked as -- such. It is used to decide for what units gnatbind should generate -- the symbols corresponding to 'Version or 'Body_Version in -- Stand-Alone Libraries. end ALI;
39.196872
79
0.638557
18b0de13876c93791059f74d66dd167d0ac96f61
450
ads
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/discr46.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/discr46.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/discr46.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
package Discr46 is type Enum is (One, Two, Three); for Enum use (One => 1, Two => 2, Three => 3); type Rec1 (D : Boolean := False) is record case D is when False => null; when True => T : Integer; end case; end record; type Rec2 is record R : Rec1; C : Character; end record; type Arr is array (Enum) of Rec2; A : Arr; function F (Id : Enum) return Integer; end Discr46;
18
49
0.551111
cb90c0bf43307d2fc4c378a755bd3245011dbcd3
914
adb
Ada
gnu/src/gdb/gdb/testsuite/gdb.ada/expr_delims/foo.adb
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
gnu/src/gdb/gdb/testsuite/gdb.ada/expr_delims/foo.adb
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
gnu/src/gdb/gdb/testsuite/gdb.ada/expr_delims/foo.adb
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
-- Copyright 2013-2015 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with Pck; use Pck; procedure Foo is Thread: Integer; begin Thread := 0; for I in 1 .. 100 loop Thread := Thread + I; -- STOP_HERE end loop; Put(Integer'Image(Thread)); end Foo;
33.851852
73
0.71116
c576f3f38c23cf2aa5ac48a47e5b45e18f6be968
5,750
adb
Ada
src/ncurses-5.5/Ada95/samples/rain.adb
erwinchang/minicom
3fe2ba7d8e8475c199b493a2b99cd3c690f6ea4f
[ "MIT" ]
null
null
null
src/ncurses-5.5/Ada95/samples/rain.adb
erwinchang/minicom
3fe2ba7d8e8475c199b493a2b99cd3c690f6ea4f
[ "MIT" ]
null
null
null
src/ncurses-5.5/Ada95/samples/rain.adb
erwinchang/minicom
3fe2ba7d8e8475c199b493a2b99cd3c690f6ea4f
[ "MIT" ]
3
2016-06-13T13:20:56.000Z
2019-12-05T02:31:23.000Z
------------------------------------------------------------------------------ -- -- -- GNAT ncurses Binding Samples -- -- -- -- Rain -- -- -- -- B O D Y -- -- -- ------------------------------------------------------------------------------ -- Copyright (c) 1998 Free Software Foundation, Inc. -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, distribute with modifications, sublicense, and/or sell -- -- copies of the Software, and to permit persons to whom the Software is -- -- furnished to do so, subject to the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE ABOVE COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, -- -- DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -- -- THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- -- -- -- Except as contained in this notice, the name(s) of the above copyright -- -- holders shall not be used in advertising or otherwise to promote the -- -- sale, use or other dealings in this Software without prior written -- -- authorization. -- ------------------------------------------------------------------------------ -- Author: Laurent Pautet <pautet@gnat.com> -- Modified by: Juergen Pfeifer, 1997 -- Version Control -- $Revision: 1.6 $ -- Binding Version 01.00 ------------------------------------------------------------------------------ -- -- with Ada.Numerics.Float_Random; use Ada.Numerics.Float_Random; with Status; use Status; with Terminal_Interface.Curses; use Terminal_Interface.Curses; procedure Rain is Visibility : Cursor_Visibility; subtype X_Position is Line_Position; subtype Y_Position is Column_Position; Xpos : array (1 .. 5) of X_Position; Ypos : array (1 .. 5) of Y_Position; N : Integer; G : Generator; Max_X, X : X_Position; Max_Y, Y : Y_Position; procedure Next (J : in out Integer); procedure Cursor (X : X_Position; Y : Y_Position); procedure Next (J : in out Integer) is begin if J = 5 then J := 1; else J := J + 1; end if; end Next; procedure Cursor (X : X_Position; Y : Y_Position) is begin Move_Cursor (Line => X, Column => Y); end Cursor; pragma Inline (Cursor); begin Init_Screen; Set_NL_Mode; Set_Echo_Mode (False); Visibility := Invisible; Set_Cursor_Visibility (Visibility); Max_X := Lines - 5; Max_Y := Columns - 5; for I in Xpos'Range loop Xpos (I) := X_Position (Float (Max_X) * Random (G)) + 2; Ypos (I) := Y_Position (Float (Max_Y) * Random (G)) + 2; end loop; N := 1; while Process.Continue loop X := X_Position (Float (Max_X) * Random (G)) + 2; Y := Y_Position (Float (Max_Y) * Random (G)) + 2; Cursor (X, Y); Add (Ch => '.'); Cursor (Xpos (N), Ypos (N)); Add (Ch => 'o'); -- Next (N); Cursor (Xpos (N), Ypos (N)); Add (Ch => 'O'); -- Next (N); Cursor (Xpos (N) - 1, Ypos (N)); Add (Ch => '-'); Cursor (Xpos (N), Ypos (N) - 1); Add (Str => "|.|"); Cursor (Xpos (N) + 1, Ypos (N)); Add (Ch => '-'); -- Next (N); Cursor (Xpos (N) - 2, Ypos (N)); Add (Ch => '-'); Cursor (Xpos (N) - 1, Ypos (N) - 1); Add (Str => "/\\"); Cursor (Xpos (N), Ypos (N) - 2); Add (Str => "| O |"); Cursor (Xpos (N) + 1, Ypos (N) - 1); Add (Str => "\\/"); Cursor (Xpos (N) + 2, Ypos (N)); Add (Ch => '-'); -- Next (N); Cursor (Xpos (N) - 2, Ypos (N)); Add (Ch => ' '); Cursor (Xpos (N) - 1, Ypos (N) - 1); Add (Str => " "); Cursor (Xpos (N), Ypos (N) - 2); Add (Str => " "); Cursor (Xpos (N) + 1, Ypos (N) - 1); Add (Str => " "); Cursor (Xpos (N) + 2, Ypos (N)); Add (Ch => ' '); Xpos (N) := X; Ypos (N) := Y; Refresh; Nap_Milli_Seconds (50); end loop; Visibility := Normal; Set_Cursor_Visibility (Visibility); End_Windows; end Rain;
35.276074
78
0.440696
d083db2235874e11bc720ed2d47e5e112dde1dad
20,309
ads
Ada
source/amf/utp/amf-internals-tables-utp_metamodel.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/utp/amf-internals-tables-utp_metamodel.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/utp/amf-internals-tables-utp_metamodel.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2010-2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ package AMF.Internals.Tables.Utp_Metamodel is pragma Preelaborate; function MM_Utp_Utp return AMF.Internals.CMOF_Element; function MC_Utp_Duration return AMF.Internals.CMOF_Element; function MC_Utp_Time return AMF.Internals.CMOF_Element; function MC_Utp_Timezone return AMF.Internals.CMOF_Element; function MC_Utp_Verdict return AMF.Internals.CMOF_Element; function MC_Utp_Coding_Rule return AMF.Internals.CMOF_Element; function MC_Utp_Data_Partition return AMF.Internals.CMOF_Element; function MC_Utp_Data_Pool return AMF.Internals.CMOF_Element; function MC_Utp_Data_Selector return AMF.Internals.CMOF_Element; function MC_Utp_Default return AMF.Internals.CMOF_Element; function MC_Utp_Default_Application return AMF.Internals.CMOF_Element; function MC_Utp_Determ_Alt return AMF.Internals.CMOF_Element; function MC_Utp_Finish_Action return AMF.Internals.CMOF_Element; function MC_Utp_Get_Timezone_Action return AMF.Internals.CMOF_Element; function MC_Utp_Literal_Any return AMF.Internals.CMOF_Element; function MC_Utp_Literal_Any_Or_Null return AMF.Internals.CMOF_Element; function MC_Utp_Log_Action return AMF.Internals.CMOF_Element; function MC_Utp_Managed_Element return AMF.Internals.CMOF_Element; function MC_Utp_Read_Timer_Action return AMF.Internals.CMOF_Element; function MC_Utp_SUT return AMF.Internals.CMOF_Element; function MC_Utp_Set_Timezone_Action return AMF.Internals.CMOF_Element; function MC_Utp_Start_Timer_Action return AMF.Internals.CMOF_Element; function MC_Utp_Stop_Timer_Action return AMF.Internals.CMOF_Element; function MC_Utp_Test_Case return AMF.Internals.CMOF_Element; function MC_Utp_Test_Component return AMF.Internals.CMOF_Element; function MC_Utp_Test_Context return AMF.Internals.CMOF_Element; function MC_Utp_Test_Log return AMF.Internals.CMOF_Element; function MC_Utp_Test_Log_Application return AMF.Internals.CMOF_Element; function MC_Utp_Test_Objective return AMF.Internals.CMOF_Element; function MC_Utp_Test_Suite return AMF.Internals.CMOF_Element; function MC_Utp_Time_Out return AMF.Internals.CMOF_Element; function MC_Utp_Time_Out_Action return AMF.Internals.CMOF_Element; function MC_Utp_Time_Out_Message return AMF.Internals.CMOF_Element; function MC_Utp_Timer_Running_Action return AMF.Internals.CMOF_Element; function MC_Utp_Validation_Action return AMF.Internals.CMOF_Element; function MP_Utp_Coding_Rule_Base_Namespace_A_Extension_Coding_Rule return AMF.Internals.CMOF_Element; function MP_Utp_Coding_Rule_Base_Property_A_Extension_Coding_Rule return AMF.Internals.CMOF_Element; function MP_Utp_Coding_Rule_Base_Value_Specification_A_Extension_Coding_Rule return AMF.Internals.CMOF_Element; function MP_Utp_Coding_Rule_Coding return AMF.Internals.CMOF_Element; function MP_Utp_Data_Partition_Base_Classifier_A_Extension_Data_Partition return AMF.Internals.CMOF_Element; function MP_Utp_Data_Pool_Base_Classifier_A_Extension_Data_Pool return AMF.Internals.CMOF_Element; function MP_Utp_Data_Pool_Base_Property_A_Extension_Data_Pool return AMF.Internals.CMOF_Element; function MP_Utp_Data_Selector_Base_Operation_A_Extension_Data_Selector return AMF.Internals.CMOF_Element; function MP_Utp_Default_Base_Behavior_A_Extension_Default return AMF.Internals.CMOF_Element; function MP_Utp_Default_Application_Base_Dependency_A_Extension_Default_Application return AMF.Internals.CMOF_Element; function MP_Utp_Default_Application_Repetition return AMF.Internals.CMOF_Element; function MP_Utp_Determ_Alt_Base_Combined_Fragment_A_Extension_Determ_Alt return AMF.Internals.CMOF_Element; function MP_Utp_Finish_Action_Base_Invocation_Action_A_Extension_Finish_Action return AMF.Internals.CMOF_Element; function MP_Utp_Finish_Action_Base_Opaque_Action_A_Extension_Finish_Action return AMF.Internals.CMOF_Element; function MP_Utp_Get_Timezone_Action_Base_Read_Structural_Feature_Action_A_Extension_Get_Timezone_Action return AMF.Internals.CMOF_Element; function MP_Utp_Literal_Any_Base_Literal_Specification_A_Extension_Literal_Any return AMF.Internals.CMOF_Element; function MP_Utp_Literal_Any_Or_Null_Base_Literal_Specification_A_Extension_Literal_Any_Or_Null return AMF.Internals.CMOF_Element; function MP_Utp_Log_Action_Base_Send_Object_Action_A_Extension_Log_Action return AMF.Internals.CMOF_Element; function MP_Utp_Managed_Element_Base_Element_A_Extension_Managed_Element return AMF.Internals.CMOF_Element; function MP_Utp_Managed_Element_Criticality return AMF.Internals.CMOF_Element; function MP_Utp_Managed_Element_Description return AMF.Internals.CMOF_Element; function MP_Utp_Managed_Element_Owner return AMF.Internals.CMOF_Element; function MP_Utp_Managed_Element_Version return AMF.Internals.CMOF_Element; function MP_Utp_Read_Timer_Action_Base_Call_Operation_Action_A_Extension_Read_Timer_Action return AMF.Internals.CMOF_Element; function MP_Utp_SUT_Base_Property_A_Extension_SUT return AMF.Internals.CMOF_Element; function MP_Utp_Set_Timezone_Action_Base_Write_Structural_Feature_Action_A_Extension_Set_Timezone_Action return AMF.Internals.CMOF_Element; function MP_Utp_Start_Timer_Action_Base_Call_Operation_Action_A_Extension_Start_Timer_Action return AMF.Internals.CMOF_Element; function MP_Utp_Stop_Timer_Action_Base_Call_Operation_Action_A_Extension_Stop_Timer_Action return AMF.Internals.CMOF_Element; function MP_Utp_Test_Case_Base_Behavior_A_Extension_Test_Case return AMF.Internals.CMOF_Element; function MP_Utp_Test_Case_Base_Operation_A_Extension_Test_Case return AMF.Internals.CMOF_Element; function MP_Utp_Test_Case_Compatible_SUT_Variant return AMF.Internals.CMOF_Element; function MP_Utp_Test_Case_Compatible_SUT_Version return AMF.Internals.CMOF_Element; function MP_Utp_Test_Case_Priority return AMF.Internals.CMOF_Element; function MP_Utp_Test_Component_Base_Structured_Classifier_A_Extension_Test_Component return AMF.Internals.CMOF_Element; function MP_Utp_Test_Component_Compatible_SUT_Variant return AMF.Internals.CMOF_Element; function MP_Utp_Test_Component_Compatible_SUT_Version return AMF.Internals.CMOF_Element; function MP_Utp_Test_Context_Base_Behaviored_Classifier_A_Extension_Test_Context return AMF.Internals.CMOF_Element; function MP_Utp_Test_Context_Base_Structured_Classifier_A_Extension_Test_Context return AMF.Internals.CMOF_Element; function MP_Utp_Test_Context_Compatible_SUT_Variant return AMF.Internals.CMOF_Element; function MP_Utp_Test_Context_Compatible_SUT_Version return AMF.Internals.CMOF_Element; function MP_Utp_Test_Context_Test_Level return AMF.Internals.CMOF_Element; function MP_Utp_Test_Log_Base_Behavior_A_Extension_Test_Log return AMF.Internals.CMOF_Element; function MP_Utp_Test_Log_Duration return AMF.Internals.CMOF_Element; function MP_Utp_Test_Log_Executed_At return AMF.Internals.CMOF_Element; function MP_Utp_Test_Log_Sut_Version return AMF.Internals.CMOF_Element; function MP_Utp_Test_Log_Tester return AMF.Internals.CMOF_Element; function MP_Utp_Test_Log_Verdict return AMF.Internals.CMOF_Element; function MP_Utp_Test_Log_Verdict_Reason return AMF.Internals.CMOF_Element; function MP_Utp_Test_Log_Application_Base_Dependency_A_Extension_Test_Log_Application return AMF.Internals.CMOF_Element; function MP_Utp_Test_Objective_Base_Dependency_A_Extension_Test_Objective return AMF.Internals.CMOF_Element; function MP_Utp_Test_Objective_Priority return AMF.Internals.CMOF_Element; function MP_Utp_Test_Suite_Base_Behavior_A_Extension_Test_Suite return AMF.Internals.CMOF_Element; function MP_Utp_Test_Suite_Priority return AMF.Internals.CMOF_Element; function MP_Utp_Test_Suite_Test_Case return AMF.Internals.CMOF_Element; function MP_Utp_Time_Out_Base_Time_Event_A_Extension_Time_Out return AMF.Internals.CMOF_Element; function MP_Utp_Time_Out_Action_Base_Accept_Event_Action_A_Extension_Time_Out_Action return AMF.Internals.CMOF_Element; function MP_Utp_Time_Out_Message_Base_Message_A_Extension_Time_Out_Message return AMF.Internals.CMOF_Element; function MP_Utp_Timer_Running_Action_Base_Read_Structural_Feature_Action_A_Extension_Timer_Running_Action return AMF.Internals.CMOF_Element; function MP_Utp_Validation_Action_Base_Call_Operation_Action_A_Extension_Validation_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_SUT_SUT_Base_Property return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Test_Context_Test_Context_Base_Behaviored_Classifier return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Data_Selector_Data_Selector_Base_Operation return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Validation_Action_Validation_Action_Base_Call_Operation_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Time_Out_Time_Out_Base_Time_Event return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Managed_Element_Managed_Element_Base_Element return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Time_Out_Message_Time_Out_Message_Base_Message return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Test_Component_Test_Component_Base_Structured_Classifier return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Time_Out_Action_Time_Out_Action_Base_Accept_Event_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Test_Suite_Test_Suite_Base_Behavior return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Test_Log_Test_Log_Base_Behavior return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Start_Timer_Action_Start_Timer_Action_Base_Call_Operation_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Test_Context_Test_Context_Base_Structured_Classifier return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Test_Log_Application_Test_Log_Application_Base_Dependency return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Stop_Timer_Action_Stop_Timer_Action_Base_Call_Operation_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Read_Timer_Action_Read_Timer_Action_Base_Call_Operation_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Coding_Rule_Coding_Rule_Base_Value_Specification return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Timer_Running_Action_Timer_Running_Action_Base_Read_Structural_Feature_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Coding_Rule_Coding_Rule_Base_Namespace return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Coding_Rule_Coding_Rule_Base_Property return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Get_Timezone_Action_Get_Timezone_Action_Base_Read_Structural_Feature_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Test_Case_Test_Case_Base_Behavior return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Literal_Any_Literal_Any_Base_Literal_Specification return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Test_Case_Test_Case_Base_Operation return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Set_Timezone_Action_Set_Timezone_Action_Base_Write_Structural_Feature_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Finish_Action_Finish_Action_Base_Opaque_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Literal_Any_Or_Null_Literal_Any_Or_Null_Base_Literal_Specification return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Log_Action_Log_Action_Base_Send_Object_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Test_Objective_Test_Objective_Base_Dependency return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Finish_Action_Finish_Action_Base_Invocation_Action return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Data_Pool_Data_Pool_Base_Classifier return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Default_Default_Base_Behavior return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Data_Pool_Data_Pool_Base_Property return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Determ_Alt_Determ_Alt_Base_Combined_Fragment return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Data_Partition_Data_Partition_Base_Classifier return AMF.Internals.CMOF_Element; function MP_Utp_A_Extension_Default_Application_Default_Application_Base_Dependency return AMF.Internals.CMOF_Element; function MA_Utp_SUT_Base_Property_Extension_SUT return AMF.Internals.CMOF_Element; function MA_Utp_Test_Context_Base_Behaviored_Classifier_Extension_Test_Context return AMF.Internals.CMOF_Element; function MA_Utp_Data_Selector_Base_Operation_Extension_Data_Selector return AMF.Internals.CMOF_Element; function MA_Utp_Validation_Action_Base_Call_Operation_Action_Extension_Validation_Action return AMF.Internals.CMOF_Element; function MA_Utp_Time_Out_Base_Time_Event_Extension_Time_Out return AMF.Internals.CMOF_Element; function MA_Utp_Managed_Element_Base_Element_Extension_Managed_Element return AMF.Internals.CMOF_Element; function MA_Utp_Time_Out_Message_Base_Message_Extension_Time_Out_Message return AMF.Internals.CMOF_Element; function MA_Utp_Test_Component_Base_Structured_Classifier_Extension_Test_Component return AMF.Internals.CMOF_Element; function MA_Utp_Time_Out_Action_Base_Accept_Event_Action_Extension_Time_Out_Action return AMF.Internals.CMOF_Element; function MA_Utp_Test_Suite_Base_Behavior_Extension_Test_Suite return AMF.Internals.CMOF_Element; function MA_Utp_Test_Log_Base_Behavior_Extension_Test_Log return AMF.Internals.CMOF_Element; function MA_Utp_Start_Timer_Action_Base_Call_Operation_Action_Extension_Start_Timer_Action return AMF.Internals.CMOF_Element; function MA_Utp_Test_Context_Base_Structured_Classifier_Extension_Test_Context return AMF.Internals.CMOF_Element; function MA_Utp_Test_Log_Application_Base_Dependency_Extension_Test_Log_Application return AMF.Internals.CMOF_Element; function MA_Utp_Stop_Timer_Action_Base_Call_Operation_Action_Extension_Stop_Timer_Action return AMF.Internals.CMOF_Element; function MA_Utp_Read_Timer_Action_Base_Call_Operation_Action_Extension_Read_Timer_Action return AMF.Internals.CMOF_Element; function MA_Utp_Coding_Rule_Base_Value_Specification_Extension_Coding_Rule return AMF.Internals.CMOF_Element; function MA_Utp_Timer_Running_Action_Base_Read_Structural_Feature_Action_Extension_Timer_Running_Action return AMF.Internals.CMOF_Element; function MA_Utp_Coding_Rule_Base_Namespace_Extension_Coding_Rule return AMF.Internals.CMOF_Element; function MA_Utp_Coding_Rule_Base_Property_Extension_Coding_Rule return AMF.Internals.CMOF_Element; function MA_Utp_Get_Timezone_Action_Base_Read_Structural_Feature_Action_Extension_Get_Timezone_Action return AMF.Internals.CMOF_Element; function MA_Utp_Test_Case_Base_Behavior_Extension_Test_Case return AMF.Internals.CMOF_Element; function MA_Utp_Literal_Any_Base_Literal_Specification_Extension_Literal_Any return AMF.Internals.CMOF_Element; function MA_Utp_Test_Case_Base_Operation_Extension_Test_Case return AMF.Internals.CMOF_Element; function MA_Utp_Set_Timezone_Action_Base_Write_Structural_Feature_Action_Extension_Set_Timezone_Action return AMF.Internals.CMOF_Element; function MA_Utp_Finish_Action_Base_Opaque_Action_Extension_Finish_Action return AMF.Internals.CMOF_Element; function MA_Utp_Literal_Any_Or_Null_Base_Literal_Specification_Extension_Literal_Any_Or_Null return AMF.Internals.CMOF_Element; function MA_Utp_Log_Action_Base_Send_Object_Action_Extension_Log_Action return AMF.Internals.CMOF_Element; function MA_Utp_Test_Objective_Base_Dependency_Extension_Test_Objective return AMF.Internals.CMOF_Element; function MA_Utp_Finish_Action_Base_Invocation_Action_Extension_Finish_Action return AMF.Internals.CMOF_Element; function MA_Utp_Data_Pool_Base_Classifier_Extension_Data_Pool return AMF.Internals.CMOF_Element; function MA_Utp_Default_Base_Behavior_Extension_Default return AMF.Internals.CMOF_Element; function MA_Utp_Data_Pool_Base_Property_Extension_Data_Pool return AMF.Internals.CMOF_Element; function MA_Utp_Determ_Alt_Base_Combined_Fragment_Extension_Determ_Alt return AMF.Internals.CMOF_Element; function MA_Utp_Data_Partition_Base_Classifier_Extension_Data_Partition return AMF.Internals.CMOF_Element; function MA_Utp_Default_Application_Base_Dependency_Extension_Default_Application return AMF.Internals.CMOF_Element; function MB_Utp return AMF.Internals.AMF_Element; function ML_Utp return AMF.Internals.AMF_Element; private Base : AMF.Internals.CMOF_Element := 0; end AMF.Internals.Tables.Utp_Metamodel;
87.538793
143
0.809936
0e453772ac9059f2623a1982f8ad34f7693df539
2,723
adb
Ada
thirdparty/glut/progs/ada/cone.adb
ShiroixD/pag_zad_2
cdb6ccf48402cf4dbf1284827a4e281d3b12a64b
[ "MIT" ]
1
2019-01-11T13:55:53.000Z
2019-01-11T13:55:53.000Z
thirdparty/glut/progs/ada/cone.adb
ShiroixD/pag_zad_2
cdb6ccf48402cf4dbf1284827a4e281d3b12a64b
[ "MIT" ]
1
2018-08-10T19:11:58.000Z
2018-08-10T19:12:17.000Z
thirdparty/glut/progs/ada/cone.adb
ShiroixD/pag_zad_2
cdb6ccf48402cf4dbf1284827a4e281d3b12a64b
[ "MIT" ]
null
null
null
-- -- (c) Copyright 1993,1994,1995,1996 Silicon Graphics, Inc. -- ALL RIGHTS RESERVED -- Permission to use, copy, modify, and distribute this software for -- any purpose and without fee is hereby granted, provided that the above -- copyright notice appear in all copies and that both the copyright notice -- and this permission notice appear in supporting documentation, and that -- the name of Silicon Graphics, Inc. not be used in advertising -- or publicity pertaining to distribution of the software without specific, -- written prior permission. -- -- THE MATERIAL EMBODIED ON THIS SOFTWARE IS PROVIDED TO YOU "AS-IS" -- AND WITHOUT WARRANTY OF ANY KIND, EXPRESS, IMPLIED OR OTHERWISE, -- INCLUDING WITHOUT LIMITATION, ANY WARRANTY OF MERCHANTABILITY OR -- FITNESS FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL SILICON -- GRAPHICS, INC. BE LIABLE TO YOU OR ANYONE ELSE FOR ANY DIRECT, -- SPECIAL, INCIDENTAL, INDIRECT OR CONSEQUENTIAL DAMAGES OF ANY -- KIND, OR ANY DAMAGES WHATSOEVER, INCLUDING WITHOUT LIMITATION, -- LOSS OF PROFIT, LOSS OF USE, SAVINGS OR REVENUE, OR THE CLAIMS OF -- THIRD PARTIES, WHETHER OR NOT SILICON GRAPHICS, INC. HAS BEEN -- ADVISED OF THE POSSIBILITY OF SUCH LOSS, HOWEVER CAUSED AND ON -- ANY THEORY OF LIABILITY, ARISING OUT OF OR IN CONNECTION WITH THE -- POSSESSION, USE OR PERFORMANCE OF THIS SOFTWARE. -- -- US Government Users Restricted Rights -- Use, duplication, or disclosure by the Government is subject to -- restrictions set forth in FAR 52.227.19(c)(2) or subparagraph -- (c)(1)(ii) of the Rights in Technical Data and Computer Software -- clause at DFARS 252.227-7013 and/or in similar or successor -- clauses in the FAR or the DOD or NASA FAR Supplement. -- Unpublished-- rights reserved under the copyright laws of the -- United States. Contractor/manufacturer is Silicon Graphics, -- Inc., 2011 N. Shoreline Blvd., Mountain View, CA 94039-7311. -- -- OpenGL(TM) is a trademark of Silicon Graphics, Inc. -- with GL; use GL; with Glut; use Glut; with Text_IO; with Cone_Procs; use Cone_Procs; with Interfaces.C.Strings; procedure Cone is package Tio renames Text_IO; package ICS renames Interfaces.C.Strings; type chars_ptr_ptr is access ICS.chars_ptr; argc : aliased integer; pragma Import (C, argc, "gnat_argc"); argv : chars_ptr_ptr; pragma Import (C, argv, "gnat_argv"); foobar : Integer; begin glutInitWindowSize(500, 500); glutInit (argc'access, argv); glutInitDisplayMode(GLUT_RGB or GLUT_DEPTH or GLUT_SINGLE); foobar := glutCreateWindow ("OpenGL and Ada: cone"); DoInit; glutReshapeFunc (ReshapeCallback'ACCESS); glutDisplayFunc (DoDisplay'ACCESS); glutMainLoop; end Cone;
39.463768
77
0.741462
dc6d706be20b11fd4a08e87073e694e447485bbd
3,473
adb
Ada
llvm-gcc-4.2-2.9/gcc/ada/gnatvsn.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
llvm-gcc-4.2-2.9/gcc/ada/gnatvsn.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
llvm-gcc-4.2-2.9/gcc/ada/gnatvsn.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- G N A T V S N -- -- -- -- B o d y -- -- -- -- Copyright (C) 2002-2003 Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ package body Gnatvsn is Version_String : String (1 .. Ver_Len_Max); -- Import the C string defined in the (language-independent) source file -- version.c. -- The size is not the real one, which does not matter since we will -- check for the nul character in Gnat_Version_String. pragma Import (C, Version_String, "version_string"); ------------------------- -- Get_Gnat_Build_Type -- ------------------------- function Get_Gnat_Build_Type return Gnat_Build_Type is begin return FSF; end Get_Gnat_Build_Type; ------------------------- -- Gnat_Version_String -- ------------------------- function Gnat_Version_String return String is NUL_Pos : Positive := 1; begin loop exit when Version_String (NUL_Pos) = ASCII.NUL; NUL_Pos := NUL_Pos + 1; end loop; return Version_String (1 .. NUL_Pos - 1); end Gnat_Version_String; end Gnatvsn;
50.333333
78
0.45753
dc868d8ae09bc2b9e31bd256b1ce70540ae4df40
3,510
ads
Ada
demo/adainclude/s-imguns.ads
e3l6/SSMDev
2929757aab3842aefd84debb2d7c3e8b28c2b340
[ "MIT" ]
12
2017-06-08T14:19:57.000Z
2022-03-09T02:48:59.000Z
demo/adainclude/s-imguns.ads
e3l6/SSMDev
2929757aab3842aefd84debb2d7c3e8b28c2b340
[ "MIT" ]
6
2017-06-08T13:13:50.000Z
2020-05-15T09:32:43.000Z
demo/adainclude/s-imguns.ads
e3l6/SSMDev
2929757aab3842aefd84debb2d7c3e8b28c2b340
[ "MIT" ]
3
2017-06-30T14:05:06.000Z
2022-02-17T12:20:45.000Z
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M . I M G _ U N S -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2014, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- -- -- -- -- -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package contains the routines for supporting the Image attribute for -- modular integer types up to size Unsigned'Size, and also for conversion -- operations required in Text_IO.Modular_IO for such types. with System.Unsigned_Types; package System.Img_Uns is pragma Pure; procedure Image_Unsigned (V : System.Unsigned_Types.Unsigned; S : in out String; P : out Natural); pragma Inline (Image_Unsigned); -- Computes Unsigned'Image (V) and stores the result in S (1 .. P) setting -- the resulting value of P. The caller guarantees that S is long enough to -- hold the result, and that S'First is 1. procedure Set_Image_Unsigned (V : System.Unsigned_Types.Unsigned; S : in out String; P : in out Natural); -- Stores the image of V in S starting at S (P + 1), P is updated to point -- to the last character stored. The value stored is identical to the value -- of Unsigned'Image (V) except that no leading space is stored. The caller -- guarantees that S is long enough to hold the result. S need not have a -- lower bound of 1. end System.Img_Uns;
57.540984
79
0.426211
dcf2d2bdfeafaa86077c509394b628793ee36f4d
815
adb
Ada
gdb/testsuite/gdb.ada/atomic_enum/foo.adb
greyblue9/binutils-gdb
05377632b124fe7600eea7f4ee0e9a35d1b0cbdc
[ "BSD-3-Clause" ]
1
2020-10-14T03:24:35.000Z
2020-10-14T03:24:35.000Z
gdb/testsuite/gdb.ada/atomic_enum/foo.adb
greyblue9/binutils-gdb
05377632b124fe7600eea7f4ee0e9a35d1b0cbdc
[ "BSD-3-Clause" ]
null
null
null
gdb/testsuite/gdb.ada/atomic_enum/foo.adb
greyblue9/binutils-gdb
05377632b124fe7600eea7f4ee0e9a35d1b0cbdc
[ "BSD-3-Clause" ]
null
null
null
-- Copyright 2008-2021 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with Pck; use Pck; procedure Foo is begin if Is_First then Increment; end if; end Foo;
33.958333
73
0.728834
c5d3396bbbbf64ff7da3fbb685a3549249c7cbb5
40,182
adb
Ada
test/halide_data/pldi_camera_ready/big_apps_32_real/conv2d/collateral/conv2d/hls_target/.autopilot/db/hls_target.adb
David-Durst/embeddedHaskellAetherling
34c5403e07433e572170699f3bd69c5b5c3eff2d
[ "BSD-3-Clause" ]
20
2019-03-12T20:12:31.000Z
2022-02-07T04:23:22.000Z
test/halide_data/pldi_camera_ready/big_apps_32_real/conv2d/collateral/conv2d/hls_target/.autopilot/db/hls_target.adb
David-Durst/embeddedHaskellAetherling
34c5403e07433e572170699f3bd69c5b5c3eff2d
[ "BSD-3-Clause" ]
30
2019-07-22T19:25:42.000Z
2020-06-18T17:58:43.000Z
test/halide_data/pldi_camera_ready/big_apps_32_real/conv2d/collateral/conv2d/hls_target/.autopilot/db/hls_target.adb
David-Durst/embeddedHaskellAetherling
34c5403e07433e572170699f3bd69c5b5c3eff2d
[ "BSD-3-Clause" ]
3
2019-10-14T18:07:26.000Z
2022-01-20T14:36:17.000Z
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="14"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName/> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>hls_target</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>hw_input_V_value_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>hw_input.V.value.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>hw_input_V_last_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>hw_input.V.last.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>hw_output_V_value_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>hw_output.V.value.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>hw_output_V_last_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>hw_output.V.last.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_5"> <Value> <Obj> <type>0</type> <id>11</id> <name>p_hw_input_stencil_st</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>57</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_real/conv2d</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>57</second> </item> </second> </item> </inlineStackInfo> <originalName>_hw_input_stencil_stream.V.value.V</originalName> <rtlName>p_hw_input_stencil_st_U</rtlName> <coreName>FIFO_SRL</coreName> </Obj> <bitwidth>288</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>22</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>17</id> <name/> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_real/conv2d</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>61</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>linebuffer_1_U0</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>24</item> <item>25</item> <item>26</item> <item>27</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>18</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName>Loop_1_proc_U0</rtlName> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>6</count> <item_version>0</item_version> <item>29</item> <item>30</item> <item>31</item> <item>32</item> <item>136</item> <item>137</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>19</id> <name/> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>161</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_real/conv2d</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>161</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_9"> <Value> <Obj> <type>2</type> <id>21</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_10"> <Value> <Obj> <type>2</type> <id>23</id> <name>linebuffer_1</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:linebuffer.1&gt;</content> </item> <item class_id_reference="16" object_id="_11"> <Value> <Obj> <type>2</type> <id>28</id> <name>Loop_1_proc</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:Loop_1_proc&gt;</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_12"> <Obj> <type>3</type> <id>20</id> <name>hls_target</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>11</item> <item>17</item> <item>18</item> <item>19</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>11</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_13"> <id>22</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_14"> <id>24</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_15"> <id>25</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_16"> <id>26</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_17"> <id>27</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_18"> <id>29</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_19"> <id>30</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_20"> <id>31</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_21"> <id>32</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_22"> <id>136</id> <edge_type>4</edge_type> <source_obj>17</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_23"> <id>137</id> <edge_type>4</edge_type> <source_obj>17</source_obj> <sink_obj>18</sink_obj> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_24"> <mId>1</mId> <mTag>hls_target</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>20</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>2077921</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>1</mIsDfPipe> <mDfPipe class_id="23" tracking_level="1" version="0" object_id="_25"> <port_list class_id="24" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port_list> <process_list class_id="25" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_26"> <type>0</type> <name>linebuffer_1_U0</name> <ssdmobj_id>17</ssdmobj_id> <pins class_id="27" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_27"> <port class_id="29" tracking_level="1" version="0" object_id="_28"> <name>in_axi_stream_V_value_V</name> <dir>3</dir> <type>0</type> </port> <inst class_id="30" tracking_level="1" version="0" object_id="_29"> <type>0</type> <name>linebuffer_1_U0</name> <ssdmobj_id>17</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_30"> <port class_id_reference="29" object_id="_31"> <name>in_axi_stream_V_last_V</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_29"/> </item> <item class_id_reference="28" object_id="_32"> <port class_id_reference="29" object_id="_33"> <name>out_stream_V_value_V</name> <dir>0</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_29"/> </item> </pins> </item> <item class_id_reference="26" object_id="_34"> <type>0</type> <name>Loop_1_proc_U0</name> <ssdmobj_id>18</ssdmobj_id> <pins> <count>3</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_35"> <port class_id_reference="29" object_id="_36"> <name>p_hw_input_stencil_stream_V_value_V</name> <dir>0</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_37"> <type>0</type> <name>Loop_1_proc_U0</name> <ssdmobj_id>18</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_38"> <port class_id_reference="29" object_id="_39"> <name>hw_output_V_value_V</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_37"/> </item> <item class_id_reference="28" object_id="_40"> <port class_id_reference="29" object_id="_41"> <name>hw_output_V_last_V</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_37"/> </item> </pins> </item> </process_list> <channel_list class_id="31" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="32" tracking_level="1" version="0" object_id="_42"> <type>1</type> <name>p_hw_input_stencil_st</name> <ssdmobj_id>11</ssdmobj_id> <ctype>0</ctype> <depth>1</depth> <bitwidth>288</bitwidth> <source class_id_reference="28" object_id="_43"> <port class_id_reference="29" object_id="_44"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_29"/> </source> <sink class_id_reference="28" object_id="_45"> <port class_id_reference="29" object_id="_46"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_37"/> </sink> </item> </channel_list> <net_list class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </net_list> </mDfPipe> </item> </cdfg_regions> <fsm class_id="34" tracking_level="1" version="0" object_id="_47"> <states class_id="35" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="36" tracking_level="1" version="0" object_id="_48"> <id>1</id> <operations class_id="37" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="38" tracking_level="1" version="0" object_id="_49"> <id>11</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_50"> <id>17</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_51"> <id>2</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_52"> <id>17</id> <stage>1</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_53"> <id>3</id> <operations> <count>1</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_54"> <id>18</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="36" object_id="_55"> <id>4</id> <operations> <count>13</count> <item_version>0</item_version> <item class_id_reference="38" object_id="_56"> <id>5</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_57"> <id>6</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_58"> <id>7</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_59"> <id>8</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_60"> <id>9</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_61"> <id>10</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_62"> <id>12</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_63"> <id>13</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_64"> <id>14</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_65"> <id>15</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_66"> <id>16</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="38" object_id="_67"> <id>18</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="38" object_id="_68"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="39" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="40" tracking_level="1" version="0" object_id="_69"> <inState>1</inState> <outState>2</outState> <condition class_id="41" tracking_level="0" version="0"> <id>0</id> <sop class_id="42" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="43" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_70"> <inState>2</inState> <outState>3</outState> <condition> <id>1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="40" object_id="_71"> <inState>3</inState> <outState>4</outState> <condition> <id>2</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="44" tracking_level="1" version="0" object_id="_72"> <dp_component_resource class_id="45" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="46" tracking_level="0" version="0"> <first>Loop_1_proc_U0 (Loop_1_proc)</first> <second class_id="47" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="48" tracking_level="0" version="0"> <first>DSP48E</first> <second>11</second> </item> <item> <first>FF</first> <second>2977</second> </item> <item> <first>LUT</first> <second>2638</second> </item> </second> </item> <item> <first>linebuffer_1_U0 (linebuffer_1)</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>BRAM</first> <second>8</second> </item> <item> <first>FF</first> <second>1231</second> </item> <item> <first>LUT</first> <second>803</second> </item> </second> </item> <item> <first>start_for_Loop_1_ibs_U (start_for_Loop_1_ibs)</first> <second> <count>0</count> <item_version>0</item_version> </second> </item> </dp_component_resource> <dp_expression_resource> <count>2</count> <item_version>0</item_version> <item> <first>ap_idle ( and ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>2</second> </item> </second> </item> <item> <first>linebuffer_1_U0_start_full_n ( or ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>2</second> </item> </second> </item> </dp_expression_resource> <dp_fifo_resource> <count>1</count> <item_version>0</item_version> <item> <first>p_hw_input_stencil_st_U</first> <second> <count>5</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>1</second> </item> <item> <first>(1Bits)</first> <second>288</second> </item> <item> <first>(2Size:D*B)</first> <second>288</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>1</second> </item> </second> </item> </dp_fifo_resource> <dp_memory_resource> <count>0</count> <item_version>0</item_version> </dp_memory_resource> <dp_multiplexer_resource> <count>0</count> <item_version>0</item_version> </dp_multiplexer_resource> <dp_register_resource> <count>0</count> <item_version>0</item_version> </dp_register_resource> <dp_component_map class_id="49" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="50" tracking_level="0" version="0"> <first>Loop_1_proc_U0 (Loop_1_proc)</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>linebuffer_1_U0 (linebuffer_1)</first> <second> <count>1</count> <item_version>0</item_version> <item>17</item> </second> </item> </dp_component_map> <dp_expression_map> <count>0</count> <item_version>0</item_version> </dp_expression_map> <dp_fifo_map> <count>1</count> <item_version>0</item_version> <item> <first>p_hw_input_stencil_st_U</first> <second> <count>1</count> <item_version>0</item_version> <item>55</item> </second> </item> </dp_fifo_map> <dp_memory_map> <count>0</count> <item_version>0</item_version> </dp_memory_map> </res> <node_label_latency class_id="51" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="52" tracking_level="0" version="0"> <first>11</first> <second class_id="53" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>18</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>19</first> <second> <first>3</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="54" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="55" tracking_level="0" version="0"> <first>20</first> <second class_id="56" tracking_level="0" version="0"> <first>0</first> <second>3</second> </second> </item> </bblk_ent_exit> <regions class_id="57" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="58" tracking_level="1" version="0" object_id="_73"> <region_name>hls_target</region_name> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>20</item> </basic_blocks> <nodes> <count>15</count> <item_version>0</item_version> <item>5</item> <item>6</item> <item>7</item> <item>8</item> <item>9</item> <item>10</item> <item>11</item> <item>12</item> <item>13</item> <item>14</item> <item>15</item> <item>16</item> <item>17</item> <item>18</item> <item>19</item> </nodes> <anchor_node>-1</anchor_node> <region_type>16</region_type> <interval>0</interval> <pipe_depth>0</pipe_depth> </item> </regions> <dp_fu_nodes class_id="59" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="60" tracking_level="0" version="0"> <first>48</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>52</first> <second> <count>2</count> <item_version>0</item_version> <item>18</item> <item>18</item> </second> </item> <item> <first>61</first> <second> <count>2</count> <item_version>0</item_version> <item>17</item> <item>17</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="62" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="63" tracking_level="0" version="0"> <first>p_hw_input_stencil_st_fu_48</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>2</count> <item_version>0</item_version> <item> <first>grp_Loop_1_proc_fu_52</first> <second> <count>2</count> <item_version>0</item_version> <item>18</item> <item>18</item> </second> </item> <item> <first>grp_linebuffer_1_fu_61</first> <second> <count>2</count> <item_version>0</item_version> <item>17</item> <item>17</item> </second> </item> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="64" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>1</count> <item_version>0</item_version> <item> <first>70</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>1</count> <item_version>0</item_version> <item> <first>p_hw_input_stencil_st_reg_70</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="65" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="66" tracking_level="0" version="0"> <first>hw_input_V_last_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>17</item> </second> </item> </second> </item> <item> <first>hw_input_V_value_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>17</item> </second> </item> </second> </item> <item> <first>hw_output_V_last_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> </second> </item> <item> <first>hw_output_V_value_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>call</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="67" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>1</count> <item_version>0</item_version> <item class_id="68" tracking_level="0" version="0"> <first>11</first> <second>FIFO_SRL</second> </item> </node2core> </syndb> </boost_serialization>
32.88216
130
0.47469
dc2b77f64ab656db7f6ef48d113a07e01cba8610
3,593
adb
Ada
src/templates/ada/avtas/lmcp/avtas-lmcp-factory.adb
joffreyhuguet/LmcpGen
3a75248e536f1147d9208ec021cc5105206c3477
[ "NASA-1.3" ]
null
null
null
src/templates/ada/avtas/lmcp/avtas-lmcp-factory.adb
joffreyhuguet/LmcpGen
3a75248e536f1147d9208ec021cc5105206c3477
[ "NASA-1.3" ]
null
null
null
src/templates/ada/avtas/lmcp/avtas-lmcp-factory.adb
joffreyhuguet/LmcpGen
3a75248e536f1147d9208ec021cc5105206c3477
[ "NASA-1.3" ]
null
null
null
-<include_all_factories>- with Ada.Unchecked_Conversion; package body avtas.lmcp.factory is function PackMessage (RootObject : in Avtas.Lmcp.Object.Object_Any; EnableChecksum : in Boolean) return ByteBuffer is -- Allocate space for message, with 15 extra bytes for -- Existence (1 byte), series name (8 bytes), type (4 bytes), version number (2 bytes) MsgSize : constant UInt32 := RootObject.CalculatePackedSize + 15; Buffer : ByteBuffer (HEADER_SIZE + MsgSize + CHECKSUM_SIZE); begin -- add header values Buffer.Put_Int32 (LMCP_CONTROL_STR); Buffer.Put_UInt32 (MsgSize); -- add root object PutObject (RootObject, Buffer); -- add checksum if enabled Buffer.Put_UInt32 (if EnableChecksum then CalculateChecksum (Buffer, Buffer.Length) else 0); return Buffer; end PackMessage; procedure PutObject (Object : in Avtas.Lmcp.Object.Object_Any; Buffer : in out ByteBuffer) is begin -- If object is null, pack a 0; otherwise, add root object if Object = null then Buffer.Put_Boolean (False); else Buffer.Put_Boolean (True); Buffer.Put_Int64 (Object.GetSeriesNameAsLong); Buffer.Put_UInt32 (Object.GetLmcpType); Buffer.Put_UInt16 (Object.GetSeriesVersion); Object.Pack (Buffer); end if; end PutObject; procedure GetObject (Buffer : in out ByteBuffer; Output : out Avtas.Lmcp.Object.Object_Any) is CtrlStr : Int32; MsgSize : UInt32; MsgExists : Boolean; SeriesId : Int64; MsgType : Uint32; Version : Uint16; begin Output := null; -- default -- TODO: add some kind of warning/error messages for each null case if buffer.Capacity < HEADER_SIZE + CHECKSUM_SIZE then return; end if; Buffer.Get_Int32 (CtrlStr); if CtrlStr /= LMCP_CONTROL_STR then return; end if; Buffer.Get_UInt32 (MsgSize); if Buffer.Capacity < MsgSize then return; end if; if not validate (buffer) then return; end if; Buffer.Get_Boolean (MsgExists); if not MsgExists then return; end if; Buffer.Get_Int64 (SeriesId); Buffer.Get_UInt32 (MsgType); Buffer.Get_UInt16 (Version); Output := CreateObject (SeriesId, MsgType, Version); if Output /= null then Output.Unpack (Buffer); end if; end GetObject; function createObject(seriesId : in Int64; msgType : in UInt32; version: in UInt16) return avtas.lmcp.object.Object_Any is begin -<global_factory_switch>- end createObject; function CalculateChecksum (Buffer : in ByteBuffer; Last : in Index) return UInt32 is (Buffer.Checksum (From => 1, To => Last)); function GetObjectSize (Buffer : in ByteBuffer) return UInt32 is Result : UInt32; begin Buffer.Get_UInt32 (Result, First => 5); -- the second UInt32 value in the buffer return Result; end getObjectSize; function Validate (Buffer : in ByteBuffer) return Boolean is Computed_Checksum : UInt32; Existing_Checksum : UInt32; begin Computed_Checksum := CalculateChecksum (Buffer, Last => Buffer.Length - Checksum_Size); -- all except the existing checksum if Computed_Checksum = 0 then return True; else Buffer.Get_UInt32 (Existing_Checksum, First => Buffer.Length - Checksum_Size + 1); -- the existing checksum return Computed_Checksum = Existing_Checksum; end if; end Validate; end avtas.lmcp.factory;
34.548077
130
0.660729
d0594b65f80390d091c10c6e1cbfc8199bd0980f
3,387
ads
Ada
source/uaflex/uaflex.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/uaflex/uaflex.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/uaflex/uaflex.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Localization, Internationalization, Globalization for Ada -- -- -- -- Tools Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2011-2015, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ package UAFLEX is pragma Pure; end UAFLEX;
70.5625
78
0.400059
185cde0a12ab4412f3e78fff5fbf03a8fffbc967
3,931
ads
Ada
source/web/spikedog/core/matreshka-servlet_servers.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/web/spikedog/core/matreshka-servlet_servers.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/web/spikedog/core/matreshka-servlet_servers.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Web Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2014, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- Abstract server type to represent integration with servers. ------------------------------------------------------------------------------ limited with Matreshka.Servlet_Containers; package Matreshka.Servlet_Servers is pragma Preelaborate; type Abstract_Server is abstract tagged limited null record; type Server_Access is access all Abstract_Server'Class; not overriding procedure Set_Container (Self : not null access Abstract_Server; Container : Matreshka.Servlet_Containers.Servlet_Container_Access) is abstract; end Matreshka.Servlet_Servers;
63.403226
78
0.43017
c522c9e76b1d9751f56ac0be23e356ddd1231f68
7,097
adb
Ada
1-base/lace/source/events/mixin/lace-make_observer.adb
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
20
2015-11-04T09:23:59.000Z
2022-01-14T10:21:42.000Z
1-base/lace/source/events/mixin/lace-make_observer.adb
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
2
2015-11-04T17:05:56.000Z
2015-12-08T03:16:13.000Z
1-base/lace/source/events/mixin/lace-make_observer.adb
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
1
2015-12-07T12:53:52.000Z
2015-12-07T12:53:52.000Z
with lace.Event.Logger, lace.Event.utility, ada.unchecked_Conversion, ada.unchecked_Deallocation; package body lace.make_Observer is procedure destroy (Self : in out Item) is begin Self.Responses.destroy; end destroy; overriding procedure receive (Self : access Item; the_Event : in Event.item'Class := event.null_Event; from_Subject : in Event.subject_Name) is begin Self.Responses.receive (Self, the_Event, from_Subject); end receive; overriding procedure add (Self : access Item; the_Response : in Response.view; to_Kind : in event.Kind; from_Subject : in Event.subject_Name) is begin Self.Responses.add (Self, the_Response, to_Kind, from_Subject); end add; overriding procedure rid (Self : access Item; the_Response : in Response.view; to_Kind : in event.Kind; from_Subject : in Event.subject_Name) is begin Self.Responses.rid (Self, the_Response, to_Kind, from_Subject); end rid; overriding procedure respond (Self : access Item) is begin null; -- This is a null operation since there can never be any deferred events for an 'instant' observer. end respond; overriding procedure relay_responseless_Events (Self : in out Item; To : in Observer.view) is begin Self.Responses.relay_responseless_Events (To); end relay_responseless_Events; protected body safe_Responses is procedure destroy is use subject_Maps_of_event_responses; procedure free is new ada.unchecked_Deallocation (event_response_Map, event_response_Map_view); Cursor : subject_Maps_of_event_responses.Cursor := my_Responses.First; the_Map : event_response_Map_view; begin while has_Element (Cursor) loop the_Map := Element (Cursor); free (the_Map); next (Cursor); end loop; end destroy; -- Responses -- procedure add (Self : access Item'Class; the_Response : in Response.view; to_Kind : in event.Kind; from_Subject : in Event.subject_Name) is begin if not my_Responses.contains (from_Subject) then my_Responses.insert (from_Subject, new event_response_Map); end if; my_Responses.Element (from_Subject).insert (to_Kind, the_Response); if observer.Logger /= null then observer.Logger.log_new_Response (the_Response, Observer.item'Class (Self.all), to_Kind, from_Subject); end if; end add; procedure rid (Self : access Item'Class; the_Response : in Response.view; to_Kind : in event.Kind; from_Subject : in Event.subject_Name) is begin my_Responses.Element (from_Subject).delete (to_Kind); if observer.Logger /= null then observer.Logger.log_rid_Response (the_Response, Observer.item'Class (Self.all), to_Kind, from_Subject); end if; end rid; procedure relay_responseless_Events (To : in Observer.view) is begin my_relay_Target := To; end relay_responseless_Events; function relay_Target return Observer.view is begin return my_relay_Target; end relay_Target; function Contains (Subject : in Event.subject_Name) return Boolean is begin return my_Responses.Contains (Subject); end Contains; function Element (Subject : in Event.subject_Name) return event_response_Map is begin return my_Responses.Element (Subject).all; end Element; -- Operations -- procedure receive (Self : access Item'Class; the_Event : in Event.item'Class := event.null_Event; from_Subject : in Event.subject_Name) is use event_response_Maps, subject_Maps_of_event_responses, lace.Event.utility, ada.Containers; use type lace.Observer.view; the_Responses : event_response_Map renames my_Responses.Element (from_Subject).all; the_Response : constant event_response_Maps.Cursor := the_Responses.find (to_Kind (the_Event'Tag)); my_Name : constant String := Observer.item'Class (Self.all).Name; begin if has_Element (the_Response) then Element (the_Response).respond (the_Event); if observer.Logger /= null then observer.Logger.log_Response (Element (the_Response), Observer.view (Self), the_Event, from_Subject); end if; elsif relay_Target /= null then -- Self.relay_Target.notify (the_Event, from_Subject_Name); -- todo: Re-enable event relays. if observer.Logger /= null then observer.Logger.log ("[Warning] ~ Relayed events are currently disabled."); else raise program_Error with "Event relaying is currently disabled."; end if; else if observer.Logger /= null then observer.Logger.log ("[Warning] ~ Observer " & my_Name & " has no response to " & Name_of (the_Event) & " from " & from_Subject & "."); observer.Logger.log (" count of responses =>" & Count_type'Image (the_Responses.Length)); else raise program_Error with "Observer " & my_Name & " has no response to " & Name_of (the_Event) & " from " & from_Subject & "."; end if; end if; exception when constraint_Error => if observer.Logger /= null then observer.Logger.log (my_Name & " has no responses for events from " & from_Subject & "."); else raise Program_Error with my_Name & " has no responses for events from " & from_Subject & "."; end if; end receive; end safe_Responses; end lace.make_Observer;
30.991266
116
0.531774
cb56c880e29cdd8a1a0edbc4e829c470e66094b5
516
ads
Ada
src/_for_debug_purposes/apsepp-debug_trace.ads
thierr26/ada-apsepp
6eb87079ea57707db4ee1e2215fa170af66b1913
[ "MIT" ]
null
null
null
src/_for_debug_purposes/apsepp-debug_trace.ads
thierr26/ada-apsepp
6eb87079ea57707db4ee1e2215fa170af66b1913
[ "MIT" ]
null
null
null
src/_for_debug_purposes/apsepp-debug_trace.ads
thierr26/ada-apsepp
6eb87079ea57707db4ee1e2215fa170af66b1913
[ "MIT" ]
null
null
null
-- Copyright (C) 2019 Thierry Rascle <thierr26@free.fr> -- MIT license. Please refer to the LICENSE file. with Apsepp.Generic_Shared_Instance; with Apsepp.Debug_Trace_Class; use Apsepp.Debug_Trace_Class; package Apsepp.Debug_Trace is package Shared_Instance is new Generic_Shared_Instance (Debug_Trace_Interfa); subtype Debug_Trace_Access is Shared_Instance.Instance_Type_Access; function Debug_Trace return Debug_Trace_Access renames Shared_Instance.Instance; end Apsepp.Debug_Trace;
28.666667
70
0.802326
0e20b84376ea04cfc9817d5c507280e51325b7e6
10,104
ads
Ada
support/MinGW/lib/gcc/mingw32/9.2.0/adainclude/s-ststop.ads
orb-zhuchen/Orb
6da2404b949ac28bde786e08bf4debe4a27cd3a0
[ "CNRI-Python-GPL-Compatible", "MIT" ]
null
null
null
support/MinGW/lib/gcc/mingw32/9.2.0/adainclude/s-ststop.ads
orb-zhuchen/Orb
6da2404b949ac28bde786e08bf4debe4a27cd3a0
[ "CNRI-Python-GPL-Compatible", "MIT" ]
null
null
null
support/MinGW/lib/gcc/mingw32/9.2.0/adainclude/s-ststop.ads
orb-zhuchen/Orb
6da2404b949ac28bde786e08bf4debe4a27cd3a0
[ "CNRI-Python-GPL-Compatible", "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . S T R I N G S . S T R E A M _ O P S -- -- -- -- S p e c -- -- -- -- Copyright (C) 2009-2019, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package provides subprogram implementations of stream attributes for -- the following types using a "block IO" approach in which the entire data -- item is written in one operation, instead of writing individual characters. -- Ada.Stream_Element_Array -- Ada.String -- Ada.Wide_String -- Ada.Wide_Wide_String -- System.Storage_Array -- Note: this routine is in Ada.Strings because historically it handled only -- the string types. It is not worth moving it at this stage. -- The compiler will generate references to the subprograms in this package -- when expanding stream attributes for the above mentioned types. Example: -- String'Output (Some_Stream, Some_String); -- will be expanded into: -- String_Output (Some_Stream, Some_String); -- or -- String_Output_Blk_IO (Some_Stream, Some_String); -- String_Output form is used if pragma Restrictions (No_String_Optimziations) -- is active, which requires element by element operations. The BLK_IO form -- is used if this restriction is not set, allowing block optimization. -- Note that if System.Stream_Attributes.Block_IO_OK is False, then the BLK_IO -- form is treated as equivalent to the normal case, so that the optimization -- is inhibited anyway, regardless of the setting of the restriction. This -- handles versions of System.Stream_Attributes (in particular the XDR version -- found in s-stratt-xdr) which do not permit block io optimization. pragma Compiler_Unit_Warning; with Ada.Streams; with System.Storage_Elements; package System.Strings.Stream_Ops is ------------------------------------- -- Storage_Array stream operations -- ------------------------------------- function Storage_Array_Input (Strm : access Ada.Streams.Root_Stream_Type'Class) return System.Storage_Elements.Storage_Array; function Storage_Array_Input_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class) return System.Storage_Elements.Storage_Array; procedure Storage_Array_Output (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : System.Storage_Elements.Storage_Array); procedure Storage_Array_Output_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : System.Storage_Elements.Storage_Array); procedure Storage_Array_Read (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out System.Storage_Elements.Storage_Array); procedure Storage_Array_Read_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out System.Storage_Elements.Storage_Array); procedure Storage_Array_Write (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : System.Storage_Elements.Storage_Array); procedure Storage_Array_Write_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : System.Storage_Elements.Storage_Array); -------------------------------------------- -- Stream_Element_Array stream operations -- -------------------------------------------- function Stream_Element_Array_Input (Strm : access Ada.Streams.Root_Stream_Type'Class) return Ada.Streams.Stream_Element_Array; function Stream_Element_Array_Input_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class) return Ada.Streams.Stream_Element_Array; procedure Stream_Element_Array_Output (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Ada.Streams.Stream_Element_Array); procedure Stream_Element_Array_Output_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Ada.Streams.Stream_Element_Array); procedure Stream_Element_Array_Read (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out Ada.Streams.Stream_Element_Array); procedure Stream_Element_Array_Read_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out Ada.Streams.Stream_Element_Array); procedure Stream_Element_Array_Write (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Ada.Streams.Stream_Element_Array); procedure Stream_Element_Array_Write_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Ada.Streams.Stream_Element_Array); ------------------------------ -- String stream operations -- ------------------------------ function String_Input (Strm : access Ada.Streams.Root_Stream_Type'Class) return String; function String_Input_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class) return String; function String_Input_Tag (Strm : access Ada.Streams.Root_Stream_Type'Class) return String; -- Same as String_Input_Blk_IO, except raises an exception for overly long -- Strings. See expansion of Attribute_Input in Exp_Attr for details. procedure String_Output (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : String); procedure String_Output_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : String); procedure String_Read (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out String); procedure String_Read_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out String); procedure String_Write (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : String); procedure String_Write_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : String); ----------------------------------- -- Wide_String stream operations -- ----------------------------------- function Wide_String_Input (Strm : access Ada.Streams.Root_Stream_Type'Class) return Wide_String; function Wide_String_Input_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class) return Wide_String; procedure Wide_String_Output (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Wide_String); procedure Wide_String_Output_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Wide_String); procedure Wide_String_Read (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out Wide_String); procedure Wide_String_Read_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out Wide_String); procedure Wide_String_Write (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Wide_String); procedure Wide_String_Write_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Wide_String); ---------------------------------------- -- Wide_Wide_String stream operations -- ---------------------------------------- function Wide_Wide_String_Input (Strm : access Ada.Streams.Root_Stream_Type'Class) return Wide_Wide_String; function Wide_Wide_String_Input_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class) return Wide_Wide_String; procedure Wide_Wide_String_Output (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Wide_Wide_String); procedure Wide_Wide_String_Output_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Wide_Wide_String); procedure Wide_Wide_String_Read (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out Wide_Wide_String); procedure Wide_Wide_String_Read_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : out Wide_Wide_String); procedure Wide_Wide_String_Write (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Wide_Wide_String); procedure Wide_Wide_String_Write_Blk_IO (Strm : access Ada.Streams.Root_Stream_Type'Class; Item : Wide_Wide_String); end System.Strings.Stream_Ops;
38.712644
79
0.629058
18f5916f37570bbb0ffc2634455fecbd7d88a0e2
3,020
ads
Ada
bb-runtimes/examples/lm3s8962-demo/oled.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/examples/lm3s8962-demo/oled.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/examples/lm3s8962-demo/oled.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT EXAMPLE -- -- -- -- Copyright (C) 2013, AdaCore -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Interfaces; use Interfaces; package Oled is type Byte_Array is array (Natural range <>) of Unsigned_8; procedure Oled_Cmd (Bytes : Byte_Array); procedure Oled_Data (Bytes : Byte_Array); -- Low level commands procedure Oled_Init; -- Initialize the module. Must be called before any other commands. procedure Oled_Clear; -- Clear the screen subtype Col_Type is Natural range 0 .. 63; subtype Line_Type is Natural range 0 .. 95; type Image_Type is array (Unsigned_8 range <>, Unsigned_8 range <>) of Unsigned_8; -- An image is an array of dixel. A dixel is a pair of point. -- First dimension is vertical, second is horizontal. procedure Draw_Image (X : Col_Type; Y : Line_Type; Image : Image_Type); -- Draw an image on the screen. end Oled;
53.928571
78
0.512252
c5907767fdaa01ad635362b7ff5ac5abdcb02427
1,336
ads
Ada
awa/plugins/awa-images/regtests/awa-images-services-tests.ads
fuzzysloth/ada-awa
f9b921eeea29841667a028f2fc4528e4385d247a
[ "Apache-2.0" ]
null
null
null
awa/plugins/awa-images/regtests/awa-images-services-tests.ads
fuzzysloth/ada-awa
f9b921eeea29841667a028f2fc4528e4385d247a
[ "Apache-2.0" ]
null
null
null
awa/plugins/awa-images/regtests/awa-images-services-tests.ads
fuzzysloth/ada-awa
f9b921eeea29841667a028f2fc4528e4385d247a
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- awa-images-services-tests -- Unit tests for image service -- Copyright (C) 2012, 2013 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Tests; with AWA.Tests; with ADO; package AWA.Images.Services.Tests is procedure Add_Tests (Suite : in Util.Tests.Access_Test_Suite); type Test is new AWA.Tests.Test with record Id : ADO.Identifier; Manager : AWA.Images.Services.Image_Service_Access; end record; -- Test creation of a storage object procedure Test_Create_Image (T : in out Test); end AWA.Images.Services.Tests;
38.171429
77
0.632485
18994c2648ecce6af6772f2dd1124309aff9e677
3,977
ads
Ada
source/amf/uml/amf-umldi-uml_object_diagrams.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/uml/amf-umldi-uml_object_diagrams.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/uml/amf-umldi-uml_object_diagrams.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2011-2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ -- See Annex A. ------------------------------------------------------------------------------ with AMF.UMLDI.UML_Structure_Diagrams; package AMF.UMLDI.UML_Object_Diagrams is pragma Preelaborate; type UMLDI_UML_Object_Diagram is limited interface and AMF.UMLDI.UML_Structure_Diagrams.UMLDI_UML_Structure_Diagram; type UMLDI_UML_Object_Diagram_Access is access all UMLDI_UML_Object_Diagram'Class; for UMLDI_UML_Object_Diagram_Access'Storage_Size use 0; end AMF.UMLDI.UML_Object_Diagrams;
64.145161
78
0.423938
cb6d6a66abc28cb5e1a1543b48a46988ed1669dc
2,771
ads
Ada
src/traces/agate-traces_output.ads
Fabien-Chouteau/AGATE
cd8dbc54c1c70379c833e7cd710e2326ad6e9a91
[ "BSD-3-Clause" ]
3
2017-12-23T10:25:07.000Z
2021-06-09T13:47:19.000Z
src/traces/agate-traces_output.ads
Fabien-Chouteau/AGATE
cd8dbc54c1c70379c833e7cd710e2326ad6e9a91
[ "BSD-3-Clause" ]
null
null
null
src/traces/agate-traces_output.ads
Fabien-Chouteau/AGATE
cd8dbc54c1c70379c833e7cd710e2326ad6e9a91
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2018, Fabien Chouteau -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ private package AGATE.Traces_Output is procedure Initialize (Filename : String) with Post => Initialized; procedure Finalize with Post => Initialized; function Initialized return Boolean; function Write (Buffer_Address : System.Address; Buffer_Size : Natural) return Natural with Pre => Initialized; end AGATE.Traces_Output;
57.729167
78
0.527607
dc51b64ee6ac1fdfe7fa22b42bcaff8e6a6c71f3
4,311
adb
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/lib-sort.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/lib-sort.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/lib-sort.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- L I B . S O R T -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with GNAT.Heap_Sort_G; separate (Lib) procedure Sort (Tbl : in out Unit_Ref_Table) is T : array (0 .. Integer (Tbl'Last - Tbl'First + 1)) of Unit_Number_Type; -- Actual sort is done on this copy of the array with 0's origin -- subscripts. Location 0 is used as a temporary by the sorting algorithm. -- Also the addressing of the table is more efficient with 0's origin, -- even though we have to copy Tbl back and forth. function Lt_Uname (C1, C2 : Natural) return Boolean; -- Comparison routine for comparing Unames. Needed by the sorting routine procedure Move_Uname (From : Natural; To : Natural); -- Move routine needed by the sorting routine below package Sorting is new GNAT.Heap_Sort_G (Move_Uname, Lt_Uname); -------------- -- Lt_Uname -- -------------- function Lt_Uname (C1, C2 : Natural) return Boolean is begin -- Preprocessing data and definition files are not sorted, they are -- at the bottom of the list. They are recognized because they are -- the only ones without a Unit_Name. if Units.Table (T (C1)).Unit_Name = No_Unit_Name then return False; elsif Units.Table (T (C2)).Unit_Name = No_Unit_Name then return True; else return Uname_Lt (Units.Table (T (C1)).Unit_Name, Units.Table (T (C2)).Unit_Name); end if; end Lt_Uname; ---------------- -- Move_Uname -- ---------------- procedure Move_Uname (From : Natural; To : Natural) is begin T (To) := T (From); end Move_Uname; -- Start of processing for Sort begin if T'Last > 0 then for I in 1 .. T'Last loop T (I) := Tbl (Int (I) - 1 + Tbl'First); end loop; Sorting.Sort (T'Last); -- Sort is complete, copy result back into place for I in 1 .. T'Last loop Tbl (Int (I) - 1 + Tbl'First) := T (I); end loop; end if; end Sort;
43.11
78
0.472744
dcb5dd41cb714e5d1b117eae7c11f6e1cf8a0af8
36,692
adb
Ada
src/gnat/nlists.adb
jquorning/dynamo
10d68571476c270b8e45a9c5ef585fa9139b0d05
[ "Apache-2.0" ]
15
2015-01-18T23:04:19.000Z
2022-03-01T20:27:08.000Z
src/gnat/nlists.adb
jquorning/dynamo
10d68571476c270b8e45a9c5ef585fa9139b0d05
[ "Apache-2.0" ]
16
2018-06-10T07:09:30.000Z
2022-03-26T18:28:40.000Z
src/gnat/nlists.adb
jquorning/dynamo
10d68571476c270b8e45a9c5ef585fa9139b0d05
[ "Apache-2.0" ]
3
2015-11-11T18:00:14.000Z
2022-01-30T23:08:45.000Z
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- N L I S T S -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2014, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- WARNING: There is a C version of this package. Any changes to this source -- file must be properly reflected in the corresponding C header a-nlists.h with Alloc; with Atree; use Atree; with Debug; use Debug; with Output; use Output; with Sinfo; use Sinfo; with Table; package body Nlists is use Atree_Private_Part; -- Get access to Nodes table ---------------------------------- -- Implementation of Node Lists -- ---------------------------------- -- A node list is represented by a list header which contains -- three fields: type List_Header is record First : Node_Or_Entity_Id; -- Pointer to first node in list. Empty if list is empty Last : Node_Or_Entity_Id; -- Pointer to last node in list. Empty if list is empty Parent : Node_Id; -- Pointer to parent of list. Empty if list has no parent end record; -- The node lists are stored in a table indexed by List_Id values package Lists is new Table.Table ( Table_Component_Type => List_Header, Table_Index_Type => List_Id'Base, Table_Low_Bound => First_List_Id, Table_Initial => Alloc.Lists_Initial, Table_Increment => Alloc.Lists_Increment, Table_Name => "Lists"); -- The nodes in the list all have the In_List flag set, and their Link -- fields (which otherwise point to the parent) contain the List_Id of -- the list header giving immediate access to the list containing the -- node, and its parent and first and last elements. -- Two auxiliary tables, indexed by Node_Id values and built in parallel -- with the main nodes table and always having the same size contain the -- list link values that allow locating the previous and next node in a -- list. The entries in these tables are valid only if the In_List flag -- is set in the corresponding node. Next_Node is Empty at the end of a -- list and Prev_Node is Empty at the start of a list. package Next_Node is new Table.Table ( Table_Component_Type => Node_Or_Entity_Id, Table_Index_Type => Node_Or_Entity_Id'Base, Table_Low_Bound => First_Node_Id, Table_Initial => Alloc.Orig_Nodes_Initial, Table_Increment => Alloc.Orig_Nodes_Increment, Table_Name => "Next_Node"); package Prev_Node is new Table.Table ( Table_Component_Type => Node_Or_Entity_Id, Table_Index_Type => Node_Or_Entity_Id'Base, Table_Low_Bound => First_Node_Id, Table_Initial => Alloc.Orig_Nodes_Initial, Table_Increment => Alloc.Orig_Nodes_Increment, Table_Name => "Prev_Node"); ----------------------- -- Local Subprograms -- ----------------------- procedure Set_First (List : List_Id; To : Node_Or_Entity_Id); pragma Inline (Set_First); -- Sets First field of list header List to reference To procedure Set_Last (List : List_Id; To : Node_Or_Entity_Id); pragma Inline (Set_Last); -- Sets Last field of list header List to reference To procedure Set_List_Link (Node : Node_Or_Entity_Id; To : List_Id); pragma Inline (Set_List_Link); -- Sets list link of Node to list header To procedure Set_Next (Node : Node_Or_Entity_Id; To : Node_Or_Entity_Id); pragma Inline (Set_Next); -- Sets the Next_Node pointer for Node to reference To procedure Set_Prev (Node : Node_Or_Entity_Id; To : Node_Or_Entity_Id); pragma Inline (Set_Prev); -- Sets the Prev_Node pointer for Node to reference To -------------------------- -- Allocate_List_Tables -- -------------------------- procedure Allocate_List_Tables (N : Node_Or_Entity_Id) is Old_Last : constant Node_Or_Entity_Id'Base := Next_Node.Last; begin pragma Assert (N >= Old_Last); Next_Node.Set_Last (N); Prev_Node.Set_Last (N); -- Make sure we have no uninitialized junk in any new entires added. -- This ensures that Tree_Gen will not write out any uninitialized junk. for J in Old_Last + 1 .. N loop Next_Node.Table (J) := Empty; Prev_Node.Table (J) := Empty; end loop; end Allocate_List_Tables; ------------ -- Append -- ------------ procedure Append (Node : Node_Or_Entity_Id; To : List_Id) is L : constant Node_Or_Entity_Id := Last (To); procedure Append_Debug; pragma Inline (Append_Debug); -- Output debug information if Debug_Flag_N set ------------------ -- Append_Debug -- ------------------ procedure Append_Debug is begin if Debug_Flag_N then Write_Str ("Append node "); Write_Int (Int (Node)); Write_Str (" to list "); Write_Int (Int (To)); Write_Eol; end if; end Append_Debug; -- Start of processing for Append begin pragma Assert (not Is_List_Member (Node)); if Node = Error then return; end if; pragma Debug (Append_Debug); if No (L) then Set_First (To, Node); else Set_Next (L, Node); end if; Set_Last (To, Node); Nodes.Table (Node).In_List := True; Set_Next (Node, Empty); Set_Prev (Node, L); Set_List_Link (Node, To); end Append; ----------------- -- Append_List -- ----------------- procedure Append_List (List : List_Id; To : List_Id) is procedure Append_List_Debug; pragma Inline (Append_List_Debug); -- Output debug information if Debug_Flag_N set ----------------------- -- Append_List_Debug -- ----------------------- procedure Append_List_Debug is begin if Debug_Flag_N then Write_Str ("Append list "); Write_Int (Int (List)); Write_Str (" to list "); Write_Int (Int (To)); Write_Eol; end if; end Append_List_Debug; -- Start of processing for Append_List begin if Is_Empty_List (List) then return; else declare L : constant Node_Or_Entity_Id := Last (To); F : constant Node_Or_Entity_Id := First (List); N : Node_Or_Entity_Id; begin pragma Debug (Append_List_Debug); N := F; loop Set_List_Link (N, To); N := Next (N); exit when No (N); end loop; if No (L) then Set_First (To, F); else Set_Next (L, F); end if; Set_Prev (F, L); Set_Last (To, Last (List)); Set_First (List, Empty); Set_Last (List, Empty); end; end if; end Append_List; -------------------- -- Append_List_To -- -------------------- procedure Append_List_To (To : List_Id; List : List_Id) is begin Append_List (List, To); end Append_List_To; --------------- -- Append_To -- --------------- procedure Append_To (To : List_Id; Node : Node_Or_Entity_Id) is begin Append (Node, To); end Append_To; ----------- -- First -- ----------- function First (List : List_Id) return Node_Or_Entity_Id is begin if List = No_List then return Empty; else pragma Assert (List <= Lists.Last); return Lists.Table (List).First; end if; end First; ---------------------- -- First_Non_Pragma -- ---------------------- function First_Non_Pragma (List : List_Id) return Node_Or_Entity_Id is N : constant Node_Or_Entity_Id := First (List); begin if Nkind (N) /= N_Pragma and then Nkind (N) /= N_Null_Statement then return N; else return Next_Non_Pragma (N); end if; end First_Non_Pragma; ---------------- -- Initialize -- ---------------- procedure Initialize is E : constant List_Id := Error_List; begin Lists.Init; Next_Node.Init; Prev_Node.Init; -- Allocate Error_List list header Lists.Increment_Last; Set_Parent (E, Empty); Set_First (E, Empty); Set_Last (E, Empty); end Initialize; ------------------ -- In_Same_List -- ------------------ function In_Same_List (N1, N2 : Node_Or_Entity_Id) return Boolean is begin return List_Containing (N1) = List_Containing (N2); end In_Same_List; ------------------ -- Insert_After -- ------------------ procedure Insert_After (After : Node_Or_Entity_Id; Node : Node_Or_Entity_Id) is procedure Insert_After_Debug; pragma Inline (Insert_After_Debug); -- Output debug information if Debug_Flag_N set ------------------------ -- Insert_After_Debug -- ------------------------ procedure Insert_After_Debug is begin if Debug_Flag_N then Write_Str ("Insert node"); Write_Int (Int (Node)); Write_Str (" after node "); Write_Int (Int (After)); Write_Eol; end if; end Insert_After_Debug; -- Start of processing for Insert_After begin pragma Assert (Is_List_Member (After) and then not Is_List_Member (Node)); if Node = Error then return; end if; pragma Debug (Insert_After_Debug); declare Before : constant Node_Or_Entity_Id := Next (After); LC : constant List_Id := List_Containing (After); begin if Present (Before) then Set_Prev (Before, Node); else Set_Last (LC, Node); end if; Set_Next (After, Node); Nodes.Table (Node).In_List := True; Set_Prev (Node, After); Set_Next (Node, Before); Set_List_Link (Node, LC); end; end Insert_After; ------------------- -- Insert_Before -- ------------------- procedure Insert_Before (Before : Node_Or_Entity_Id; Node : Node_Or_Entity_Id) is procedure Insert_Before_Debug; pragma Inline (Insert_Before_Debug); -- Output debug information if Debug_Flag_N set ------------------------- -- Insert_Before_Debug -- ------------------------- procedure Insert_Before_Debug is begin if Debug_Flag_N then Write_Str ("Insert node"); Write_Int (Int (Node)); Write_Str (" before node "); Write_Int (Int (Before)); Write_Eol; end if; end Insert_Before_Debug; -- Start of processing for Insert_Before begin pragma Assert (Is_List_Member (Before) and then not Is_List_Member (Node)); if Node = Error then return; end if; pragma Debug (Insert_Before_Debug); declare After : constant Node_Or_Entity_Id := Prev (Before); LC : constant List_Id := List_Containing (Before); begin if Present (After) then Set_Next (After, Node); else Set_First (LC, Node); end if; Set_Prev (Before, Node); Nodes.Table (Node).In_List := True; Set_Prev (Node, After); Set_Next (Node, Before); Set_List_Link (Node, LC); end; end Insert_Before; ----------------------- -- Insert_List_After -- ----------------------- procedure Insert_List_After (After : Node_Or_Entity_Id; List : List_Id) is procedure Insert_List_After_Debug; pragma Inline (Insert_List_After_Debug); -- Output debug information if Debug_Flag_N set ----------------------------- -- Insert_List_After_Debug -- ----------------------------- procedure Insert_List_After_Debug is begin if Debug_Flag_N then Write_Str ("Insert list "); Write_Int (Int (List)); Write_Str (" after node "); Write_Int (Int (After)); Write_Eol; end if; end Insert_List_After_Debug; -- Start of processing for Insert_List_After begin pragma Assert (Is_List_Member (After)); if Is_Empty_List (List) then return; else declare Before : constant Node_Or_Entity_Id := Next (After); LC : constant List_Id := List_Containing (After); F : constant Node_Or_Entity_Id := First (List); L : constant Node_Or_Entity_Id := Last (List); N : Node_Or_Entity_Id; begin pragma Debug (Insert_List_After_Debug); N := F; loop Set_List_Link (N, LC); exit when N = L; N := Next (N); end loop; if Present (Before) then Set_Prev (Before, L); else Set_Last (LC, L); end if; Set_Next (After, F); Set_Prev (F, After); Set_Next (L, Before); Set_First (List, Empty); Set_Last (List, Empty); end; end if; end Insert_List_After; ------------------------ -- Insert_List_Before -- ------------------------ procedure Insert_List_Before (Before : Node_Or_Entity_Id; List : List_Id) is procedure Insert_List_Before_Debug; pragma Inline (Insert_List_Before_Debug); -- Output debug information if Debug_Flag_N set ------------------------------ -- Insert_List_Before_Debug -- ------------------------------ procedure Insert_List_Before_Debug is begin if Debug_Flag_N then Write_Str ("Insert list "); Write_Int (Int (List)); Write_Str (" before node "); Write_Int (Int (Before)); Write_Eol; end if; end Insert_List_Before_Debug; -- Start of processing for Insert_List_Before begin pragma Assert (Is_List_Member (Before)); if Is_Empty_List (List) then return; else declare After : constant Node_Or_Entity_Id := Prev (Before); LC : constant List_Id := List_Containing (Before); F : constant Node_Or_Entity_Id := First (List); L : constant Node_Or_Entity_Id := Last (List); N : Node_Or_Entity_Id; begin pragma Debug (Insert_List_Before_Debug); N := F; loop Set_List_Link (N, LC); exit when N = L; N := Next (N); end loop; if Present (After) then Set_Next (After, F); else Set_First (LC, F); end if; Set_Prev (Before, L); Set_Prev (F, After); Set_Next (L, Before); Set_First (List, Empty); Set_Last (List, Empty); end; end if; end Insert_List_Before; ------------------- -- Is_Empty_List -- ------------------- function Is_Empty_List (List : List_Id) return Boolean is begin return First (List) = Empty; end Is_Empty_List; -------------------- -- Is_List_Member -- -------------------- function Is_List_Member (Node : Node_Or_Entity_Id) return Boolean is begin return Nodes.Table (Node).In_List; end Is_List_Member; ----------------------- -- Is_Non_Empty_List -- ----------------------- function Is_Non_Empty_List (List : List_Id) return Boolean is begin return First (List) /= Empty; end Is_Non_Empty_List; ---------- -- Last -- ---------- function Last (List : List_Id) return Node_Or_Entity_Id is begin pragma Assert (List <= Lists.Last); return Lists.Table (List).Last; end Last; ------------------ -- Last_List_Id -- ------------------ function Last_List_Id return List_Id is begin return Lists.Last; end Last_List_Id; --------------------- -- Last_Non_Pragma -- --------------------- function Last_Non_Pragma (List : List_Id) return Node_Or_Entity_Id is N : constant Node_Or_Entity_Id := Last (List); begin if Nkind (N) /= N_Pragma then return N; else return Prev_Non_Pragma (N); end if; end Last_Non_Pragma; --------------------- -- List_Containing -- --------------------- function List_Containing (Node : Node_Or_Entity_Id) return List_Id is begin pragma Assert (Is_List_Member (Node)); return List_Id (Nodes.Table (Node).Link); end List_Containing; ----------------- -- List_Length -- ----------------- function List_Length (List : List_Id) return Nat is Result : Nat; Node : Node_Or_Entity_Id; begin Result := 0; Node := First (List); while Present (Node) loop Result := Result + 1; Node := Next (Node); end loop; return Result; end List_Length; ------------------- -- Lists_Address -- ------------------- function Lists_Address return System.Address is begin return Lists.Table (First_List_Id)'Address; end Lists_Address; ---------- -- Lock -- ---------- procedure Lock is begin Lists.Locked := True; Lists.Release; Prev_Node.Locked := True; Next_Node.Locked := True; Prev_Node.Release; Next_Node.Release; end Lock; ------------------- -- New_Copy_List -- ------------------- function New_Copy_List (List : List_Id) return List_Id is NL : List_Id; E : Node_Or_Entity_Id; begin if List = No_List then return No_List; else NL := New_List; E := First (List); while Present (E) loop Append (New_Copy (E), NL); E := Next (E); end loop; return NL; end if; end New_Copy_List; ---------------------------- -- New_Copy_List_Original -- ---------------------------- function New_Copy_List_Original (List : List_Id) return List_Id is NL : List_Id; E : Node_Or_Entity_Id; begin if List = No_List then return No_List; else NL := New_List; E := First (List); while Present (E) loop if Comes_From_Source (E) then Append (New_Copy (E), NL); end if; E := Next (E); end loop; return NL; end if; end New_Copy_List_Original; -------------- -- New_List -- -------------- function New_List return List_Id is procedure New_List_Debug; pragma Inline (New_List_Debug); -- Output debugging information if Debug_Flag_N is set -------------------- -- New_List_Debug -- -------------------- procedure New_List_Debug is begin if Debug_Flag_N then Write_Str ("Allocate new list, returned ID = "); Write_Int (Int (Lists.Last)); Write_Eol; end if; end New_List_Debug; -- Start of processing for New_List begin Lists.Increment_Last; declare List : constant List_Id := Lists.Last; begin Set_Parent (List, Empty); Set_First (List, Empty); Set_Last (List, Empty); pragma Debug (New_List_Debug); return (List); end; end New_List; -- Since the one argument case is common, we optimize to build the right -- list directly, rather than first building an empty list and then doing -- the insertion, which results in some unnecessary work. function New_List (Node : Node_Or_Entity_Id) return List_Id is procedure New_List_Debug; pragma Inline (New_List_Debug); -- Output debugging information if Debug_Flag_N is set -------------------- -- New_List_Debug -- -------------------- procedure New_List_Debug is begin if Debug_Flag_N then Write_Str ("Allocate new list, returned ID = "); Write_Int (Int (Lists.Last)); Write_Eol; end if; end New_List_Debug; -- Start of processing for New_List begin if Node = Error then return New_List; else pragma Assert (not Is_List_Member (Node)); Lists.Increment_Last; declare List : constant List_Id := Lists.Last; begin Set_Parent (List, Empty); Set_First (List, Node); Set_Last (List, Node); Nodes.Table (Node).In_List := True; Set_List_Link (Node, List); Set_Prev (Node, Empty); Set_Next (Node, Empty); pragma Debug (New_List_Debug); return List; end; end if; end New_List; function New_List (Node1 : Node_Or_Entity_Id; Node2 : Node_Or_Entity_Id) return List_Id is L : constant List_Id := New_List (Node1); begin Append (Node2, L); return L; end New_List; function New_List (Node1 : Node_Or_Entity_Id; Node2 : Node_Or_Entity_Id; Node3 : Node_Or_Entity_Id) return List_Id is L : constant List_Id := New_List (Node1); begin Append (Node2, L); Append (Node3, L); return L; end New_List; function New_List (Node1 : Node_Or_Entity_Id; Node2 : Node_Or_Entity_Id; Node3 : Node_Or_Entity_Id; Node4 : Node_Or_Entity_Id) return List_Id is L : constant List_Id := New_List (Node1); begin Append (Node2, L); Append (Node3, L); Append (Node4, L); return L; end New_List; function New_List (Node1 : Node_Or_Entity_Id; Node2 : Node_Or_Entity_Id; Node3 : Node_Or_Entity_Id; Node4 : Node_Or_Entity_Id; Node5 : Node_Or_Entity_Id) return List_Id is L : constant List_Id := New_List (Node1); begin Append (Node2, L); Append (Node3, L); Append (Node4, L); Append (Node5, L); return L; end New_List; function New_List (Node1 : Node_Or_Entity_Id; Node2 : Node_Or_Entity_Id; Node3 : Node_Or_Entity_Id; Node4 : Node_Or_Entity_Id; Node5 : Node_Or_Entity_Id; Node6 : Node_Or_Entity_Id) return List_Id is L : constant List_Id := New_List (Node1); begin Append (Node2, L); Append (Node3, L); Append (Node4, L); Append (Node5, L); Append (Node6, L); return L; end New_List; ---------- -- Next -- ---------- function Next (Node : Node_Or_Entity_Id) return Node_Or_Entity_Id is begin pragma Assert (Is_List_Member (Node)); return Next_Node.Table (Node); end Next; procedure Next (Node : in out Node_Or_Entity_Id) is begin Node := Next (Node); end Next; ----------------------- -- Next_Node_Address -- ----------------------- function Next_Node_Address return System.Address is begin return Next_Node.Table (First_Node_Id)'Address; end Next_Node_Address; --------------------- -- Next_Non_Pragma -- --------------------- function Next_Non_Pragma (Node : Node_Or_Entity_Id) return Node_Or_Entity_Id is N : Node_Or_Entity_Id; begin N := Node; loop N := Next (N); exit when not Nkind_In (N, N_Pragma, N_Null_Statement); end loop; return N; end Next_Non_Pragma; procedure Next_Non_Pragma (Node : in out Node_Or_Entity_Id) is begin Node := Next_Non_Pragma (Node); end Next_Non_Pragma; -------- -- No -- -------- function No (List : List_Id) return Boolean is begin return List = No_List; end No; --------------- -- Num_Lists -- --------------- function Num_Lists return Nat is begin return Int (Lists.Last) - Int (Lists.First) + 1; end Num_Lists; ------------ -- Parent -- ------------ function Parent (List : List_Id) return Node_Or_Entity_Id is begin pragma Assert (List <= Lists.Last); return Lists.Table (List).Parent; end Parent; ---------- -- Pick -- ---------- function Pick (List : List_Id; Index : Pos) return Node_Or_Entity_Id is Elmt : Node_Or_Entity_Id; begin Elmt := First (List); for J in 1 .. Index - 1 loop Elmt := Next (Elmt); end loop; return Elmt; end Pick; ------------- -- Prepend -- ------------- procedure Prepend (Node : Node_Or_Entity_Id; To : List_Id) is F : constant Node_Or_Entity_Id := First (To); procedure Prepend_Debug; pragma Inline (Prepend_Debug); -- Output debug information if Debug_Flag_N set ------------------- -- Prepend_Debug -- ------------------- procedure Prepend_Debug is begin if Debug_Flag_N then Write_Str ("Prepend node "); Write_Int (Int (Node)); Write_Str (" to list "); Write_Int (Int (To)); Write_Eol; end if; end Prepend_Debug; -- Start of processing for Prepend_Debug begin pragma Assert (not Is_List_Member (Node)); if Node = Error then return; end if; pragma Debug (Prepend_Debug); if No (F) then Set_Last (To, Node); else Set_Prev (F, Node); end if; Set_First (To, Node); Nodes.Table (Node).In_List := True; Set_Next (Node, F); Set_Prev (Node, Empty); Set_List_Link (Node, To); end Prepend; ------------------ -- Prepend_List -- ------------------ procedure Prepend_List (List : List_Id; To : List_Id) is procedure Prepend_List_Debug; pragma Inline (Prepend_List_Debug); -- Output debug information if Debug_Flag_N set ------------------------ -- Prepend_List_Debug -- ------------------------ procedure Prepend_List_Debug is begin if Debug_Flag_N then Write_Str ("Prepend list "); Write_Int (Int (List)); Write_Str (" to list "); Write_Int (Int (To)); Write_Eol; end if; end Prepend_List_Debug; -- Start of processing for Prepend_List begin if Is_Empty_List (List) then return; else declare F : constant Node_Or_Entity_Id := First (To); L : constant Node_Or_Entity_Id := Last (List); N : Node_Or_Entity_Id; begin pragma Debug (Prepend_List_Debug); N := L; loop Set_List_Link (N, To); N := Prev (N); exit when No (N); end loop; if No (F) then Set_Last (To, L); else Set_Next (L, F); end if; Set_Prev (F, L); Set_First (To, First (List)); Set_First (List, Empty); Set_Last (List, Empty); end; end if; end Prepend_List; --------------------- -- Prepend_List_To -- --------------------- procedure Prepend_List_To (To : List_Id; List : List_Id) is begin Prepend_List (List, To); end Prepend_List_To; ---------------- -- Prepend_To -- ---------------- procedure Prepend_To (To : List_Id; Node : Node_Or_Entity_Id) is begin Prepend (Node, To); end Prepend_To; ------------- -- Present -- ------------- function Present (List : List_Id) return Boolean is begin return List /= No_List; end Present; ---------- -- Prev -- ---------- function Prev (Node : Node_Or_Entity_Id) return Node_Or_Entity_Id is begin pragma Assert (Is_List_Member (Node)); return Prev_Node.Table (Node); end Prev; procedure Prev (Node : in out Node_Or_Entity_Id) is begin Node := Prev (Node); end Prev; ----------------------- -- Prev_Node_Address -- ----------------------- function Prev_Node_Address return System.Address is begin return Prev_Node.Table (First_Node_Id)'Address; end Prev_Node_Address; --------------------- -- Prev_Non_Pragma -- --------------------- function Prev_Non_Pragma (Node : Node_Or_Entity_Id) return Node_Or_Entity_Id is N : Node_Or_Entity_Id; begin N := Node; loop N := Prev (N); exit when Nkind (N) /= N_Pragma; end loop; return N; end Prev_Non_Pragma; procedure Prev_Non_Pragma (Node : in out Node_Or_Entity_Id) is begin Node := Prev_Non_Pragma (Node); end Prev_Non_Pragma; ------------ -- Remove -- ------------ procedure Remove (Node : Node_Or_Entity_Id) is Lst : constant List_Id := List_Containing (Node); Prv : constant Node_Or_Entity_Id := Prev (Node); Nxt : constant Node_Or_Entity_Id := Next (Node); procedure Remove_Debug; pragma Inline (Remove_Debug); -- Output debug information if Debug_Flag_N set ------------------ -- Remove_Debug -- ------------------ procedure Remove_Debug is begin if Debug_Flag_N then Write_Str ("Remove node "); Write_Int (Int (Node)); Write_Eol; end if; end Remove_Debug; -- Start of processing for Remove begin pragma Debug (Remove_Debug); if No (Prv) then Set_First (Lst, Nxt); else Set_Next (Prv, Nxt); end if; if No (Nxt) then Set_Last (Lst, Prv); else Set_Prev (Nxt, Prv); end if; Nodes.Table (Node).In_List := False; Set_Parent (Node, Empty); end Remove; ----------------- -- Remove_Head -- ----------------- function Remove_Head (List : List_Id) return Node_Or_Entity_Id is Frst : constant Node_Or_Entity_Id := First (List); procedure Remove_Head_Debug; pragma Inline (Remove_Head_Debug); -- Output debug information if Debug_Flag_N set ----------------------- -- Remove_Head_Debug -- ----------------------- procedure Remove_Head_Debug is begin if Debug_Flag_N then Write_Str ("Remove head of list "); Write_Int (Int (List)); Write_Eol; end if; end Remove_Head_Debug; -- Start of processing for Remove_Head begin pragma Debug (Remove_Head_Debug); if Frst = Empty then return Empty; else declare Nxt : constant Node_Or_Entity_Id := Next (Frst); begin Set_First (List, Nxt); if No (Nxt) then Set_Last (List, Empty); else Set_Prev (Nxt, Empty); end if; Nodes.Table (Frst).In_List := False; Set_Parent (Frst, Empty); return Frst; end; end if; end Remove_Head; ----------------- -- Remove_Next -- ----------------- function Remove_Next (Node : Node_Or_Entity_Id) return Node_Or_Entity_Id is Nxt : constant Node_Or_Entity_Id := Next (Node); procedure Remove_Next_Debug; pragma Inline (Remove_Next_Debug); -- Output debug information if Debug_Flag_N set ----------------------- -- Remove_Next_Debug -- ----------------------- procedure Remove_Next_Debug is begin if Debug_Flag_N then Write_Str ("Remove next node after "); Write_Int (Int (Node)); Write_Eol; end if; end Remove_Next_Debug; -- Start of processing for Remove_Next begin if Present (Nxt) then declare Nxt2 : constant Node_Or_Entity_Id := Next (Nxt); LC : constant List_Id := List_Containing (Node); begin pragma Debug (Remove_Next_Debug); Set_Next (Node, Nxt2); if No (Nxt2) then Set_Last (LC, Node); else Set_Prev (Nxt2, Node); end if; Nodes.Table (Nxt).In_List := False; Set_Parent (Nxt, Empty); end; end if; return Nxt; end Remove_Next; --------------- -- Set_First -- --------------- procedure Set_First (List : List_Id; To : Node_Or_Entity_Id) is begin Lists.Table (List).First := To; end Set_First; -------------- -- Set_Last -- -------------- procedure Set_Last (List : List_Id; To : Node_Or_Entity_Id) is begin Lists.Table (List).Last := To; end Set_Last; ------------------- -- Set_List_Link -- ------------------- procedure Set_List_Link (Node : Node_Or_Entity_Id; To : List_Id) is begin Nodes.Table (Node).Link := Union_Id (To); end Set_List_Link; -------------- -- Set_Next -- -------------- procedure Set_Next (Node : Node_Or_Entity_Id; To : Node_Or_Entity_Id) is begin Next_Node.Table (Node) := To; end Set_Next; ---------------- -- Set_Parent -- ---------------- procedure Set_Parent (List : List_Id; Node : Node_Or_Entity_Id) is begin pragma Assert (List <= Lists.Last); Lists.Table (List).Parent := Node; end Set_Parent; -------------- -- Set_Prev -- -------------- procedure Set_Prev (Node : Node_Or_Entity_Id; To : Node_Or_Entity_Id) is begin Prev_Node.Table (Node) := To; end Set_Prev; --------------- -- Tree_Read -- --------------- procedure Tree_Read is begin Lists.Tree_Read; Next_Node.Tree_Read; Prev_Node.Tree_Read; end Tree_Read; ---------------- -- Tree_Write -- ---------------- procedure Tree_Write is begin Lists.Tree_Write; Next_Node.Tree_Write; Prev_Node.Tree_Write; end Tree_Write; ------------ -- Unlock -- ------------ procedure Unlock is begin Lists.Locked := False; Prev_Node.Locked := False; Next_Node.Locked := False; end Unlock; end Nlists;
25.392388
79
0.523357
18cd30febfe169229e3cf471341a3dbabf09f859
829
adb
Ada
gnu/src/gdb/gdb/testsuite/gdb.ada/null_record/bar.adb
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
gnu/src/gdb/gdb/testsuite/gdb.ada/null_record/bar.adb
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
gnu/src/gdb/gdb/testsuite/gdb.ada/null_record/bar.adb
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
-- Copyright 2004-2015 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. package body Bar is procedure Do_Nothing (E : Void_Star) is begin null; end Do_Nothing; end Bar;
34.541667
73
0.727382
18491d4d35eb59d0daa8481af41e1beb68739114
9,857
ads
Ada
arch/ARM/STM32/svd/stm32l4x3/stm32_svd-lptim.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
2
2018-05-16T03:56:39.000Z
2019-07-31T13:53:56.000Z
arch/ARM/STM32/svd/stm32l4x3/stm32_svd-lptim.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
null
null
null
arch/ARM/STM32/svd/stm32l4x3/stm32_svd-lptim.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
null
null
null
-- This spec has been automatically generated from STM32L4x3.svd pragma Restrictions (No_Elaboration_Code); pragma Ada_2012; pragma Style_Checks (Off); with HAL; with System; package STM32_SVD.LPTIM is pragma Preelaborate; --------------- -- Registers -- --------------- -- Interrupt and Status Register type ISR_Register is record -- Read-only. Compare match CMPM : Boolean; -- Read-only. Autoreload match ARRM : Boolean; -- Read-only. External trigger edge event EXTTRIG : Boolean; -- Read-only. Compare register update OK CMPOK : Boolean; -- Read-only. Autoreload register update OK ARROK : Boolean; -- Read-only. Counter direction change down to up UP : Boolean; -- Read-only. Counter direction change up to down DOWN : Boolean; -- unspecified Reserved_7_31 : HAL.UInt25; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for ISR_Register use record CMPM at 0 range 0 .. 0; ARRM at 0 range 1 .. 1; EXTTRIG at 0 range 2 .. 2; CMPOK at 0 range 3 .. 3; ARROK at 0 range 4 .. 4; UP at 0 range 5 .. 5; DOWN at 0 range 6 .. 6; Reserved_7_31 at 0 range 7 .. 31; end record; -- Interrupt Clear Register type ICR_Register is record -- Write-only. compare match Clear Flag CMPMCF : Boolean := False; -- Write-only. Autoreload match Clear Flag ARRMCF : Boolean := False; -- Write-only. External trigger valid edge Clear Flag EXTTRIGCF : Boolean := False; -- Write-only. Compare register update OK Clear Flag CMPOKCF : Boolean := False; -- Write-only. Autoreload register update OK Clear Flag ARROKCF : Boolean := False; -- Write-only. Direction change to UP Clear Flag UPCF : Boolean := False; -- Write-only. Direction change to down Clear Flag DOWNCF : Boolean := False; -- unspecified Reserved_7_31 : HAL.UInt25 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for ICR_Register use record CMPMCF at 0 range 0 .. 0; ARRMCF at 0 range 1 .. 1; EXTTRIGCF at 0 range 2 .. 2; CMPOKCF at 0 range 3 .. 3; ARROKCF at 0 range 4 .. 4; UPCF at 0 range 5 .. 5; DOWNCF at 0 range 6 .. 6; Reserved_7_31 at 0 range 7 .. 31; end record; -- Interrupt Enable Register type IER_Register is record -- Compare match Interrupt Enable CMPMIE : Boolean := False; -- Autoreload match Interrupt Enable ARRMIE : Boolean := False; -- External trigger valid edge Interrupt Enable EXTTRIGIE : Boolean := False; -- Compare register update OK Interrupt Enable CMPOKIE : Boolean := False; -- Autoreload register update OK Interrupt Enable ARROKIE : Boolean := False; -- Direction change to UP Interrupt Enable UPIE : Boolean := False; -- Direction change to down Interrupt Enable DOWNIE : Boolean := False; -- unspecified Reserved_7_31 : HAL.UInt25 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for IER_Register use record CMPMIE at 0 range 0 .. 0; ARRMIE at 0 range 1 .. 1; EXTTRIGIE at 0 range 2 .. 2; CMPOKIE at 0 range 3 .. 3; ARROKIE at 0 range 4 .. 4; UPIE at 0 range 5 .. 5; DOWNIE at 0 range 6 .. 6; Reserved_7_31 at 0 range 7 .. 31; end record; subtype CFGR_CKPOL_Field is HAL.UInt2; subtype CFGR_CKFLT_Field is HAL.UInt2; subtype CFGR_TRGFLT_Field is HAL.UInt2; subtype CFGR_PRESC_Field is HAL.UInt3; subtype CFGR_TRIGSEL_Field is HAL.UInt3; subtype CFGR_TRIGEN_Field is HAL.UInt2; -- Configuration Register type CFGR_Register is record -- Clock selector CKSEL : Boolean := False; -- Clock Polarity CKPOL : CFGR_CKPOL_Field := 16#0#; -- Configurable digital filter for external clock CKFLT : CFGR_CKFLT_Field := 16#0#; -- unspecified Reserved_5_5 : HAL.Bit := 16#0#; -- Configurable digital filter for trigger TRGFLT : CFGR_TRGFLT_Field := 16#0#; -- unspecified Reserved_8_8 : HAL.Bit := 16#0#; -- Clock prescaler PRESC : CFGR_PRESC_Field := 16#0#; -- unspecified Reserved_12_12 : HAL.Bit := 16#0#; -- Trigger selector TRIGSEL : CFGR_TRIGSEL_Field := 16#0#; -- unspecified Reserved_16_16 : HAL.Bit := 16#0#; -- Trigger enable and polarity TRIGEN : CFGR_TRIGEN_Field := 16#0#; -- Timeout enable TIMOUT : Boolean := False; -- Waveform shape WAVE : Boolean := False; -- Waveform shape polarity WAVPOL : Boolean := False; -- Registers update mode PRELOAD : Boolean := False; -- counter mode enabled COUNTMODE : Boolean := False; -- Encoder mode enable ENC : Boolean := False; -- unspecified Reserved_25_31 : HAL.UInt7 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for CFGR_Register use record CKSEL at 0 range 0 .. 0; CKPOL at 0 range 1 .. 2; CKFLT at 0 range 3 .. 4; Reserved_5_5 at 0 range 5 .. 5; TRGFLT at 0 range 6 .. 7; Reserved_8_8 at 0 range 8 .. 8; PRESC at 0 range 9 .. 11; Reserved_12_12 at 0 range 12 .. 12; TRIGSEL at 0 range 13 .. 15; Reserved_16_16 at 0 range 16 .. 16; TRIGEN at 0 range 17 .. 18; TIMOUT at 0 range 19 .. 19; WAVE at 0 range 20 .. 20; WAVPOL at 0 range 21 .. 21; PRELOAD at 0 range 22 .. 22; COUNTMODE at 0 range 23 .. 23; ENC at 0 range 24 .. 24; Reserved_25_31 at 0 range 25 .. 31; end record; -- Control Register type CR_Register is record -- LPTIM Enable ENABLE : Boolean := False; -- LPTIM start in single mode SNGSTRT : Boolean := False; -- Timer start in continuous mode CNTSTRT : Boolean := False; -- unspecified Reserved_3_31 : HAL.UInt29 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for CR_Register use record ENABLE at 0 range 0 .. 0; SNGSTRT at 0 range 1 .. 1; CNTSTRT at 0 range 2 .. 2; Reserved_3_31 at 0 range 3 .. 31; end record; subtype CMP_CMP_Field is HAL.UInt16; -- Compare Register type CMP_Register is record -- Compare value CMP : CMP_CMP_Field := 16#0#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for CMP_Register use record CMP at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype ARR_ARR_Field is HAL.UInt16; -- Autoreload Register type ARR_Register is record -- Auto reload value ARR : ARR_ARR_Field := 16#1#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for ARR_Register use record ARR at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype CNT_CNT_Field is HAL.UInt16; -- Counter Register type CNT_Register is record -- Read-only. Counter value CNT : CNT_CNT_Field; -- unspecified Reserved_16_31 : HAL.UInt16; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for CNT_Register use record CNT at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- Low power timer type LPTIM_Peripheral is record -- Interrupt and Status Register ISR : aliased ISR_Register; -- Interrupt Clear Register ICR : aliased ICR_Register; -- Interrupt Enable Register IER : aliased IER_Register; -- Configuration Register CFGR : aliased CFGR_Register; -- Control Register CR : aliased CR_Register; -- Compare Register CMP : aliased CMP_Register; -- Autoreload Register ARR : aliased ARR_Register; -- Counter Register CNT : aliased CNT_Register; end record with Volatile; for LPTIM_Peripheral use record ISR at 16#0# range 0 .. 31; ICR at 16#4# range 0 .. 31; IER at 16#8# range 0 .. 31; CFGR at 16#C# range 0 .. 31; CR at 16#10# range 0 .. 31; CMP at 16#14# range 0 .. 31; ARR at 16#18# range 0 .. 31; CNT at 16#1C# range 0 .. 31; end record; -- Low power timer LPTIM1_Periph : aliased LPTIM_Peripheral with Import, Address => LPTIM1_Base; -- Low power timer LPTIM2_Periph : aliased LPTIM_Peripheral with Import, Address => LPTIM2_Base; end STM32_SVD.LPTIM;
32.531353
65
0.570153
185a8da4d248b83758b7996829fe13a0158c6891
9,697
ads
Ada
llvm-gcc-4.2-2.9/gcc/ada/g-soccon-linux-ppc.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
llvm-gcc-4.2-2.9/gcc/ada/g-soccon-linux-ppc.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
llvm-gcc-4.2-2.9/gcc/ada/g-soccon-linux-ppc.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- G N A T . S O C K E T S . C O N S T A N T S -- -- -- -- S p e c -- -- -- -- Copyright (C) 2000-2005, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package provides target dependent definitions of constant for use -- by the GNAT.Sockets package (g-socket.ads). This package should not be -- directly with'ed by an applications program. -- This is the version for powerpc-linux -- This file is generated automatically, do not modify it by hand! Instead, -- make changes to gen-soccon.c and re-run it on each target. package GNAT.Sockets.Constants is -------------- -- Families -- -------------- AF_INET : constant := 2; -- IPv4 address family AF_INET6 : constant := 10; -- IPv6 address family ----------- -- Modes -- ----------- SOCK_STREAM : constant := 1; -- Stream socket SOCK_DGRAM : constant := 2; -- Datagram socket ------------------- -- Socket errors -- ------------------- EACCES : constant := 13; -- Permission denied EADDRINUSE : constant := 98; -- Address already in use EADDRNOTAVAIL : constant := 99; -- Cannot assign address EAFNOSUPPORT : constant := 97; -- Addr family not supported EALREADY : constant := 114; -- Operation in progress EBADF : constant := 9; -- Bad file descriptor ECONNABORTED : constant := 103; -- Connection aborted ECONNREFUSED : constant := 111; -- Connection refused ECONNRESET : constant := 104; -- Connection reset by peer EDESTADDRREQ : constant := 89; -- Destination addr required EFAULT : constant := 14; -- Bad address EHOSTDOWN : constant := 112; -- Host is down EHOSTUNREACH : constant := 113; -- No route to host EINPROGRESS : constant := 115; -- Operation now in progress EINTR : constant := 4; -- Interrupted system call EINVAL : constant := 22; -- Invalid argument EIO : constant := 5; -- Input output error EISCONN : constant := 106; -- Socket already connected ELOOP : constant := 40; -- Too many symbolic lynks EMFILE : constant := 24; -- Too many open files EMSGSIZE : constant := 90; -- Message too long ENAMETOOLONG : constant := 36; -- Name too long ENETDOWN : constant := 100; -- Network is down ENETRESET : constant := 102; -- Disconn. on network reset ENETUNREACH : constant := 101; -- Network is unreachable ENOBUFS : constant := 105; -- No buffer space available ENOPROTOOPT : constant := 92; -- Protocol not available ENOTCONN : constant := 107; -- Socket not connected ENOTSOCK : constant := 88; -- Operation on non socket EOPNOTSUPP : constant := 95; -- Operation not supported EPFNOSUPPORT : constant := 96; -- Unknown protocol family EPROTONOSUPPORT : constant := 93; -- Unknown protocol EPROTOTYPE : constant := 91; -- Unknown protocol type ESHUTDOWN : constant := 108; -- Cannot send once shutdown ESOCKTNOSUPPORT : constant := 94; -- Socket type not supported ETIMEDOUT : constant := 110; -- Connection timed out ETOOMANYREFS : constant := 109; -- Too many references EWOULDBLOCK : constant := 11; -- Operation would block ----------------- -- Host errors -- ----------------- HOST_NOT_FOUND : constant := 1; -- Unknown host TRY_AGAIN : constant := 2; -- Host name lookup failure NO_DATA : constant := 4; -- No data record for name NO_RECOVERY : constant := 3; -- Non recoverable errors ------------------- -- Control flags -- ------------------- FIONBIO : constant := -2147195266; -- Set/clear non-blocking io FIONREAD : constant := 1074030207; -- How many bytes to read -------------------- -- Shutdown modes -- -------------------- SHUT_RD : constant := 0; -- No more recv SHUT_WR : constant := 1; -- No more send SHUT_RDWR : constant := 2; -- No more recv/send --------------------- -- Protocol levels -- --------------------- SOL_SOCKET : constant := 1; -- Options for socket level IPPROTO_IP : constant := 0; -- Dummy protocol for IP IPPROTO_UDP : constant := 17; -- UDP IPPROTO_TCP : constant := 6; -- TCP ------------------- -- Request flags -- ------------------- MSG_OOB : constant := 1; -- Process out-of-band data MSG_PEEK : constant := 2; -- Peek at incoming data MSG_EOR : constant := 128; -- Send end of record MSG_WAITALL : constant := 256; -- Wait for full reception MSG_NOSIGNAL : constant := 16384; -- No SIGPIPE on send MSG_Forced_Flags : constant := MSG_NOSIGNAL; -- Flags set on all send(2) calls -------------------- -- Socket options -- -------------------- TCP_NODELAY : constant := 1; -- Do not coalesce packets SO_REUSEADDR : constant := 2; -- Bind reuse local address SO_KEEPALIVE : constant := 9; -- Enable keep-alive msgs SO_LINGER : constant := 13; -- Defer close to flush data SO_BROADCAST : constant := 6; -- Can send broadcast msgs SO_SNDBUF : constant := 7; -- Set/get send buffer size SO_RCVBUF : constant := 8; -- Set/get recv buffer size SO_SNDTIMEO : constant := 19; -- Emission timeout SO_RCVTIMEO : constant := 18; -- Reception timeout SO_ERROR : constant := 4; -- Get/clear error status IP_MULTICAST_IF : constant := 32; -- Set/get mcast interface IP_MULTICAST_TTL : constant := 33; -- Set/get multicast TTL IP_MULTICAST_LOOP : constant := 34; -- Set/get mcast loopback IP_ADD_MEMBERSHIP : constant := 35; -- Join a multicast group IP_DROP_MEMBERSHIP : constant := 36; -- Leave a multicast group ------------------- -- System limits -- ------------------- IOV_MAX : constant := 2147483647; -- Maximum writev iovcnt ---------------------- -- Type definitions -- ---------------------- -- Sizes (in bytes) of the components of struct timeval SIZEOF_tv_sec : constant := 4; -- tv_sec SIZEOF_tv_usec : constant := 4; -- tv_usec end GNAT.Sockets.Constants;
53.28022
79
0.466639
cb90bd4f2879a7bb2aa5b2b886ef1c99f8faca2d
58,290
adb
Ada
apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b/conv2d_b2b/hls_target/.autopilot/db/Loop_2_proc.sched.adb
dillonhuff/Halide-HLS
e9f4c3ac7915e5a52f211ce65004ae17890515a0
[ "MIT" ]
1
2020-06-18T16:51:39.000Z
2020-06-18T16:51:39.000Z
apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b/conv2d_b2b/hls_target/.autopilot/db/Loop_2_proc.sched.adb
dillonhuff/Halide-HLS
e9f4c3ac7915e5a52f211ce65004ae17890515a0
[ "MIT" ]
null
null
null
apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b/conv2d_b2b/hls_target/.autopilot/db/Loop_2_proc.sched.adb
dillonhuff/Halide-HLS
e9f4c3ac7915e5a52f211ce65004ae17890515a0
[ "MIT" ]
1
2020-03-18T00:43:22.000Z
2020-03-18T00:43:22.000Z
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="14"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>Loop_2_proc</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>p_mul_stencil_stream_V_value_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>_mul_stencil_stream_to_p2_mul1.V.value.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>128</bitwidth> </Value> <direction>0</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>p_p2_mul1_stencil_stream_V_value_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>24</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_3"> <Value> <Obj> <type>0</type> <id>7</id> <name></name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>39</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_4"> <Value> <Obj> <type>0</type> <id>9</id> <name>indvar_flatten</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>96</item> <item>97</item> <item>98</item> <item>99</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_5"> <Value> <Obj> <type>0</type> <id>10</id> <name>exitcond_flatten</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>100</item> <item>102</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>11</id> <name>indvar_flatten_next</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>103</item> <item>105</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>12</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>106</item> <item>107</item> <item>108</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>17</id> <name>tmp_value_V</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>168</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>168</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>128</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>41</item> <item>42</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>18</id> <name>tmp_6</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>176</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>176</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>28</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>44</item> <item>45</item> <item>47</item> <item>49</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>19</id> <name>p_382_cast_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>182</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>182</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>50</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>20</id> <name>tmp_3</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>168</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>168</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>28</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>51</item> <item>52</item> <item>54</item> <item>56</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>21</id> <name>p_390</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>185</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>185</second> </item> </second> </item> </inlineStackInfo> <originalName>_390</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>30</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>58</item> <item>59</item> <item>61</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>22</id> <name>p_390_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>185</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>185</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>31</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>62</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>23</id> <name>tmp_4</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>168</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>168</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>28</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>63</item> <item>64</item> <item>66</item> <item>68</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>24</id> <name>p_396</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>192</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>192</second> </item> </second> </item> </inlineStackInfo> <originalName>_396</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>70</item> <item>71</item> <item>73</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>25</id> <name>p_396_cast_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>192</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>192</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>31</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>74</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>26</id> <name>tmp_2</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>197</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>197</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>28</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>75</item> <item>76</item> <item>78</item> <item>80</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>27</id> <name>p_400_cast_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>200</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>200</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>81</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>28</id> <name>tmp</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>200</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>200</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>31</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>82</item> <item>83</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>29</id> <name>tmp1</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>200</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>200</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>29</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>84</item> <item>85</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>30</id> <name>tmp1_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>200</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>200</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>31</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>86</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>31</id> <name>p_403</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>200</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>200</second> </item> </second> </item> </inlineStackInfo> <originalName>_403</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>31</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>87</item> <item>88</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>32</id> <name>tmp_value_V_7</name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>200</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>200</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>89</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>33</id> <name></name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>202</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>202</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>91</item> <item>92</item> <item>93</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>35</id> <name></name> <fileName>hls_target.cpp</fileName> <fileDirectory>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</fileDirectory> <lineNumber>162</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/big_apps_32_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>162</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>94</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>37</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>13</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_27"> <Value> <Obj> <type>2</type> <id>46</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_28"> <Value> <Obj> <type>2</type> <id>48</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>31</content> </item> <item class_id_reference="16" object_id="_29"> <Value> <Obj> <type>2</type> <id>53</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>36</content> </item> <item class_id_reference="16" object_id="_30"> <Value> <Obj> <type>2</type> <id>55</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>63</content> </item> <item class_id_reference="16" object_id="_31"> <Value> <Obj> <type>2</type> <id>60</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_32"> <Value> <Obj> <type>2</type> <id>65</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>68</content> </item> <item class_id_reference="16" object_id="_33"> <Value> <Obj> <type>2</type> <id>67</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>95</content> </item> <item class_id_reference="16" object_id="_34"> <Value> <Obj> <type>2</type> <id>72</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_35"> <Value> <Obj> <type>2</type> <id>77</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>100</content> </item> <item class_id_reference="16" object_id="_36"> <Value> <Obj> <type>2</type> <id>79</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>127</content> </item> <item class_id_reference="16" object_id="_37"> <Value> <Obj> <type>2</type> <id>95</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_38"> <Value> <Obj> <type>2</type> <id>101</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <const_type>0</const_type> <content>2064609</content> </item> <item class_id_reference="16" object_id="_39"> <Value> <Obj> <type>2</type> <id>104</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>21</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_40"> <Obj> <type>3</type> <id>8</id> <name>newFuncRoot</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>7</item> </node_objs> </item> <item class_id_reference="18" object_id="_41"> <Obj> <type>3</type> <id>13</id> <name>.preheader38</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>9</item> <item>10</item> <item>11</item> <item>12</item> </node_objs> </item> <item class_id_reference="18" object_id="_42"> <Obj> <type>3</type> <id>36</id> <name>.preheader38.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>18</count> <item_version>0</item_version> <item>17</item> <item>18</item> <item>19</item> <item>20</item> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> <item>26</item> <item>27</item> <item>28</item> <item>29</item> <item>30</item> <item>31</item> <item>32</item> <item>33</item> <item>35</item> </node_objs> </item> <item class_id_reference="18" object_id="_43"> <Obj> <type>3</type> <id>38</id> <name>.preheader37.exitStub</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>37</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>48</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_44"> <id>39</id> <edge_type>2</edge_type> <source_obj>13</source_obj> <sink_obj>7</sink_obj> </item> <item class_id_reference="20" object_id="_45"> <id>42</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_46"> <id>45</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_47"> <id>47</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_48"> <id>49</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_49"> <id>50</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_50"> <id>52</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_51"> <id>54</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_52"> <id>56</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_53"> <id>59</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_54"> <id>61</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_55"> <id>62</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_56"> <id>64</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_57"> <id>66</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_58"> <id>68</id> <edge_type>1</edge_type> <source_obj>67</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_59"> <id>71</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_60"> <id>73</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_61"> <id>74</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_62"> <id>76</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_63"> <id>78</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_64"> <id>80</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_65"> <id>81</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>27</sink_obj> </item> <item class_id_reference="20" object_id="_66"> <id>82</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>28</sink_obj> </item> <item class_id_reference="20" object_id="_67"> <id>83</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>28</sink_obj> </item> <item class_id_reference="20" object_id="_68"> <id>84</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>29</sink_obj> </item> <item class_id_reference="20" object_id="_69"> <id>85</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>29</sink_obj> </item> <item class_id_reference="20" object_id="_70"> <id>86</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>30</sink_obj> </item> <item class_id_reference="20" object_id="_71"> <id>87</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_72"> <id>88</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_73"> <id>89</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_74"> <id>92</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_75"> <id>93</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_76"> <id>94</id> <edge_type>2</edge_type> <source_obj>13</source_obj> <sink_obj>35</sink_obj> </item> <item class_id_reference="20" object_id="_77"> <id>96</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>9</sink_obj> </item> <item class_id_reference="20" object_id="_78"> <id>97</id> <edge_type>2</edge_type> <source_obj>8</source_obj> <sink_obj>9</sink_obj> </item> <item class_id_reference="20" object_id="_79"> <id>98</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>9</sink_obj> </item> <item class_id_reference="20" object_id="_80"> <id>99</id> <edge_type>2</edge_type> <source_obj>36</source_obj> <sink_obj>9</sink_obj> </item> <item class_id_reference="20" object_id="_81"> <id>100</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>10</sink_obj> </item> <item class_id_reference="20" object_id="_82"> <id>102</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>10</sink_obj> </item> <item class_id_reference="20" object_id="_83"> <id>103</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_84"> <id>105</id> <edge_type>1</edge_type> <source_obj>104</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_85"> <id>106</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_86"> <id>107</id> <edge_type>2</edge_type> <source_obj>36</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_87"> <id>108</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_88"> <id>196</id> <edge_type>2</edge_type> <source_obj>8</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_89"> <id>197</id> <edge_type>2</edge_type> <source_obj>13</source_obj> <sink_obj>38</sink_obj> </item> <item class_id_reference="20" object_id="_90"> <id>198</id> <edge_type>2</edge_type> <source_obj>13</source_obj> <sink_obj>36</sink_obj> </item> <item class_id_reference="20" object_id="_91"> <id>199</id> <edge_type>2</edge_type> <source_obj>36</source_obj> <sink_obj>13</sink_obj> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_92"> <mId>1</mId> <mTag>Loop_2_proc</mTag> <mType>0</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>4</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>2064614</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_93"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>8</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_94"> <mId>3</mId> <mTag>Loop 1</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>13</item> <item>36</item> </basic_blocks> <mII>1</mII> <mDepth>5</mDepth> <mMinTripCount>2064609</mMinTripCount> <mMaxTripCount>2064609</mMaxTripCount> <mMinLatency>2064612</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_95"> <mId>4</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>38</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="-1"></res> <node_label_latency class_id="26" tracking_level="0" version="0"> <count>24</count> <item_version>0</item_version> <item class_id="27" tracking_level="0" version="0"> <first>7</first> <second class_id="28" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>9</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>10</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>11</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>12</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>20</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>27</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>2</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="29" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="30" tracking_level="0" version="0"> <first>8</first> <second class_id="31" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>36</first> <second> <first>2</first> <second>5</second> </second> </item> <item> <first>38</first> <second> <first>2</first> <second>2</second> </second> </item> </bblk_ent_exit> <regions class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="1" version="0" object_id="_96"> <region_name>Loop 1</region_name> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>13</item> <item>36</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>5</pipe_depth> </item> </regions> <dp_fu_nodes class_id="34" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="35" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="36" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="38" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
27.379051
140
0.606245
c51070434fbd6c4bff84bf42169a7096503a38de
6,910
ads
Ada
inet-ip-lookup.ads
annexi-strayline/ASAP-INET
df3b73e2aa94a786e5b1759db2cb2d1be3c0a4b6
[ "BSD-3-Clause" ]
null
null
null
inet-ip-lookup.ads
annexi-strayline/ASAP-INET
df3b73e2aa94a786e5b1759db2cb2d1be3c0a4b6
[ "BSD-3-Clause" ]
null
null
null
inet-ip-lookup.ads
annexi-strayline/ASAP-INET
df3b73e2aa94a786e5b1759db2cb2d1be3c0a4b6
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- Internet Protocol Suite Package -- -- -- -- ------------------------------------------------------------------------ -- -- -- -- Copyright (C) 2020, ANNEXI-STRAYLINE Trans-Human Ltd. -- -- All rights reserved. -- -- -- -- Original Contributors: -- -- * Richard Wai (ANNEXI-STRAYLINE) -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- -- -- * Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- This package provides facilities for querying hosts base on their host name. private with System.Address_To_Access_Conversions; private with Ada.Finalization; private with Interfaces.C.Strings; package INET.IP.Lookup is type IP_Protocols is (Proto_Any, Proto_TCP, Proto_UDP, Proto_SCTP); type IP_Lookup_Entry is record Protocol: IP_Protocols; Address : IP_Address; end record; type IP_Lookup is tagged limited private; procedure Lookup (List : in out IP_Lookup; Host_Name: in String; Protocol : in IP_Protocols := Proto_Any) with Pre'Class => Host_Name'Length > 0; procedure Lookup (List : in out IP_Lookup; Host_Name: in String; Protocol : in IP_Protocols := Proto_Any; Version : in IP_Version) with Pre'Class => Host_Name'Length > 0; -- Executes a lookup of Host_Name. If the lookup fails, List will be -- empty, and thus Has_More_Entries will return False immediately, -- otherwise, List will be populated with all entries that meet the -- filtering parameters, if any. -- -- If Host_Name is empty, Constraint_Error is raised. -- -- If List has been invoked previously, it is cleared (finalized). -- -- If Protocol or Version is specified, lookup results are restricted -- to those protocols and/or that IP version. If not specified, any -- version that is reachable is included. -- -- Lookup does not propagate exceptions. function Has_More_Entries (List: IP_Lookup) return Boolean; -- Returns True iff there are more entries on the lookup list function Canonical_Name (List: IP_Lookup) return String; -- Returns the canonical name (CNAME) of the specified Host_Name, -- but only if the Lookup was successful. If the lookup was not successful, -- an empty String is returned. For lookups without a canonical name, the -- returned String should be equivalent to Host_Name, but this is an -- operating-system behaviour, and is not checked by this implementation. function Pop (List: in out IP_Lookup) return IP_Lookup_Entry with Pre'Class => List.Has_More_Entries; procedure Pop (List: in out IP_Lookup; Item: out IP_Lookup_Entry) with Pre'Class => List.Has_More_Entries; -- Returns the next available entry in the lookup list. If Lookup does not -- have more entires in the lookup, Constraint_Error is raised. procedure Iterate (List : in out IP_Lookup; Action: not null access procedure (Item: in IP_Lookup_Entry)); -- Pops each entry from List, and passes it into a call to Action, until -- all entries have been popped. -- -- If there are no entries an call to Iterate, Action is never invoked, and -- nothing happens. private type addrinfo; -- Taft amendment type in the wild! type Entry_Pointer is access all addrinfo with Storage_Size => 0, Convention => C; use type Interfaces.C.Strings.chars_ptr; Null_Chars_Ptr: Interfaces.C.Strings.chars_ptr renames Interfaces.C.Strings.Null_Ptr; type IP_Lookup is new Ada.Finalization.Limited_Controlled with record List_Head : Entry_Pointer := null; Next_Pop : Entry_Pointer := null; Canonname: Interfaces.C.Strings.chars_ptr := Null_Chars_Ptr; end record; overriding procedure Finalize (List: in out IP_Lookup); -- Dealocates the underlying "struct addrinfo" and then default initializes -- List end INET.IP.Lookup;
49.007092
79
0.546165
cbca561e13ff9d3e14b7746a9ddd73d701a38190
1,573
ads
Ada
tier-1/xcb/source/thin/xcb-xcb_get_selection_owner_request_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
2
2015-11-12T11:16:20.000Z
2021-08-24T22:32:04.000Z
tier-1/xcb/source/thin/xcb-xcb_get_selection_owner_request_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
1
2018-06-05T05:19:35.000Z
2021-11-20T01:13:23.000Z
tier-1/xcb/source/thin/xcb-xcb_get_selection_owner_request_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
null
null
null
-- This file is generated by SWIG. Please do not modify by hand. -- with Interfaces; with Interfaces.C; with Interfaces.C.Pointers; package xcb.xcb_get_selection_owner_request_t is -- Item -- type Item is record major_opcode : aliased Interfaces.Unsigned_8; pad0 : aliased Interfaces.Unsigned_8; length : aliased Interfaces.Unsigned_16; selection : aliased xcb.xcb_atom_t; end record; -- Item_Array -- type Item_Array is array (Interfaces.C .size_t range <>) of aliased xcb.xcb_get_selection_owner_request_t .Item; -- Pointer -- package C_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_get_selection_owner_request_t.Item, Element_Array => xcb.xcb_get_selection_owner_request_t.Item_Array, Default_Terminator => (others => <>)); subtype Pointer is C_Pointers.Pointer; -- Pointer_Array -- type Pointer_Array is array (Interfaces.C .size_t range <>) of aliased xcb.xcb_get_selection_owner_request_t .Pointer; -- Pointer_Pointer -- package C_Pointer_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_get_selection_owner_request_t.Pointer, Element_Array => xcb.xcb_get_selection_owner_request_t.Pointer_Array, Default_Terminator => null); subtype Pointer_Pointer is C_Pointer_Pointers.Pointer; end xcb.xcb_get_selection_owner_request_t;
28.089286
77
0.674507
cbccbcca4ebd0514d9d55cd9f20c654453b7c6ec
2,712
adb
Ada
lab01/src/lab1.adb
evgenijaZ/PP-labs
cfb63ae270f4dab9e7f06d300e054dc071b6d646
[ "MIT" ]
null
null
null
lab01/src/lab1.adb
evgenijaZ/PP-labs
cfb63ae270f4dab9e7f06d300e054dc071b6d646
[ "MIT" ]
null
null
null
lab01/src/lab1.adb
evgenijaZ/PP-labs
cfb63ae270f4dab9e7f06d300e054dc071b6d646
[ "MIT" ]
null
null
null
with Ada.Text_IO; use Ada.Text_IO; with Data; use Data; with Ada.Integer_Text_IO; with Ada.Integer_Text_IO; with System.Multiprocessors; use System.Multiprocessors; procedure lab1 is cpu1 : CPU_Range := 1; cpu2 : CPU_Range := 1; cpu3 : CPU_Range := 1; N : Integer := 50; task T1 is pragma Priority(3); pragma Storage_Size(900_000_000); pragma CPU(cpu1); end T1; task T2 is pragma Priority(2); pragma Storage_Size(900_000_000); pragma CPU(cpu2); end T2; task T3 is pragma Priority(1); pragma Storage_Size(900_000_000); pragma CPU(cpu3); end T3; task body T1 is A,D,E : Matrix; B : Vector; begin Put_Line ("Task 1:"); -- Put_Line("Enter N1:"); -- Ada.Integer_Text_IO.Get(N); Matrix_Generate(A,N); -- Put_Line ("Matrix A:"); -- Matrix_Output(A,N); Matrix_Generate(D,N); -- Put_Line ("Matrix D:"); -- Matrix_Output(D,N); Vector_Generate(B,N); -- Put_Line ("Vector B:"); -- Vector_Output(B,N); E := F1(A,D,B,N); Matrix_Output(E,N,"Matrix E:"); Put_Line("End task 1"); end T1; task body T2 is G,K,L,F : Matrix; a : Integer; begin Put_Line ("Task 2:"); -- Put_Line("Enter N2:"); -- Ada.Integer_Text_IO.Get(N); Matrix_Generate(G,N); -- Put_Line ("Matrix G:"); -- Matrix_Output(G,N); Matrix_Generate(K,N); -- Put_Line ("Matrix K:"); -- Matrix_Output(K,N); Matrix_Generate(L,N); -- Put_Line ("Matrix L:"); -- Matrix_Output(L,N); Value_Generate(a); -- Put_Line("Variable a:"); -- Ada.Integer_Text_IO.Put(a); -- New_Line; F := F2(a,G,K,L,N); Matrix_Output(F,N,"Matrix F:"); Put_Line("End task 2"); end T2; task body T3 is P,R : Matrix; S,T,O : Vector; begin Put_Line ("Task 3:"); -- Put_Line("Enter N3:"); -- Ada.Integer_Text_IO.Get(N); Matrix_Generate(P,N); -- Put_Line ("Matrix P:"); -- Matrix_Output(P,N); Matrix_Generate(R,N); -- Put_Line ("Matrix R:"); -- Matrix_Output(R,N); Vector_Generate(S,N); -- Put_Line ("Vector S:"); -- Vector_Output(S,N); Vector_Generate(T,N); -- Put_Line ("Vector T:"); -- Vector_Output(T,N); O:=F3(P,R,S,T,N); Vector_Output(O,N,"Vector O:"); Put_Line("End task 3"); end T3; begin Put_Line("Lab1"); end lab1;
23.37931
43
0.5059
dc62518e85b4a19ee65ace29538c9fc0dedf2b65
37
ads
Ada
test/node/test_node.ads
skill-lang/skillAdaTestSuite
279ea0c0cd489c2e39d7532a3b68c564497101e2
[ "BSD-3-Clause" ]
1
2019-02-09T22:04:10.000Z
2019-02-09T22:04:10.000Z
test/node/test_node.ads
skill-lang/skillAdaTestSuite
279ea0c0cd489c2e39d7532a3b68c564497101e2
[ "BSD-3-Clause" ]
null
null
null
test/node/test_node.ads
skill-lang/skillAdaTestSuite
279ea0c0cd489c2e39d7532a3b68c564497101e2
[ "BSD-3-Clause" ]
null
null
null
package Test_Node is end Test_Node;
9.25
20
0.810811
18f13c875b185c7157ac056f88f47d1e0566ed42
7,618
adb
Ada
tools/druss-commands-ping.adb
stcarrez/bbox-ada-api
53292f82b11806a5415e202da4a023a726b8fe55
[ "Apache-2.0" ]
2
2017-04-03T21:21:12.000Z
2017-05-25T13:05:08.000Z
tools/druss-commands-ping.adb
stcarrez/bbox-ada-api
53292f82b11806a5415e202da4a023a726b8fe55
[ "Apache-2.0" ]
2
2017-04-07T09:30:14.000Z
2019-05-23T17:45:35.000Z
tools/druss-commands-ping.adb
stcarrez/bbox-ada-api
53292f82b11806a5415e202da4a023a726b8fe55
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- druss-commands-devices -- Print information about the devices -- Copyright (C) 2017, 2018, 2019, 2021 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Properties; with Util.Log.Loggers; with Bbox.API; with Druss.Gateways; with Ada.Strings.Unbounded; package body Druss.Commands.Ping is use Ada.Strings.Unbounded; -- The logger Log : constant Util.Log.Loggers.Logger := Util.Log.Loggers.Create ("Druss.Commands.Ping"); -- ------------------------------ -- Execute the wifi 'status' command to print the Wifi current status. -- ------------------------------ procedure Do_Ping (Command : in Command_Type; Args : in Argument_List'Class; Selector : in Device_Selector_Type; Context : in out Context_Type) is pragma Unreferenced (Command, Args); procedure Do_Ping (Gateway : in out Druss.Gateways.Gateway_Type); procedure Box_Status (Gateway : in out Druss.Gateways.Gateway_Type); Console : constant Druss.Commands.Consoles.Console_Access := Context.Console; procedure Do_Ping (Gateway : in out Druss.Gateways.Gateway_Type) is procedure Ping_Device (Manager : in Util.Properties.Manager; Name : in String); Box : Bbox.API.Client_Type; procedure Ping_Device (Manager : in Util.Properties.Manager; Name : in String) is Id : constant String := Manager.Get (Name & ".id", ""); begin case Selector is when DEVICE_ALL => null; when DEVICE_ACTIVE => if Manager.Get (Name & ".active", "") = "0" then return; end if; when DEVICE_INACTIVE => if Manager.Get (Name & ".active", "") = "1" then return; end if; end case; Log.Info ("Ping command on {0}", Manager.Get (Name & ".ipaddress", "")); Box.Post ("hosts/" & Id, "action=ping"); end Ping_Device; begin if Ada.Strings.Unbounded.Length (Gateway.Passwd) = 0 then return; end if; Gateway.Refresh; Box.Set_Server (To_String (Gateway.Ip)); Box.Login (To_String (Gateway.Passwd)); Bbox.API.Iterate (Gateway.Hosts, "hosts.list", Ping_Device'Access); end Do_Ping; procedure Box_Status (Gateway : in out Druss.Gateways.Gateway_Type) is procedure Print_Device (Manager : in Util.Properties.Manager; Name : in String); procedure Print_Device (Manager : in Util.Properties.Manager; Name : in String) is Link : constant String := Manager.Get (Name & ".link", ""); begin if Manager.Get (Name & ".active", "") = "0" then return; end if; Console.Start_Row; Console.Print_Field (F_BBOX_IP_ADDR, Gateway.Ip); Console.Print_Field (F_IP_ADDR, Manager.Get (Name & ".ipaddress", "")); Console.Print_Field (F_HOSTNAME, Manager.Get (Name & ".hostname", "")); Print_Perf (Console, F_ACTIVE, Manager.Get (Name & ".ping.average", "")); if Link = "Ethernet" then Console.Print_Field (F_LINK, Link & " port " & Manager.Get (Name & ".ethernet.logicalport", "")); else Console.Print_Field (F_LINK, Link & " RSSI " & Manager.Get (Name & ".wireless.rssi0", "")); end if; Console.End_Row; end Print_Device; begin Gateway.Refresh; Bbox.API.Iterate (Gateway.Hosts, "hosts.list", Print_Device'Access); end Box_Status; begin Druss.Gateways.Iterate (Context.Gateways, Gateways.ITER_ENABLE, Do_Ping'Access); delay 5.0; Console.Start_Title; Console.Print_Title (F_BBOX_IP_ADDR, "Bbox IP", 16); Console.Print_Title (F_IP_ADDR, "Device IP", 16); Console.Print_Title (F_HOSTNAME, "Hostname", 28); Console.Print_Title (F_ACTIVE, "Ping", 15); Console.Print_Title (F_LINK, "Link", 18); Console.End_Title; Druss.Gateways.Iterate (Context.Gateways, Gateways.ITER_ENABLE, Box_Status'Access); end Do_Ping; -- ------------------------------ -- Execute a ping from the gateway to each device. -- ------------------------------ overriding procedure Execute (Command : in out Command_Type; Name : in String; Args : in Argument_List'Class; Context : in out Context_Type) is pragma Unreferenced (Name); begin if Args.Get_Count > 1 then Context.Console.Notice (N_USAGE, "Too many arguments to the command"); Druss.Commands.Driver.Usage (Args, Context); elsif Args.Get_Count = 0 then Command.Do_Ping (Args, DEVICE_ALL, Context); elsif Args.Get_Argument (1) = "all" then Command.Do_Ping (Args, DEVICE_ALL, Context); elsif Args.Get_Argument (1) = "active" then Command.Do_Ping (Args, DEVICE_ACTIVE, Context); elsif Args.Get_Argument (1) = "inactive" then Command.Do_Ping (Args, DEVICE_INACTIVE, Context); else Context.Console.Notice (N_USAGE, "Invalid argument: " & Args.Get_Argument (1)); Druss.Commands.Driver.Usage (Args, Context); end if; end Execute; -- ------------------------------ -- Write the help associated with the command. -- ------------------------------ overriding procedure Help (Command : in out Command_Type; Name : in String; Context : in out Context_Type) is pragma Unreferenced (Command); Console : constant Druss.Commands.Consoles.Console_Access := Context.Console; begin Console.Notice (N_HELP, "ping: Ask the Bbox to ping the devices"); Console.Notice (N_HELP, "Usage: ping [all | active | inactive]"); Console.Notice (N_HELP, ""); Console.Notice (N_HELP, " Ask the Bbox to ping the devices. By default it will ping"); Console.Notice (N_HELP, " all the devices that have been discovered by the Bbox."); Console.Notice (N_HELP, " The command will wait 5 seconds and it will list the active"); Console.Notice (N_HELP, " devices with their ping performance."); Console.Notice (N_HELP, ""); Console.Notice (N_HELP, " all Ping all the devices"); Console.Notice (N_HELP, " active Ping the active devices only"); Console.Notice (N_HELP, " inative Ping the inactive devices only"); end Help; end Druss.Commands.Ping;
42.558659
95
0.569966
0e1bbb5081f7c4137081908bc48f6abad302ba10
7,010
ada
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c4/c45251a.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c4/c45251a.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c4/c45251a.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- C45251A.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- CHECK THAT FOR RELATIONAL OPERATIONS ON FIXED POINT TYPES THE -- FOLLOWING HOLD: -- (A) A /= B IS THE SAME AS NOT (A = B). -- (B) A < B IS THE SAME AS NOT (A >= B). -- (C) A > B IS THE SAME AS NOT (A <= B). -- (D) ADJACENT MODEL NUMBERS GIVE CORRECT RESULTS. -- (E) NON-MODEL NUMBERS WITH DISTINCT MODEL INTERVALS GIVE -- CORRECT RESULTS. -- (F) CASE WHERE MODEL INTERVALS INTERSECT IN A SINGLE MODEL -- NUMBER GIVES CORRECT RESULT. -- CASE A: BASIC TYPES THAT FIT THE CHARACTERISTICS OF DURATION'BASE. -- WRG 8/26/86 WITH REPORT; USE REPORT; PROCEDURE C45251A IS -- THE NAME OF EACH TYPE OR SUBTYPE ENDS WITH THAT TYPE'S -- 'MANTISSA VALUE. TYPE LIKE_DURATION_M23 IS DELTA 0.020 RANGE -86_400.0 .. 86_400.0; TYPE DECIMAL_M4 IS DELTA 100.0 RANGE -1000.0 .. 1000.0; BEGIN TEST ("C45251A", "CHECK RELATIONAL OPERATIONS FOR FIXED POINT " & "TYPES - BASIC TYPES"); ------------------------------------------------------------------- DECLARE A, B : LIKE_DURATION_M23 := 0.0; C, D : DECIMAL_M4 := 0.0; BEGIN IF EQUAL (3, 3) THEN A := 2#0.0000_0011#; -- JUST BELOW LIKE_DURATION'SMALL. B := 2#0.0000_0101#; -- JUST ABOVE LIKE_DURATION'SMALL. END IF; -- (A) IF A /= B XOR NOT (A = B) THEN FAILED ("A /= B IS NOT THE SAME AS NOT (A = B)"); END IF; -- (B) IF A < B XOR NOT (A >= B) THEN FAILED ("A < B IS NOT THE SAME AS NOT (A >= B)"); END IF; -- (C) IF A > B XOR NOT (A <= B) THEN FAILED ("A > B IS NOT THE SAME AS NOT (A <= B)"); END IF; -- (D) IF EQUAL (3, 3) THEN A := -(16#1_5180.00#); -- (-86_400.0) B := -(16#1_517F.FC#); -- (-86_400.0 + 1.0/64) C := 64.0; -- DECIMAL_M4'SMALL. D := 128.0; -- 2 * DECIMAL_M4'SMALL. END IF; IF "=" (LEFT => A, RIGHT => B) THEN FAILED ("ADJACENT MODEL NUMBERS GIVE INCORRECT RESULT " & "- (A = B)"); END IF; IF NOT "/=" (LEFT => C, RIGHT => D) THEN FAILED ("ADJACENT MODEL NUMBERS GIVE INCORRECT RESULT " & "- (C /= D)"); END IF; IF "<" (LEFT => B, RIGHT => A) THEN FAILED ("ADJACENT MODEL NUMBERS GIVE INCORRECT RESULT " & "- (B < A)"); END IF; IF ">" (LEFT => C, RIGHT => D) THEN FAILED ("ADJACENT MODEL NUMBERS GIVE INCORRECT RESULT " & "- (C > D)"); END IF; IF ">=" (LEFT => A, RIGHT => B) THEN FAILED ("ADJACENT MODEL NUMBERS GIVE INCORRECT RESULT " & "- (A >= B)"); END IF; IF "<=" (LEFT => D, RIGHT => C) THEN FAILED ("ADJACENT MODEL NUMBERS GIVE INCORRECT RESULT " & "- (D <= C)"); END IF; -- (E) IF EQUAL (3, 3) THEN A := 0.02; -- INTERVAL IS 1.0/64 .. 2.0/64. B := -0.02; -- INTERVAL IS -2.0/64 .. -1.0/64. C := 800.0; -- INTERVAL IS 768.0 .. 832.0. D := 900.0; -- INTERVAL IS 896.0 .. 960.0. END IF; IF A = B THEN FAILED ("NON-MODEL NUMBERS WITH DISTINCT MODEL " & "INTERVALS GIVE INCORRECT RESULT - (A = B)"); END IF; IF NOT (C /= D) THEN FAILED ("NON-MODEL NUMBERS WITH DISTINCT MODEL " & "INTERVALS GIVE INCORRECT RESULT - (C /= D)"); END IF; IF A < B THEN FAILED ("NON-MODEL NUMBERS WITH DISTINCT MODEL " & "INTERVALS GIVE INCORRECT RESULT - (A < B)"); END IF; IF C > D THEN FAILED ("NON-MODEL NUMBERS WITH DISTINCT MODEL " & "INTERVALS GIVE INCORRECT RESULT - (C > D)"); END IF; IF B >= A THEN FAILED ("NON-MODEL NUMBERS WITH DISTINCT MODEL " & "INTERVALS GIVE INCORRECT RESULT - (B >= A)"); END IF; IF D <= C THEN FAILED ("NON-MODEL NUMBERS WITH DISTINCT MODEL " & "INTERVALS GIVE INCORRECT RESULT - (D <= C)"); END IF; -- (F) IF EQUAL (3, 3) THEN B := 0.035; -- INTERVAL IS 2.0/64 .. 3.0/64. C := 850.0; -- INTERVAL IS 832.0 .. 896.0. END IF; IF NOT (A <= B) THEN FAILED ("COMPARISON OF NON-MODEL NUMBERS WITH ONE " & "COMMON MODEL INTERVAL END-POINT GIVES " & "INCORRECT RESULT - (A <= B)"); END IF; IF A > B THEN FAILED ("COMPARISON OF NON-MODEL NUMBERS WITH ONE " & "COMMON MODEL INTERVAL END-POINT GIVES " & "INCORRECT RESULT - (A > B)"); END IF; IF NOT (D >= C) THEN FAILED ("COMPARISON OF NON-MODEL NUMBERS WITH ONE " & "COMMON MODEL INTERVAL END-POINT GIVES " & "INCORRECT RESULT - (D >= C)"); END IF; IF D < C THEN FAILED ("COMPARISON OF NON-MODEL NUMBERS WITH ONE " & "COMMON MODEL INTERVAL END-POINT GIVES " & "INCORRECT RESULT - (D < C)"); END IF; END; ------------------------------------------------------------------- RESULT; END C45251A;
39.162011
79
0.479601
dcea0c8cff6b9b10617cdc5755e90f695fac7a90
3,808
ads
Ada
tools-src/gnu/gcc/gcc/ada/s-pack38.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
80
2015-01-02T10:14:04.000Z
2021-06-07T06:29:49.000Z
tools-src/gnu/gcc/gcc/ada/s-pack38.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
9
2015-05-14T11:03:12.000Z
2018-01-04T07:12:58.000Z
tools-src/gnu/gcc/gcc/ada/s-pack38.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
69
2015-01-02T10:45:56.000Z
2021-09-06T07:52:13.000Z
------------------------------------------------------------------------------ -- -- -- GNAT RUNTIME COMPONENTS -- -- -- -- S Y S T E M . P A C K _ 3 8 -- -- -- -- S p e c -- -- -- -- $Revision$ -- -- -- Copyright (C) 1992-1999 Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 59 Temple Place - Suite 330, Boston, -- -- MA 02111-1307, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- Handling of packed arrays with Component_Size = 38 package System.Pack_38 is pragma Preelaborate (Pack_38); Bits : constant := 38; type Bits_38 is mod 2 ** Bits; for Bits_38'Size use Bits; function Get_38 (Arr : System.Address; N : Natural) return Bits_38; -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is extracted and returned. procedure Set_38 (Arr : System.Address; N : Natural; E : Bits_38); -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is set to the given value. function GetU_38 (Arr : System.Address; N : Natural) return Bits_38; -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is extracted and returned. This version -- is used when Arr may represent an unaligned address. procedure SetU_38 (Arr : System.Address; N : Natural; E : Bits_38); -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is set to the given value. This version -- is used when Arr may represent an unaligned address end System.Pack_38;
58.584615
78
0.485557
186fcf9c258ca4bbbf35e24c43258c104c371bb3
1,832
adb
Ada
opengl/src/generator/generate.adb
Cre8or/OpenGLAda
91f12a2d4ca2aa7379dd8c83c80e4eca45fd0c06
[ "MIT" ]
79
2015-04-20T23:10:02.000Z
2022-03-04T13:50:56.000Z
opengl/src/generator/generate.adb
Cre8or/OpenGLAda
91f12a2d4ca2aa7379dd8c83c80e4eca45fd0c06
[ "MIT" ]
126
2015-09-10T10:41:34.000Z
2022-03-20T11:25:40.000Z
opengl/src/generator/generate.adb
Cre8or/OpenGLAda
91f12a2d4ca2aa7379dd8c83c80e4eca45fd0c06
[ "MIT" ]
20
2015-03-17T07:15:57.000Z
2022-02-02T17:12:11.000Z
-- part of OpenGLAda, (c) 2017 Felix Krause -- released under the terms of the MIT license, see the file "COPYING" with Ada.Command_Line; with Ada.Containers.Indefinite_Vectors; with Ada.Directories; use Ada.Directories; with Ada.Exceptions; use Ada.Exceptions; with Ada.Text_IO; with Specs; procedure Generate is Proc : Specs.Processor; package Spec_Vectors is new Ada.Containers.Indefinite_Vectors (Positive, String); Spec_Paths : Spec_Vectors.Vector; package Path_Sorting is new Spec_Vectors.Generic_Sorting; Source_Folder : constant String := "src/specs"; Target_Folder : constant String := "src/generated"; Interface_Folder : constant String := "src/interface"; procedure Process_File (Directory_Entry : in Directory_Entry_Type) is Path : constant String := Full_Name (Directory_Entry); begin Ada.Text_IO.Put_Line ("Processing " & Path & " ..."); Spec_Paths.Append (Path); Ada.Text_IO.Put_Line ("Done processing " & Path & " ."); end Process_File; begin Search (Source_Folder, "*.spec", (Ordinary_File => True, others => False), Process_File'Access); Path_Sorting.Sort (Spec_Paths); for Path of Spec_Paths loop Specs.Parse_File (Proc, Path); end loop; Create_Path (Target_Folder); declare use type Specs.Spec; Cur : Specs.Spec := Specs.First (Proc); begin while Cur /= Specs.No_Spec loop Specs.Write_API (Proc, Cur, Target_Folder); Cur := Specs.Next (Proc, Cur); end loop; end; Specs.Write_Init (Proc, Target_Folder); Specs.Write_Wrapper_Table (Proc, Target_Folder, Interface_Folder); exception when Error : Specs.Parsing_Error => Ada.Text_IO.Put_Line (Exception_Message (Error)); Ada.Command_Line.Set_Exit_Status (Ada.Command_Line.Failure); end Generate;
32.140351
77
0.701965
dc60d243e2aa6bc0bb4bec66f2890870fc6f62ff
3,597
ads
Ada
mat/src/memory/mat-memory.ads
stcarrez/mat
fb242feb5662b8130680cd06e50da7ef40b95bd7
[ "Apache-2.0" ]
7
2015-01-18T23:04:30.000Z
2021-04-06T14:07:56.000Z
mat/src/memory/mat-memory.ads
stcarrez/mat
fb242feb5662b8130680cd06e50da7ef40b95bd7
[ "Apache-2.0" ]
null
null
null
mat/src/memory/mat-memory.ads
stcarrez/mat
fb242feb5662b8130680cd06e50da7ef40b95bd7
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- Memory - Memory slot -- Copyright (C) 2014, 2015, 2019 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Containers.Ordered_Maps; with Ada.Strings.Unbounded; with ELF; with MAT.Types; with MAT.Frames; with MAT.Events; package MAT.Memory is type Allocation is record Size : MAT.Types.Target_Size; Frame : Frames.Frame_Type; Time : MAT.Types.Target_Tick_Ref; Thread : MAT.Types.Target_Thread_Ref; Event : MAT.Events.Event_Id_Type; end record; -- Statistics about memory allocation. type Memory_Info is record Total_Size : MAT.Types.Target_Size := 0; Alloc_Count : Natural := 0; Min_Slot_Size : MAT.Types.Target_Size := 0; Max_Slot_Size : MAT.Types.Target_Size := 0; Min_Addr : MAT.Types.Target_Addr := 0; Max_Addr : MAT.Types.Target_Addr := 0; end record; -- Description of a memory region. type Region_Info is record Start_Addr : MAT.Types.Target_Addr := 0; End_Addr : MAT.Types.Target_Addr := 0; Size : MAT.Types.Target_Size := 0; Flags : ELF.Elf32_Word := 0; Path : Ada.Strings.Unbounded.Unbounded_String; end record; use type MAT.Types.Target_Addr; package Allocation_Maps is new Ada.Containers.Ordered_Maps (Key_Type => MAT.Types.Target_Addr, Element_Type => Allocation); subtype Allocation_Map is Allocation_Maps.Map; subtype Allocation_Cursor is Allocation_Maps.Cursor; -- Define a map of <tt>Memory_Info</tt> keyed by the thread Id. -- Such map allows to give the list of threads and a summary of their allocation. use type MAT.Types.Target_Thread_Ref; package Memory_Info_Maps is new Ada.Containers.Ordered_Maps (Key_Type => MAT.Types.Target_Thread_Ref, Element_Type => Memory_Info); subtype Memory_Info_Map is Memory_Info_Maps.Map; subtype Memory_Info_Cursor is Memory_Info_Maps.Cursor; type Frame_Info is record Thread : MAT.Types.Target_Thread_Ref; Memory : Memory_Info; end record; -- Define a map of <tt>Frame_Info</tt> keyed by the backtrace function address -- that performed the memory allocation directly or indirectly. package Frame_Info_Maps is new Ada.Containers.Ordered_Maps (Key_Type => MAT.Types.Target_Addr, Element_Type => Frame_Info); subtype Frame_Info_Map is Frame_Info_Maps.Map; subtype Frame_Info_Cursor is Frame_Info_Maps.Cursor; package Region_Info_Maps is new Ada.Containers.Ordered_Maps (Key_Type => MAT.Types.Target_Addr, Element_Type => Region_Info); subtype Region_Info_Map is Region_Info_Maps.Map; subtype Region_Info_Cursor is Region_Info_Maps.Cursor; end MAT.Memory;
37.863158
85
0.656936
d000ef7f0f24fd89b560fff9858f4c650b1f914b
4,479
adb
Ada
src/base/beans/util-beans-objects-records.adb
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
60
2015-01-18T23:05:34.000Z
2022-03-20T18:56:30.000Z
src/base/beans/util-beans-objects-records.adb
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
20
2016-09-15T16:41:30.000Z
2022-03-29T22:02:32.000Z
src/base/beans/util-beans-objects-records.adb
yrashk/ada-util
2aaa1d87e92a7137e1c63dce90f0722c549dfafd
[ "Apache-2.0" ]
10
2015-02-13T04:00:45.000Z
2022-03-20T18:57:54.000Z
----------------------------------------------------------------------- -- Util.Beans.Objects.Records -- Generic Typed Data Representation -- Copyright (C) 2011, 2016 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- package body Util.Beans.Objects.Records is use Util.Concurrent.Counters; -- ------------------------------ -- Bean Type -- ------------------------------ type Record_Bean_Type is new Bean_Type with null record; -- Get the type name function Get_Name (Type_Def : in Record_Bean_Type) return String; -- Convert the value into a boolean. function To_Boolean (Type_Def : in Record_Bean_Type; Value : in Object_Value) return Boolean; -- ------------------------------ -- Get the type name -- ------------------------------ function Get_Name (Type_Def : in Record_Bean_Type) return String is pragma Unreferenced (Type_Def); begin return "Bean_Record"; end Get_Name; -- ------------------------------ -- Convert the value into a boolean. -- ------------------------------ function To_Boolean (Type_Def : in Record_Bean_Type; Value : in Object_Value) return Boolean is pragma Unreferenced (Type_Def); begin return Value.Proxy /= null; end To_Boolean; Bn_Type : aliased Record_Bean_Type := Record_Bean_Type '(null record); -- ------------------------------ -- Create an object which holds a record of the type <b>Element_Type</b>. -- ------------------------------ function Create return Object is begin return Object '(Controlled with V => Object_Value '(Of_Type => TYPE_BEAN, Proxy => new Element_Proxy '(Ref_Counter => ONE, others => <>)), Type_Def => Bn_Type'Access); end Create; -- ------------------------------ -- Create an object which is initialized with the given value. -- ------------------------------ function To_Object (Value : in Element_Type) return Object is begin return Object '(Controlled with V => Object_Value '(Of_Type => TYPE_BEAN, Proxy => new Element_Proxy '(Ref_Counter => ONE, Value => Value)), Type_Def => Bn_Type'Access); end To_Object; -- ------------------------------ -- Returns the element -- ------------------------------ function To_Element (Value : in Object) return Element_Type is begin if Value.V.Of_Type /= TYPE_BEAN then raise Conversion_Error with "Object is not a bean"; end if; declare Proxy : constant Bean_Proxy_Access := Value.V.Proxy; begin if Proxy = null then raise Conversion_Error with "Object is null"; end if; if not (Proxy.all in Element_Proxy'Class) then raise Conversion_Error with "Object is not of the good type"; end if; return Element_Proxy'Class (Proxy.all).Value; end; end To_Element; -- ------------------------------ -- Returns an access to the element. -- ------------------------------ function To_Element_Access (Value : in Object) return Element_Type_Access is begin if Value.V.Of_Type /= TYPE_BEAN then return null; end if; declare Proxy : constant Bean_Proxy_Access := Value.V.Proxy; begin if Proxy = null then return null; end if; if not (Proxy.all in Element_Proxy'Class) then return null; end if; return Element_Proxy'Class (Proxy.all).Value'Access; end; end To_Element_Access; end Util.Beans.Objects.Records;
36.414634
82
0.537173
cbe1a6180bd5fe75ce25fc64b854b4f94e06fa90
3,285
ads
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnarl/s-vxwork__x86.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnarl/s-vxwork__x86.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnarl/s-vxwork__x86.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . V X W O R K S -- -- -- -- S p e c -- -- -- -- Copyright (C) 1998-2020, Free Software Foundation, Inc. -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNARL was developed by the GNARL team at Florida State University. -- -- Extensive contributions were provided by Ada Core Technologies, Inc. -- -- -- ------------------------------------------------------------------------------ -- This is the x86 VxWorks version of this package package System.VxWorks is pragma Preelaborate; -- Floating point context record. x86 version -- There are two kinds of FP_CONTEXT for this architecture, corresponding -- to newer and older processors. The type is defined in fppI86lib.h as a -- union. The form used depends on the versions of the save and restore -- routines that are selected by the user (these versions are provided in -- vxwork.ads). Since we do not examine the contents of these objects, it -- is sufficient to declare the type as of the required size: 512 bytes. type FP_CONTEXT is array (1 .. 128) of Integer; for FP_CONTEXT'Alignment use 4; for FP_CONTEXT'Size use 512 * Storage_Unit; pragma Convention (C, FP_CONTEXT); Num_HW_Interrupts : constant := 256; -- Number of entries in hardware interrupt vector table end System.VxWorks;
59.727273
78
0.468493
0e1ce016d834e68280f291aac379d9990f04f6b3
7,267
ads
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/system-solaris-sparc.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/system-solaris-sparc.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/system-solaris-sparc.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M -- -- -- -- S p e c -- -- (SUN Solaris Version) -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ package System is pragma Pure; -- Note that we take advantage of the implementation permission to make -- this unit Pure instead of Preelaborable; see RM 13.7.1(15). In Ada -- 2005, this is Pure in any case (AI-362). pragma No_Elaboration_Code_All; -- Allow the use of that restriction in units that WITH this unit type Name is (SYSTEM_NAME_GNAT); System_Name : constant Name := SYSTEM_NAME_GNAT; -- System-Dependent Named Numbers Min_Int : constant := -2 ** (Standard'Max_Integer_Size - 1); Max_Int : constant := 2 ** (Standard'Max_Integer_Size - 1) - 1; Max_Binary_Modulus : constant := 2 ** Standard'Max_Integer_Size; Max_Nonbinary_Modulus : constant := 2 ** Integer'Size - 1; Max_Base_Digits : constant := Long_Long_Float'Digits; Max_Digits : constant := Long_Long_Float'Digits; Max_Mantissa : constant := 63; Fine_Delta : constant := 2.0 ** (-Max_Mantissa); Tick : constant := 0.01; -- Storage-related Declarations type Address is private; pragma Preelaborable_Initialization (Address); Null_Address : constant Address; Storage_Unit : constant := 8; Word_Size : constant := Standard'Word_Size; Memory_Size : constant := 2 ** Word_Size; -- Address comparison function "<" (Left, Right : Address) return Boolean; function "<=" (Left, Right : Address) return Boolean; function ">" (Left, Right : Address) return Boolean; function ">=" (Left, Right : Address) return Boolean; function "=" (Left, Right : Address) return Boolean; pragma Import (Intrinsic, "<"); pragma Import (Intrinsic, "<="); pragma Import (Intrinsic, ">"); pragma Import (Intrinsic, ">="); pragma Import (Intrinsic, "="); -- Other System-Dependent Declarations type Bit_Order is (High_Order_First, Low_Order_First); Default_Bit_Order : constant Bit_Order := High_Order_First; pragma Warnings (Off, Default_Bit_Order); -- kill constant condition warning -- Priority-related Declarations (RM D.1) Max_Priority : constant Positive := 30; Max_Interrupt_Priority : constant Positive := 31; subtype Any_Priority is Integer range 0 .. 31; subtype Priority is Any_Priority range 0 .. 30; subtype Interrupt_Priority is Any_Priority range 31 .. 31; Default_Priority : constant Priority := 15; private type Address is mod Memory_Size; Null_Address : constant Address := 0; -------------------------------------- -- System Implementation Parameters -- -------------------------------------- -- These parameters provide information about the target that is used -- by the compiler. They are in the private part of System, where they -- can be accessed using the special circuitry in the Targparm unit -- whose source should be consulted for more detailed descriptions -- of the individual switch values. Backend_Divide_Checks : constant Boolean := False; Backend_Overflow_Checks : constant Boolean := True; Command_Line_Args : constant Boolean := True; Configurable_Run_Time : constant Boolean := False; Denorm : constant Boolean := True; Duration_32_Bits : constant Boolean := False; Exit_Status_Supported : constant Boolean := True; Fractional_Fixed_Ops : constant Boolean := False; Frontend_Layout : constant Boolean := False; Machine_Overflows : constant Boolean := False; Machine_Rounds : constant Boolean := True; Preallocated_Stacks : constant Boolean := False; Signed_Zeros : constant Boolean := True; Stack_Check_Default : constant Boolean := False; Stack_Check_Probes : constant Boolean := True; Stack_Check_Limits : constant Boolean := False; Support_Aggregates : constant Boolean := True; Support_Atomic_Primitives : constant Boolean := True; Support_Composite_Assign : constant Boolean := True; Support_Composite_Compare : constant Boolean := True; Support_Long_Shifts : constant Boolean := True; Always_Compatible_Rep : constant Boolean := False; Suppress_Standard_Library : constant Boolean := False; Use_Ada_Main_Program_Name : constant Boolean := False; Frontend_Exceptions : constant Boolean := False; ZCX_By_Default : constant Boolean := True; end System;
48.771812
79
0.552085
cb545fe1e086735c130b21c6ca9fb80bd5b8f0bc
4,996
adb
Ada
llvm-gcc-4.2-2.9/gcc/ada/s-pack15.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
llvm-gcc-4.2-2.9/gcc/ada/s-pack15.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
llvm-gcc-4.2-2.9/gcc/ada/s-pack15.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M . P A C K _ 1 5 -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2005, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with System.Storage_Elements; with System.Unsigned_Types; with Unchecked_Conversion; package body System.Pack_15 is subtype Ofs is System.Storage_Elements.Storage_Offset; subtype Uns is System.Unsigned_Types.Unsigned; subtype N07 is System.Unsigned_Types.Unsigned range 0 .. 7; use type System.Storage_Elements.Storage_Offset; use type System.Unsigned_Types.Unsigned; type Cluster is record E0, E1, E2, E3, E4, E5, E6, E7 : Bits_15; end record; for Cluster use record E0 at 0 range 0 * Bits .. 0 * Bits + Bits - 1; E1 at 0 range 1 * Bits .. 1 * Bits + Bits - 1; E2 at 0 range 2 * Bits .. 2 * Bits + Bits - 1; E3 at 0 range 3 * Bits .. 3 * Bits + Bits - 1; E4 at 0 range 4 * Bits .. 4 * Bits + Bits - 1; E5 at 0 range 5 * Bits .. 5 * Bits + Bits - 1; E6 at 0 range 6 * Bits .. 6 * Bits + Bits - 1; E7 at 0 range 7 * Bits .. 7 * Bits + Bits - 1; end record; for Cluster'Size use Bits * 8; for Cluster'Alignment use Integer'Min (Standard'Maximum_Alignment, 1 + 1 * Boolean'Pos (Bits mod 2 = 0) + 2 * Boolean'Pos (Bits mod 4 = 0)); -- Use maximum possible alignment, given the bit field size, since this -- will result in the most efficient code possible for the field. type Cluster_Ref is access Cluster; function To_Ref is new Unchecked_Conversion (System.Address, Cluster_Ref); ------------ -- Get_15 -- ------------ function Get_15 (Arr : System.Address; N : Natural) return Bits_15 is C : constant Cluster_Ref := To_Ref (Arr + Bits * Ofs (Uns (N) / 8)); begin case N07 (Uns (N) mod 8) is when 0 => return C.E0; when 1 => return C.E1; when 2 => return C.E2; when 3 => return C.E3; when 4 => return C.E4; when 5 => return C.E5; when 6 => return C.E6; when 7 => return C.E7; end case; end Get_15; ------------ -- Set_15 -- ------------ procedure Set_15 (Arr : System.Address; N : Natural; E : Bits_15) is C : constant Cluster_Ref := To_Ref (Arr + Bits * Ofs (Uns (N) / 8)); begin case N07 (Uns (N) mod 8) is when 0 => C.E0 := E; when 1 => C.E1 := E; when 2 => C.E2 := E; when 3 => C.E3 := E; when 4 => C.E4 := E; when 5 => C.E5 := E; when 6 => C.E6 := E; when 7 => C.E7 := E; end case; end Set_15; end System.Pack_15;
43.443478
78
0.483387
c5c67daa595b602dc97aece9f06274b5dbf50a9d
12,629
adb
Ada
src/router_cb.adb
Robert-Tice/AdaFractalCPP
0f3dff5eb5bbfbff3db6ff0c7e9e263953a01de7
[ "MIT" ]
null
null
null
src/router_cb.adb
Robert-Tice/AdaFractalCPP
0f3dff5eb5bbfbff3db6ff0c7e9e263953a01de7
[ "MIT" ]
null
null
null
src/router_cb.adb
Robert-Tice/AdaFractalCPP
0f3dff5eb5bbfbff3db6ff0c7e9e263953a01de7
[ "MIT" ]
2
2021-04-16T19:49:00.000Z
2021-05-03T15:53:47.000Z
with Ada.Calendar; use Ada.Calendar; with Ada.Text_IO; use Ada.Text_IO; with Ada.Unchecked_Conversion; with AWS.MIME; with AWS.Messages; with AWS.Response; with AWS.Utils; use AWS.Utils; with Fractal; with Interfaces.C.Strings; use Interfaces.C.Strings; with Interfaces.C.Extensions; use Interfaces.C.Extensions; package body Router_Cb is procedure Init is begin Float_Julia_Fractal.Init (Viewport => Viewport); Fixed_Julia_Fractal.Init (Viewport => Viewport); Class_Uri_Router.Register_Path (This => Route'Access, Rgx_Str => New_String (Str => "^\/$"), Cb => Index_Worker'Access); Class_Uri_Router.Register_Path (This => Route'Access, Rgx_Str => New_String (Str => "^\/(fixed|float)_fractal$"), Cb => Fractal_Worker'Access); Class_Uri_Router.Register_Path (This => Route'Access, Rgx_Str => New_String (Str => "^\/reset$"), Cb => Reset_Worker'Access); Class_Uri_Router.Register_Path (This => Route'Access, Rgx_Str => New_String (Str => "^\/quit$"), Cb => Quit_Worker'Access); Class_Uri_Router.Register_Path (This => Route'Access, Rgx_Str => New_String (Str => "^\/compute_time$"), Cb => Compute_Time_Worker'Access); Class_Uri_Router.Register_Path (This => Route'Access, Rgx_Str => New_String (Str => "^\/window\|(\d+)\|(\d+)\|(\d+)\|(\d+)\|(\d+)$"), Cb => Window_Worker'Access); -- Class_Uri_Router.Register_Default (This => Route'Access, -- Cb => Default_Worker'Access); end Init; procedure Color_Pixel (Z_Escape : Boolean; Iter_Escape : Natural; Px : out RGB888_Pixel) is Value : constant Integer := 765 * (Iter_Escape - 1) / Max_Iterations; begin if Z_Escape then if Value > 510 then Px := RGB888_Pixel'(Red => Color'Last - Frame_Counter, Green => Color'Last, Blue => Color (Value rem Integer (Color'Last)), Alpha => Color'Last); elsif Value > 255 then Px := RGB888_Pixel'(Red => Color'Last - Frame_Counter, Green => Color (Value rem Integer (Color'Last)), Blue => Color'First + Frame_Counter, Alpha => Color'Last); else Px := RGB888_Pixel'(Red => Color (Value rem Integer (Color'Last)), Green => Color'First + Frame_Counter, Blue => Color'First, Alpha => Color'Last); end if; else Px := RGB888_Pixel'(Red => Color'First + Frame_Counter, Green => Color'First + Frame_Counter, Blue => Color'First + Frame_Counter, Alpha => Color'Last); end if; end Color_Pixel; function Router (Request : AWS.Status.Data) return AWS.Response.Data is URI : constant String := AWS.Status.URI (Request); Filename : constant String := "web/" & URI (2 .. URI'Last); Response : aliased AWS.Response.Data with Volatile; begin if not Class_Uri_Router.Match_Path (This => Route'Access, Path => New_String (Str => URI), Response => Response'Address) then if AWS.Utils.Is_Regular_File (Filename) then Response := AWS.Response.File (Content_Type => AWS.MIME.Content_Type (Filename), Filename => Filename); -- 404 not found else Put_Line ("Could not find file: " & Filename); Response := AWS.Response.Acknowledge (AWS.Messages.S404, "<p>Page '" & URI & "' Not found."); end if; end if; return Response; end Router; procedure Default_Worker (arg1 : Interfaces.C.Strings.chars_ptr; arg2 : System.Address) is Response : AWS.Response.Data with Address => Arg2, Import; URI : String := Value (Item => Arg1); Filename : constant String := "web/" & URI (2 .. URI'Last); begin if AWS.Utils.Is_Regular_File (Filename) then Response:= AWS.Response.File (Content_Type => AWS.MIME.Content_Type (Filename), Filename => Filename); -- 404 not found else Put_Line ("Could not find file: " & Filename); Response := AWS.Response.Acknowledge (AWS.Messages.S404, "<p>Page '" & URI & "' Not found."); end if; end Default_Worker; procedure Index_Worker (arg1 : access Class_Capture_Groups.capture_groups; arg2 : System.Address) is Response : aliased AWS.Response.Data with Address => Arg2, Import; begin Response := AWS.Response.File (AWS.MIME.Text_HTML, "web/html/index.html"); end Index_Worker; procedure Fractal_Worker (arg1 : access Class_Capture_Groups.capture_groups; arg2 : System.Address) is Response : AWS.Response.Data with Address => Arg2, Import; Fractal_Type : Computation_Enum; function Buffer_To_Stream is new Ada.Unchecked_Conversion (Source => Buffer_Access, Target => Stream_Element_Array_Access); Data_Stream : constant Stream_Element_Array_Access := Buffer_To_Stream (RawData); Buffer_Size : Stream_Element_Offset; Fixed_String : constant String := "fixed"; begin if Value (Item => Class_Capture_Groups.Get_Match (this => Arg1, Index => 1)) = Fixed_String then Fractal_Type := Fixed_Type; else Fractal_Type := Float_Type; end if; Buffer_Size := Stream_Element_Offset (Compute_Image (Comp_Type => Fractal_Type)); Response := AWS.Response.Build (Content_Type => AWS.MIME.Application_Octet_Stream, Message_Body => Data_Stream (Data_Stream'First .. Data_Stream'First + Buffer_Size)); end Fractal_Worker; procedure Reset_Worker (arg1 : access Class_Capture_Groups.capture_groups; arg2 : System.Address) is Response : AWS.Response.Data with Address => Arg2, Import; begin Viewport.Zoom := 10; Viewport.Center.X := Viewport.Width / 2; Viewport.Center.Y := Viewport.Height / 2; Float_Julia_Fractal.Set_Size (Viewport => Viewport); Fixed_Julia_Fractal.Set_Size (Viewport => Viewport); Put_Line ("Width:" & Viewport.Width'Img & " Height:" & Viewport.Height'Img & " Zoom:" & Viewport.Zoom'Img & " MouseX:" & Viewport.Center.X'Img & " MouseY:" & Viewport.Center.Y'Img); Response := AWS.Response.Build (AWS.MIME.Text_HTML, "reset"); end Reset_Worker; procedure Quit_Worker (arg1 : access Class_Capture_Groups.capture_groups; arg2 : System.Address) is Response : AWS.Response.Data with Address => Arg2, Import; begin Router_Cb.Server_Alive := False; Put_Line ("quitting..."); Response := AWS.Response.Build (AWS.MIME.Text_HTML, "quitting..."); end Quit_Worker; procedure Compute_Time_Worker (arg1 : access Class_Capture_Groups.capture_groups; arg2 : System.Address) is Response : AWS.Response.Data with Address => Arg2, Import; begin Response := AWS.Response.Build (AWS.MIME.Text_HTML, Duration'Image (Compute_Time)); end Compute_Time_Worker; procedure Window_Worker (Arg1 : access Class_Capture_Groups.Capture_Groups; Arg2 : System.Address) is Response : AWS.Response.Data with Address => Arg2, Import; Width : Natural := Natural'Value (Value (Item => Class_Capture_Groups.Get_Match (This => Arg1, Index => 1))); Height : Natural := Natural'Value (Value (Item => Class_Capture_Groups.Get_Match (This => Arg1, Index => 2))); Zoom : Natural := Natural'Value (Value (Item => Class_Capture_Groups.Get_Match (This => Arg1, Index => 3))); MouseX : Natural := Natural'Value (Value (Item => Class_Capture_Groups.Get_Match (This => Arg1, Index => 4))); MouseY : Natural := Natural'Value (Value (Item => Class_Capture_Groups.Get_Match (This => Arg1, Index => 5))); begin if Width >= 0 then if Width > ImgWidth'Last then Width := ImgWidth'Last; end if; Viewport.Width := Width; end if; if Height >= 0 then if Height > ImgHeight'Last then Height := ImgHeight'Last; end if; Viewport.Height := Height; end if; if Zoom /= 0 then Zoom := Viewport.Zoom + Zoom; if Zoom > ImgZoom'Last then Zoom := ImgZoom'Last; elsif Zoom < ImgZoom'First then Zoom := ImgZoom'First; end if; Viewport.Zoom := Zoom; end if; if MouseX >= 0 then if MouseX > ImgWidth'Last then MouseX := ImgWidth'Last; elsif MouseX < ImgWidth'First then MouseX := ImgWidth'First; end if; Viewport.Center.X := MouseX; end if; if MouseY >= 0 then if MouseY > ImgHeight'Last then MouseY := ImgHeight'Last; elsif MouseY < ImgHeight'First then MouseY := ImgHeight'First; end if; Viewport.Center.Y := MouseY; end if; Put_Line ("Float"); Float_Julia_Fractal.Set_Size (Viewport => Viewport); Put_Line ("Fixed"); Fixed_Julia_Fractal.Set_Size (Viewport => Viewport); Put_Line ("Width:" & Viewport.Width'Img & " Height:" & Viewport.Height'Img & " Zoom:" & Viewport.Zoom'Img & " MouseX:" & Viewport.Center.X'Img & " MouseY:" & Viewport.Center.Y'Img); Response := AWS.Response.Build (AWS.MIME.Text_HTML, "Success"); end Window_Worker; procedure Increment_Frame is begin if Cnt_Up then if Frame_Counter = Color'Last then Cnt_Up := not Cnt_Up; return; else Frame_Counter := Frame_Counter + 5; return; end if; end if; if Frame_Counter = Color'First then Cnt_Up := not Cnt_Up; return; end if; Frame_Counter := Frame_Counter - 5; end Increment_Frame; function Compute_Image (Comp_Type : Computation_Enum) return Buffer_Offset is Start_Time : constant Time := Clock; Ret : Buffer_Offset; begin case Comp_Type is when Fixed_Type => Increment_Frame; Fixed_Julia_Fractal.Calculate_Image (Buffer => RawData); Ret := Fixed_Julia_Fractal.Get_Buffer_Size; when Float_Type => Increment_Frame; Float_Julia_Fractal.Calculate_Image (Buffer => RawData); Ret := Float_Julia_Fractal.Get_Buffer_Size; end case; Compute_Time := (Clock - Start_Time) * 1000.0; -- Put_Line ("Time:" & Duration'Image (Compute_Time) & " ms"); return Ret; end Compute_Image; end Router_Cb;
36.605797
117
0.519439
dccb682034ace08ac10958fa844444b735925ed9
495
adb
Ada
hello.adb
MatrixMike/AdaDemo1
cbf2ad5a05dc06a8ee11d0780c19f5fd74c8990a
[ "MIT" ]
1
2019-06-27T12:58:28.000Z
2019-06-27T12:58:28.000Z
hello.adb
MatrixMike/AdaDemo1
cbf2ad5a05dc06a8ee11d0780c19f5fd74c8990a
[ "MIT" ]
null
null
null
hello.adb
MatrixMike/AdaDemo1
cbf2ad5a05dc06a8ee11d0780c19f5fd74c8990a
[ "MIT" ]
null
null
null
with Ada.Text_IO; use Ada.Text_IO; --Print a message out to the screen -- date Saturday 13 April 2013 -- https://gcc.gnu.org/onlinedocs/gnat_ugn/Running-a-Simple-Ada-Program.html -- https://stackoverflow.com/questions/12462461/is-it-worth-to-learn-ada-instead-of-another-languages-c-c -- revisited on 07.10.2019 15:10:39 procedure Hello is begin for i in 1..10 loop put('z'); end loop; Put_Line(""); Put_Line("Hello Mike from Ada"); Put_Line("second line"); end hello;
22.5
105
0.705051
d09b45653c86db974f2e49b61fad158128bc6f15
8,787
adb
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/a-tiinau.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/a-tiinau.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/a-tiinau.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . T E X T _ I O . I N T E G E R _ A U X -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Text_IO.Generic_Aux; use Ada.Text_IO.Generic_Aux; with System.Img_BIU; use System.Img_BIU; with System.Img_Int; use System.Img_Int; with System.Img_LLB; use System.Img_LLB; with System.Img_LLI; use System.Img_LLI; with System.Img_LLW; use System.Img_LLW; with System.Img_WIU; use System.Img_WIU; with System.Val_Int; use System.Val_Int; with System.Val_LLI; use System.Val_LLI; package body Ada.Text_IO.Integer_Aux is ----------------------- -- Local Subprograms -- ----------------------- procedure Load_Integer (File : File_Type; Buf : out String; Ptr : in out Natural); -- This is an auxiliary routine that is used to load a possibly signed -- integer literal value from the input file into Buf, starting at Ptr + 1. -- On return, Ptr is set to the last character stored. ------------- -- Get_Int -- ------------- procedure Get_Int (File : File_Type; Item : out Integer; Width : Field) is Buf : String (1 .. Field'Last); Ptr : aliased Integer := 1; Stop : Integer := 0; begin if Width /= 0 then Load_Width (File, Width, Buf, Stop); String_Skip (Buf, Ptr); else Load_Integer (File, Buf, Stop); end if; Item := Scan_Integer (Buf, Ptr'Access, Stop); Check_End_Of_Field (Buf, Stop, Ptr, Width); end Get_Int; ------------- -- Get_LLI -- ------------- procedure Get_LLI (File : File_Type; Item : out Long_Long_Integer; Width : Field) is Buf : String (1 .. Field'Last); Ptr : aliased Integer := 1; Stop : Integer := 0; begin if Width /= 0 then Load_Width (File, Width, Buf, Stop); String_Skip (Buf, Ptr); else Load_Integer (File, Buf, Stop); end if; Item := Scan_Long_Long_Integer (Buf, Ptr'Access, Stop); Check_End_Of_Field (Buf, Stop, Ptr, Width); end Get_LLI; -------------- -- Gets_Int -- -------------- procedure Gets_Int (From : String; Item : out Integer; Last : out Positive) is Pos : aliased Integer; begin String_Skip (From, Pos); Item := Scan_Integer (From, Pos'Access, From'Last); Last := Pos - 1; exception when Constraint_Error => raise Data_Error; end Gets_Int; -------------- -- Gets_LLI -- -------------- procedure Gets_LLI (From : String; Item : out Long_Long_Integer; Last : out Positive) is Pos : aliased Integer; begin String_Skip (From, Pos); Item := Scan_Long_Long_Integer (From, Pos'Access, From'Last); Last := Pos - 1; exception when Constraint_Error => raise Data_Error; end Gets_LLI; ------------------ -- Load_Integer -- ------------------ procedure Load_Integer (File : File_Type; Buf : out String; Ptr : in out Natural) is Hash_Loc : Natural; Loaded : Boolean; begin Load_Skip (File); Load (File, Buf, Ptr, '+', '-'); Load_Digits (File, Buf, Ptr, Loaded); if Loaded then -- Deal with based literal. We recognize either the standard '#' or -- the allowed alternative replacement ':' (see RM J.2(3)). Load (File, Buf, Ptr, '#', ':', Loaded); if Loaded then Hash_Loc := Ptr; Load_Extended_Digits (File, Buf, Ptr); Load (File, Buf, Ptr, Buf (Hash_Loc)); end if; -- Deal with exponent Load (File, Buf, Ptr, 'E', 'e', Loaded); if Loaded then -- Note: it is strange to allow a minus sign, since the syntax -- does not, but that is what ACVC test CE3704F, case (6) wants. Load (File, Buf, Ptr, '+', '-'); Load_Digits (File, Buf, Ptr); end if; end if; end Load_Integer; ------------- -- Put_Int -- ------------- procedure Put_Int (File : File_Type; Item : Integer; Width : Field; Base : Number_Base) is Buf : String (1 .. Integer'Max (Field'Last, Width)); Ptr : Natural := 0; begin if Base = 10 and then Width = 0 then Set_Image_Integer (Item, Buf, Ptr); elsif Base = 10 then Set_Image_Width_Integer (Item, Width, Buf, Ptr); else Set_Image_Based_Integer (Item, Base, Width, Buf, Ptr); end if; Put_Item (File, Buf (1 .. Ptr)); end Put_Int; ------------- -- Put_LLI -- ------------- procedure Put_LLI (File : File_Type; Item : Long_Long_Integer; Width : Field; Base : Number_Base) is Buf : String (1 .. Integer'Max (Field'Last, Width)); Ptr : Natural := 0; begin if Base = 10 and then Width = 0 then Set_Image_Long_Long_Integer (Item, Buf, Ptr); elsif Base = 10 then Set_Image_Width_Long_Long_Integer (Item, Width, Buf, Ptr); else Set_Image_Based_Long_Long_Integer (Item, Base, Width, Buf, Ptr); end if; Put_Item (File, Buf (1 .. Ptr)); end Put_LLI; -------------- -- Puts_Int -- -------------- procedure Puts_Int (To : out String; Item : Integer; Base : Number_Base) is Buf : String (1 .. Integer'Max (Field'Last, To'Length)); Ptr : Natural := 0; begin if Base = 10 then Set_Image_Width_Integer (Item, To'Length, Buf, Ptr); else Set_Image_Based_Integer (Item, Base, To'Length, Buf, Ptr); end if; if Ptr > To'Length then raise Layout_Error; else To (To'First .. To'First + Ptr - 1) := Buf (1 .. Ptr); end if; end Puts_Int; -------------- -- Puts_LLI -- -------------- procedure Puts_LLI (To : out String; Item : Long_Long_Integer; Base : Number_Base) is Buf : String (1 .. Integer'Max (Field'Last, To'Length)); Ptr : Natural := 0; begin if Base = 10 then Set_Image_Width_Long_Long_Integer (Item, To'Length, Buf, Ptr); else Set_Image_Based_Long_Long_Integer (Item, Base, To'Length, Buf, Ptr); end if; if Ptr > To'Length then raise Layout_Error; else To (To'First .. To'First + Ptr - 1) := Buf (1 .. Ptr); end if; end Puts_LLI; end Ada.Text_IO.Integer_Aux;
29.486577
79
0.49448
dc9fbfa93e114d0afdcc4937d008ed02f5f31113
77,191
ads
Ada
arch/ARM/STM32/svd/stm32l4x6/stm32_svd-usb_otg_fs.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
2
2018-05-16T03:56:39.000Z
2019-07-31T13:53:56.000Z
arch/ARM/STM32/svd/stm32l4x6/stm32_svd-usb_otg_fs.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
null
null
null
arch/ARM/STM32/svd/stm32l4x6/stm32_svd-usb_otg_fs.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
null
null
null
-- This spec has been automatically generated from STM32L4x6.svd pragma Restrictions (No_Elaboration_Code); pragma Ada_2012; pragma Style_Checks (Off); with HAL; with System; package STM32_SVD.USB_OTG_FS is pragma Preelaborate; --------------- -- Registers -- --------------- subtype FS_DCFG_DSPD_Field is HAL.UInt2; subtype FS_DCFG_DAD_Field is HAL.UInt7; subtype FS_DCFG_PFIVL_Field is HAL.UInt2; -- OTG_FS device configuration register (OTG_FS_DCFG) type FS_DCFG_Register is record -- Device speed DSPD : FS_DCFG_DSPD_Field := 16#0#; -- Non-zero-length status OUT handshake NZLSOHSK : Boolean := False; -- unspecified Reserved_3_3 : HAL.Bit := 16#0#; -- Device address DAD : FS_DCFG_DAD_Field := 16#0#; -- Periodic frame interval PFIVL : FS_DCFG_PFIVL_Field := 16#0#; -- unspecified Reserved_13_31 : HAL.UInt19 := 16#1100#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_DCFG_Register use record DSPD at 0 range 0 .. 1; NZLSOHSK at 0 range 2 .. 2; Reserved_3_3 at 0 range 3 .. 3; DAD at 0 range 4 .. 10; PFIVL at 0 range 11 .. 12; Reserved_13_31 at 0 range 13 .. 31; end record; subtype FS_DCTL_TCTL_Field is HAL.UInt3; -- OTG_FS device control register (OTG_FS_DCTL) type FS_DCTL_Register is record -- Remote wakeup signaling RWUSIG : Boolean := False; -- Soft disconnect SDIS : Boolean := False; -- Read-only. Global IN NAK status GINSTS : Boolean := False; -- Read-only. Global OUT NAK status GONSTS : Boolean := False; -- Test control TCTL : FS_DCTL_TCTL_Field := 16#0#; -- Set global IN NAK SGINAK : Boolean := False; -- Clear global IN NAK CGINAK : Boolean := False; -- Set global OUT NAK SGONAK : Boolean := False; -- Clear global OUT NAK CGONAK : Boolean := False; -- Power-on programming done POPRGDNE : Boolean := False; -- unspecified Reserved_12_31 : HAL.UInt20 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_DCTL_Register use record RWUSIG at 0 range 0 .. 0; SDIS at 0 range 1 .. 1; GINSTS at 0 range 2 .. 2; GONSTS at 0 range 3 .. 3; TCTL at 0 range 4 .. 6; SGINAK at 0 range 7 .. 7; CGINAK at 0 range 8 .. 8; SGONAK at 0 range 9 .. 9; CGONAK at 0 range 10 .. 10; POPRGDNE at 0 range 11 .. 11; Reserved_12_31 at 0 range 12 .. 31; end record; subtype FS_DSTS_ENUMSPD_Field is HAL.UInt2; subtype FS_DSTS_FNSOF_Field is HAL.UInt14; -- OTG_FS device status register (OTG_FS_DSTS) type FS_DSTS_Register is record -- Read-only. Suspend status SUSPSTS : Boolean; -- Read-only. Enumerated speed ENUMSPD : FS_DSTS_ENUMSPD_Field; -- Read-only. Erratic error EERR : Boolean; -- unspecified Reserved_4_7 : HAL.UInt4; -- Read-only. Frame number of the received SOF FNSOF : FS_DSTS_FNSOF_Field; -- unspecified Reserved_22_31 : HAL.UInt10; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_DSTS_Register use record SUSPSTS at 0 range 0 .. 0; ENUMSPD at 0 range 1 .. 2; EERR at 0 range 3 .. 3; Reserved_4_7 at 0 range 4 .. 7; FNSOF at 0 range 8 .. 21; Reserved_22_31 at 0 range 22 .. 31; end record; -- OTG_FS device IN endpoint common interrupt mask register -- (OTG_FS_DIEPMSK) type FS_DIEPMSK_Register is record -- Transfer completed interrupt mask XFRCM : Boolean := False; -- Endpoint disabled interrupt mask EPDM : Boolean := False; -- unspecified Reserved_2_2 : HAL.Bit := 16#0#; -- Timeout condition mask (Non-isochronous endpoints) TOM : Boolean := False; -- IN token received when TxFIFO empty mask ITTXFEMSK : Boolean := False; -- IN token received with EP mismatch mask INEPNMM : Boolean := False; -- IN endpoint NAK effective mask INEPNEM : Boolean := False; -- unspecified Reserved_7_31 : HAL.UInt25 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_DIEPMSK_Register use record XFRCM at 0 range 0 .. 0; EPDM at 0 range 1 .. 1; Reserved_2_2 at 0 range 2 .. 2; TOM at 0 range 3 .. 3; ITTXFEMSK at 0 range 4 .. 4; INEPNMM at 0 range 5 .. 5; INEPNEM at 0 range 6 .. 6; Reserved_7_31 at 0 range 7 .. 31; end record; -- OTG_FS device OUT endpoint common interrupt mask register -- (OTG_FS_DOEPMSK) type FS_DOEPMSK_Register is record -- Transfer completed interrupt mask XFRCM : Boolean := False; -- Endpoint disabled interrupt mask EPDM : Boolean := False; -- unspecified Reserved_2_2 : HAL.Bit := 16#0#; -- SETUP phase done mask STUPM : Boolean := False; -- OUT token received when endpoint disabled mask OTEPDM : Boolean := False; -- unspecified Reserved_5_31 : HAL.UInt27 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_DOEPMSK_Register use record XFRCM at 0 range 0 .. 0; EPDM at 0 range 1 .. 1; Reserved_2_2 at 0 range 2 .. 2; STUPM at 0 range 3 .. 3; OTEPDM at 0 range 4 .. 4; Reserved_5_31 at 0 range 5 .. 31; end record; subtype FS_DAINT_IEPINT_Field is HAL.UInt16; subtype FS_DAINT_OEPINT_Field is HAL.UInt16; -- OTG_FS device all endpoints interrupt register (OTG_FS_DAINT) type FS_DAINT_Register is record -- Read-only. IN endpoint interrupt bits IEPINT : FS_DAINT_IEPINT_Field; -- Read-only. OUT endpoint interrupt bits OEPINT : FS_DAINT_OEPINT_Field; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_DAINT_Register use record IEPINT at 0 range 0 .. 15; OEPINT at 0 range 16 .. 31; end record; subtype FS_DAINTMSK_IEPM_Field is HAL.UInt16; subtype FS_DAINTMSK_OEPINT_Field is HAL.UInt16; -- OTG_FS all endpoints interrupt mask register (OTG_FS_DAINTMSK) type FS_DAINTMSK_Register is record -- IN EP interrupt mask bits IEPM : FS_DAINTMSK_IEPM_Field := 16#0#; -- OUT endpoint interrupt bits OEPINT : FS_DAINTMSK_OEPINT_Field := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_DAINTMSK_Register use record IEPM at 0 range 0 .. 15; OEPINT at 0 range 16 .. 31; end record; subtype DVBUSDIS_VBUSDT_Field is HAL.UInt16; -- OTG_FS device VBUS discharge time register type DVBUSDIS_Register is record -- Device VBUS discharge time VBUSDT : DVBUSDIS_VBUSDT_Field := 16#17D7#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DVBUSDIS_Register use record VBUSDT at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype DVBUSPULSE_DVBUSP_Field is HAL.UInt12; -- OTG_FS device VBUS pulsing time register type DVBUSPULSE_Register is record -- Device VBUS pulsing time DVBUSP : DVBUSPULSE_DVBUSP_Field := 16#5B8#; -- unspecified Reserved_12_31 : HAL.UInt20 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DVBUSPULSE_Register use record DVBUSP at 0 range 0 .. 11; Reserved_12_31 at 0 range 12 .. 31; end record; subtype DIEPEMPMSK_INEPTXFEM_Field is HAL.UInt16; -- OTG_FS device IN endpoint FIFO empty interrupt mask register type DIEPEMPMSK_Register is record -- IN EP Tx FIFO empty interrupt mask bits INEPTXFEM : DIEPEMPMSK_INEPTXFEM_Field := 16#0#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DIEPEMPMSK_Register use record INEPTXFEM at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype FS_DIEPCTL0_MPSIZ_Field is HAL.UInt2; subtype FS_DIEPCTL0_EPTYP_Field is HAL.UInt2; subtype FS_DIEPCTL0_TXFNUM_Field is HAL.UInt4; -- OTG_FS device control IN endpoint 0 control register (OTG_FS_DIEPCTL0) type FS_DIEPCTL0_Register is record -- Maximum packet size MPSIZ : FS_DIEPCTL0_MPSIZ_Field := 16#0#; -- unspecified Reserved_2_14 : HAL.UInt13 := 16#0#; -- Read-only. USB active endpoint USBAEP : Boolean := False; -- unspecified Reserved_16_16 : HAL.Bit := 16#0#; -- Read-only. NAK status NAKSTS : Boolean := False; -- Read-only. Endpoint type EPTYP : FS_DIEPCTL0_EPTYP_Field := 16#0#; -- unspecified Reserved_20_20 : HAL.Bit := 16#0#; -- STALL handshake STALL : Boolean := False; -- TxFIFO number TXFNUM : FS_DIEPCTL0_TXFNUM_Field := 16#0#; -- Write-only. Clear NAK CNAK : Boolean := False; -- Write-only. Set NAK SNAK : Boolean := False; -- unspecified Reserved_28_29 : HAL.UInt2 := 16#0#; -- Read-only. Endpoint disable EPDIS : Boolean := False; -- Read-only. Endpoint enable EPENA : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_DIEPCTL0_Register use record MPSIZ at 0 range 0 .. 1; Reserved_2_14 at 0 range 2 .. 14; USBAEP at 0 range 15 .. 15; Reserved_16_16 at 0 range 16 .. 16; NAKSTS at 0 range 17 .. 17; EPTYP at 0 range 18 .. 19; Reserved_20_20 at 0 range 20 .. 20; STALL at 0 range 21 .. 21; TXFNUM at 0 range 22 .. 25; CNAK at 0 range 26 .. 26; SNAK at 0 range 27 .. 27; Reserved_28_29 at 0 range 28 .. 29; EPDIS at 0 range 30 .. 30; EPENA at 0 range 31 .. 31; end record; -- device endpoint-x interrupt register type DIEPINT_Register is record -- XFRC XFRC : Boolean := False; -- EPDISD EPDISD : Boolean := False; -- unspecified Reserved_2_2 : HAL.Bit := 16#0#; -- TOC TOC : Boolean := False; -- ITTXFE ITTXFE : Boolean := False; -- unspecified Reserved_5_5 : HAL.Bit := 16#0#; -- INEPNE INEPNE : Boolean := False; -- Read-only. TXFE TXFE : Boolean := True; -- unspecified Reserved_8_31 : HAL.UInt24 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DIEPINT_Register use record XFRC at 0 range 0 .. 0; EPDISD at 0 range 1 .. 1; Reserved_2_2 at 0 range 2 .. 2; TOC at 0 range 3 .. 3; ITTXFE at 0 range 4 .. 4; Reserved_5_5 at 0 range 5 .. 5; INEPNE at 0 range 6 .. 6; TXFE at 0 range 7 .. 7; Reserved_8_31 at 0 range 8 .. 31; end record; subtype DIEPTSIZ0_XFRSIZ_Field is HAL.UInt7; subtype DIEPTSIZ0_PKTCNT_Field is HAL.UInt2; -- device endpoint-0 transfer size register type DIEPTSIZ0_Register is record -- Transfer size XFRSIZ : DIEPTSIZ0_XFRSIZ_Field := 16#0#; -- unspecified Reserved_7_18 : HAL.UInt12 := 16#0#; -- Packet count PKTCNT : DIEPTSIZ0_PKTCNT_Field := 16#0#; -- unspecified Reserved_21_31 : HAL.UInt11 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DIEPTSIZ0_Register use record XFRSIZ at 0 range 0 .. 6; Reserved_7_18 at 0 range 7 .. 18; PKTCNT at 0 range 19 .. 20; Reserved_21_31 at 0 range 21 .. 31; end record; subtype DTXFSTS_INEPTFSAV_Field is HAL.UInt16; -- OTG_FS device IN endpoint transmit FIFO status register type DTXFSTS_Register is record -- Read-only. IN endpoint TxFIFO space available INEPTFSAV : DTXFSTS_INEPTFSAV_Field; -- unspecified Reserved_16_31 : HAL.UInt16; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DTXFSTS_Register use record INEPTFSAV at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype DIEPCTL1_MPSIZ_Field is HAL.UInt11; subtype DIEPCTL1_EPTYP_Field is HAL.UInt2; subtype DIEPCTL1_TXFNUM_Field is HAL.UInt4; -- OTG device endpoint-1 control register type DIEPCTL1_Register is record -- MPSIZ MPSIZ : DIEPCTL1_MPSIZ_Field := 16#0#; -- unspecified Reserved_11_14 : HAL.UInt4 := 16#0#; -- USBAEP USBAEP : Boolean := False; -- Read-only. EONUM/DPID EONUM_DPID : Boolean := False; -- Read-only. NAKSTS NAKSTS : Boolean := False; -- EPTYP EPTYP : DIEPCTL1_EPTYP_Field := 16#0#; -- unspecified Reserved_20_20 : HAL.Bit := 16#0#; -- Stall Stall : Boolean := False; -- TXFNUM TXFNUM : DIEPCTL1_TXFNUM_Field := 16#0#; -- Write-only. CNAK CNAK : Boolean := False; -- Write-only. SNAK SNAK : Boolean := False; -- Write-only. SD0PID/SEVNFRM SD0PID_SEVNFRM : Boolean := False; -- Write-only. SODDFRM/SD1PID SODDFRM_SD1PID : Boolean := False; -- EPDIS EPDIS : Boolean := False; -- EPENA EPENA : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DIEPCTL1_Register use record MPSIZ at 0 range 0 .. 10; Reserved_11_14 at 0 range 11 .. 14; USBAEP at 0 range 15 .. 15; EONUM_DPID at 0 range 16 .. 16; NAKSTS at 0 range 17 .. 17; EPTYP at 0 range 18 .. 19; Reserved_20_20 at 0 range 20 .. 20; Stall at 0 range 21 .. 21; TXFNUM at 0 range 22 .. 25; CNAK at 0 range 26 .. 26; SNAK at 0 range 27 .. 27; SD0PID_SEVNFRM at 0 range 28 .. 28; SODDFRM_SD1PID at 0 range 29 .. 29; EPDIS at 0 range 30 .. 30; EPENA at 0 range 31 .. 31; end record; subtype DIEPTSIZ_XFRSIZ_Field is HAL.UInt19; subtype DIEPTSIZ_PKTCNT_Field is HAL.UInt10; subtype DIEPTSIZ_MCNT_Field is HAL.UInt2; -- device endpoint-1 transfer size register type DIEPTSIZ_Register is record -- Transfer size XFRSIZ : DIEPTSIZ_XFRSIZ_Field := 16#0#; -- Packet count PKTCNT : DIEPTSIZ_PKTCNT_Field := 16#0#; -- Multi count MCNT : DIEPTSIZ_MCNT_Field := 16#0#; -- unspecified Reserved_31_31 : HAL.Bit := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DIEPTSIZ_Register use record XFRSIZ at 0 range 0 .. 18; PKTCNT at 0 range 19 .. 28; MCNT at 0 range 29 .. 30; Reserved_31_31 at 0 range 31 .. 31; end record; subtype DIEPCTL_MPSIZ_Field is HAL.UInt11; subtype DIEPCTL_EPTYP_Field is HAL.UInt2; subtype DIEPCTL_TXFNUM_Field is HAL.UInt4; -- OTG device endpoint-2 control register type DIEPCTL_Register is record -- MPSIZ MPSIZ : DIEPCTL_MPSIZ_Field := 16#0#; -- unspecified Reserved_11_14 : HAL.UInt4 := 16#0#; -- USBAEP USBAEP : Boolean := False; -- Read-only. EONUM/DPID EONUM_DPID : Boolean := False; -- Read-only. NAKSTS NAKSTS : Boolean := False; -- EPTYP EPTYP : DIEPCTL_EPTYP_Field := 16#0#; -- unspecified Reserved_20_20 : HAL.Bit := 16#0#; -- Stall Stall : Boolean := False; -- TXFNUM TXFNUM : DIEPCTL_TXFNUM_Field := 16#0#; -- Write-only. CNAK CNAK : Boolean := False; -- Write-only. SNAK SNAK : Boolean := False; -- Write-only. SD0PID/SEVNFRM SD0PID_SEVNFRM : Boolean := False; -- Write-only. SODDFRM SODDFRM : Boolean := False; -- EPDIS EPDIS : Boolean := False; -- EPENA EPENA : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DIEPCTL_Register use record MPSIZ at 0 range 0 .. 10; Reserved_11_14 at 0 range 11 .. 14; USBAEP at 0 range 15 .. 15; EONUM_DPID at 0 range 16 .. 16; NAKSTS at 0 range 17 .. 17; EPTYP at 0 range 18 .. 19; Reserved_20_20 at 0 range 20 .. 20; Stall at 0 range 21 .. 21; TXFNUM at 0 range 22 .. 25; CNAK at 0 range 26 .. 26; SNAK at 0 range 27 .. 27; SD0PID_SEVNFRM at 0 range 28 .. 28; SODDFRM at 0 range 29 .. 29; EPDIS at 0 range 30 .. 30; EPENA at 0 range 31 .. 31; end record; subtype DOEPCTL0_MPSIZ_Field is HAL.UInt2; subtype DOEPCTL0_EPTYP_Field is HAL.UInt2; -- device endpoint-0 control register type DOEPCTL0_Register is record -- Read-only. MPSIZ MPSIZ : DOEPCTL0_MPSIZ_Field := 16#0#; -- unspecified Reserved_2_14 : HAL.UInt13 := 16#0#; -- Read-only. USBAEP USBAEP : Boolean := True; -- unspecified Reserved_16_16 : HAL.Bit := 16#0#; -- Read-only. NAKSTS NAKSTS : Boolean := False; -- Read-only. EPTYP EPTYP : DOEPCTL0_EPTYP_Field := 16#0#; -- SNPM SNPM : Boolean := False; -- Stall Stall : Boolean := False; -- unspecified Reserved_22_25 : HAL.UInt4 := 16#0#; -- Write-only. CNAK CNAK : Boolean := False; -- Write-only. SNAK SNAK : Boolean := False; -- unspecified Reserved_28_29 : HAL.UInt2 := 16#0#; -- Read-only. EPDIS EPDIS : Boolean := False; -- Write-only. EPENA EPENA : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DOEPCTL0_Register use record MPSIZ at 0 range 0 .. 1; Reserved_2_14 at 0 range 2 .. 14; USBAEP at 0 range 15 .. 15; Reserved_16_16 at 0 range 16 .. 16; NAKSTS at 0 range 17 .. 17; EPTYP at 0 range 18 .. 19; SNPM at 0 range 20 .. 20; Stall at 0 range 21 .. 21; Reserved_22_25 at 0 range 22 .. 25; CNAK at 0 range 26 .. 26; SNAK at 0 range 27 .. 27; Reserved_28_29 at 0 range 28 .. 29; EPDIS at 0 range 30 .. 30; EPENA at 0 range 31 .. 31; end record; -- device endpoint-0 interrupt register type DOEPINT_Register is record -- XFRC XFRC : Boolean := False; -- EPDISD EPDISD : Boolean := False; -- unspecified Reserved_2_2 : HAL.Bit := 16#0#; -- STUP STUP : Boolean := False; -- OTEPDIS OTEPDIS : Boolean := False; -- unspecified Reserved_5_5 : HAL.Bit := 16#0#; -- B2BSTUP B2BSTUP : Boolean := False; -- unspecified Reserved_7_31 : HAL.UInt25 := 16#1#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DOEPINT_Register use record XFRC at 0 range 0 .. 0; EPDISD at 0 range 1 .. 1; Reserved_2_2 at 0 range 2 .. 2; STUP at 0 range 3 .. 3; OTEPDIS at 0 range 4 .. 4; Reserved_5_5 at 0 range 5 .. 5; B2BSTUP at 0 range 6 .. 6; Reserved_7_31 at 0 range 7 .. 31; end record; subtype DOEPTSIZ0_XFRSIZ_Field is HAL.UInt7; subtype DOEPTSIZ0_STUPCNT_Field is HAL.UInt2; -- device OUT endpoint-0 transfer size register type DOEPTSIZ0_Register is record -- Transfer size XFRSIZ : DOEPTSIZ0_XFRSIZ_Field := 16#0#; -- unspecified Reserved_7_18 : HAL.UInt12 := 16#0#; -- Packet count PKTCNT : Boolean := False; -- unspecified Reserved_20_28 : HAL.UInt9 := 16#0#; -- SETUP packet count STUPCNT : DOEPTSIZ0_STUPCNT_Field := 16#0#; -- unspecified Reserved_31_31 : HAL.Bit := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DOEPTSIZ0_Register use record XFRSIZ at 0 range 0 .. 6; Reserved_7_18 at 0 range 7 .. 18; PKTCNT at 0 range 19 .. 19; Reserved_20_28 at 0 range 20 .. 28; STUPCNT at 0 range 29 .. 30; Reserved_31_31 at 0 range 31 .. 31; end record; subtype DOEPCTL_MPSIZ_Field is HAL.UInt11; subtype DOEPCTL_EPTYP_Field is HAL.UInt2; -- device endpoint-1 control register type DOEPCTL_Register is record -- MPSIZ MPSIZ : DOEPCTL_MPSIZ_Field := 16#0#; -- unspecified Reserved_11_14 : HAL.UInt4 := 16#0#; -- USBAEP USBAEP : Boolean := False; -- Read-only. EONUM/DPID EONUM_DPID : Boolean := False; -- Read-only. NAKSTS NAKSTS : Boolean := False; -- EPTYP EPTYP : DOEPCTL_EPTYP_Field := 16#0#; -- SNPM SNPM : Boolean := False; -- Stall Stall : Boolean := False; -- unspecified Reserved_22_25 : HAL.UInt4 := 16#0#; -- Write-only. CNAK CNAK : Boolean := False; -- Write-only. SNAK SNAK : Boolean := False; -- Write-only. SD0PID/SEVNFRM SD0PID_SEVNFRM : Boolean := False; -- Write-only. SODDFRM SODDFRM : Boolean := False; -- EPDIS EPDIS : Boolean := False; -- EPENA EPENA : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DOEPCTL_Register use record MPSIZ at 0 range 0 .. 10; Reserved_11_14 at 0 range 11 .. 14; USBAEP at 0 range 15 .. 15; EONUM_DPID at 0 range 16 .. 16; NAKSTS at 0 range 17 .. 17; EPTYP at 0 range 18 .. 19; SNPM at 0 range 20 .. 20; Stall at 0 range 21 .. 21; Reserved_22_25 at 0 range 22 .. 25; CNAK at 0 range 26 .. 26; SNAK at 0 range 27 .. 27; SD0PID_SEVNFRM at 0 range 28 .. 28; SODDFRM at 0 range 29 .. 29; EPDIS at 0 range 30 .. 30; EPENA at 0 range 31 .. 31; end record; subtype DOEPTSIZ_XFRSIZ_Field is HAL.UInt19; subtype DOEPTSIZ_PKTCNT_Field is HAL.UInt10; subtype DOEPTSIZ_RXDPID_STUPCNT_Field is HAL.UInt2; -- device OUT endpoint-1 transfer size register type DOEPTSIZ_Register is record -- Transfer size XFRSIZ : DOEPTSIZ_XFRSIZ_Field := 16#0#; -- Packet count PKTCNT : DOEPTSIZ_PKTCNT_Field := 16#0#; -- Received data PID/SETUP packet count RXDPID_STUPCNT : DOEPTSIZ_RXDPID_STUPCNT_Field := 16#0#; -- unspecified Reserved_31_31 : HAL.Bit := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DOEPTSIZ_Register use record XFRSIZ at 0 range 0 .. 18; PKTCNT at 0 range 19 .. 28; RXDPID_STUPCNT at 0 range 29 .. 30; Reserved_31_31 at 0 range 31 .. 31; end record; -- OTG_FS control and status register (OTG_FS_GOTGCTL) type FS_GOTGCTL_Register is record -- Read-only. Session request success SRQSCS : Boolean := False; -- Session request SRQ : Boolean := False; -- unspecified Reserved_2_7 : HAL.UInt6 := 16#0#; -- Read-only. Host negotiation success HNGSCS : Boolean := False; -- HNP request HNPRQ : Boolean := False; -- Host set HNP enable HSHNPEN : Boolean := False; -- Device HNP enabled DHNPEN : Boolean := True; -- unspecified Reserved_12_15 : HAL.UInt4 := 16#0#; -- Read-only. Connector ID status CIDSTS : Boolean := False; -- Read-only. Long/short debounce time DBCT : Boolean := False; -- Read-only. A-session valid ASVLD : Boolean := False; -- Read-only. B-session valid BSVLD : Boolean := False; -- unspecified Reserved_20_31 : HAL.UInt12 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GOTGCTL_Register use record SRQSCS at 0 range 0 .. 0; SRQ at 0 range 1 .. 1; Reserved_2_7 at 0 range 2 .. 7; HNGSCS at 0 range 8 .. 8; HNPRQ at 0 range 9 .. 9; HSHNPEN at 0 range 10 .. 10; DHNPEN at 0 range 11 .. 11; Reserved_12_15 at 0 range 12 .. 15; CIDSTS at 0 range 16 .. 16; DBCT at 0 range 17 .. 17; ASVLD at 0 range 18 .. 18; BSVLD at 0 range 19 .. 19; Reserved_20_31 at 0 range 20 .. 31; end record; -- OTG_FS interrupt register (OTG_FS_GOTGINT) type FS_GOTGINT_Register is record -- unspecified Reserved_0_1 : HAL.UInt2 := 16#0#; -- Session end detected SEDET : Boolean := False; -- unspecified Reserved_3_7 : HAL.UInt5 := 16#0#; -- Session request success status change SRSSCHG : Boolean := False; -- Host negotiation success status change HNSSCHG : Boolean := False; -- unspecified Reserved_10_16 : HAL.UInt7 := 16#0#; -- Host negotiation detected HNGDET : Boolean := False; -- A-device timeout change ADTOCHG : Boolean := False; -- Debounce done DBCDNE : Boolean := False; -- unspecified Reserved_20_31 : HAL.UInt12 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GOTGINT_Register use record Reserved_0_1 at 0 range 0 .. 1; SEDET at 0 range 2 .. 2; Reserved_3_7 at 0 range 3 .. 7; SRSSCHG at 0 range 8 .. 8; HNSSCHG at 0 range 9 .. 9; Reserved_10_16 at 0 range 10 .. 16; HNGDET at 0 range 17 .. 17; ADTOCHG at 0 range 18 .. 18; DBCDNE at 0 range 19 .. 19; Reserved_20_31 at 0 range 20 .. 31; end record; -- OTG_FS AHB configuration register (OTG_FS_GAHBCFG) type FS_GAHBCFG_Register is record -- Global interrupt mask GINT : Boolean := False; -- unspecified Reserved_1_6 : HAL.UInt6 := 16#0#; -- TxFIFO empty level TXFELVL : Boolean := False; -- Periodic TxFIFO empty level PTXFELVL : Boolean := False; -- unspecified Reserved_9_31 : HAL.UInt23 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GAHBCFG_Register use record GINT at 0 range 0 .. 0; Reserved_1_6 at 0 range 1 .. 6; TXFELVL at 0 range 7 .. 7; PTXFELVL at 0 range 8 .. 8; Reserved_9_31 at 0 range 9 .. 31; end record; subtype FS_GUSBCFG_TOCAL_Field is HAL.UInt3; subtype FS_GUSBCFG_TRDT_Field is HAL.UInt4; -- OTG_FS USB configuration register (OTG_FS_GUSBCFG) type FS_GUSBCFG_Register is record -- FS timeout calibration TOCAL : FS_GUSBCFG_TOCAL_Field := 16#0#; -- unspecified Reserved_3_5 : HAL.UInt3 := 16#0#; -- Write-only. Full Speed serial transceiver select PHYSEL : Boolean := False; -- unspecified Reserved_7_7 : HAL.Bit := 16#0#; -- SRP-capable SRPCAP : Boolean := False; -- HNP-capable HNPCAP : Boolean := True; -- USB turnaround time TRDT : FS_GUSBCFG_TRDT_Field := 16#2#; -- unspecified Reserved_14_28 : HAL.UInt15 := 16#0#; -- Force host mode FHMOD : Boolean := False; -- Force device mode FDMOD : Boolean := False; -- Corrupt Tx packet CTXPKT : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GUSBCFG_Register use record TOCAL at 0 range 0 .. 2; Reserved_3_5 at 0 range 3 .. 5; PHYSEL at 0 range 6 .. 6; Reserved_7_7 at 0 range 7 .. 7; SRPCAP at 0 range 8 .. 8; HNPCAP at 0 range 9 .. 9; TRDT at 0 range 10 .. 13; Reserved_14_28 at 0 range 14 .. 28; FHMOD at 0 range 29 .. 29; FDMOD at 0 range 30 .. 30; CTXPKT at 0 range 31 .. 31; end record; subtype FS_GRSTCTL_TXFNUM_Field is HAL.UInt5; -- OTG_FS reset register (OTG_FS_GRSTCTL) type FS_GRSTCTL_Register is record -- Core soft reset CSRST : Boolean := False; -- HCLK soft reset HSRST : Boolean := False; -- Host frame counter reset FCRST : Boolean := False; -- unspecified Reserved_3_3 : HAL.Bit := 16#0#; -- RxFIFO flush RXFFLSH : Boolean := False; -- TxFIFO flush TXFFLSH : Boolean := False; -- TxFIFO number TXFNUM : FS_GRSTCTL_TXFNUM_Field := 16#0#; -- unspecified Reserved_11_30 : HAL.UInt20 := 16#40000#; -- Read-only. AHB master idle AHBIDL : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GRSTCTL_Register use record CSRST at 0 range 0 .. 0; HSRST at 0 range 1 .. 1; FCRST at 0 range 2 .. 2; Reserved_3_3 at 0 range 3 .. 3; RXFFLSH at 0 range 4 .. 4; TXFFLSH at 0 range 5 .. 5; TXFNUM at 0 range 6 .. 10; Reserved_11_30 at 0 range 11 .. 30; AHBIDL at 0 range 31 .. 31; end record; -- OTG_FS core interrupt register (OTG_FS_GINTSTS) type FS_GINTSTS_Register is record -- Read-only. Current mode of operation CMOD : Boolean := False; -- Mode mismatch interrupt MMIS : Boolean := False; -- Read-only. OTG interrupt OTGINT : Boolean := False; -- Start of frame SOF : Boolean := False; -- Read-only. RxFIFO non-empty RXFLVL : Boolean := False; -- Read-only. Non-periodic TxFIFO empty NPTXFE : Boolean := True; -- Read-only. Global IN non-periodic NAK effective GINAKEFF : Boolean := False; -- Read-only. Global OUT NAK effective GOUTNAKEFF : Boolean := False; -- unspecified Reserved_8_9 : HAL.UInt2 := 16#0#; -- Early suspend ESUSP : Boolean := False; -- USB suspend USBSUSP : Boolean := False; -- USB reset USBRST : Boolean := False; -- Enumeration done ENUMDNE : Boolean := False; -- Isochronous OUT packet dropped interrupt ISOODRP : Boolean := False; -- End of periodic frame interrupt EOPF : Boolean := False; -- unspecified Reserved_16_17 : HAL.UInt2 := 16#0#; -- Read-only. IN endpoint interrupt IEPINT : Boolean := False; -- Read-only. OUT endpoint interrupt OEPINT : Boolean := False; -- Incomplete isochronous IN transfer IISOIXFR : Boolean := False; -- Incomplete periodic transfer(Host mode)/Incomplete isochronous OUT -- transfer(Device mode) IPXFR_INCOMPISOOUT : Boolean := False; -- unspecified Reserved_22_23 : HAL.UInt2 := 16#0#; -- Read-only. Host port interrupt HPRTINT : Boolean := False; -- Read-only. Host channels interrupt HCINT : Boolean := False; -- Read-only. Periodic TxFIFO empty PTXFE : Boolean := True; -- unspecified Reserved_27_27 : HAL.Bit := 16#0#; -- Connector ID status change CIDSCHG : Boolean := False; -- Disconnect detected interrupt DISCINT : Boolean := False; -- Session request/new session detected interrupt SRQINT : Boolean := False; -- Resume/remote wakeup detected interrupt WKUPINT : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GINTSTS_Register use record CMOD at 0 range 0 .. 0; MMIS at 0 range 1 .. 1; OTGINT at 0 range 2 .. 2; SOF at 0 range 3 .. 3; RXFLVL at 0 range 4 .. 4; NPTXFE at 0 range 5 .. 5; GINAKEFF at 0 range 6 .. 6; GOUTNAKEFF at 0 range 7 .. 7; Reserved_8_9 at 0 range 8 .. 9; ESUSP at 0 range 10 .. 10; USBSUSP at 0 range 11 .. 11; USBRST at 0 range 12 .. 12; ENUMDNE at 0 range 13 .. 13; ISOODRP at 0 range 14 .. 14; EOPF at 0 range 15 .. 15; Reserved_16_17 at 0 range 16 .. 17; IEPINT at 0 range 18 .. 18; OEPINT at 0 range 19 .. 19; IISOIXFR at 0 range 20 .. 20; IPXFR_INCOMPISOOUT at 0 range 21 .. 21; Reserved_22_23 at 0 range 22 .. 23; HPRTINT at 0 range 24 .. 24; HCINT at 0 range 25 .. 25; PTXFE at 0 range 26 .. 26; Reserved_27_27 at 0 range 27 .. 27; CIDSCHG at 0 range 28 .. 28; DISCINT at 0 range 29 .. 29; SRQINT at 0 range 30 .. 30; WKUPINT at 0 range 31 .. 31; end record; -- OTG_FS interrupt mask register (OTG_FS_GINTMSK) type FS_GINTMSK_Register is record -- unspecified Reserved_0_0 : HAL.Bit := 16#0#; -- Mode mismatch interrupt mask MMISM : Boolean := False; -- OTG interrupt mask OTGINT : Boolean := False; -- Start of frame mask SOFM : Boolean := False; -- Receive FIFO non-empty mask RXFLVLM : Boolean := False; -- Non-periodic TxFIFO empty mask NPTXFEM : Boolean := False; -- Global non-periodic IN NAK effective mask GINAKEFFM : Boolean := False; -- Global OUT NAK effective mask GONAKEFFM : Boolean := False; -- unspecified Reserved_8_9 : HAL.UInt2 := 16#0#; -- Early suspend mask ESUSPM : Boolean := False; -- USB suspend mask USBSUSPM : Boolean := False; -- USB reset mask USBRST : Boolean := False; -- Enumeration done mask ENUMDNEM : Boolean := False; -- Isochronous OUT packet dropped interrupt mask ISOODRPM : Boolean := False; -- End of periodic frame interrupt mask EOPFM : Boolean := False; -- unspecified Reserved_16_16 : HAL.Bit := 16#0#; -- Endpoint mismatch interrupt mask EPMISM : Boolean := False; -- IN endpoints interrupt mask IEPINT : Boolean := False; -- OUT endpoints interrupt mask OEPINT : Boolean := False; -- Incomplete isochronous IN transfer mask IISOIXFRM : Boolean := False; -- Incomplete periodic transfer mask(Host mode)/Incomplete isochronous -- OUT transfer mask(Device mode) IPXFRM_IISOOXFRM : Boolean := False; -- unspecified Reserved_22_23 : HAL.UInt2 := 16#0#; -- Read-only. Host port interrupt mask PRTIM : Boolean := False; -- Host channels interrupt mask HCIM : Boolean := False; -- Periodic TxFIFO empty mask PTXFEM : Boolean := False; -- unspecified Reserved_27_27 : HAL.Bit := 16#0#; -- Connector ID status change mask CIDSCHGM : Boolean := False; -- Disconnect detected interrupt mask DISCINT : Boolean := False; -- Session request/new session detected interrupt mask SRQIM : Boolean := False; -- Resume/remote wakeup detected interrupt mask WUIM : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GINTMSK_Register use record Reserved_0_0 at 0 range 0 .. 0; MMISM at 0 range 1 .. 1; OTGINT at 0 range 2 .. 2; SOFM at 0 range 3 .. 3; RXFLVLM at 0 range 4 .. 4; NPTXFEM at 0 range 5 .. 5; GINAKEFFM at 0 range 6 .. 6; GONAKEFFM at 0 range 7 .. 7; Reserved_8_9 at 0 range 8 .. 9; ESUSPM at 0 range 10 .. 10; USBSUSPM at 0 range 11 .. 11; USBRST at 0 range 12 .. 12; ENUMDNEM at 0 range 13 .. 13; ISOODRPM at 0 range 14 .. 14; EOPFM at 0 range 15 .. 15; Reserved_16_16 at 0 range 16 .. 16; EPMISM at 0 range 17 .. 17; IEPINT at 0 range 18 .. 18; OEPINT at 0 range 19 .. 19; IISOIXFRM at 0 range 20 .. 20; IPXFRM_IISOOXFRM at 0 range 21 .. 21; Reserved_22_23 at 0 range 22 .. 23; PRTIM at 0 range 24 .. 24; HCIM at 0 range 25 .. 25; PTXFEM at 0 range 26 .. 26; Reserved_27_27 at 0 range 27 .. 27; CIDSCHGM at 0 range 28 .. 28; DISCINT at 0 range 29 .. 29; SRQIM at 0 range 30 .. 30; WUIM at 0 range 31 .. 31; end record; subtype FS_GRXSTSR_Device_EPNUM_Field is HAL.UInt4; subtype FS_GRXSTSR_Device_BCNT_Field is HAL.UInt11; subtype FS_GRXSTSR_Device_DPID_Field is HAL.UInt2; subtype FS_GRXSTSR_Device_PKTSTS_Field is HAL.UInt4; subtype FS_GRXSTSR_Device_FRMNUM_Field is HAL.UInt4; -- OTG_FS Receive status debug read(Device mode) type FS_GRXSTSR_Device_Register is record -- Read-only. Endpoint number EPNUM : FS_GRXSTSR_Device_EPNUM_Field; -- Read-only. Byte count BCNT : FS_GRXSTSR_Device_BCNT_Field; -- Read-only. Data PID DPID : FS_GRXSTSR_Device_DPID_Field; -- Read-only. Packet status PKTSTS : FS_GRXSTSR_Device_PKTSTS_Field; -- Read-only. Frame number FRMNUM : FS_GRXSTSR_Device_FRMNUM_Field; -- unspecified Reserved_25_31 : HAL.UInt7; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GRXSTSR_Device_Register use record EPNUM at 0 range 0 .. 3; BCNT at 0 range 4 .. 14; DPID at 0 range 15 .. 16; PKTSTS at 0 range 17 .. 20; FRMNUM at 0 range 21 .. 24; Reserved_25_31 at 0 range 25 .. 31; end record; subtype FS_GRXSTSR_Host_EPNUM_Field is HAL.UInt4; subtype FS_GRXSTSR_Host_BCNT_Field is HAL.UInt11; subtype FS_GRXSTSR_Host_DPID_Field is HAL.UInt2; subtype FS_GRXSTSR_Host_PKTSTS_Field is HAL.UInt4; subtype FS_GRXSTSR_Host_FRMNUM_Field is HAL.UInt4; -- OTG_FS Receive status debug read(Host mode) type FS_GRXSTSR_Host_Register is record -- Read-only. Endpoint number EPNUM : FS_GRXSTSR_Host_EPNUM_Field; -- Read-only. Byte count BCNT : FS_GRXSTSR_Host_BCNT_Field; -- Read-only. Data PID DPID : FS_GRXSTSR_Host_DPID_Field; -- Read-only. Packet status PKTSTS : FS_GRXSTSR_Host_PKTSTS_Field; -- Read-only. Frame number FRMNUM : FS_GRXSTSR_Host_FRMNUM_Field; -- unspecified Reserved_25_31 : HAL.UInt7; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GRXSTSR_Host_Register use record EPNUM at 0 range 0 .. 3; BCNT at 0 range 4 .. 14; DPID at 0 range 15 .. 16; PKTSTS at 0 range 17 .. 20; FRMNUM at 0 range 21 .. 24; Reserved_25_31 at 0 range 25 .. 31; end record; subtype FS_GRXFSIZ_RXFD_Field is HAL.UInt16; -- OTG_FS Receive FIFO size register (OTG_FS_GRXFSIZ) type FS_GRXFSIZ_Register is record -- RxFIFO depth RXFD : FS_GRXFSIZ_RXFD_Field := 16#200#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GRXFSIZ_Register use record RXFD at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype FS_GNPTXFSIZ_Device_TX0FSA_Field is HAL.UInt16; subtype FS_GNPTXFSIZ_Device_TX0FD_Field is HAL.UInt16; -- OTG_FS non-periodic transmit FIFO size register (Device mode) type FS_GNPTXFSIZ_Device_Register is record -- Endpoint 0 transmit RAM start address TX0FSA : FS_GNPTXFSIZ_Device_TX0FSA_Field := 16#200#; -- Endpoint 0 TxFIFO depth TX0FD : FS_GNPTXFSIZ_Device_TX0FD_Field := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GNPTXFSIZ_Device_Register use record TX0FSA at 0 range 0 .. 15; TX0FD at 0 range 16 .. 31; end record; subtype FS_GNPTXFSIZ_Host_NPTXFSA_Field is HAL.UInt16; subtype FS_GNPTXFSIZ_Host_NPTXFD_Field is HAL.UInt16; -- OTG_FS non-periodic transmit FIFO size register (Host mode) type FS_GNPTXFSIZ_Host_Register is record -- Non-periodic transmit RAM start address NPTXFSA : FS_GNPTXFSIZ_Host_NPTXFSA_Field := 16#200#; -- Non-periodic TxFIFO depth NPTXFD : FS_GNPTXFSIZ_Host_NPTXFD_Field := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GNPTXFSIZ_Host_Register use record NPTXFSA at 0 range 0 .. 15; NPTXFD at 0 range 16 .. 31; end record; subtype FS_GNPTXSTS_NPTXFSAV_Field is HAL.UInt16; subtype FS_GNPTXSTS_NPTQXSAV_Field is HAL.UInt8; subtype FS_GNPTXSTS_NPTXQTOP_Field is HAL.UInt7; -- OTG_FS non-periodic transmit FIFO/queue status register -- (OTG_FS_GNPTXSTS) type FS_GNPTXSTS_Register is record -- Read-only. Non-periodic TxFIFO space available NPTXFSAV : FS_GNPTXSTS_NPTXFSAV_Field; -- Read-only. Non-periodic transmit request queue space available NPTQXSAV : FS_GNPTXSTS_NPTQXSAV_Field; -- Read-only. Top of the non-periodic transmit request queue NPTXQTOP : FS_GNPTXSTS_NPTXQTOP_Field; -- unspecified Reserved_31_31 : HAL.Bit; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GNPTXSTS_Register use record NPTXFSAV at 0 range 0 .. 15; NPTQXSAV at 0 range 16 .. 23; NPTXQTOP at 0 range 24 .. 30; Reserved_31_31 at 0 range 31 .. 31; end record; -- OTG_FS general core configuration register (OTG_FS_GCCFG) type FS_GCCFG_Register is record -- unspecified Reserved_0_15 : HAL.UInt16 := 16#0#; -- Power down PWRDWN : Boolean := False; -- unspecified Reserved_17_17 : HAL.Bit := 16#0#; -- Enable the VBUS sensing device VBUSASEN : Boolean := False; -- Enable the VBUS sensing device VBUSBSEN : Boolean := False; -- SOF output enable SOFOUTEN : Boolean := False; -- unspecified Reserved_21_31 : HAL.UInt11 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_GCCFG_Register use record Reserved_0_15 at 0 range 0 .. 15; PWRDWN at 0 range 16 .. 16; Reserved_17_17 at 0 range 17 .. 17; VBUSASEN at 0 range 18 .. 18; VBUSBSEN at 0 range 19 .. 19; SOFOUTEN at 0 range 20 .. 20; Reserved_21_31 at 0 range 21 .. 31; end record; subtype FS_HPTXFSIZ_PTXSA_Field is HAL.UInt16; subtype FS_HPTXFSIZ_PTXFSIZ_Field is HAL.UInt16; -- OTG_FS Host periodic transmit FIFO size register (OTG_FS_HPTXFSIZ) type FS_HPTXFSIZ_Register is record -- Host periodic TxFIFO start address PTXSA : FS_HPTXFSIZ_PTXSA_Field := 16#600#; -- Host periodic TxFIFO depth PTXFSIZ : FS_HPTXFSIZ_PTXFSIZ_Field := 16#200#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_HPTXFSIZ_Register use record PTXSA at 0 range 0 .. 15; PTXFSIZ at 0 range 16 .. 31; end record; subtype FS_DIEPTXF_INEPTXSA_Field is HAL.UInt16; subtype FS_DIEPTXF_INEPTXFD_Field is HAL.UInt16; -- OTG_FS device IN endpoint transmit FIFO size register (OTG_FS_DIEPTXF2) type FS_DIEPTXF_Register is record -- IN endpoint FIFO2 transmit RAM start address INEPTXSA : FS_DIEPTXF_INEPTXSA_Field := 16#400#; -- IN endpoint TxFIFO depth INEPTXFD : FS_DIEPTXF_INEPTXFD_Field := 16#200#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_DIEPTXF_Register use record INEPTXSA at 0 range 0 .. 15; INEPTXFD at 0 range 16 .. 31; end record; subtype FS_HCFG_FSLSPCS_Field is HAL.UInt2; -- OTG_FS host configuration register (OTG_FS_HCFG) type FS_HCFG_Register is record -- FS/LS PHY clock select FSLSPCS : FS_HCFG_FSLSPCS_Field := 16#0#; -- Read-only. FS- and LS-only support FSLSS : Boolean := False; -- unspecified Reserved_3_31 : HAL.UInt29 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_HCFG_Register use record FSLSPCS at 0 range 0 .. 1; FSLSS at 0 range 2 .. 2; Reserved_3_31 at 0 range 3 .. 31; end record; subtype HFIR_FRIVL_Field is HAL.UInt16; -- OTG_FS Host frame interval register type HFIR_Register is record -- Frame interval FRIVL : HFIR_FRIVL_Field := 16#EA60#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for HFIR_Register use record FRIVL at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype FS_HFNUM_FRNUM_Field is HAL.UInt16; subtype FS_HFNUM_FTREM_Field is HAL.UInt16; -- OTG_FS host frame number/frame time remaining register (OTG_FS_HFNUM) type FS_HFNUM_Register is record -- Read-only. Frame number FRNUM : FS_HFNUM_FRNUM_Field; -- Read-only. Frame time remaining FTREM : FS_HFNUM_FTREM_Field; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_HFNUM_Register use record FRNUM at 0 range 0 .. 15; FTREM at 0 range 16 .. 31; end record; subtype FS_HPTXSTS_PTXFSAVL_Field is HAL.UInt16; subtype FS_HPTXSTS_PTXQSAV_Field is HAL.UInt8; subtype FS_HPTXSTS_PTXQTOP_Field is HAL.UInt8; -- OTG_FS_Host periodic transmit FIFO/queue status register -- (OTG_FS_HPTXSTS) type FS_HPTXSTS_Register is record -- Periodic transmit data FIFO space available PTXFSAVL : FS_HPTXSTS_PTXFSAVL_Field := 16#100#; -- Read-only. Periodic transmit request queue space available PTXQSAV : FS_HPTXSTS_PTXQSAV_Field := 16#8#; -- Read-only. Top of the periodic transmit request queue PTXQTOP : FS_HPTXSTS_PTXQTOP_Field := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_HPTXSTS_Register use record PTXFSAVL at 0 range 0 .. 15; PTXQSAV at 0 range 16 .. 23; PTXQTOP at 0 range 24 .. 31; end record; subtype HAINT_HAINT_Field is HAL.UInt16; -- OTG_FS Host all channels interrupt register type HAINT_Register is record -- Read-only. Channel interrupts HAINT : HAINT_HAINT_Field; -- unspecified Reserved_16_31 : HAL.UInt16; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for HAINT_Register use record HAINT at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype HAINTMSK_HAINTM_Field is HAL.UInt16; -- OTG_FS host all channels interrupt mask register type HAINTMSK_Register is record -- Channel interrupt mask HAINTM : HAINTMSK_HAINTM_Field := 16#0#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for HAINTMSK_Register use record HAINTM at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype FS_HPRT_PLSTS_Field is HAL.UInt2; subtype FS_HPRT_PTCTL_Field is HAL.UInt4; subtype FS_HPRT_PSPD_Field is HAL.UInt2; -- OTG_FS host port control and status register (OTG_FS_HPRT) type FS_HPRT_Register is record -- Read-only. Port connect status PCSTS : Boolean := False; -- Port connect detected PCDET : Boolean := False; -- Port enable PENA : Boolean := False; -- Port enable/disable change PENCHNG : Boolean := False; -- Read-only. Port overcurrent active POCA : Boolean := False; -- Port overcurrent change POCCHNG : Boolean := False; -- Port resume PRES : Boolean := False; -- Port suspend PSUSP : Boolean := False; -- Port reset PRST : Boolean := False; -- unspecified Reserved_9_9 : HAL.Bit := 16#0#; -- Read-only. Port line status PLSTS : FS_HPRT_PLSTS_Field := 16#0#; -- Port power PPWR : Boolean := False; -- Port test control PTCTL : FS_HPRT_PTCTL_Field := 16#0#; -- Read-only. Port speed PSPD : FS_HPRT_PSPD_Field := 16#0#; -- unspecified Reserved_19_31 : HAL.UInt13 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_HPRT_Register use record PCSTS at 0 range 0 .. 0; PCDET at 0 range 1 .. 1; PENA at 0 range 2 .. 2; PENCHNG at 0 range 3 .. 3; POCA at 0 range 4 .. 4; POCCHNG at 0 range 5 .. 5; PRES at 0 range 6 .. 6; PSUSP at 0 range 7 .. 7; PRST at 0 range 8 .. 8; Reserved_9_9 at 0 range 9 .. 9; PLSTS at 0 range 10 .. 11; PPWR at 0 range 12 .. 12; PTCTL at 0 range 13 .. 16; PSPD at 0 range 17 .. 18; Reserved_19_31 at 0 range 19 .. 31; end record; subtype FS_HCCHAR_MPSIZ_Field is HAL.UInt11; subtype FS_HCCHAR_EPNUM_Field is HAL.UInt4; subtype FS_HCCHAR_EPTYP_Field is HAL.UInt2; subtype FS_HCCHAR_MCNT_Field is HAL.UInt2; subtype FS_HCCHAR_DAD_Field is HAL.UInt7; -- OTG_FS host channel-0 characteristics register (OTG_FS_HCCHAR0) type FS_HCCHAR_Register is record -- Maximum packet size MPSIZ : FS_HCCHAR_MPSIZ_Field := 16#0#; -- Endpoint number EPNUM : FS_HCCHAR_EPNUM_Field := 16#0#; -- Endpoint direction EPDIR : Boolean := False; -- unspecified Reserved_16_16 : HAL.Bit := 16#0#; -- Low-speed device LSDEV : Boolean := False; -- Endpoint type EPTYP : FS_HCCHAR_EPTYP_Field := 16#0#; -- Multicount MCNT : FS_HCCHAR_MCNT_Field := 16#0#; -- Device address DAD : FS_HCCHAR_DAD_Field := 16#0#; -- Odd frame ODDFRM : Boolean := False; -- Channel disable CHDIS : Boolean := False; -- Channel enable CHENA : Boolean := False; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_HCCHAR_Register use record MPSIZ at 0 range 0 .. 10; EPNUM at 0 range 11 .. 14; EPDIR at 0 range 15 .. 15; Reserved_16_16 at 0 range 16 .. 16; LSDEV at 0 range 17 .. 17; EPTYP at 0 range 18 .. 19; MCNT at 0 range 20 .. 21; DAD at 0 range 22 .. 28; ODDFRM at 0 range 29 .. 29; CHDIS at 0 range 30 .. 30; CHENA at 0 range 31 .. 31; end record; -- OTG_FS host channel-0 interrupt register (OTG_FS_HCINT0) type FS_HCINT_Register is record -- Transfer completed XFRC : Boolean := False; -- Channel halted CHH : Boolean := False; -- unspecified Reserved_2_2 : HAL.Bit := 16#0#; -- STALL response received interrupt STALL : Boolean := False; -- NAK response received interrupt NAK : Boolean := False; -- ACK response received/transmitted interrupt ACK : Boolean := False; -- unspecified Reserved_6_6 : HAL.Bit := 16#0#; -- Transaction error TXERR : Boolean := False; -- Babble error BBERR : Boolean := False; -- Frame overrun FRMOR : Boolean := False; -- Data toggle error DTERR : Boolean := False; -- unspecified Reserved_11_31 : HAL.UInt21 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_HCINT_Register use record XFRC at 0 range 0 .. 0; CHH at 0 range 1 .. 1; Reserved_2_2 at 0 range 2 .. 2; STALL at 0 range 3 .. 3; NAK at 0 range 4 .. 4; ACK at 0 range 5 .. 5; Reserved_6_6 at 0 range 6 .. 6; TXERR at 0 range 7 .. 7; BBERR at 0 range 8 .. 8; FRMOR at 0 range 9 .. 9; DTERR at 0 range 10 .. 10; Reserved_11_31 at 0 range 11 .. 31; end record; -- OTG_FS host channel-0 mask register (OTG_FS_HCINTMSK0) type FS_HCINTMSK_Register is record -- Transfer completed mask XFRCM : Boolean := False; -- Channel halted mask CHHM : Boolean := False; -- unspecified Reserved_2_2 : HAL.Bit := 16#0#; -- STALL response received interrupt mask STALLM : Boolean := False; -- NAK response received interrupt mask NAKM : Boolean := False; -- ACK response received/transmitted interrupt mask ACKM : Boolean := False; -- response received interrupt mask NYET : Boolean := False; -- Transaction error mask TXERRM : Boolean := False; -- Babble error mask BBERRM : Boolean := False; -- Frame overrun mask FRMORM : Boolean := False; -- Data toggle error mask DTERRM : Boolean := False; -- unspecified Reserved_11_31 : HAL.UInt21 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_HCINTMSK_Register use record XFRCM at 0 range 0 .. 0; CHHM at 0 range 1 .. 1; Reserved_2_2 at 0 range 2 .. 2; STALLM at 0 range 3 .. 3; NAKM at 0 range 4 .. 4; ACKM at 0 range 5 .. 5; NYET at 0 range 6 .. 6; TXERRM at 0 range 7 .. 7; BBERRM at 0 range 8 .. 8; FRMORM at 0 range 9 .. 9; DTERRM at 0 range 10 .. 10; Reserved_11_31 at 0 range 11 .. 31; end record; subtype FS_HCTSIZ_XFRSIZ_Field is HAL.UInt19; subtype FS_HCTSIZ_PKTCNT_Field is HAL.UInt10; subtype FS_HCTSIZ_DPID_Field is HAL.UInt2; -- OTG_FS host channel-0 transfer size register type FS_HCTSIZ_Register is record -- Transfer size XFRSIZ : FS_HCTSIZ_XFRSIZ_Field := 16#0#; -- Packet count PKTCNT : FS_HCTSIZ_PKTCNT_Field := 16#0#; -- Data PID DPID : FS_HCTSIZ_DPID_Field := 16#0#; -- unspecified Reserved_31_31 : HAL.Bit := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_HCTSIZ_Register use record XFRSIZ at 0 range 0 .. 18; PKTCNT at 0 range 19 .. 28; DPID at 0 range 29 .. 30; Reserved_31_31 at 0 range 31 .. 31; end record; -- OTG_FS power and clock gating control register (OTG_FS_PCGCCTL) type FS_PCGCCTL_Register is record -- Stop PHY clock STPPCLK : Boolean := False; -- Gate HCLK GATEHCLK : Boolean := False; -- unspecified Reserved_2_3 : HAL.UInt2 := 16#0#; -- PHY Suspended PHYSUSP : Boolean := False; -- unspecified Reserved_5_31 : HAL.UInt27 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for FS_PCGCCTL_Register use record STPPCLK at 0 range 0 .. 0; GATEHCLK at 0 range 1 .. 1; Reserved_2_3 at 0 range 2 .. 3; PHYSUSP at 0 range 4 .. 4; Reserved_5_31 at 0 range 5 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- USB on the go full speed type OTG_FS_DEVICE_Peripheral is record -- OTG_FS device configuration register (OTG_FS_DCFG) FS_DCFG : aliased FS_DCFG_Register; -- OTG_FS device control register (OTG_FS_DCTL) FS_DCTL : aliased FS_DCTL_Register; -- OTG_FS device status register (OTG_FS_DSTS) FS_DSTS : aliased FS_DSTS_Register; -- OTG_FS device IN endpoint common interrupt mask register -- (OTG_FS_DIEPMSK) FS_DIEPMSK : aliased FS_DIEPMSK_Register; -- OTG_FS device OUT endpoint common interrupt mask register -- (OTG_FS_DOEPMSK) FS_DOEPMSK : aliased FS_DOEPMSK_Register; -- OTG_FS device all endpoints interrupt register (OTG_FS_DAINT) FS_DAINT : aliased FS_DAINT_Register; -- OTG_FS all endpoints interrupt mask register (OTG_FS_DAINTMSK) FS_DAINTMSK : aliased FS_DAINTMSK_Register; -- OTG_FS device VBUS discharge time register DVBUSDIS : aliased DVBUSDIS_Register; -- OTG_FS device VBUS pulsing time register DVBUSPULSE : aliased DVBUSPULSE_Register; -- OTG_FS device IN endpoint FIFO empty interrupt mask register DIEPEMPMSK : aliased DIEPEMPMSK_Register; -- OTG_FS device control IN endpoint 0 control register -- (OTG_FS_DIEPCTL0) FS_DIEPCTL0 : aliased FS_DIEPCTL0_Register; -- device endpoint-x interrupt register DIEPINT0 : aliased DIEPINT_Register; -- device endpoint-0 transfer size register DIEPTSIZ0 : aliased DIEPTSIZ0_Register; -- OTG_FS device IN endpoint transmit FIFO status register DTXFSTS0 : aliased DTXFSTS_Register; -- OTG device endpoint-1 control register DIEPCTL1 : aliased DIEPCTL1_Register; -- device endpoint-1 interrupt register DIEPINT1 : aliased DIEPINT_Register; -- device endpoint-1 transfer size register DIEPTSIZ1 : aliased DIEPTSIZ_Register; -- OTG_FS device IN endpoint transmit FIFO status register DTXFSTS1 : aliased DTXFSTS_Register; -- OTG device endpoint-2 control register DIEPCTL2 : aliased DIEPCTL_Register; -- device endpoint-2 interrupt register DIEPINT2 : aliased DIEPINT_Register; -- device endpoint-2 transfer size register DIEPTSIZ2 : aliased DIEPTSIZ_Register; -- OTG_FS device IN endpoint transmit FIFO status register DTXFSTS2 : aliased DTXFSTS_Register; -- OTG device endpoint-3 control register DIEPCTL3 : aliased DIEPCTL_Register; -- device endpoint-3 interrupt register DIEPINT3 : aliased DIEPINT_Register; -- device endpoint-3 transfer size register DIEPTSIZ3 : aliased DIEPTSIZ_Register; -- OTG_FS device IN endpoint transmit FIFO status register DTXFSTS3 : aliased DTXFSTS_Register; -- device endpoint-0 control register DOEPCTL0 : aliased DOEPCTL0_Register; -- device endpoint-0 interrupt register DOEPINT0 : aliased DOEPINT_Register; -- device OUT endpoint-0 transfer size register DOEPTSIZ0 : aliased DOEPTSIZ0_Register; -- device endpoint-1 control register DOEPCTL1 : aliased DOEPCTL_Register; -- device endpoint-1 interrupt register DOEPINT1 : aliased DOEPINT_Register; -- device OUT endpoint-1 transfer size register DOEPTSIZ1 : aliased DOEPTSIZ_Register; -- device endpoint-2 control register DOEPCTL2 : aliased DOEPCTL_Register; -- device endpoint-2 interrupt register DOEPINT2 : aliased DOEPINT_Register; -- device OUT endpoint-2 transfer size register DOEPTSIZ2 : aliased DOEPTSIZ_Register; -- device endpoint-3 control register DOEPCTL3 : aliased DOEPCTL_Register; -- device endpoint-3 interrupt register DOEPINT3 : aliased DOEPINT_Register; -- device OUT endpoint-3 transfer size register DOEPTSIZ3 : aliased DOEPTSIZ_Register; end record with Volatile; for OTG_FS_DEVICE_Peripheral use record FS_DCFG at 16#0# range 0 .. 31; FS_DCTL at 16#4# range 0 .. 31; FS_DSTS at 16#8# range 0 .. 31; FS_DIEPMSK at 16#10# range 0 .. 31; FS_DOEPMSK at 16#14# range 0 .. 31; FS_DAINT at 16#18# range 0 .. 31; FS_DAINTMSK at 16#1C# range 0 .. 31; DVBUSDIS at 16#28# range 0 .. 31; DVBUSPULSE at 16#2C# range 0 .. 31; DIEPEMPMSK at 16#34# range 0 .. 31; FS_DIEPCTL0 at 16#100# range 0 .. 31; DIEPINT0 at 16#108# range 0 .. 31; DIEPTSIZ0 at 16#110# range 0 .. 31; DTXFSTS0 at 16#118# range 0 .. 31; DIEPCTL1 at 16#120# range 0 .. 31; DIEPINT1 at 16#128# range 0 .. 31; DIEPTSIZ1 at 16#130# range 0 .. 31; DTXFSTS1 at 16#138# range 0 .. 31; DIEPCTL2 at 16#140# range 0 .. 31; DIEPINT2 at 16#148# range 0 .. 31; DIEPTSIZ2 at 16#150# range 0 .. 31; DTXFSTS2 at 16#158# range 0 .. 31; DIEPCTL3 at 16#160# range 0 .. 31; DIEPINT3 at 16#168# range 0 .. 31; DIEPTSIZ3 at 16#170# range 0 .. 31; DTXFSTS3 at 16#178# range 0 .. 31; DOEPCTL0 at 16#300# range 0 .. 31; DOEPINT0 at 16#308# range 0 .. 31; DOEPTSIZ0 at 16#310# range 0 .. 31; DOEPCTL1 at 16#320# range 0 .. 31; DOEPINT1 at 16#328# range 0 .. 31; DOEPTSIZ1 at 16#330# range 0 .. 31; DOEPCTL2 at 16#340# range 0 .. 31; DOEPINT2 at 16#348# range 0 .. 31; DOEPTSIZ2 at 16#350# range 0 .. 31; DOEPCTL3 at 16#360# range 0 .. 31; DOEPINT3 at 16#368# range 0 .. 31; DOEPTSIZ3 at 16#370# range 0 .. 31; end record; -- USB on the go full speed OTG_FS_DEVICE_Periph : aliased OTG_FS_DEVICE_Peripheral with Import, Address => System'To_Address (16#50000800#); type OTG_FS_GLOBAL_Disc is ( Device, Host); -- USB on the go full speed type OTG_FS_GLOBAL_Peripheral (Discriminent : OTG_FS_GLOBAL_Disc := Device) is record -- OTG_FS control and status register (OTG_FS_GOTGCTL) FS_GOTGCTL : aliased FS_GOTGCTL_Register; -- OTG_FS interrupt register (OTG_FS_GOTGINT) FS_GOTGINT : aliased FS_GOTGINT_Register; -- OTG_FS AHB configuration register (OTG_FS_GAHBCFG) FS_GAHBCFG : aliased FS_GAHBCFG_Register; -- OTG_FS USB configuration register (OTG_FS_GUSBCFG) FS_GUSBCFG : aliased FS_GUSBCFG_Register; -- OTG_FS reset register (OTG_FS_GRSTCTL) FS_GRSTCTL : aliased FS_GRSTCTL_Register; -- OTG_FS core interrupt register (OTG_FS_GINTSTS) FS_GINTSTS : aliased FS_GINTSTS_Register; -- OTG_FS interrupt mask register (OTG_FS_GINTMSK) FS_GINTMSK : aliased FS_GINTMSK_Register; -- OTG_FS Receive FIFO size register (OTG_FS_GRXFSIZ) FS_GRXFSIZ : aliased FS_GRXFSIZ_Register; -- OTG_FS non-periodic transmit FIFO/queue status register -- (OTG_FS_GNPTXSTS) FS_GNPTXSTS : aliased FS_GNPTXSTS_Register; -- OTG_FS general core configuration register (OTG_FS_GCCFG) FS_GCCFG : aliased FS_GCCFG_Register; -- core ID register FS_CID : aliased HAL.UInt32; -- OTG_FS Host periodic transmit FIFO size register (OTG_FS_HPTXFSIZ) FS_HPTXFSIZ : aliased FS_HPTXFSIZ_Register; -- OTG_FS device IN endpoint transmit FIFO size register -- (OTG_FS_DIEPTXF2) FS_DIEPTXF1 : aliased FS_DIEPTXF_Register; -- OTG_FS device IN endpoint transmit FIFO size register -- (OTG_FS_DIEPTXF3) FS_DIEPTXF2 : aliased FS_DIEPTXF_Register; -- OTG_FS device IN endpoint transmit FIFO size register -- (OTG_FS_DIEPTXF4) FS_DIEPTXF3 : aliased FS_DIEPTXF_Register; case Discriminent is when Device => -- OTG_FS Receive status debug read(Device mode) FS_GRXSTSR_Device : aliased FS_GRXSTSR_Device_Register; -- OTG_FS non-periodic transmit FIFO size register (Device mode) FS_GNPTXFSIZ_Device : aliased FS_GNPTXFSIZ_Device_Register; when Host => -- OTG_FS Receive status debug read(Host mode) FS_GRXSTSR_Host : aliased FS_GRXSTSR_Host_Register; -- OTG_FS non-periodic transmit FIFO size register (Host mode) FS_GNPTXFSIZ_Host : aliased FS_GNPTXFSIZ_Host_Register; end case; end record with Unchecked_Union, Volatile; for OTG_FS_GLOBAL_Peripheral use record FS_GOTGCTL at 16#0# range 0 .. 31; FS_GOTGINT at 16#4# range 0 .. 31; FS_GAHBCFG at 16#8# range 0 .. 31; FS_GUSBCFG at 16#C# range 0 .. 31; FS_GRSTCTL at 16#10# range 0 .. 31; FS_GINTSTS at 16#14# range 0 .. 31; FS_GINTMSK at 16#18# range 0 .. 31; FS_GRXFSIZ at 16#24# range 0 .. 31; FS_GNPTXSTS at 16#2C# range 0 .. 31; FS_GCCFG at 16#38# range 0 .. 31; FS_CID at 16#3C# range 0 .. 31; FS_HPTXFSIZ at 16#100# range 0 .. 31; FS_DIEPTXF1 at 16#104# range 0 .. 31; FS_DIEPTXF2 at 16#108# range 0 .. 31; FS_DIEPTXF3 at 16#10C# range 0 .. 31; FS_GRXSTSR_Device at 16#1C# range 0 .. 31; FS_GNPTXFSIZ_Device at 16#28# range 0 .. 31; FS_GRXSTSR_Host at 16#1C# range 0 .. 31; FS_GNPTXFSIZ_Host at 16#28# range 0 .. 31; end record; -- USB on the go full speed OTG_FS_GLOBAL_Periph : aliased OTG_FS_GLOBAL_Peripheral with Import, Address => System'To_Address (16#50000000#); -- USB on the go full speed type OTG_FS_HOST_Peripheral is record -- OTG_FS host configuration register (OTG_FS_HCFG) FS_HCFG : aliased FS_HCFG_Register; -- OTG_FS Host frame interval register HFIR : aliased HFIR_Register; -- OTG_FS host frame number/frame time remaining register (OTG_FS_HFNUM) FS_HFNUM : aliased FS_HFNUM_Register; -- OTG_FS_Host periodic transmit FIFO/queue status register -- (OTG_FS_HPTXSTS) FS_HPTXSTS : aliased FS_HPTXSTS_Register; -- OTG_FS Host all channels interrupt register HAINT : aliased HAINT_Register; -- OTG_FS host all channels interrupt mask register HAINTMSK : aliased HAINTMSK_Register; -- OTG_FS host port control and status register (OTG_FS_HPRT) FS_HPRT : aliased FS_HPRT_Register; -- OTG_FS host channel-0 characteristics register (OTG_FS_HCCHAR0) FS_HCCHAR0 : aliased FS_HCCHAR_Register; -- OTG_FS host channel-0 interrupt register (OTG_FS_HCINT0) FS_HCINT0 : aliased FS_HCINT_Register; -- OTG_FS host channel-0 mask register (OTG_FS_HCINTMSK0) FS_HCINTMSK0 : aliased FS_HCINTMSK_Register; -- OTG_FS host channel-0 transfer size register FS_HCTSIZ0 : aliased FS_HCTSIZ_Register; -- OTG_FS host channel-1 characteristics register (OTG_FS_HCCHAR1) FS_HCCHAR1 : aliased FS_HCCHAR_Register; -- OTG_FS host channel-1 interrupt register (OTG_FS_HCINT1) FS_HCINT1 : aliased FS_HCINT_Register; -- OTG_FS host channel-1 mask register (OTG_FS_HCINTMSK1) FS_HCINTMSK1 : aliased FS_HCINTMSK_Register; -- OTG_FS host channel-1 transfer size register FS_HCTSIZ1 : aliased FS_HCTSIZ_Register; -- OTG_FS host channel-2 characteristics register (OTG_FS_HCCHAR2) FS_HCCHAR2 : aliased FS_HCCHAR_Register; -- OTG_FS host channel-2 interrupt register (OTG_FS_HCINT2) FS_HCINT2 : aliased FS_HCINT_Register; -- OTG_FS host channel-2 mask register (OTG_FS_HCINTMSK2) FS_HCINTMSK2 : aliased FS_HCINTMSK_Register; -- OTG_FS host channel-2 transfer size register FS_HCTSIZ2 : aliased FS_HCTSIZ_Register; -- OTG_FS host channel-3 characteristics register (OTG_FS_HCCHAR3) FS_HCCHAR3 : aliased FS_HCCHAR_Register; -- OTG_FS host channel-3 interrupt register (OTG_FS_HCINT3) FS_HCINT3 : aliased FS_HCINT_Register; -- OTG_FS host channel-3 mask register (OTG_FS_HCINTMSK3) FS_HCINTMSK3 : aliased FS_HCINTMSK_Register; -- OTG_FS host channel-3 transfer size register FS_HCTSIZ3 : aliased FS_HCTSIZ_Register; -- OTG_FS host channel-4 characteristics register (OTG_FS_HCCHAR4) FS_HCCHAR4 : aliased FS_HCCHAR_Register; -- OTG_FS host channel-4 interrupt register (OTG_FS_HCINT4) FS_HCINT4 : aliased FS_HCINT_Register; -- OTG_FS host channel-4 mask register (OTG_FS_HCINTMSK4) FS_HCINTMSK4 : aliased FS_HCINTMSK_Register; -- OTG_FS host channel-x transfer size register FS_HCTSIZ4 : aliased FS_HCTSIZ_Register; -- OTG_FS host channel-5 characteristics register (OTG_FS_HCCHAR5) FS_HCCHAR5 : aliased FS_HCCHAR_Register; -- OTG_FS host channel-5 interrupt register (OTG_FS_HCINT5) FS_HCINT5 : aliased FS_HCINT_Register; -- OTG_FS host channel-5 mask register (OTG_FS_HCINTMSK5) FS_HCINTMSK5 : aliased FS_HCINTMSK_Register; -- OTG_FS host channel-5 transfer size register FS_HCTSIZ5 : aliased FS_HCTSIZ_Register; -- OTG_FS host channel-6 characteristics register (OTG_FS_HCCHAR6) FS_HCCHAR6 : aliased FS_HCCHAR_Register; -- OTG_FS host channel-6 interrupt register (OTG_FS_HCINT6) FS_HCINT6 : aliased FS_HCINT_Register; -- OTG_FS host channel-6 mask register (OTG_FS_HCINTMSK6) FS_HCINTMSK6 : aliased FS_HCINTMSK_Register; -- OTG_FS host channel-6 transfer size register FS_HCTSIZ6 : aliased FS_HCTSIZ_Register; -- OTG_FS host channel-7 characteristics register (OTG_FS_HCCHAR7) FS_HCCHAR7 : aliased FS_HCCHAR_Register; -- OTG_FS host channel-7 interrupt register (OTG_FS_HCINT7) FS_HCINT7 : aliased FS_HCINT_Register; -- OTG_FS host channel-7 mask register (OTG_FS_HCINTMSK7) FS_HCINTMSK7 : aliased FS_HCINTMSK_Register; -- OTG_FS host channel-7 transfer size register FS_HCTSIZ7 : aliased FS_HCTSIZ_Register; end record with Volatile; for OTG_FS_HOST_Peripheral use record FS_HCFG at 16#0# range 0 .. 31; HFIR at 16#4# range 0 .. 31; FS_HFNUM at 16#8# range 0 .. 31; FS_HPTXSTS at 16#10# range 0 .. 31; HAINT at 16#14# range 0 .. 31; HAINTMSK at 16#18# range 0 .. 31; FS_HPRT at 16#40# range 0 .. 31; FS_HCCHAR0 at 16#100# range 0 .. 31; FS_HCINT0 at 16#108# range 0 .. 31; FS_HCINTMSK0 at 16#10C# range 0 .. 31; FS_HCTSIZ0 at 16#110# range 0 .. 31; FS_HCCHAR1 at 16#120# range 0 .. 31; FS_HCINT1 at 16#128# range 0 .. 31; FS_HCINTMSK1 at 16#12C# range 0 .. 31; FS_HCTSIZ1 at 16#130# range 0 .. 31; FS_HCCHAR2 at 16#140# range 0 .. 31; FS_HCINT2 at 16#148# range 0 .. 31; FS_HCINTMSK2 at 16#14C# range 0 .. 31; FS_HCTSIZ2 at 16#150# range 0 .. 31; FS_HCCHAR3 at 16#160# range 0 .. 31; FS_HCINT3 at 16#168# range 0 .. 31; FS_HCINTMSK3 at 16#16C# range 0 .. 31; FS_HCTSIZ3 at 16#170# range 0 .. 31; FS_HCCHAR4 at 16#180# range 0 .. 31; FS_HCINT4 at 16#188# range 0 .. 31; FS_HCINTMSK4 at 16#18C# range 0 .. 31; FS_HCTSIZ4 at 16#190# range 0 .. 31; FS_HCCHAR5 at 16#1A0# range 0 .. 31; FS_HCINT5 at 16#1A8# range 0 .. 31; FS_HCINTMSK5 at 16#1AC# range 0 .. 31; FS_HCTSIZ5 at 16#1B0# range 0 .. 31; FS_HCCHAR6 at 16#1C0# range 0 .. 31; FS_HCINT6 at 16#1C8# range 0 .. 31; FS_HCINTMSK6 at 16#1CC# range 0 .. 31; FS_HCTSIZ6 at 16#1D0# range 0 .. 31; FS_HCCHAR7 at 16#1E0# range 0 .. 31; FS_HCINT7 at 16#1E8# range 0 .. 31; FS_HCINTMSK7 at 16#1EC# range 0 .. 31; FS_HCTSIZ7 at 16#1F0# range 0 .. 31; end record; -- USB on the go full speed OTG_FS_HOST_Periph : aliased OTG_FS_HOST_Peripheral with Import, Address => System'To_Address (16#50000400#); -- USB on the go full speed type OTG_FS_PWRCLK_Peripheral is record -- OTG_FS power and clock gating control register (OTG_FS_PCGCCTL) FS_PCGCCTL : aliased FS_PCGCCTL_Register; end record with Volatile; for OTG_FS_PWRCLK_Peripheral use record FS_PCGCCTL at 0 range 0 .. 31; end record; -- USB on the go full speed OTG_FS_PWRCLK_Periph : aliased OTG_FS_PWRCLK_Peripheral with Import, Address => System'To_Address (16#50000E00#); end STM32_SVD.USB_OTG_FS;
37.38063
79
0.587141
c5174e00915661dd6b0884eab743282db6043def
829
adb
Ada
contrib/gnu/gdb/dist/gdb/testsuite/gdb.ada/null_record/bar.adb
TheSledgeHammer/2.11BSD
fe61f0b9aaa273783cd027c7b5ec77e95ead2153
[ "BSD-3-Clause" ]
3
2021-05-04T17:09:06.000Z
2021-10-04T07:19:26.000Z
contrib/gnu/gdb/dist/gdb/testsuite/gdb.ada/null_record/bar.adb
TheSledgeHammer/2.11BSD
fe61f0b9aaa273783cd027c7b5ec77e95ead2153
[ "BSD-3-Clause" ]
null
null
null
contrib/gnu/gdb/dist/gdb/testsuite/gdb.ada/null_record/bar.adb
TheSledgeHammer/2.11BSD
fe61f0b9aaa273783cd027c7b5ec77e95ead2153
[ "BSD-3-Clause" ]
null
null
null
-- Copyright 2004-2020 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. package body Bar is procedure Do_Nothing (E : Void_Star) is begin null; end Do_Nothing; end Bar;
34.541667
73
0.727382
dc6872b0d81b07cfc85c348d1e3b6296815f3fae
4,733
ads
Ada
source/amf/dd/amf-dg-texts-collections.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/dd/amf-dg-texts-collections.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/dd/amf-dg-texts-collections.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ with AMF.Generic_Collections; package AMF.DG.Texts.Collections is pragma Preelaborate; package DG_Text_Collections is new AMF.Generic_Collections (DG_Text, DG_Text_Access); type Set_Of_DG_Text is new DG_Text_Collections.Set with null record; Empty_Set_Of_DG_Text : constant Set_Of_DG_Text; type Ordered_Set_Of_DG_Text is new DG_Text_Collections.Ordered_Set with null record; Empty_Ordered_Set_Of_DG_Text : constant Ordered_Set_Of_DG_Text; type Bag_Of_DG_Text is new DG_Text_Collections.Bag with null record; Empty_Bag_Of_DG_Text : constant Bag_Of_DG_Text; type Sequence_Of_DG_Text is new DG_Text_Collections.Sequence with null record; Empty_Sequence_Of_DG_Text : constant Sequence_Of_DG_Text; private Empty_Set_Of_DG_Text : constant Set_Of_DG_Text := (DG_Text_Collections.Set with null record); Empty_Ordered_Set_Of_DG_Text : constant Ordered_Set_Of_DG_Text := (DG_Text_Collections.Ordered_Set with null record); Empty_Bag_Of_DG_Text : constant Bag_Of_DG_Text := (DG_Text_Collections.Bag with null record); Empty_Sequence_Of_DG_Text : constant Sequence_Of_DG_Text := (DG_Text_Collections.Sequence with null record); end AMF.DG.Texts.Collections;
51.445652
78
0.488063
c5a3070c3e59995a9820d188dd5e495d7e73c832
1,437
ads
Ada
tier-1/xcb/source/thin/xcb-xcb_glx_get_visual_configs_cookie_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
2
2015-11-12T11:16:20.000Z
2021-08-24T22:32:04.000Z
tier-1/xcb/source/thin/xcb-xcb_glx_get_visual_configs_cookie_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
1
2018-06-05T05:19:35.000Z
2021-11-20T01:13:23.000Z
tier-1/xcb/source/thin/xcb-xcb_glx_get_visual_configs_cookie_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
null
null
null
-- This file is generated by SWIG. Please do not modify by hand. -- with Interfaces.C; with Interfaces.C; with Interfaces.C.Pointers; package xcb.xcb_glx_get_visual_configs_cookie_t is -- Item -- type Item is record sequence : aliased Interfaces.C.unsigned; end record; -- Item_Array -- type Item_Array is array (Interfaces.C .size_t range <>) of aliased xcb.xcb_glx_get_visual_configs_cookie_t .Item; -- Pointer -- package C_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_glx_get_visual_configs_cookie_t.Item, Element_Array => xcb.xcb_glx_get_visual_configs_cookie_t.Item_Array, Default_Terminator => (others => <>)); subtype Pointer is C_Pointers.Pointer; -- Pointer_Array -- type Pointer_Array is array (Interfaces.C .size_t range <>) of aliased xcb.xcb_glx_get_visual_configs_cookie_t .Pointer; -- Pointer_Pointer -- package C_Pointer_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_glx_get_visual_configs_cookie_t.Pointer, Element_Array => xcb.xcb_glx_get_visual_configs_cookie_t.Pointer_Array, Default_Terminator => null); subtype Pointer_Pointer is C_Pointer_Pointers.Pointer; end xcb.xcb_glx_get_visual_configs_cookie_t;
27.113208
79
0.68128
d01431ad37c87445170eb337a75cec1fa748a57c
1,075
ads
Ada
tests/tk-button-button_options_test_data-button_options_tests.ads
thindil/tashy2
43fcbadb33c0062b2c8d6138a8238441dec5fd80
[ "Apache-2.0" ]
2
2020-12-09T07:27:07.000Z
2021-10-19T13:31:54.000Z
tests/tk-button-button_options_test_data-button_options_tests.ads
thindil/tashy2
43fcbadb33c0062b2c8d6138a8238441dec5fd80
[ "Apache-2.0" ]
null
null
null
tests/tk-button-button_options_test_data-button_options_tests.ads
thindil/tashy2
43fcbadb33c0062b2c8d6138a8238441dec5fd80
[ "Apache-2.0" ]
null
null
null
-- This package has been generated automatically by GNATtest. -- Do not edit any part of it, see GNATtest documentation for more details. -- begin read only with GNATtest_Generated; package Tk.Button.Button_Options_Test_Data.Button_Options_Tests is type Test_Button_Options is new GNATtest_Generated.GNATtest_Standard.Tk .Button .Button_Options_Test_Data .Test_Button_Options with null record; procedure Test_Create_32e405_a9d294(Gnattest_T: in out Test_Button_Options); -- tk-button.ads:142:4:Create:Test_Create_Button1 procedure Test_Create_ebbdc1_90007f(Gnattest_T: in out Test_Button_Options); -- tk-button.ads:177:4:Create:Test_Create_Button2 procedure Test_Get_Options_ded36e_1401ab (Gnattest_T: in out Test_Button_Options); -- tk-button.ads:202:4:Get_Options:Test_Get_Options_Button procedure Test_Configure_0076be_5d096f (Gnattest_T: in out Test_Button_Options); -- tk-button.ads:223:4:Configure:Test_Configure_Button end Tk.Button.Button_Options_Test_Data.Button_Options_Tests; -- end read only
34.677419
79
0.792558
18e254d058cd301db11741e98ba7573eafb83cbc
3,111
ads
Ada
firehog/ncurses/Ada95/ada_include/terminal_interface.ads
KipodAfterFree/KAF-2019-FireHog
5f6ee3c3c3329459bc9daeabc1a16ff4619508d9
[ "MIT" ]
1
2019-04-02T20:28:58.000Z
2019-04-02T20:28:58.000Z
Ada95/ada_include/terminal_interface.ads
mitchelhaan/ncurses
0b8ae5088202164ecc1769aa255ed1aad283d2ae
[ "X11" ]
null
null
null
Ada95/ada_include/terminal_interface.ads
mitchelhaan/ncurses
0b8ae5088202164ecc1769aa255ed1aad283d2ae
[ "X11" ]
1
2019-12-26T10:18:16.000Z
2019-12-26T10:18:16.000Z
------------------------------------------------------------------------------ -- -- -- GNAT ncurses Binding -- -- -- -- Terminal_Interface -- -- -- -- S P E C -- -- -- ------------------------------------------------------------------------------ -- Copyright (c) 1998 Free Software Foundation, Inc. -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, distribute with modifications, sublicense, and/or sell -- -- copies of the Software, and to permit persons to whom the Software is -- -- furnished to do so, subject to the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE ABOVE COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, -- -- DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -- -- THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- -- -- -- Except as contained in this notice, the name(s) of the above copyright -- -- holders shall not be used in advertising or otherwise to promote the -- -- sale, use or other dealings in this Software without prior written -- -- authorization. -- ------------------------------------------------------------------------------ -- Author: Juergen Pfeifer <Juergen.Pfeifer@T-Online.de> 1996 -- Version Control: -- $Revision: 1.8 $ -- Binding Version 00.93 ------------------------------------------------------------------------------ package Terminal_Interface is pragma Pure (Terminal_Interface); -- -- Everything is in the child units -- end Terminal_Interface;
63.489796
78
0.418194
18cc27c5c886b3d58e29054905887d9a19f6c632
8,504
ads
Ada
source/containers/a-cihama.ads
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
33
2015-04-04T09:19:36.000Z
2021-11-10T05:33:34.000Z
source/containers/a-cihama.ads
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
8
2017-11-14T13:05:07.000Z
2018-08-09T15:28:49.000Z
source/containers/a-cihama.ads
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
9
2015-02-03T17:09:53.000Z
2021-11-12T01:16:05.000Z
pragma License (Unrestricted); -- Ada 2005 with Ada.Iterator_Interfaces; private with Ada.Containers.Copy_On_Write; private with Ada.Containers.Hash_Tables; private with Ada.Finalization; private with Ada.Streams; generic type Key_Type (<>) is private; type Element_Type (<>) is private; with function Hash (Key : Key_Type) return Hash_Type; with function Equivalent_Keys (Left, Right : Key_Type) return Boolean; with function "=" (Left, Right : Element_Type) return Boolean is <>; package Ada.Containers.Indefinite_Hashed_Maps is pragma Preelaborate; pragma Remote_Types; type Map is tagged private with Constant_Indexing => Constant_Reference, Variable_Indexing => Reference, Default_Iterator => Iterate, Iterator_Element => Element_Type; pragma Preelaborable_Initialization (Map); type Cursor is private; pragma Preelaborable_Initialization (Cursor); -- modified -- Empty_Map : constant Map; function Empty_Map return Map; No_Element : constant Cursor; function Has_Element (Position : Cursor) return Boolean; package Map_Iterator_Interfaces is new Iterator_Interfaces (Cursor, Has_Element); overriding function "=" (Left, Right : Map) return Boolean; function Capacity (Container : Map) return Count_Type; procedure Reserve_Capacity ( Container : in out Map; Capacity : Count_Type); function Length (Container : Map) return Count_Type; function Is_Empty (Container : Map) return Boolean; procedure Clear (Container : in out Map); function Key (Position : Cursor) return Key_Type; -- diff -- diff -- diff function Element (Position : Cursor) return Element_Type; procedure Replace_Element ( Container : in out Map; Position : Cursor; New_Item : Element_Type); procedure Query_Element ( Position : Cursor; Process : not null access procedure ( Key : Key_Type; Element : Element_Type)); -- modified procedure Update_Element ( Container : in out Map'Class; -- not primitive Position : Cursor; Process : not null access procedure ( Key : Key_Type; Element : in out Element_Type)); type Constant_Reference_Type ( Element : not null access constant Element_Type) is private with Implicit_Dereference => Element; type Reference_Type (Element : not null access Element_Type) is private with Implicit_Dereference => Element; function Constant_Reference (Container : aliased Map; Position : Cursor) return Constant_Reference_Type; function Reference (Container : aliased in out Map; Position : Cursor) return Reference_Type; function Constant_Reference (Container : aliased Map; Key : Key_Type) return Constant_Reference_Type; function Reference (Container : aliased in out Map; Key : Key_Type) return Reference_Type; procedure Assign (Target : in out Map; Source : Map); function Copy (Source : Map; Capacity : Count_Type := 0) return Map; procedure Move (Target : in out Map; Source : in out Map); procedure Insert ( Container : in out Map; Key : Key_Type; New_Item : Element_Type; Position : out Cursor; Inserted : out Boolean); -- diff (Insert) -- -- -- -- procedure Insert ( Container : in out Map; Key : Key_Type; New_Item : Element_Type); procedure Include ( Container : in out Map; Key : Key_Type; New_Item : Element_Type); procedure Replace ( Container : in out Map; Key : Key_Type; New_Item : Element_Type); procedure Exclude (Container : in out Map; Key : Key_Type); procedure Delete (Container : in out Map; Key : Key_Type); procedure Delete (Container : in out Map; Position : in out Cursor); function First (Container : Map) return Cursor; function Next (Position : Cursor) return Cursor; procedure Next (Position : in out Cursor); function Find (Container : Map; Key : Key_Type) return Cursor; -- modified function Element ( Container : Map'Class; -- not primitive Key : Key_Type) return Element_Type; function Contains (Container : Map; Key : Key_Type) return Boolean; function Equivalent_Keys (Left, Right : Cursor) return Boolean; function Equivalent_Keys (Left : Cursor; Right : Key_Type) return Boolean; function Equivalent_Keys (Left : Key_Type; Right : Cursor) return Boolean; -- modified procedure Iterate ( Container : Map'Class; -- not primitive Process : not null access procedure (Position : Cursor)); -- modified function Iterate (Container : Map'Class) -- not primitive return Map_Iterator_Interfaces.Forward_Iterator'Class; -- diff (Equivalent) -- -- -- -- -- private type Key_Access is access Key_Type; type Element_Access is access Element_Type; type Node is limited record Super : aliased Hash_Tables.Node; Key : Key_Access; Element : Element_Access; end record; -- place Super at first whether Element_Type is controlled-type for Node use record Super at 0 range 0 .. Hash_Tables.Node_Size - 1; end record; type Data is limited record Super : aliased Copy_On_Write.Data; Table : Hash_Tables.Table_Access := null; Length : Count_Type := 0; end record; type Data_Access is access Data; type Map is new Finalization.Controlled with record Super : aliased Copy_On_Write.Container; -- diff end record; overriding procedure Adjust (Object : in out Map); overriding procedure Finalize (Object : in out Map) renames Clear; type Cursor is access Node; -- diff (Key_Reference_Type) -- type Constant_Reference_Type ( Element : not null access constant Element_Type) is null record; type Reference_Type (Element : not null access Element_Type) is null record; type Map_Access is access constant Map; for Map_Access'Storage_Size use 0; type Map_Iterator is new Map_Iterator_Interfaces.Forward_Iterator with record First : Cursor; end record; overriding function First (Object : Map_Iterator) return Cursor; overriding function Next (Object : Map_Iterator; Position : Cursor) return Cursor; package Streaming is procedure Read ( Stream : not null access Streams.Root_Stream_Type'Class; Item : out Map); procedure Write ( Stream : not null access Streams.Root_Stream_Type'Class; Item : Map); procedure Missing_Read ( Stream : access Streams.Root_Stream_Type'Class; Item : out Cursor) with Import, Convention => Ada, External_Name => "__drake_program_error"; procedure Missing_Write ( Stream : access Streams.Root_Stream_Type'Class; Item : Cursor) with Import, Convention => Ada, External_Name => "__drake_program_error"; -- diff (Missing_Read) -- -- -- -- -- diff (Missing_Write) -- -- -- -- procedure Missing_Read ( Stream : access Streams.Root_Stream_Type'Class; Item : out Constant_Reference_Type) with Import, Convention => Ada, External_Name => "__drake_program_error"; procedure Missing_Write ( Stream : access Streams.Root_Stream_Type'Class; Item : Constant_Reference_Type) with Import, Convention => Ada, External_Name => "__drake_program_error"; procedure Missing_Read ( Stream : access Streams.Root_Stream_Type'Class; Item : out Reference_Type) with Import, Convention => Ada, External_Name => "__drake_program_error"; procedure Missing_Write ( Stream : access Streams.Root_Stream_Type'Class; Item : Reference_Type) with Import, Convention => Ada, External_Name => "__drake_program_error"; end Streaming; for Map'Read use Streaming.Read; for Map'Write use Streaming.Write; for Cursor'Read use Streaming.Missing_Read; for Cursor'Write use Streaming.Missing_Write; -- diff ('Read) -- diff ('Write) for Constant_Reference_Type'Read use Streaming.Missing_Read; for Constant_Reference_Type'Write use Streaming.Missing_Write; for Reference_Type'Read use Streaming.Missing_Read; for Reference_Type'Write use Streaming.Missing_Write; No_Element : constant Cursor := null; end Ada.Containers.Indefinite_Hashed_Maps;
27.79085
79
0.683208
c5bdebbbd3f1c402897e92bf0e91a9627754c5d9
951
adb
Ada
tests/statistics-test_data-tests-statistics_container-test_data.adb
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
80
2017-04-08T23:14:07.000Z
2022-02-10T22:30:51.000Z
tests/statistics-test_data-tests-statistics_container-test_data.adb
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
89
2017-06-24T08:18:26.000Z
2021-11-12T04:37:36.000Z
tests/statistics-test_data-tests-statistics_container-test_data.adb
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
9
2018-04-14T16:37:25.000Z
2020-03-21T14:33:49.000Z
-- This package is intended to set up and tear down the test environment. -- Once created by GNATtest, this package will never be overwritten -- automatically. Contents of this package can be modified in any way -- except for sections surrounded by a 'read only' marker. package body Statistics.Test_Data.Tests.Statistics_Container.Test_Data is procedure Set_Up(Gnattest_T: in out Test) is pragma Unreferenced(Gnattest_T); begin null; end Set_Up; procedure Tear_Down(Gnattest_T: in out Test) is pragma Unreferenced(Gnattest_T); begin null; end Tear_Down; procedure User_Set_Up(Gnattest_T: in out New_Test) is pragma Unreferenced(Gnattest_T); begin null; end User_Set_Up; procedure User_Tear_Down(Gnattest_T: in out New_Test) is pragma Unreferenced(Gnattest_T); begin null; end User_Tear_Down; end Statistics.Test_Data.Tests.Statistics_Container.Test_Data;
28.818182
75
0.736067
d005704aef65cd3c2e98394dd9441bd5553cc78e
4,521
ads
Ada
linear_algebra/jacobi_eigen.ads
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
30
2018-12-09T01:15:04.000Z
2022-03-20T16:14:54.000Z
linear_algebra/jacobi_eigen.ads
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
null
null
null
linear_algebra/jacobi_eigen.ads
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
null
null
null
-------------------------------------------------------------------------- -- package Jacobi_Eigen, Jacobi iterative eigen-decomposition -- Copyright (C) 2008-2018 Jonathan S. Parker -- -- Permission to use, copy, modify, and/or distribute this software for any -- purpose with or without fee is hereby granted, provided that the above -- copyright notice and this permission notice appear in all copies. -- THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES -- WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF -- MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR -- ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES -- WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN -- ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF -- OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. --------------------------------------------------------------------------- -- package Jacobi_Eigen -- -- Jacobi's iterative algorithm for eigen-decomposition of -- square real-valued symmetric matrices. -- -- The Jacobi method converges quadratically and with high -- reliability, but it is usually several times slower than the -- standard Golub-Reinsch algorithm (unless the matrix is small). -- Jacobi is usually best if accuracy and reliability are more -- important than speed. -- -- procedure Eigen_Decompose -- -- Works on arbitrary diagonal blocks of input matrix. For other blocks just -- copy the matrix to desired position; copy overhead is negligible compared -- to the O(N^3) running time of the decomposition. -- -- Procedure Eigen_Decompose is based on the Heinz Rutishauser ALGOL routine. -- If you want to see the original program in its full glory, you should -- be able to find it by googling "Rutishauser, Jacobi, Algol, ethistory". -- It was written over half a century ago! Changes are few: -- Slightly different calculation of the rotation angles. -- Also a bit more care in avoiding overflows; the test suite -- caught a few of them in the original routine. -- generic type Real is digits <>; type Index is range <>; type Matrix is array (Index, Index) of Real; package Jacobi_Eigen is type Col_Vector is array(Index) of Real; -- procedure Eigen_Decompose -- -- Standard Jacobi iterative eigendecomposition. The routine returns -- eigenvectors and eigenvalues of any real-valued square symmetric matrix. -- -- The orthonormal (unordered) eigenvectors are the Columns of Q. -- The orthonormal (unordered) eigenvectors are returned as the Rows of Q'=Q_tr. -- Eigenvals (returned in array Eigenvals) are ordered the same as Eigvecs in Q. -- So A = QEQ'. The diagonal elements of diagonal matrix E are the eigvals. -- The routine performs the eigen-decomposition on arbitrary square -- diagonal blocks of matrix A. -- It is assumed the blocks are symmetric. -- The upper left corner of the square matrix is (Start_Col, Start_Col). -- The lower rgt corner of the square matrix is (Final_Col, Final_Col). -- Matrix A doesn't need to be positive definite, or semi-definite. -- If Eigenvectors_Desired = False, then Q_tr is not calculated. -- -- Routine only sees and operates on the upper triangle of matrix. -- -- Input matrix A is destroyed. Save a copy of A if you need it. -- -- Eigenvectors of A are returned as the ROWS of matrix: Q_tr -- -- so Q_tr * A * Q = Diagonal_Eigs -- procedure Eigen_Decompose (A : in out Matrix; -- destroyed Q_tr : out Matrix; -- rows of Q_tr are the eigvecs Eigenvals : out Col_Vector; No_of_Sweeps_Performed : out Natural; Total_No_of_Rotations : out Natural; Start_Col : in Index := Index'First; Final_Col : in Index := Index'Last; Eigenvectors_Desired : in Boolean := True); procedure Sort_Eigs (Eigenvals : in out Col_Vector; Q_tr : in out Matrix; -- rows of Q_tr are the eigvecs Start_Col : in Index := Index'First; Final_Col : in Index := Index'Last; Sort_Eigvecs_Also : in Boolean := True); Standard_Threshold_Policy : constant Boolean := True; -- True is faster. -- False sometimes improves accuracy if the matrix is badly scaled. end Jacobi_Eigen;
43.057143
83
0.668879
cbd33281023b029a2dafc8ca6f9f77398d80ea43
66
ads
Ada
src/examples/Rejuvenation_Workshop/src/parentpackage.ads
selroc/Renaissance-Ada
39230b34aced4a9d83831be346ca103136c53715
[ "BSD-3-Clause" ]
1
2022-03-08T13:00:47.000Z
2022-03-08T13:00:47.000Z
src/examples/Rejuvenation_Workshop/src/parentpackage.ads
selroc/Renaissance-Ada
39230b34aced4a9d83831be346ca103136c53715
[ "BSD-3-Clause" ]
null
null
null
src/examples/Rejuvenation_Workshop/src/parentpackage.ads
selroc/Renaissance-Ada
39230b34aced4a9d83831be346ca103136c53715
[ "BSD-3-Clause" ]
null
null
null
with Ada.Text_IO; package ParentPackage is end ParentPackage;
8.25
24
0.787879
cb639068a2f02f8360f9dc14212ced9b7c405d73
989
ads
Ada
gdb-7.3/gdb/testsuite/gdb.ada/ref_param/pck.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
gdb-7.3/gdb/testsuite/gdb.ada/ref_param/pck.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
gdb-7.3/gdb/testsuite/gdb.ada/ref_param/pck.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
-- Copyright 2008, 2009, 2010, 2011 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. package Pck is type Data is record One : Integer; Two : Integer; Three : Integer; Four : Integer; Five : Integer; Six : Integer; end record; procedure Call_Me (D : in out Data); end Pck;
32.966667
73
0.676441
0e005118a4ede7d4ebd4b48a6f9a136130032cb8
34,056
adb
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnarl/s-taprop__hpux-dce.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnarl/s-taprop__hpux-dce.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnarl/s-taprop__hpux-dce.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . T A S K _ P R I M I T I V E S . O P E R A T I O N S -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNARL was developed by the GNARL team at Florida State University. -- -- Extensive contributions were provided by Ada Core Technologies, Inc. -- -- -- ------------------------------------------------------------------------------ -- This is a HP-UX DCE threads (HPUX 10) version of this package -- This package contains all the GNULL primitives that interface directly with -- the underlying OS. with Ada.Unchecked_Conversion; with Interfaces.C; with System.Tasking.Debug; with System.Interrupt_Management; with System.OS_Constants; with System.OS_Primitives; with System.Task_Primitives.Interrupt_Operations; pragma Warnings (Off); with System.Interrupt_Management.Operations; pragma Elaborate_All (System.Interrupt_Management.Operations); pragma Warnings (On); with System.Soft_Links; -- We use System.Soft_Links instead of System.Tasking.Initialization -- because the later is a higher level package that we shouldn't depend on. -- For example when using the restricted run time, it is replaced by -- System.Tasking.Restricted.Stages. package body System.Task_Primitives.Operations is package OSC renames System.OS_Constants; package SSL renames System.Soft_Links; use System.Tasking.Debug; use System.Tasking; use Interfaces.C; use System.OS_Interface; use System.Parameters; use System.OS_Primitives; package PIO renames System.Task_Primitives.Interrupt_Operations; ---------------- -- Local Data -- ---------------- -- The followings are logically constants, but need to be initialized -- at run time. Single_RTS_Lock : aliased RTS_Lock; -- This is a lock to allow only one thread of control in the RTS at -- a time; it is used to execute in mutual exclusion from all other tasks. -- Used to protect All_Tasks_List Environment_Task_Id : Task_Id; -- A variable to hold Task_Id for the environment task Unblocked_Signal_Mask : aliased sigset_t; -- The set of signals that should unblocked in all tasks Time_Slice_Val : Integer; pragma Import (C, Time_Slice_Val, "__gl_time_slice_val"); Dispatching_Policy : Character; pragma Import (C, Dispatching_Policy, "__gl_task_dispatching_policy"); -- Note: the reason that Locking_Policy is not needed is that this -- is not implemented for DCE threads. The HPUX 10 port is at this -- stage considered dead, and no further work is planned on it. Foreign_Task_Elaborated : aliased Boolean := True; -- Used to identified fake tasks (i.e., non-Ada Threads) -------------------- -- Local Packages -- -------------------- package Specific is procedure Initialize (Environment_Task : Task_Id); pragma Inline (Initialize); -- Initialize various data needed by this package function Is_Valid_Task return Boolean; pragma Inline (Is_Valid_Task); -- Does the executing thread have a TCB? procedure Set (Self_Id : Task_Id); pragma Inline (Set); -- Set the self id for the current task function Self return Task_Id; pragma Inline (Self); -- Return a pointer to the Ada Task Control Block of the calling task end Specific; package body Specific is separate; -- The body of this package is target specific ---------------------------------- -- ATCB allocation/deallocation -- ---------------------------------- package body ATCB_Allocation is separate; -- The body of this package is shared across several targets --------------------------------- -- Support for foreign threads -- --------------------------------- function Register_Foreign_Thread (Thread : Thread_Id) return Task_Id; -- Allocate and Initialize a new ATCB for the current Thread function Register_Foreign_Thread (Thread : Thread_Id) return Task_Id is separate; ----------------------- -- Local Subprograms -- ----------------------- procedure Abort_Handler (Sig : Signal); function To_Address is new Ada.Unchecked_Conversion (Task_Id, System.Address); ------------------- -- Abort_Handler -- ------------------- procedure Abort_Handler (Sig : Signal) is pragma Unreferenced (Sig); Self_Id : constant Task_Id := Self; Result : Interfaces.C.int; Old_Set : aliased sigset_t; begin if Self_Id.Deferral_Level = 0 and then Self_Id.Pending_ATC_Level < Self_Id.ATC_Nesting_Level and then not Self_Id.Aborting then Self_Id.Aborting := True; -- Make sure signals used for RTS internal purpose are unmasked Result := pthread_sigmask (SIG_UNBLOCK, Unblocked_Signal_Mask'Access, Old_Set'Access); pragma Assert (Result = 0); raise Standard'Abort_Signal; end if; end Abort_Handler; ----------------- -- Stack_Guard -- ----------------- -- The underlying thread system sets a guard page at the bottom of a thread -- stack, so nothing is needed. -- ??? Check the comment above procedure Stack_Guard (T : ST.Task_Id; On : Boolean) is pragma Unreferenced (T, On); begin null; end Stack_Guard; ------------------- -- Get_Thread_Id -- ------------------- function Get_Thread_Id (T : ST.Task_Id) return OSI.Thread_Id is begin return T.Common.LL.Thread; end Get_Thread_Id; ---------- -- Self -- ---------- function Self return Task_Id renames Specific.Self; --------------------- -- Initialize_Lock -- --------------------- -- Note: mutexes and cond_variables needed per-task basis are initialized -- in Initialize_TCB and the Storage_Error is handled. Other mutexes (such -- as RTS_Lock, Memory_Lock...) used in RTS is initialized before any -- status change of RTS. Therefore raising Storage_Error in the following -- routines should be able to be handled safely. procedure Initialize_Lock (Prio : System.Any_Priority; L : not null access Lock) is Attributes : aliased pthread_mutexattr_t; Result : Interfaces.C.int; begin Result := pthread_mutexattr_init (Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; L.Priority := Prio; Result := pthread_mutex_init (L.L'Access, Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; Result := pthread_mutexattr_destroy (Attributes'Access); pragma Assert (Result = 0); end Initialize_Lock; procedure Initialize_Lock (L : not null access RTS_Lock; Level : Lock_Level) is pragma Unreferenced (Level); Attributes : aliased pthread_mutexattr_t; Result : Interfaces.C.int; begin Result := pthread_mutexattr_init (Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; Result := pthread_mutex_init (L, Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; Result := pthread_mutexattr_destroy (Attributes'Access); pragma Assert (Result = 0); end Initialize_Lock; ------------------- -- Finalize_Lock -- ------------------- procedure Finalize_Lock (L : not null access Lock) is Result : Interfaces.C.int; begin Result := pthread_mutex_destroy (L.L'Access); pragma Assert (Result = 0); end Finalize_Lock; procedure Finalize_Lock (L : not null access RTS_Lock) is Result : Interfaces.C.int; begin Result := pthread_mutex_destroy (L); pragma Assert (Result = 0); end Finalize_Lock; ---------------- -- Write_Lock -- ---------------- procedure Write_Lock (L : not null access Lock; Ceiling_Violation : out Boolean) is Result : Interfaces.C.int; begin L.Owner_Priority := Get_Priority (Self); if L.Priority < L.Owner_Priority then Ceiling_Violation := True; return; end if; Result := pthread_mutex_lock (L.L'Access); pragma Assert (Result = 0); Ceiling_Violation := False; end Write_Lock; procedure Write_Lock (L : not null access RTS_Lock) is Result : Interfaces.C.int; begin Result := pthread_mutex_lock (L); pragma Assert (Result = 0); end Write_Lock; procedure Write_Lock (T : Task_Id) is Result : Interfaces.C.int; begin Result := pthread_mutex_lock (T.Common.LL.L'Access); pragma Assert (Result = 0); end Write_Lock; --------------- -- Read_Lock -- --------------- procedure Read_Lock (L : not null access Lock; Ceiling_Violation : out Boolean) is begin Write_Lock (L, Ceiling_Violation); end Read_Lock; ------------ -- Unlock -- ------------ procedure Unlock (L : not null access Lock) is Result : Interfaces.C.int; begin Result := pthread_mutex_unlock (L.L'Access); pragma Assert (Result = 0); end Unlock; procedure Unlock (L : not null access RTS_Lock) is Result : Interfaces.C.int; begin Result := pthread_mutex_unlock (L); pragma Assert (Result = 0); end Unlock; procedure Unlock (T : Task_Id) is Result : Interfaces.C.int; begin Result := pthread_mutex_unlock (T.Common.LL.L'Access); pragma Assert (Result = 0); end Unlock; ----------------- -- Set_Ceiling -- ----------------- -- Dynamic priority ceilings are not supported by the underlying system procedure Set_Ceiling (L : not null access Lock; Prio : System.Any_Priority) is pragma Unreferenced (L, Prio); begin null; end Set_Ceiling; ----------- -- Sleep -- ----------- procedure Sleep (Self_ID : Task_Id; Reason : System.Tasking.Task_States) is pragma Unreferenced (Reason); Result : Interfaces.C.int; begin Result := pthread_cond_wait (cond => Self_ID.Common.LL.CV'Access, mutex => Self_ID.Common.LL.L'Access); -- EINTR is not considered a failure pragma Assert (Result = 0 or else Result = EINTR); end Sleep; ----------------- -- Timed_Sleep -- ----------------- procedure Timed_Sleep (Self_ID : Task_Id; Time : Duration; Mode : ST.Delay_Modes; Reason : System.Tasking.Task_States; Timedout : out Boolean; Yielded : out Boolean) is pragma Unreferenced (Reason); Check_Time : constant Duration := Monotonic_Clock; Abs_Time : Duration; Request : aliased timespec; Result : Interfaces.C.int; begin Timedout := True; Yielded := False; Abs_Time := (if Mode = Relative then Duration'Min (Time, Max_Sensible_Delay) + Check_Time else Duration'Min (Check_Time + Max_Sensible_Delay, Time)); if Abs_Time > Check_Time then Request := To_Timespec (Abs_Time); loop exit when Self_ID.Pending_ATC_Level < Self_ID.ATC_Nesting_Level; Result := pthread_cond_timedwait (cond => Self_ID.Common.LL.CV'Access, mutex => Self_ID.Common.LL.L'Access, abstime => Request'Access); exit when Abs_Time <= Monotonic_Clock; if Result = 0 or Result = EINTR then -- Somebody may have called Wakeup for us Timedout := False; exit; end if; pragma Assert (Result = ETIMEDOUT); end loop; end if; end Timed_Sleep; ----------------- -- Timed_Delay -- ----------------- procedure Timed_Delay (Self_ID : Task_Id; Time : Duration; Mode : ST.Delay_Modes) is Check_Time : constant Duration := Monotonic_Clock; Abs_Time : Duration; Request : aliased timespec; Result : Interfaces.C.int; pragma Warnings (Off, Result); begin Write_Lock (Self_ID); Abs_Time := (if Mode = Relative then Time + Check_Time else Duration'Min (Check_Time + Max_Sensible_Delay, Time)); if Abs_Time > Check_Time then Request := To_Timespec (Abs_Time); Self_ID.Common.State := Delay_Sleep; loop exit when Self_ID.Pending_ATC_Level < Self_ID.ATC_Nesting_Level; Result := pthread_cond_timedwait (cond => Self_ID.Common.LL.CV'Access, mutex => Self_ID.Common.LL.L'Access, abstime => Request'Access); exit when Abs_Time <= Monotonic_Clock; pragma Assert (Result = 0 or else Result = ETIMEDOUT or else Result = EINTR); end loop; Self_ID.Common.State := Runnable; end if; Unlock (Self_ID); Result := sched_yield; end Timed_Delay; --------------------- -- Monotonic_Clock -- --------------------- function Monotonic_Clock return Duration is TS : aliased timespec; Result : Interfaces.C.int; begin Result := Clock_Gettime (OSC.CLOCK_RT_Ada, TS'Unchecked_Access); pragma Assert (Result = 0); return To_Duration (TS); end Monotonic_Clock; ------------------- -- RT_Resolution -- ------------------- function RT_Resolution return Duration is begin return 10#1.0#E-6; end RT_Resolution; ------------ -- Wakeup -- ------------ procedure Wakeup (T : Task_Id; Reason : System.Tasking.Task_States) is pragma Unreferenced (Reason); Result : Interfaces.C.int; begin Result := pthread_cond_signal (T.Common.LL.CV'Access); pragma Assert (Result = 0); end Wakeup; ----------- -- Yield -- ----------- procedure Yield (Do_Yield : Boolean := True) is Result : Interfaces.C.int; pragma Unreferenced (Result); begin if Do_Yield then Result := sched_yield; end if; end Yield; ------------------ -- Set_Priority -- ------------------ type Prio_Array_Type is array (System.Any_Priority) of Integer; pragma Atomic_Components (Prio_Array_Type); Prio_Array : Prio_Array_Type; -- Global array containing the id of the currently running task for -- each priority. -- -- Note: assume we are on single processor with run-til-blocked scheduling procedure Set_Priority (T : Task_Id; Prio : System.Any_Priority; Loss_Of_Inheritance : Boolean := False) is Result : Interfaces.C.int; Array_Item : Integer; Param : aliased struct_sched_param; function Get_Policy (Prio : System.Any_Priority) return Character; pragma Import (C, Get_Policy, "__gnat_get_specific_dispatching"); -- Get priority specific dispatching policy Priority_Specific_Policy : constant Character := Get_Policy (Prio); -- Upper case first character of the policy name corresponding to the -- task as set by a Priority_Specific_Dispatching pragma. begin Param.sched_priority := Interfaces.C.int (Underlying_Priorities (Prio)); if Dispatching_Policy = 'R' or else Priority_Specific_Policy = 'R' or else Time_Slice_Val > 0 then Result := pthread_setschedparam (T.Common.LL.Thread, SCHED_RR, Param'Access); elsif Dispatching_Policy = 'F' or else Priority_Specific_Policy = 'F' or else Time_Slice_Val = 0 then Result := pthread_setschedparam (T.Common.LL.Thread, SCHED_FIFO, Param'Access); else Result := pthread_setschedparam (T.Common.LL.Thread, SCHED_OTHER, Param'Access); end if; pragma Assert (Result = 0); if Dispatching_Policy = 'F' or else Priority_Specific_Policy = 'F' then -- Annex D requirement [RM D.2.2 par. 9]: -- If the task drops its priority due to the loss of inherited -- priority, it is added at the head of the ready queue for its -- new active priority. if Loss_Of_Inheritance and then Prio < T.Common.Current_Priority then Array_Item := Prio_Array (T.Common.Base_Priority) + 1; Prio_Array (T.Common.Base_Priority) := Array_Item; loop -- Let some processes a chance to arrive Yield; -- Then wait for our turn to proceed exit when Array_Item = Prio_Array (T.Common.Base_Priority) or else Prio_Array (T.Common.Base_Priority) = 1; end loop; Prio_Array (T.Common.Base_Priority) := Prio_Array (T.Common.Base_Priority) - 1; end if; end if; T.Common.Current_Priority := Prio; end Set_Priority; ------------------ -- Get_Priority -- ------------------ function Get_Priority (T : Task_Id) return System.Any_Priority is begin return T.Common.Current_Priority; end Get_Priority; ---------------- -- Enter_Task -- ---------------- procedure Enter_Task (Self_ID : Task_Id) is begin Self_ID.Common.LL.Thread := pthread_self; Specific.Set (Self_ID); end Enter_Task; ------------------- -- Is_Valid_Task -- ------------------- function Is_Valid_Task return Boolean renames Specific.Is_Valid_Task; ----------------------------- -- Register_Foreign_Thread -- ----------------------------- function Register_Foreign_Thread return Task_Id is begin if Is_Valid_Task then return Self; else return Register_Foreign_Thread (pthread_self); end if; end Register_Foreign_Thread; -------------------- -- Initialize_TCB -- -------------------- procedure Initialize_TCB (Self_ID : Task_Id; Succeeded : out Boolean) is Mutex_Attr : aliased pthread_mutexattr_t; Result : Interfaces.C.int; Cond_Attr : aliased pthread_condattr_t; begin Result := pthread_mutexattr_init (Mutex_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = 0 then Result := pthread_mutex_init (Self_ID.Common.LL.L'Access, Mutex_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); end if; if Result /= 0 then Succeeded := False; return; end if; Result := pthread_mutexattr_destroy (Mutex_Attr'Access); pragma Assert (Result = 0); Result := pthread_condattr_init (Cond_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = 0 then Result := pthread_cond_init (Self_ID.Common.LL.CV'Access, Cond_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); end if; if Result = 0 then Succeeded := True; else Result := pthread_mutex_destroy (Self_ID.Common.LL.L'Access); pragma Assert (Result = 0); Succeeded := False; end if; Result := pthread_condattr_destroy (Cond_Attr'Access); pragma Assert (Result = 0); end Initialize_TCB; ----------------- -- Create_Task -- ----------------- procedure Create_Task (T : Task_Id; Wrapper : System.Address; Stack_Size : System.Parameters.Size_Type; Priority : System.Any_Priority; Succeeded : out Boolean) is Attributes : aliased pthread_attr_t; Result : Interfaces.C.int; function Thread_Body_Access is new Ada.Unchecked_Conversion (System.Address, Thread_Body); begin Result := pthread_attr_init (Attributes'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result /= 0 then Succeeded := False; return; end if; Result := pthread_attr_setstacksize (Attributes'Access, Interfaces.C.size_t (Stack_Size)); pragma Assert (Result = 0); -- Since the initial signal mask of a thread is inherited from the -- creator, and the Environment task has all its signals masked, we -- do not need to manipulate caller's signal mask at this point. -- All tasks in RTS will have All_Tasks_Mask initially. Result := pthread_create (T.Common.LL.Thread'Access, Attributes'Access, Thread_Body_Access (Wrapper), To_Address (T)); pragma Assert (Result = 0 or else Result = EAGAIN); Succeeded := Result = 0; pthread_detach (T.Common.LL.Thread'Access); -- Detach the thread using pthread_detach, since DCE threads do not have -- pthread_attr_set_detachstate. Result := pthread_attr_destroy (Attributes'Access); pragma Assert (Result = 0); Set_Priority (T, Priority); end Create_Task; ------------------ -- Finalize_TCB -- ------------------ procedure Finalize_TCB (T : Task_Id) is Result : Interfaces.C.int; begin Result := pthread_mutex_destroy (T.Common.LL.L'Access); pragma Assert (Result = 0); Result := pthread_cond_destroy (T.Common.LL.CV'Access); pragma Assert (Result = 0); if T.Known_Tasks_Index /= -1 then Known_Tasks (T.Known_Tasks_Index) := null; end if; ATCB_Allocation.Free_ATCB (T); end Finalize_TCB; --------------- -- Exit_Task -- --------------- procedure Exit_Task is begin Specific.Set (null); end Exit_Task; ---------------- -- Abort_Task -- ---------------- procedure Abort_Task (T : Task_Id) is begin -- Interrupt Server_Tasks may be waiting on an "event" flag (signal) if T.Common.State = Interrupt_Server_Blocked_On_Event_Flag then System.Interrupt_Management.Operations.Interrupt_Self_Process (PIO.Get_Interrupt_ID (T)); end if; end Abort_Task; ---------------- -- Initialize -- ---------------- procedure Initialize (S : in out Suspension_Object) is Mutex_Attr : aliased pthread_mutexattr_t; Cond_Attr : aliased pthread_condattr_t; Result : Interfaces.C.int; begin -- Initialize internal state (always to False (ARM D.10(6))) S.State := False; S.Waiting := False; -- Initialize internal mutex Result := pthread_mutex_init (S.L'Access, Mutex_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result = ENOMEM then raise Storage_Error; end if; -- Initialize internal condition variable Result := pthread_cond_init (S.CV'Access, Cond_Attr'Access); pragma Assert (Result = 0 or else Result = ENOMEM); if Result /= 0 then Result := pthread_mutex_destroy (S.L'Access); pragma Assert (Result = 0); if Result = ENOMEM then raise Storage_Error; end if; end if; end Initialize; -------------- -- Finalize -- -------------- procedure Finalize (S : in out Suspension_Object) is Result : Interfaces.C.int; begin -- Destroy internal mutex Result := pthread_mutex_destroy (S.L'Access); pragma Assert (Result = 0); -- Destroy internal condition variable Result := pthread_cond_destroy (S.CV'Access); pragma Assert (Result = 0); end Finalize; ------------------- -- Current_State -- ------------------- function Current_State (S : Suspension_Object) return Boolean is begin -- We do not want to use lock on this read operation. State is marked -- as Atomic so that we ensure that the value retrieved is correct. return S.State; end Current_State; --------------- -- Set_False -- --------------- procedure Set_False (S : in out Suspension_Object) is Result : Interfaces.C.int; begin SSL.Abort_Defer.all; Result := pthread_mutex_lock (S.L'Access); pragma Assert (Result = 0); S.State := False; Result := pthread_mutex_unlock (S.L'Access); pragma Assert (Result = 0); SSL.Abort_Undefer.all; end Set_False; -------------- -- Set_True -- -------------- procedure Set_True (S : in out Suspension_Object) is Result : Interfaces.C.int; begin SSL.Abort_Defer.all; Result := pthread_mutex_lock (S.L'Access); pragma Assert (Result = 0); -- If there is already a task waiting on this suspension object then -- we resume it, leaving the state of the suspension object to False, -- as it is specified in ARM D.10 par. 9. Otherwise, it just leaves -- the state to True. if S.Waiting then S.Waiting := False; S.State := False; Result := pthread_cond_signal (S.CV'Access); pragma Assert (Result = 0); else S.State := True; end if; Result := pthread_mutex_unlock (S.L'Access); pragma Assert (Result = 0); SSL.Abort_Undefer.all; end Set_True; ------------------------ -- Suspend_Until_True -- ------------------------ procedure Suspend_Until_True (S : in out Suspension_Object) is Result : Interfaces.C.int; begin SSL.Abort_Defer.all; Result := pthread_mutex_lock (S.L'Access); pragma Assert (Result = 0); if S.Waiting then -- Program_Error must be raised upon calling Suspend_Until_True -- if another task is already waiting on that suspension object -- (ARM D.10 par. 10). Result := pthread_mutex_unlock (S.L'Access); pragma Assert (Result = 0); SSL.Abort_Undefer.all; raise Program_Error; else -- Suspend the task if the state is False. Otherwise, the task -- continues its execution, and the state of the suspension object -- is set to False (ARM D.10 par. 9). if S.State then S.State := False; else S.Waiting := True; loop -- Loop in case pthread_cond_wait returns earlier than expected -- (e.g. in case of EINTR caused by a signal). Result := pthread_cond_wait (S.CV'Access, S.L'Access); pragma Assert (Result = 0 or else Result = EINTR); exit when not S.Waiting; end loop; end if; Result := pthread_mutex_unlock (S.L'Access); pragma Assert (Result = 0); SSL.Abort_Undefer.all; end if; end Suspend_Until_True; ---------------- -- Check_Exit -- ---------------- -- Dummy version function Check_Exit (Self_ID : ST.Task_Id) return Boolean is pragma Unreferenced (Self_ID); begin return True; end Check_Exit; -------------------- -- Check_No_Locks -- -------------------- function Check_No_Locks (Self_ID : ST.Task_Id) return Boolean is pragma Unreferenced (Self_ID); begin return True; end Check_No_Locks; ---------------------- -- Environment_Task -- ---------------------- function Environment_Task return Task_Id is begin return Environment_Task_Id; end Environment_Task; -------------- -- Lock_RTS -- -------------- procedure Lock_RTS is begin Write_Lock (Single_RTS_Lock'Access); end Lock_RTS; ---------------- -- Unlock_RTS -- ---------------- procedure Unlock_RTS is begin Unlock (Single_RTS_Lock'Access); end Unlock_RTS; ------------------ -- Suspend_Task -- ------------------ function Suspend_Task (T : ST.Task_Id; Thread_Self : Thread_Id) return Boolean is pragma Unreferenced (T); pragma Unreferenced (Thread_Self); begin return False; end Suspend_Task; ----------------- -- Resume_Task -- ----------------- function Resume_Task (T : ST.Task_Id; Thread_Self : Thread_Id) return Boolean is pragma Unreferenced (T); pragma Unreferenced (Thread_Self); begin return False; end Resume_Task; -------------------- -- Stop_All_Tasks -- -------------------- procedure Stop_All_Tasks is begin null; end Stop_All_Tasks; --------------- -- Stop_Task -- --------------- function Stop_Task (T : ST.Task_Id) return Boolean is pragma Unreferenced (T); begin return False; end Stop_Task; ------------------- -- Continue_Task -- ------------------- function Continue_Task (T : ST.Task_Id) return Boolean is pragma Unreferenced (T); begin return False; end Continue_Task; ---------------- -- Initialize -- ---------------- procedure Initialize (Environment_Task : Task_Id) is act : aliased struct_sigaction; old_act : aliased struct_sigaction; Tmp_Set : aliased sigset_t; Result : Interfaces.C.int; function State (Int : System.Interrupt_Management.Interrupt_ID) return Character; pragma Import (C, State, "__gnat_get_interrupt_state"); -- Get interrupt state. Defined in a-init.c. The input argument is -- the interrupt number, and the result is one of the following: Default : constant Character := 's'; -- 'n' this interrupt not set by any Interrupt_State pragma -- 'u' Interrupt_State pragma set state to User -- 'r' Interrupt_State pragma set state to Runtime -- 's' Interrupt_State pragma set state to System (use "default" -- system handler) begin Environment_Task_Id := Environment_Task; Interrupt_Management.Initialize; -- Initialize the lock used to synchronize chain of all ATCBs Initialize_Lock (Single_RTS_Lock'Access, RTS_Lock_Level); Specific.Initialize (Environment_Task); -- Make environment task known here because it doesn't go through -- Activate_Tasks, which does it for all other tasks. Known_Tasks (Known_Tasks'First) := Environment_Task; Environment_Task.Known_Tasks_Index := Known_Tasks'First; Enter_Task (Environment_Task); -- Install the abort-signal handler if State (System.Interrupt_Management.Abort_Task_Interrupt) /= Default then act.sa_flags := 0; act.sa_handler := Abort_Handler'Address; Result := sigemptyset (Tmp_Set'Access); pragma Assert (Result = 0); act.sa_mask := Tmp_Set; Result := sigaction ( Signal (System.Interrupt_Management.Abort_Task_Interrupt), act'Unchecked_Access, old_act'Unchecked_Access); pragma Assert (Result = 0); end if; end Initialize; -- NOTE: Unlike other pthread implementations, we do *not* mask all -- signals here since we handle signals using the process-wide primitive -- signal, rather than using sigthreadmask and sigwait. The reason of -- this difference is that sigwait doesn't work when some critical -- signals (SIGABRT, SIGPIPE) are masked. ----------------------- -- Set_Task_Affinity -- ----------------------- procedure Set_Task_Affinity (T : ST.Task_Id) is pragma Unreferenced (T); begin -- Setting task affinity is not supported by the underlying system null; end Set_Task_Affinity; end System.Task_Primitives.Operations;
28.168734
79
0.575787
4ae205885e07c2d8bbf17371c36bf618f1f55e8f
3,478
adb
Ada
test/wavefiles_test/src/generic_fixed_pcm_buffer_ops.adb
Ada-Audio/wavefiles
8e1162c5b9dc604a835f60be6a78e8f9d3c85052
[ "MIT" ]
10
2016-02-29T09:35:56.000Z
2020-05-16T02:55:20.000Z
test/wavefiles_test/src/generic_fixed_pcm_buffer_ops.adb
gusthoff/wavefiles
8e1162c5b9dc604a835f60be6a78e8f9d3c85052
[ "MIT" ]
null
null
null
test/wavefiles_test/src/generic_fixed_pcm_buffer_ops.adb
gusthoff/wavefiles
8e1162c5b9dc604a835f60be6a78e8f9d3c85052
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- THIS IS AN AUTOMATICALLY GENERATED FILE! DO NOT EDIT! -- -- -- -- WAVEFILES -- -- -- -- Test application -- -- -- -- The MIT License (MIT) -- -- -- -- Copyright (c) 2020 Gustavo A. Hoffmann -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining -- -- a copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, sublicense, and / or sell copies of the Software, and to -- -- permit persons to whom the Software is furnished to do so, subject to -- -- the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be -- -- included in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, -- -- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY -- -- CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, -- -- TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE -- -- SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- ------------------------------------------------------------------------------ package body Generic_Fixed_PCM_Buffer_Ops is --------- -- "+" -- --------- function "+" (PCM_Ref : PCM_MC_Sample; PCM_DUT : PCM_MC_Sample) return PCM_MC_Sample is Max_Last : constant Positive := Positive'Max (PCM_Ref'Last, PCM_DUT'Last); PCM_Sum : PCM_MC_Sample (1 .. Max_Last); begin for I in 1 .. Max_Last loop PCM_Sum (I) := PCM_Ref (I) + PCM_DUT (I); end loop; return PCM_Sum; end "+"; --------- -- "-" -- --------- function "-" (PCM_Ref : PCM_MC_Sample; PCM_DUT : PCM_MC_Sample) return PCM_MC_Sample is Max_Last : constant Positive := Positive'Max (PCM_Ref'Last, PCM_DUT'Last); PCM_Diff : PCM_MC_Sample (1 .. Max_Last); begin for I in 1 .. Max_Last loop PCM_Diff (I) := PCM_Ref (I) - PCM_DUT (I); end loop; return PCM_Diff; end "-"; end Generic_Fixed_PCM_Buffer_Ops;
48.305556
78
0.421507
dc2dff40c6c86f9a5619da55036e05ae196a6de8
4,108
ads
Ada
src/el-contexts.ads
Letractively/ada-el
43b155ed10b48e1cbba619fb8fd0d170da138653
[ "Apache-2.0" ]
null
null
null
src/el-contexts.ads
Letractively/ada-el
43b155ed10b48e1cbba619fb8fd0d170da138653
[ "Apache-2.0" ]
null
null
null
src/el-contexts.ads
Letractively/ada-el
43b155ed10b48e1cbba619fb8fd0d170da138653
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- EL.Contexts -- Contexts for evaluating an expression -- Copyright (C) 2009, 2010, 2011, 2012 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- -- The expression context provides information to resolve runtime -- information when evaluating an expression. The context provides -- a resolver whose role is to find variables given their name. with EL.Objects; with Util.Beans.Basic; with Ada.Strings.Unbounded; with Ada.Exceptions; with EL.Functions; limited with EL.Variables; package EL.Contexts is pragma Preelaborate; use EL.Objects; use Ada.Strings.Unbounded; type ELContext; -- ------------------------------ -- Expression Resolver -- ------------------------------ -- Enables customization of variable and property resolution -- behavior for EL expression evaluation. type ELResolver is limited interface; type ELResolver_Access is access all ELResolver'Class; -- Get the value associated with a base object and a given property. function Get_Value (Resolver : ELResolver; Context : ELContext'Class; Base : access Util.Beans.Basic.Readonly_Bean'Class; Name : Unbounded_String) return EL.Objects.Object is abstract; -- Set the value associated with a base object and a given property. procedure Set_Value (Resolver : in out ELResolver; Context : in ELContext'Class; Base : access Util.Beans.Basic.Bean'Class; Name : in Unbounded_String; Value : in EL.Objects.Object) is abstract; -- ------------------------------ -- Expression Context -- ------------------------------ -- Context information for expression evaluation. type ELContext is limited interface; type ELContext_Access is access all ELContext'Class; -- Retrieves the ELResolver associated with this ELcontext. function Get_Resolver (Context : ELContext) return ELResolver_Access is abstract; -- Retrieves the VariableMapper associated with this ELContext. function Get_Variable_Mapper (Context : ELContext) return access EL.Variables.Variable_Mapper'Class is abstract; -- Set the variable mapper associated with this ELContext. procedure Set_Variable_Mapper (Context : in out ELContext; Mapper : access EL.Variables.Variable_Mapper'Class) is abstract; -- Retrieves the FunctionMapper associated with this ELContext. -- The FunctionMapper is only used when parsing an expression. function Get_Function_Mapper (Context : ELContext) return EL.Functions.Function_Mapper_Access is abstract; -- Set the function mapper associated with this ELContext. procedure Set_Function_Mapper (Context : in out ELContext; Mapper : access EL.Functions.Function_Mapper'Class) is abstract; -- Handle the exception during expression evaluation. The handler can ignore the -- exception or raise it. procedure Handle_Exception (Context : in ELContext; Ex : in Ada.Exceptions.Exception_Occurrence) is abstract; end EL.Contexts;
43.702128
94
0.623905
dce812a46c555b57833445936e1e016f7abfa449
5,852
adb
Ada
source/amf/ocl/amf-internals-ocl_elements.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/ocl/amf-internals-ocl_elements.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/ocl/amf-internals-ocl_elements.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ with AMF.Elements; with AMF.Internals.Extents; with AMF.Internals.Helpers; with AMF.Internals.Tables.OCL_Element_Table; with AMF.Internals.Tables.OCL_Reflection; package body AMF.Internals.OCL_Elements is ------------ -- Extent -- ------------ overriding function Extent (Self : not null access constant OCL_Element_Proxy) return AMF.Extents.Extent_Access is begin return AMF.Internals.Extents.Proxy (AMF.Internals.Tables.OCL_Element_Table.Table (Self.Element).Extent); end Extent; --------- -- Get -- --------- overriding function Get (Self : not null access constant OCL_Element_Proxy; Property : not null AMF.CMOF.Properties.CMOF_Property_Access) return League.Holders.Holder is begin return AMF.Internals.Tables.OCL_Reflection.Get (Self.Element, AMF.Internals.Helpers.To_Element (AMF.Elements.Element_Access (Property))); end Get; -------------------- -- Get_Meta_Class -- -------------------- overriding function Get_Meta_Class (Self : not null access constant OCL_Element_Proxy) return AMF.CMOF.Classes.CMOF_Class_Access is begin return AMF.CMOF.Classes.CMOF_Class_Access (AMF.Internals.Helpers.To_Element (AMF.Internals.Tables.OCL_Reflection.Get_Meta_Class (Self.Element))); end Get_Meta_Class; -- ------------------- -- -- Must_Be_Owned -- -- ------------------- -- -- overriding function Must_Be_Owned -- (Self : not null access constant UML_Element_Proxy) return Boolean -- is -- pragma Unreferenced (Self); -- -- -- [UML241] 7.3.14 Element (from Kernel) -- -- -- -- The query mustBeOwned() indicates whether elements of this type must -- -- have an owner. Subclasses of Element that do not require an owner -- -- must override this operation. -- -- -- -- Element::mustBeOwned() : Boolean; -- -- mustBeOwned = true -- -- begin -- return True; -- end Must_Be_Owned; --------- -- Set -- --------- overriding procedure Set (Self : not null access OCL_Element_Proxy; Property : not null AMF.CMOF.Properties.CMOF_Property_Access; Value : League.Holders.Holder) is begin AMF.Internals.Tables.OCL_Reflection.Set (Self.Element, AMF.Internals.Helpers.To_Element (AMF.Elements.Element_Access (Property)), Value); end Set; end AMF.Internals.OCL_Elements;
43.671642
80
0.48838
0e320e265ad0512755eb9082ee1ae96fbb276a59
462
adb
Ada
msp430-gcc-tics/msp430-gcc-7.3.1.24-source-full/gcc/gcc/testsuite/gnat.dg/object_overflow4.adb
TUDSSL/TICS
575ed1b34403b435540bc946c2e6dc5b6bf13072
[ "MIT" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
msp430-gcc-tics/msp430-gcc-7.3.1.24-source-full/gcc/gcc/testsuite/gnat.dg/object_overflow4.adb
TUDSSL/TICS
575ed1b34403b435540bc946c2e6dc5b6bf13072
[ "MIT" ]
null
null
null
msp430-gcc-tics/msp430-gcc-7.3.1.24-source-full/gcc/gcc/testsuite/gnat.dg/object_overflow4.adb
TUDSSL/TICS
575ed1b34403b435540bc946c2e6dc5b6bf13072
[ "MIT" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- { dg-do compile } with Interfaces.C; use Interfaces.C; procedure Object_Overflow4 is procedure Proc (x : Integer) is begin null; end; type Index_T is new ptrdiff_t range 0 .. ptrdiff_t'Last; type Arr is array(Index_T range <>) of Integer; type Rec (Size: Index_T := 6) is record -- { dg-warning "Storage_Error" } A: Arr (0..Size); end record; Obj : Rec; -- { dg-warning "Storage_Error" } begin Obj.A(1) := 0; Proc (Obj.A(1)); end;
20.086957
75
0.647186
18f6816244fda74e093594ae1959f5a5ad414168
5,469
adb
Ada
src/apsepp-test_reporter_data_struct_class-impl.adb
thierr26/ada-apsepp
6eb87079ea57707db4ee1e2215fa170af66b1913
[ "MIT" ]
null
null
null
src/apsepp-test_reporter_data_struct_class-impl.adb
thierr26/ada-apsepp
6eb87079ea57707db4ee1e2215fa170af66b1913
[ "MIT" ]
null
null
null
src/apsepp-test_reporter_data_struct_class-impl.adb
thierr26/ada-apsepp
6eb87079ea57707db4ee1e2215fa170af66b1913
[ "MIT" ]
null
null
null
-- Copyright (C) 2019 Thierry Rascle <thierr26@free.fr> -- MIT license. Please refer to the LICENSE file. with Ada.Unchecked_Deallocation; package body Apsepp.Test_Reporter_Data_Struct_Class.Impl is ---------------------------------------------------------------------------- not overriding procedure Add_And_Or_Set_Active_Node (Obj : in out Test_Reporter_Data; T : Tag; C : out Node_Data_Trees.Cursor) is use Node_Data_Hashed_Maps; -- Makes "=" for type -- Node_Data_Hashed_Maps.Cursor directly -- visible. Active_Node_Map_C : constant Node_Data_Hashed_Maps.Cursor := Obj.Active_Node_Map.Find (T); begin if Active_Node_Map_C = Node_Data_Hashed_Maps.No_Element then C := Node_Data_Trees.No_Element; for Cu in Obj.Node_Data_Tree.Iterate loop if Node_Data_Trees.Element (Cu).T = T then C := Cu; exit; end if; end loop; if C = Node_Data_Trees.No_Element then -- TODO: Refactor the Insert_Child calls. Obj.Node_Data_Tree.Insert_Child (Parent => Root (Obj.Node_Data_Tree), Before => Node_Data_Trees.No_Element, New_Item => (T => T, Event_Index_Vector => <>), Position => C); end if; Obj.Active_Node_Map.Insert (Key => T, New_Item => C); else C := Element (Active_Node_Map_C); end if; end Add_And_Or_Set_Active_Node; ---------------------------------------------------------------------------- overriding function Is_Empty (Obj : Test_Reporter_Data) return Boolean is (Obj.Active_Node_Map.Is_Empty and then Obj.Event_Vector.Is_Empty and then Obj.Node_Data_Tree.Is_Empty); ---------------------------------------------------------------------------- overriding procedure Reset (Obj : in out Test_Reporter_Data) is ----------------------------------------------------- procedure Clean_Up_Events (Position : Node_Event_Vectors.Cursor) is N_E : Node_Event := Node_Event_Vectors.Element (Position); procedure Free is new Ada.Unchecked_Deallocation (Object => Test_Event_Base'Class, Name => Test_Event_Access); begin N_E.Event.Clean_Up; Free (N_E.Event); end Clean_Up_Events; ----------------------------------------------------- begin Obj.Active_Node_Map.Clear; Obj.Event_Vector.Iterate (Clean_Up_Events'Access); Obj.Event_Vector.Clear; Obj.Node_Data_Tree.Clear; end Reset; ---------------------------------------------------------------------------- overriding function Is_Active (Obj : Test_Reporter_Data; Node_Tag : Tag) return Boolean is (Obj.Active_Node_Map.Contains (Node_Tag)); ---------------------------------------------------------------------------- overriding procedure Include_Node (Obj : in out Test_Reporter_Data; Node_Lineage : Tag_Array) is C : Cursor := Root (Obj.Node_Data_Tree); begin for T of Node_Lineage loop declare Insertion_Required : Boolean := Is_Leaf (C); begin for Child_C in Obj.Node_Data_Tree.Iterate_Children (C) loop if Element (Child_C).T = T then C := Child_C; exit; end if; Insertion_Required := Child_C = Last_Child (C); end loop; if Insertion_Required then declare Position : Cursor; begin Obj.Node_Data_Tree.Insert_Child (Parent => C, Before => No_Element, New_Item => (T => T, Event_Index_Vector => <>), Position => Position); C := Position; end; end if; end; end loop; end Include_Node; ---------------------------------------------------------------------------- overriding procedure Add_Event (Obj : in out Test_Reporter_Data; Node_Tag : Tag; Event : Test_Event_Base'Class) is ----------------------------------------------------- procedure Update_Node_Event_Vector (Element : in out Node_Data) is begin Element.Event_Index_Vector.Append (Obj.Event_Vector.Last_Index); end Update_Node_Event_Vector; ----------------------------------------------------- C : Cursor; begin Obj.Add_And_Or_Set_Active_Node (Node_Tag, C); Obj.Event_Vector.Append ( (Node_Data_Cursor => C, Event => new Test_Event_Base'Class'(Event))); Obj.Node_Data_Tree.Update_Element (C, Update_Node_Event_Vector'Access); if Event.Is_Node_Run_Final_Event then Obj.Active_Node_Map.Delete (Key => Node_Tag); end if; end Add_Event; ---------------------------------------------------------------------------- end Apsepp.Test_Reporter_Data_Struct_Class.Impl;
27.482412
79
0.47687
c555e3f87f29b6ba9eeee9e68d511969fad699bb
15,937
adb
Ada
hls_video_processor/solution1/.autopilot/db/Block_proc.sched.adb
bopopescu/hdmi-led
73b04e0b07a51840ffc014c9f7b1575493be80b4
[ "MIT" ]
1
2021-04-27T01:33:32.000Z
2021-04-27T01:33:32.000Z
hls_video_processor/solution1/.autopilot/db/Block_proc.sched.adb
bopopescu/hdmi-led
73b04e0b07a51840ffc014c9f7b1575493be80b4
[ "MIT" ]
null
null
null
hls_video_processor/solution1/.autopilot/db/Block_proc.sched.adb
bopopescu/hdmi-led
73b04e0b07a51840ffc014c9f7b1575493be80b4
[ "MIT" ]
2
2020-07-09T12:12:30.000Z
2021-05-23T22:00:42.000Z
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>Block_proc</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>img_input_rows_V_out</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>img_input_rows_V_out1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>img_input_cols_V_out</name> <fileName>hls_video_processor/hls_video_processor.cpp</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>405</lineNumber> <contextFuncName>hls_video_processor</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="8" tracking_level="0" version="0"> <first>C:\Users\byronxu\Documents\6.S193</first> <second class_id="9" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first class_id="11" tracking_level="0" version="0"> <first>hls_video_processor/hls_video_processor.cpp</first> <second>hls_video_processor</second> </first> <second>405</second> </item> </second> </item> </inlineStackInfo> <originalName>img_input.cols.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="12" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="13" tracking_level="1" version="0" object_id="_4"> <Value> <Obj> <type>0</type> <id>5</id> <name></name> <fileName>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</fileName> <fileDirectory>C:\Users\byronxu\Documents\6.S193</fileDirectory> <lineNumber>657</lineNumber> <contextFuncName>init</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>C:\Users\byronxu\Documents\6.S193</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>Mat</second> </first> <second>642</second> </item> <item> <first> <first>C:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/hls_video_core.h</first> <second>init</second> </first> <second>657</second> </item> <item> <first> <first>hls_video_processor/hls_video_processor.cpp</first> <second>hls_video_processor</second> </first> <second>405</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>13</item> <item>14</item> <item>16</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.88</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="13" object_id="_5"> <Value> <Obj> <type>0</type> <id>7</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>17</item> <item>18</item> <item>20</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.88</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="13" object_id="_6"> <Value> <Obj> <type>0</type> <id>9</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>21</item> <item>22</item> <item>23</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.88</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="13" object_id="_7"> <Value> <Obj> <type>0</type> <id>10</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_8"> <Value> <Obj> <type>2</type> <id>15</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <const_type>0</const_type> <content>1920</content> </item> <item class_id_reference="16" object_id="_9"> <Value> <Obj> <type>2</type> <id>19</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <const_type>0</const_type> <content>1080</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_10"> <Obj> <type>3</type> <id>11</id> <name>Block__proc</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>5</item> <item>7</item> <item>9</item> <item>10</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_11"> <id>14</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>5</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_12"> <id>16</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>5</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_13"> <id>18</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>7</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_14"> <id>20</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>7</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_15"> <id>22</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>9</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_16"> <id>23</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>9</sink_obj> <is_back_edge>0</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_17"> <mId>1</mId> <mTag>Block__proc</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>11</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="-1"></res> <node_label_latency class_id="26" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="27" tracking_level="0" version="0"> <first>5</first> <second class_id="28" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>7</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>9</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>10</first> <second> <first>0</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="29" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="30" tracking_level="0" version="0"> <first>11</first> <second class_id="31" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> </bblk_ent_exit> <regions class_id="32" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </regions> <dp_fu_nodes class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="34" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="35" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="36" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
29.404059
100
0.604631
18a3a75f54bf3072418d98f4a1c089e72e262b23
11,366
ads
Ada
source/amf/ocl/amf-factories-ocl_factories.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/ocl/amf-factories-ocl_factories.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/ocl/amf-factories-ocl_factories.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012-2013, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ with AMF.OCL.Any_Types; with AMF.OCL.Association_Class_Call_Exps; with AMF.OCL.Bag_Types; with AMF.OCL.Boolean_Literal_Exps; with AMF.OCL.Collection_Items; with AMF.OCL.Collection_Literal_Exps; with AMF.OCL.Collection_Ranges; with AMF.OCL.Collection_Types; with AMF.OCL.Enum_Literal_Exps; with AMF.OCL.Expression_In_Ocls; with AMF.OCL.If_Exps; with AMF.OCL.Integer_Literal_Exps; with AMF.OCL.Invalid_Literal_Exps; with AMF.OCL.Invalid_Types; with AMF.OCL.Iterate_Exps; with AMF.OCL.Iterator_Exps; with AMF.OCL.Let_Exps; with AMF.OCL.Message_Exps; with AMF.OCL.Message_Types; with AMF.OCL.Null_Literal_Exps; with AMF.OCL.Operation_Call_Exps; with AMF.OCL.Ordered_Set_Types; with AMF.OCL.Property_Call_Exps; with AMF.OCL.Real_Literal_Exps; with AMF.OCL.Sequence_Types; with AMF.OCL.Set_Types; with AMF.OCL.State_Exps; with AMF.OCL.String_Literal_Exps; with AMF.OCL.Template_Parameter_Types; with AMF.OCL.Tuple_Literal_Exps; with AMF.OCL.Tuple_Literal_Parts; with AMF.OCL.Tuple_Types; with AMF.OCL.Type_Exps; with AMF.OCL.Unlimited_Natural_Literal_Exps; with AMF.OCL.Unspecified_Value_Exps; with AMF.OCL.Variable_Exps; with AMF.OCL.Variables; with AMF.OCL.Void_Types; package AMF.Factories.OCL_Factories is pragma Preelaborate; type OCL_Factory is limited interface and AMF.Factories.Factory; type OCL_Factory_Access is access all OCL_Factory'Class; for OCL_Factory_Access'Storage_Size use 0; not overriding function Create_Any_Type (Self : not null access OCL_Factory) return AMF.OCL.Any_Types.OCL_Any_Type_Access is abstract; not overriding function Create_Association_Class_Call_Exp (Self : not null access OCL_Factory) return AMF.OCL.Association_Class_Call_Exps.OCL_Association_Class_Call_Exp_Access is abstract; not overriding function Create_Bag_Type (Self : not null access OCL_Factory) return AMF.OCL.Bag_Types.OCL_Bag_Type_Access is abstract; not overriding function Create_Boolean_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.Boolean_Literal_Exps.OCL_Boolean_Literal_Exp_Access is abstract; not overriding function Create_Collection_Item (Self : not null access OCL_Factory) return AMF.OCL.Collection_Items.OCL_Collection_Item_Access is abstract; not overriding function Create_Collection_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.Collection_Literal_Exps.OCL_Collection_Literal_Exp_Access is abstract; not overriding function Create_Collection_Range (Self : not null access OCL_Factory) return AMF.OCL.Collection_Ranges.OCL_Collection_Range_Access is abstract; not overriding function Create_Collection_Type (Self : not null access OCL_Factory) return AMF.OCL.Collection_Types.OCL_Collection_Type_Access is abstract; not overriding function Create_Enum_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.Enum_Literal_Exps.OCL_Enum_Literal_Exp_Access is abstract; not overriding function Create_Expression_In_Ocl (Self : not null access OCL_Factory) return AMF.OCL.Expression_In_Ocls.OCL_Expression_In_Ocl_Access is abstract; not overriding function Create_If_Exp (Self : not null access OCL_Factory) return AMF.OCL.If_Exps.OCL_If_Exp_Access is abstract; not overriding function Create_Integer_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.Integer_Literal_Exps.OCL_Integer_Literal_Exp_Access is abstract; not overriding function Create_Invalid_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.Invalid_Literal_Exps.OCL_Invalid_Literal_Exp_Access is abstract; not overriding function Create_Invalid_Type (Self : not null access OCL_Factory) return AMF.OCL.Invalid_Types.OCL_Invalid_Type_Access is abstract; not overriding function Create_Iterate_Exp (Self : not null access OCL_Factory) return AMF.OCL.Iterate_Exps.OCL_Iterate_Exp_Access is abstract; not overriding function Create_Iterator_Exp (Self : not null access OCL_Factory) return AMF.OCL.Iterator_Exps.OCL_Iterator_Exp_Access is abstract; not overriding function Create_Let_Exp (Self : not null access OCL_Factory) return AMF.OCL.Let_Exps.OCL_Let_Exp_Access is abstract; not overriding function Create_Message_Exp (Self : not null access OCL_Factory) return AMF.OCL.Message_Exps.OCL_Message_Exp_Access is abstract; not overriding function Create_Message_Type (Self : not null access OCL_Factory) return AMF.OCL.Message_Types.OCL_Message_Type_Access is abstract; not overriding function Create_Null_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.Null_Literal_Exps.OCL_Null_Literal_Exp_Access is abstract; not overriding function Create_Operation_Call_Exp (Self : not null access OCL_Factory) return AMF.OCL.Operation_Call_Exps.OCL_Operation_Call_Exp_Access is abstract; not overriding function Create_Ordered_Set_Type (Self : not null access OCL_Factory) return AMF.OCL.Ordered_Set_Types.OCL_Ordered_Set_Type_Access is abstract; not overriding function Create_Property_Call_Exp (Self : not null access OCL_Factory) return AMF.OCL.Property_Call_Exps.OCL_Property_Call_Exp_Access is abstract; not overriding function Create_Real_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.Real_Literal_Exps.OCL_Real_Literal_Exp_Access is abstract; not overriding function Create_Sequence_Type (Self : not null access OCL_Factory) return AMF.OCL.Sequence_Types.OCL_Sequence_Type_Access is abstract; not overriding function Create_Set_Type (Self : not null access OCL_Factory) return AMF.OCL.Set_Types.OCL_Set_Type_Access is abstract; not overriding function Create_State_Exp (Self : not null access OCL_Factory) return AMF.OCL.State_Exps.OCL_State_Exp_Access is abstract; not overriding function Create_String_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.String_Literal_Exps.OCL_String_Literal_Exp_Access is abstract; not overriding function Create_Template_Parameter_Type (Self : not null access OCL_Factory) return AMF.OCL.Template_Parameter_Types.OCL_Template_Parameter_Type_Access is abstract; not overriding function Create_Tuple_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.Tuple_Literal_Exps.OCL_Tuple_Literal_Exp_Access is abstract; not overriding function Create_Tuple_Literal_Part (Self : not null access OCL_Factory) return AMF.OCL.Tuple_Literal_Parts.OCL_Tuple_Literal_Part_Access is abstract; not overriding function Create_Tuple_Type (Self : not null access OCL_Factory) return AMF.OCL.Tuple_Types.OCL_Tuple_Type_Access is abstract; not overriding function Create_Type_Exp (Self : not null access OCL_Factory) return AMF.OCL.Type_Exps.OCL_Type_Exp_Access is abstract; not overriding function Create_Unlimited_Natural_Literal_Exp (Self : not null access OCL_Factory) return AMF.OCL.Unlimited_Natural_Literal_Exps.OCL_Unlimited_Natural_Literal_Exp_Access is abstract; not overriding function Create_Unspecified_Value_Exp (Self : not null access OCL_Factory) return AMF.OCL.Unspecified_Value_Exps.OCL_Unspecified_Value_Exp_Access is abstract; not overriding function Create_Variable (Self : not null access OCL_Factory) return AMF.OCL.Variables.OCL_Variable_Access is abstract; not overriding function Create_Variable_Exp (Self : not null access OCL_Factory) return AMF.OCL.Variable_Exps.OCL_Variable_Exp_Access is abstract; not overriding function Create_Void_Type (Self : not null access OCL_Factory) return AMF.OCL.Void_Types.OCL_Void_Type_Access is abstract; end AMF.Factories.OCL_Factories;
45.830645
106
0.669981
0e46dead343eb8b74b1495adf33e8c88035960a7
4,950
adb
Ada
source/tasking/machine-pc-freebsd/s-nattas.adb
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
33
2015-04-04T09:19:36.000Z
2021-11-10T05:33:34.000Z
source/tasking/machine-pc-freebsd/s-nattas.adb
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
8
2017-11-14T13:05:07.000Z
2018-08-09T15:28:49.000Z
source/tasking/machine-pc-linux-gnu/s-nattas.adb
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
9
2015-02-03T17:09:53.000Z
2021-11-12T01:16:05.000Z
with System.Debug; -- assertions with C.errno; with C.sched; with C.signal; package body System.Native_Tasks is use type C.signed_int; use type C.unsigned_int; type sigaction_Wrapper is record -- ??? for No_Elaboration_Code Handle : aliased C.signal.struct_sigaction; end record; pragma Suppress_Initialization (sigaction_Wrapper); Old_SIGTERM_Action : aliased sigaction_Wrapper; -- uninitialized Installed_Abort_Handler : Abort_Handler; procedure SIGTERM_Handler ( Signal_Number : C.signed_int; Info : access C.signal.siginfo_t; Context : C.void_ptr) with Convention => C; procedure SIGTERM_Handler ( Signal_Number : C.signed_int; Info : access C.signal.siginfo_t; Context : C.void_ptr) is pragma Unreferenced (Signal_Number); pragma Unreferenced (Info); pragma Unreferenced (Context); begin Installed_Abort_Handler.all; end SIGTERM_Handler; procedure Mask_SIGTERM (How : C.signed_int); procedure Mask_SIGTERM (How : C.signed_int) is Mask : aliased C.signal.sigset_t; errno : C.signed_int; Dummy_R : C.signed_int; begin Dummy_R := C.signal.sigemptyset (Mask'Access); Dummy_R := C.signal.sigaddset (Mask'Access, C.signal.SIGTERM); errno := C.pthread.pthread_sigmask (How, Mask'Access, null); pragma Check (Debug, Check => errno = 0 or else Debug.Runtime_Error ("pthread_sigmask failed")); end Mask_SIGTERM; -- implementation of thread procedure Create ( Handle : aliased out Handle_Type; Parameter : Parameter_Type; Thread_Body : Thread_Body_Type; Error : out Boolean) is begin Error := C.pthread.pthread_create ( Handle'Access, null, Thread_Body.all'Access, -- type is different between platforms Parameter) /= 0; end Create; procedure Join ( Handle : Handle_Type; -- of target thread Current_Abort_Event : access Synchronous_Objects.Event; Result : aliased out Result_Type; Error : out Boolean) is pragma Unreferenced (Current_Abort_Event); begin Error := C.pthread.pthread_join (Handle, Result'Access) /= 0; end Join; procedure Detach ( Handle : Handle_Type; Error : out Boolean) is begin Error := C.pthread.pthread_detach (Handle) /= 0; end Detach; -- implementation of stack function Info_Block (Handle : Handle_Type) return C.pthread.pthread_t is begin return Handle; end Info_Block; -- implementation of signals procedure Install_Abort_Handler (Handler : Abort_Handler) is act : aliased C.signal.struct_sigaction := ( (Unchecked_Tag => 1, sa_sigaction => SIGTERM_Handler'Access), others => <>); -- uninitialized R : C.signed_int; Dummy_R : C.signed_int; begin Installed_Abort_Handler := Handler; act.sa_flags := C.signal.SA_SIGINFO; Dummy_R := C.signal.sigemptyset (act.sa_mask'Access); R := C.signal.sigaction ( C.signal.SIGTERM, act'Access, Old_SIGTERM_Action.Handle'Access); pragma Check (Debug, Check => not (R < 0) or else Debug.Runtime_Error ("sigaction failed")); end Install_Abort_Handler; procedure Uninstall_Abort_Handler is R : C.signed_int; begin R := C.signal.sigaction ( C.signal.SIGTERM, Old_SIGTERM_Action.Handle'Access, null); pragma Check (Debug, Check => not (R < 0) or else Debug.Runtime_Error ("sigaction failed")); end Uninstall_Abort_Handler; procedure Send_Abort_Signal ( Handle : Handle_Type; Abort_Event : in out Synchronous_Objects.Event; Error : out Boolean) is begin -- write to the pipe Synchronous_Objects.Set (Abort_Event); -- send SIGTERM Resend_Abort_Signal (Handle, Error => Error); end Send_Abort_Signal; procedure Resend_Abort_Signal (Handle : Handle_Type; Error : out Boolean) is begin case C.pthread.pthread_kill (Handle, C.signal.SIGTERM) is when 0 => Yield; Error := False; when C.errno.ESRCH => Error := False; -- it is already terminated, C9A003A when others => Error := True; end case; end Resend_Abort_Signal; procedure Block_Abort_Signal (Abort_Event : Synchronous_Objects.Event) is pragma Unreferenced (Abort_Event); begin Mask_SIGTERM (C.signal.SIG_BLOCK); end Block_Abort_Signal; procedure Unblock_Abort_Signal is begin Mask_SIGTERM (C.signal.SIG_UNBLOCK); end Unblock_Abort_Signal; procedure Yield is R : C.signed_int; begin R := C.sched.sched_yield; pragma Check (Debug, Check => not (R < 0) or else Debug.Runtime_Error ("sched_yield failed")); end Yield; end System.Native_Tasks;
29.640719
79
0.652323
d0d6d574c38e6898ba29dec3a0a1ce5c1a894a7d
55,350
ads
Ada
src/GUI/generated/digit_5.ads
Fabien-Chouteau/coffee-clock
6b8b8dd8741887c522fcf6f1ce5f1a5b73921b27
[ "MIT" ]
7
2017-05-17T13:51:47.000Z
2021-11-11T19:17:50.000Z
src/GUI/generated/digit_5.ads
Fabien-Chouteau/coffee-clock
6b8b8dd8741887c522fcf6f1ce5f1a5b73921b27
[ "MIT" ]
null
null
null
src/GUI/generated/digit_5.ads
Fabien-Chouteau/coffee-clock
6b8b8dd8741887c522fcf6f1ce5f1a5b73921b27
[ "MIT" ]
null
null
null
-- This file was generated by bmp2ada with Giza.Image; with Giza.Image.DMA2D; use Giza.Image.DMA2D; package digit_5 is pragma Style_Checks (Off); CLUT : aliased constant L4_CLUT_T := ( (R => 0, G => 0, B => 0), (R => 255, G => 0, B => 0), others => (0, 0, 0)); Data : aliased constant L4_Data_T := ( 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 16, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 17, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0 ); Image : constant Giza.Image.Ref := new Giza.Image.DMA2D.Instance' (Mode => L4, W => 160, H => 195, Length => 15600, L4_CLUT => CLUT'Access, L4_Data => Data'Access); pragma Style_Checks (On); end digit_5;
167.220544
200
0.427028
1889d88e39a2acdc81406b2348aa072c8473f774
13,782
ads
Ada
software/hal/hpl/STM32/svd/stm32f429x/stm32_svd-gpio.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
12
2017-06-08T14:19:57.000Z
2022-03-09T02:48:59.000Z
software/hal/hpl/STM32/svd/stm32f429x/stm32_svd-gpio.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
6
2017-06-08T13:13:50.000Z
2020-05-15T09:32:43.000Z
software/hal/hpl/STM32/svd/stm32f429x/stm32_svd-gpio.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
3
2017-06-30T14:05:06.000Z
2022-02-17T12:20:45.000Z
-- This spec has been automatically generated from STM32F429x.svd pragma Restrictions (No_Elaboration_Code); pragma Ada_2012; pragma SPARK_Mode (Off); with HAL; with System; package STM32_SVD.GPIO is pragma Preelaborate; --------------- -- Registers -- --------------- -------------------- -- MODER_Register -- -------------------- -- MODER array element subtype MODER_Element is HAL.UInt2; -- MODER array type MODER_Field_Array is array (0 .. 15) of MODER_Element with Component_Size => 2, Size => 32; -- GPIO port mode register type MODER_Register (As_Array : Boolean := False) is record case As_Array is when False => -- MODER as a value Val : HAL.Word; when True => -- MODER as an array Arr : MODER_Field_Array; end case; end record with Unchecked_Union, Size => 32, Volatile_Full_Access, Bit_Order => System.Low_Order_First; for MODER_Register use record Val at 0 range 0 .. 31; Arr at 0 range 0 .. 31; end record; --------------------- -- OTYPER_Register -- --------------------- --------------- -- OTYPER.OT -- --------------- -- OTYPER_OT array type OTYPER_OT_Field_Array is array (0 .. 15) of Boolean with Component_Size => 1, Size => 16; -- Type definition for OTYPER_OT type OTYPER_OT_Field (As_Array : Boolean := False) is record case As_Array is when False => -- OT as a value Val : HAL.Short; when True => -- OT as an array Arr : OTYPER_OT_Field_Array; end case; end record with Unchecked_Union, Size => 16; for OTYPER_OT_Field use record Val at 0 range 0 .. 15; Arr at 0 range 0 .. 15; end record; -- GPIO port output type register type OTYPER_Register is record -- Port x configuration bits (y = 0..15) OT : OTYPER_OT_Field := (As_Array => False, Val => 16#0#); -- unspecified Reserved_16_31 : HAL.Short := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for OTYPER_Register use record OT at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; ---------------------- -- OSPEEDR_Register -- ---------------------- -- OSPEEDR array element subtype OSPEEDR_Element is HAL.UInt2; -- OSPEEDR array type OSPEEDR_Field_Array is array (0 .. 15) of OSPEEDR_Element with Component_Size => 2, Size => 32; -- GPIO port output speed register type OSPEEDR_Register (As_Array : Boolean := False) is record case As_Array is when False => -- OSPEEDR as a value Val : HAL.Word; when True => -- OSPEEDR as an array Arr : OSPEEDR_Field_Array; end case; end record with Unchecked_Union, Size => 32, Volatile_Full_Access, Bit_Order => System.Low_Order_First; for OSPEEDR_Register use record Val at 0 range 0 .. 31; Arr at 0 range 0 .. 31; end record; -------------------- -- PUPDR_Register -- -------------------- -- PUPDR array element subtype PUPDR_Element is HAL.UInt2; -- PUPDR array type PUPDR_Field_Array is array (0 .. 15) of PUPDR_Element with Component_Size => 2, Size => 32; -- GPIO port pull-up/pull-down register type PUPDR_Register (As_Array : Boolean := False) is record case As_Array is when False => -- PUPDR as a value Val : HAL.Word; when True => -- PUPDR as an array Arr : PUPDR_Field_Array; end case; end record with Unchecked_Union, Size => 32, Volatile_Full_Access, Bit_Order => System.Low_Order_First; for PUPDR_Register use record Val at 0 range 0 .. 31; Arr at 0 range 0 .. 31; end record; ------------------ -- IDR_Register -- ------------------ ------------- -- IDR.IDR -- ------------- -- IDR array type IDR_Field_Array is array (0 .. 15) of Boolean with Component_Size => 1, Size => 16; -- Type definition for IDR type IDR_Field (As_Array : Boolean := False) is record case As_Array is when False => -- IDR as a value Val : HAL.Short; when True => -- IDR as an array Arr : IDR_Field_Array; end case; end record with Unchecked_Union, Size => 16; for IDR_Field use record Val at 0 range 0 .. 15; Arr at 0 range 0 .. 15; end record; -- GPIO port input data register type IDR_Register is record -- Read-only. Port input data (y = 0..15) IDR : IDR_Field; -- unspecified Reserved_16_31 : HAL.Short; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for IDR_Register use record IDR at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; ------------------ -- ODR_Register -- ------------------ ------------- -- ODR.ODR -- ------------- -- ODR array type ODR_Field_Array is array (0 .. 15) of Boolean with Component_Size => 1, Size => 16; -- Type definition for ODR type ODR_Field (As_Array : Boolean := False) is record case As_Array is when False => -- ODR as a value Val : HAL.Short; when True => -- ODR as an array Arr : ODR_Field_Array; end case; end record with Unchecked_Union, Size => 16; for ODR_Field use record Val at 0 range 0 .. 15; Arr at 0 range 0 .. 15; end record; -- GPIO port output data register type ODR_Register is record -- Port output data (y = 0..15) ODR : ODR_Field := (As_Array => False, Val => 16#0#); -- unspecified Reserved_16_31 : HAL.Short := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for ODR_Register use record ODR at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; ------------------- -- BSRR_Register -- ------------------- ------------- -- BSRR.BS -- ------------- -- BSRR_BS array type BSRR_BS_Field_Array is array (0 .. 15) of Boolean with Component_Size => 1, Size => 16; -- Type definition for BSRR_BS type BSRR_BS_Field (As_Array : Boolean := False) is record case As_Array is when False => -- BS as a value Val : HAL.Short; when True => -- BS as an array Arr : BSRR_BS_Field_Array; end case; end record with Unchecked_Union, Size => 16; for BSRR_BS_Field use record Val at 0 range 0 .. 15; Arr at 0 range 0 .. 15; end record; ------------- -- BSRR.BR -- ------------- -- BSRR_BR array type BSRR_BR_Field_Array is array (0 .. 15) of Boolean with Component_Size => 1, Size => 16; -- Type definition for BSRR_BR type BSRR_BR_Field (As_Array : Boolean := False) is record case As_Array is when False => -- BR as a value Val : HAL.Short; when True => -- BR as an array Arr : BSRR_BR_Field_Array; end case; end record with Unchecked_Union, Size => 16; for BSRR_BR_Field use record Val at 0 range 0 .. 15; Arr at 0 range 0 .. 15; end record; -- GPIO port bit set/reset register type BSRR_Register is record -- Write-only. Port x set bit y (y= 0..15) BS : BSRR_BS_Field := (As_Array => False, Val => 16#0#); -- Write-only. Port x set bit y (y= 0..15) BR : BSRR_BR_Field := (As_Array => False, Val => 16#0#); end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for BSRR_Register use record BS at 0 range 0 .. 15; BR at 0 range 16 .. 31; end record; ------------------- -- LCKR_Register -- ------------------- -------------- -- LCKR.LCK -- -------------- -- LCKR_LCK array type LCKR_LCK_Field_Array is array (0 .. 15) of Boolean with Component_Size => 1, Size => 16; -- Type definition for LCKR_LCK type LCKR_LCK_Field (As_Array : Boolean := False) is record case As_Array is when False => -- LCK as a value Val : HAL.Short; when True => -- LCK as an array Arr : LCKR_LCK_Field_Array; end case; end record with Unchecked_Union, Size => 16; for LCKR_LCK_Field use record Val at 0 range 0 .. 15; Arr at 0 range 0 .. 15; end record; -- GPIO port configuration lock register type LCKR_Register is record -- Port x lock bit y (y= 0..15) LCK : LCKR_LCK_Field := (As_Array => False, Val => 16#0#); -- Port x lock bit y (y= 0..15) LCKK : Boolean := False; -- unspecified Reserved_17_31 : HAL.UInt15 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for LCKR_Register use record LCK at 0 range 0 .. 15; LCKK at 0 range 16 .. 16; Reserved_17_31 at 0 range 17 .. 31; end record; ------------------- -- AFRL_Register -- ------------------- -- AFRL array element subtype AFRL_Element is HAL.UInt4; -- AFRL array type AFRL_Field_Array is array (0 .. 7) of AFRL_Element with Component_Size => 4, Size => 32; -- GPIO alternate function low register type AFRL_Register (As_Array : Boolean := False) is record case As_Array is when False => -- AFRL as a value Val : HAL.Word; when True => -- AFRL as an array Arr : AFRL_Field_Array; end case; end record with Unchecked_Union, Size => 32, Volatile_Full_Access, Bit_Order => System.Low_Order_First; for AFRL_Register use record Val at 0 range 0 .. 31; Arr at 0 range 0 .. 31; end record; ------------------- -- AFRH_Register -- ------------------- -- AFRH array element subtype AFRH_Element is HAL.UInt4; -- AFRH array type AFRH_Field_Array is array (8 .. 15) of AFRH_Element with Component_Size => 4, Size => 32; -- GPIO alternate function high register type AFRH_Register (As_Array : Boolean := False) is record case As_Array is when False => -- AFRH as a value Val : HAL.Word; when True => -- AFRH as an array Arr : AFRH_Field_Array; end case; end record with Unchecked_Union, Size => 32, Volatile_Full_Access, Bit_Order => System.Low_Order_First; for AFRH_Register use record Val at 0 range 0 .. 31; Arr at 0 range 0 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- General-purpose I/Os type GPIO_Peripheral is record -- GPIO port mode register MODER : MODER_Register; -- GPIO port output type register OTYPER : OTYPER_Register; -- GPIO port output speed register OSPEEDR : OSPEEDR_Register; -- GPIO port pull-up/pull-down register PUPDR : PUPDR_Register; -- GPIO port input data register IDR : IDR_Register; -- GPIO port output data register ODR : ODR_Register; -- GPIO port bit set/reset register BSRR : BSRR_Register; -- GPIO port configuration lock register LCKR : LCKR_Register; -- GPIO alternate function low register AFRL : AFRL_Register; -- GPIO alternate function high register AFRH : AFRH_Register; end record with Volatile; for GPIO_Peripheral use record MODER at 0 range 0 .. 31; OTYPER at 4 range 0 .. 31; OSPEEDR at 8 range 0 .. 31; PUPDR at 12 range 0 .. 31; IDR at 16 range 0 .. 31; ODR at 20 range 0 .. 31; BSRR at 24 range 0 .. 31; LCKR at 28 range 0 .. 31; AFRL at 32 range 0 .. 31; AFRH at 36 range 0 .. 31; end record; -- General-purpose I/Os GPIOA_Periph : aliased GPIO_Peripheral with Import, Address => GPIOA_Base; -- General-purpose I/Os GPIOB_Periph : aliased GPIO_Peripheral with Import, Address => GPIOB_Base; -- General-purpose I/Os GPIOC_Periph : aliased GPIO_Peripheral with Import, Address => GPIOC_Base; -- General-purpose I/Os GPIOD_Periph : aliased GPIO_Peripheral with Import, Address => GPIOD_Base; -- General-purpose I/Os GPIOE_Periph : aliased GPIO_Peripheral with Import, Address => GPIOE_Base; -- General-purpose I/Os GPIOF_Periph : aliased GPIO_Peripheral with Import, Address => GPIOF_Base; -- General-purpose I/Os GPIOG_Periph : aliased GPIO_Peripheral with Import, Address => GPIOG_Base; -- General-purpose I/Os GPIOH_Periph : aliased GPIO_Peripheral with Import, Address => GPIOH_Base; -- General-purpose I/Os GPIOI_Periph : aliased GPIO_Peripheral with Import, Address => GPIOI_Base; -- General-purpose I/Os GPIOJ_Periph : aliased GPIO_Peripheral with Import, Address => GPIOJ_Base; -- General-purpose I/Os GPIOK_Periph : aliased GPIO_Peripheral with Import, Address => GPIOK_Base; end STM32_SVD.GPIO;
26.003774
76
0.55558
cb1be73b3425327d6b0ac5a3a7379c44867f1a98
2,159
adb
Ada
uart_master_slave/src/pico_slave_interrupt_main.adb
hgrodriguez/rp2040_playground
837a9c938e57bcd03865a7c02a5df65029871289
[ "BSD-3-Clause" ]
null
null
null
uart_master_slave/src/pico_slave_interrupt_main.adb
hgrodriguez/rp2040_playground
837a9c938e57bcd03865a7c02a5df65029871289
[ "BSD-3-Clause" ]
null
null
null
uart_master_slave/src/pico_slave_interrupt_main.adb
hgrodriguez/rp2040_playground
837a9c938e57bcd03865a7c02a5df65029871289
[ "BSD-3-Clause" ]
null
null
null
with HAL.UART; with Cortex_M.NVIC; with RP2040_SVD.Interrupts; with RP.Clock; with RP.Device; with RP.GPIO; with RP.UART; with Pico; with Pico_UART_Interrupt_Handlers; procedure Pico_Slave_Interrupt_Main is subtype Buffer_Range is Integer range 1 .. 1; UART : RP.UART.UART_Port renames RP.Device.UART_0; UART_TX : RP.GPIO.GPIO_Point renames Pico.GP0; UART_RX : RP.GPIO.GPIO_Point renames Pico.GP1; UART_Buffer_T : HAL.UART.UART_Data_8b (Buffer_Range); UART_Buffer_R : HAL.UART.UART_Data_8b (Buffer_Range); UART_Status_T : HAL.UART.UART_Status; UART_Status_R : HAL.UART.UART_Status; use HAL; begin RP.Clock.Initialize (Pico.XOSC_Frequency); RP.Clock.Enable (RP.Clock.PERI); Pico.LED.Configure (RP.GPIO.Output); RP.Device.Timer.Enable; UART_TX.Configure (RP.GPIO.Output, RP.GPIO.Pull_Up, RP.GPIO.UART); UART_RX.Configure (RP.GPIO.Input, RP.GPIO.Floating, RP.GPIO.UART); UART.Configure (Config => (Baud => 115_200, Word_Size => 8, Parity => False, Stop_Bits => 1, Enable_FIFOs => False, others => <>)); -- UART.Enable_IRQ (RP.UART.Transmit); UART.Enable_IRQ (RP.UART.Receive); UART.Clear_IRQ (RP.UART.Transmit); UART.Clear_IRQ (RP.UART.Receive); Cortex_M.NVIC.Clear_Pending (IRQn => RP2040_SVD.Interrupts.UART0_Interrupt); Cortex_M.NVIC.Enable_Interrupt (IRQn => RP2040_SVD.Interrupts.UART0_Interrupt); loop Pico.LED.Set; loop exit when Pico_UART_Interrupt_Handlers.UART0_Data_Received; end loop; Pico_UART_Interrupt_Handlers.UART0_Data_Received := False; UART.Receive (Data => UART_Buffer_R, Status => UART_Status_R, Timeout => 0); Pico.LED.Clear; for Idx in Buffer_Range loop UART_Buffer_T (Idx) := not UART_Buffer_R (Idx); end loop; Pico.LED.Set; UART.Transmit (Data => UART_Buffer_T, Status => UART_Status_T, Timeout => 0); Pico.LED.Clear; end loop; end Pico_Slave_Interrupt_Main;
27.329114
82
0.643353
18a4171e8b2da149285bbe286f1340dd86e4be3c
279
ads
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/deferred_const4.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/deferred_const4.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/deferred_const4.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
with Deferred_Const4_Pkg; package Deferred_Const4 is type R1 is tagged record I1 : Integer; end record; type R2 is new R1 with record I2 : Integer; end record; package My_Q is new Deferred_Const4_Pkg (R2); function F return My_Q.T; end Deferred_Const4;
15.5
47
0.72043
d09a2fb4f103ac4668e79fdb909e2c33e9cd42f3
397
ads
Ada
specs/ada/common/tkmrpc-response-ike-dh_reset-convert.ads
DrenfongWong/tkm-rpc
075d22871cf81d497aac656c7f03a513278b641c
[ "BSD-3-Clause" ]
null
null
null
specs/ada/common/tkmrpc-response-ike-dh_reset-convert.ads
DrenfongWong/tkm-rpc
075d22871cf81d497aac656c7f03a513278b641c
[ "BSD-3-Clause" ]
null
null
null
specs/ada/common/tkmrpc-response-ike-dh_reset-convert.ads
DrenfongWong/tkm-rpc
075d22871cf81d497aac656c7f03a513278b641c
[ "BSD-3-Clause" ]
null
null
null
with Ada.Unchecked_Conversion; package Tkmrpc.Response.Ike.Dh_Reset.Convert is function To_Response is new Ada.Unchecked_Conversion ( Source => Dh_Reset.Response_Type, Target => Response.Data_Type); function From_Response is new Ada.Unchecked_Conversion ( Source => Response.Data_Type, Target => Dh_Reset.Response_Type); end Tkmrpc.Response.Ike.Dh_Reset.Convert;
28.357143
59
0.753149
c515ec2c05532e42a336413f4b3cda454f7f34f1
960
adb
Ada
src/Ada/ewok-sched-interfaces.adb
wookey-project/ewok-legacy
c973752dac3a0ebe3f7cfca062f50744578f051b
[ "Apache-2.0" ]
null
null
null
src/Ada/ewok-sched-interfaces.adb
wookey-project/ewok-legacy
c973752dac3a0ebe3f7cfca062f50744578f051b
[ "Apache-2.0" ]
null
null
null
src/Ada/ewok-sched-interfaces.adb
wookey-project/ewok-legacy
c973752dac3a0ebe3f7cfca062f50744578f051b
[ "Apache-2.0" ]
null
null
null
-- -- Copyright 2018 The wookey project team <wookey@ssi.gouv.fr> -- - Ryad Benadjila -- - Arnauld Michelizza -- - Mathieu Renard -- - Philippe Thierry -- - Philippe Trebuchet -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- -- package body ewok.sched.interfaces with spark_mode => off is procedure interface_init is begin ewok.sched.init; end interface_init; end ewok.sched.interfaces;
27.428571
79
0.697917
c5edf4b221cce4d8e5abc5cfe6f9ac84e92f1e93
2,101
ada
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/cd/cd7007b.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/cd/cd7007b.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/cd/cd7007b.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- CD7007B.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- OBJECTIVE: -- CHECK THAT THE SUBTYPE 'PRIORITY' IS DECLARED WITHIN THE PACKAGE -- SYSTEM AND IT IS A SUBTYPE OF 'INTEGER'. -- HISTORY: -- VCL 09/16/87 CREATED ORIGINAL TEST. WITH SYSTEM; WITH REPORT; USE REPORT; PROCEDURE CD7007B IS BEGIN TEST ("CD7007B", "THE SUBTYPE 'PRIORITY' IS DECLARED WITHIN " & "THE PACKAGE SYSTEM AND IT IS A SUBTYPE OF " & "'INTEGER'"); DECLARE CHECK_VAR : SYSTEM.PRIORITY; BEGIN IF SYSTEM.PRIORITY'FIRST NOT IN INTEGER'FIRST .. INTEGER'LAST AND SYSTEM.PRIORITY'LAST NOT IN INTEGER'FIRST .. INTEGER'LAST THEN FAILED ("'SYSTEM.PRIORITY' IS NOT AN INTEGER SUBTYPE"); END IF; END; RESULT; END CD7007B;
39.641509
79
0.627796
cb5274c557e6464fc1430bca7612b42275220dd6
1,179
ads
Ada
src/spat-entity.ads
yannickmoy/spat
9974849c8086f0b8297727d37a1707b417a8f1ed
[ "WTFPL" ]
20
2020-05-17T18:55:16.000Z
2021-05-26T14:53:53.000Z
src/spat-entity.ads
yannickmoy/spat
9974849c8086f0b8297727d37a1707b417a8f1ed
[ "WTFPL" ]
33
2020-04-03T13:08:50.000Z
2020-10-17T04:26:34.000Z
src/spat-entity.ads
yannickmoy/spat
9974849c8086f0b8297727d37a1707b417a8f1ed
[ "WTFPL" ]
4
2020-06-12T12:17:27.000Z
2021-09-09T14:19:31.000Z
------------------------------------------------------------------------------ -- Copyright (C) 2020 by Heisenbug Ltd. (gh+spat@heisenbug.eu) -- -- This work is free. You can redistribute it and/or modify it under the -- terms of the Do What The Fuck You Want To Public License, Version 2, -- as published by Sam Hocevar. See the LICENSE file for more details. ------------------------------------------------------------------------------ pragma License (Unrestricted); ------------------------------------------------------------------------------ -- -- SPARK Proof Analysis Tool -- -- S.P.A.T. - Abstract object representing some kind of Entity. -- ------------------------------------------------------------------------------ package SPAT.Entity is type T is abstract tagged private; --------------------------------------------------------------------------- -- Image -- -- Supposed to return the text (human readable) form of an entity. --------------------------------------------------------------------------- function Image (This : in T) return String is abstract; private type T is abstract tagged null record; end SPAT.Entity;
34.676471
78
0.419847
cb4038242e58d9e76d662790d0cac93ef52b81ea
3,141
ads
Ada
llvm-gcc-4.2-2.9/gcc/ada/s-pack63.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
llvm-gcc-4.2-2.9/gcc/ada/s-pack63.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
llvm-gcc-4.2-2.9/gcc/ada/s-pack63.ads
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M . P A C K _ 6 3 -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2005, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- Handling of packed arrays with Component_Size = 63 package System.Pack_63 is pragma Preelaborate; Bits : constant := 63; type Bits_63 is mod 2 ** Bits; for Bits_63'Size use Bits; function Get_63 (Arr : System.Address; N : Natural) return Bits_63; -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is extracted and returned. procedure Set_63 (Arr : System.Address; N : Natural; E : Bits_63); -- Arr is the address of the packed array, N is the zero-based -- subscript. This element is set to the given value. end System.Pack_63;
59.264151
78
0.463865
dcb9c81ee05ae7429f61b4d75bd0a7c6c3813530
243,321
adb
Ada
networklayer/synthesis_results_HMB/PKT_HANDLER_prj/ultrascale_plus/.autopilot/db/packet_identification.bind.adb
OCT-FPGA/network-demo
76fba0d4315abf7bffe77959a2501c287f20ecec
[ "BSD-3-Clause" ]
null
null
null
networklayer/synthesis_results_HMB/PKT_HANDLER_prj/ultrascale_plus/.autopilot/db/packet_identification.bind.adb
OCT-FPGA/network-demo
76fba0d4315abf7bffe77959a2501c287f20ecec
[ "BSD-3-Clause" ]
null
null
null
networklayer/synthesis_results_HMB/PKT_HANDLER_prj/ultrascale_plus/.autopilot/db/packet_identification.bind.adb
OCT-FPGA/network-demo
76fba0d4315abf7bffe77959a2501c287f20ecec
[ "BSD-3-Clause" ]
null
null
null
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="17"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>packet_identification</name> <module_structure>Pipeline</module_structure> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>s_axis_V_data_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>3621216858</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>512</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>s_axis_V_keep_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>s_axis_V_strb_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>107</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>4</id> <name>s_axis_V_last_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>107</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_5"> <Value> <Obj> <type>1</type> <id>5</id> <name>s_axis_V_dest_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>107</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>3</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_6"> <Value> <Obj> <type>1</type> <id>8</id> <name>eth_level_pkt</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName>FIFO</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1024</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>59</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_7"> <Value> <Obj> <type>0</type> <id>15</id> <name>pi_fsm_state_load</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>188</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>188</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1667592275</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>96</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>16</id> <name>_ln188</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>188</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>188</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>3868812800</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>8</count> <item_version>0</item_version> <item>97</item> <item>98</item> <item>100</item> <item>101</item> <item>103</item> <item>104</item> <item>106</item> <item>107</item> </oprand_edges> <opcode>switch</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.65</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>18</id> <name>tmp_2</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>267</lineNumber> <contextFuncName>empty</contextFuncName> <contextNormFuncName>empty</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>empty</second> </first> <second>267</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <control>auto</control> <opType>adapter</opType> <implIndex>axi4stream</implIndex> <coreName>axis</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>123</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>272</item> <item>273</item> <item>274</item> <item>275</item> <item>276</item> <item>277</item> <item>278</item> </oprand_edges> <opcode>nbreadreq</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>19</id> <name>br_ln256</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>256</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>256</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1814062958</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>279</item> <item>280</item> <item>281</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>21</id> <name>empty_15</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control>auto</control> <opType>adapter</opType> <implIndex>axi4stream</implIndex> <coreName>axis</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>123</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>644</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>282</item> <item>283</item> <item>284</item> <item>285</item> <item>286</item> <item>287</item> <item>436</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>5</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>22</id> <name>tmp_12</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>3861265056</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>288</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>6</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>23</id> <name>br_ln258</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>258</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>258</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>55922960</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>289</item> <item>290</item> <item>291</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>7</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>25</id> <name>pi_fsm_state_write_ln259</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>259</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>259</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>292</item> <item>293</item> <item>434</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.38</m_delay> <m_topoIndex>8</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>26</id> <name>br_ln260</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>260</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>260</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>294</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>9</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>28</id> <name>br_ln261</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>261</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>261</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>295</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>10</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>30</id> <name>tmp_1</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>267</lineNumber> <contextFuncName>empty</contextFuncName> <contextNormFuncName>empty</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>empty</second> </first> <second>267</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <control>auto</control> <opType>adapter</opType> <implIndex>axi4stream</implIndex> <coreName>axis</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>123</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>234</item> <item>235</item> <item>236</item> <item>237</item> <item>238</item> <item>239</item> <item>240</item> </oprand_edges> <opcode>nbreadreq</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>11</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>31</id> <name>br_ln241</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>241</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>241</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>241</item> <item>242</item> <item>243</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>12</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>33</id> <name>empty_14</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control>auto</control> <opType>adapter</opType> <implIndex>axi4stream</implIndex> <coreName>axis</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>123</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>644</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>244</item> <item>245</item> <item>246</item> <item>247</item> <item>248</item> <item>249</item> <item>437</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>13</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>34</id> <name>tmp_7</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56341808</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>250</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>14</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>35</id> <name>tmp_8</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>480</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>251</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>15</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>36</id> <name>tmp_11</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1953394531</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>252</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>16</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>37</id> <name>sendWord_dest_V</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>247</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>247</second> </item> </second> </item> </inlineStackInfo> <originalName>sendWord.dest.V</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>253</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>48</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>38</id> <name>tmp_s</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>174</lineNumber> <contextFuncName>write</contextFuncName> <contextNormFuncName>write</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</first> <second>write</second> </first> <second>174</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>586</bitwidth> </Value> <oprand_edges> <count>6</count> <item_version>0</item_version> <item>254</item> <item>255</item> <item>256</item> <item>257</item> <item>258</item> <item>259</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>49</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>39</id> <name>zext_ln174</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>174</lineNumber> <contextFuncName>write</contextFuncName> <contextNormFuncName>write</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</first> <second>write</second> </first> <second>174</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56248624</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1024</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>260</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>50</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>40</id> <name>eth_level_pkt_write_ln174</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>174</lineNumber> <contextFuncName>write</contextFuncName> <contextNormFuncName>write</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</first> <second>write</second> </first> <second>174</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control>auto</control> <opType>fifo</opType> <implIndex>memory</implIndex> <coreName>FIFO</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>78</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>261</item> <item>262</item> <item>263</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.45</m_delay> <m_topoIndex>51</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>41</id> <name>br_ln250</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>250</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>250</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1869440370</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>264</item> <item>265</item> <item>266</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>17</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>43</id> <name>pi_fsm_state_write_ln251</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>251</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>251</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56234912</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>267</item> <item>268</item> <item>433</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.38</m_delay> <m_topoIndex>18</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>44</id> <name>br_ln252</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>252</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>252</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1819620128</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>269</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>19</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>46</id> <name>br_ln253</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>253</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>253</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56420112</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>270</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>20</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>48</id> <name>br_ln254</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>254</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>254</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1397508187</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>271</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>21</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>50</id> <name>tmp</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>267</lineNumber> <contextFuncName>empty</contextFuncName> <contextNormFuncName>empty</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>empty</second> </first> <second>267</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <control>auto</control> <opType>adapter</opType> <implIndex>axi4stream</implIndex> <coreName>axis</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>123</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>109</item> <item>110</item> <item>111</item> <item>112</item> <item>113</item> <item>114</item> <item>116</item> </oprand_edges> <opcode>nbreadreq</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>22</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>51</id> <name>br_ln190</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>190</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>190</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>64</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>117</item> <item>118</item> <item>119</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>23</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>53</id> <name>empty</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control>auto</control> <opType>adapter</opType> <implIndex>axi4stream</implIndex> <coreName>axis</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>123</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>644</bitwidth> </Value> <oprand_edges> <count>7</count> <item_version>0</item_version> <item>121</item> <item>122</item> <item>123</item> <item>124</item> <item>125</item> <item>126</item> <item>438</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>24</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>54</id> <name>sendWord_data_V</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName>sendWord.data.V</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56430512</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>127</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>25</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>55</id> <name>tmp_5</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1987013989</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>128</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>26</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>56</id> <name>sendWord_last_V_1</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>283</lineNumber> <contextFuncName>read</contextFuncName> <contextNormFuncName>read</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_axi_sdata.h</first> <second>read</second> </first> <second>283</second> </item> </second> </item> </inlineStackInfo> <originalName>sendWord.last.V</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>574453865</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>129</item> </oprand_edges> <opcode>extractvalue</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>27</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>57</id> <name>p_Result_1_i</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>674</lineNumber> <contextFuncName>get</contextFuncName> <contextNormFuncName>get</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</first> <second>get</second> </first> <second>674</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56337856</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>131</item> <item>132</item> <item>134</item> <item>136</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>28</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>58</id> <name>p_Result_2_i</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>674</lineNumber> <contextFuncName>get</contextFuncName> <contextNormFuncName>get</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</first> <second>get</second> </first> <second>674</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>137</item> <item>138</item> <item>140</item> <item>142</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>29</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>59</id> <name>ethernetType_V</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>312</lineNumber> <contextFuncName>get</contextFuncName> <contextNormFuncName>get</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</first> <second>get</second> </first> <second>312</second> </item> </second> </item> </inlineStackInfo> <originalName>ethernetType.V</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56264224</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>144</item> <item>145</item> <item>146</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>30</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>60</id> <name>ipVersion_V</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>674</lineNumber> <contextFuncName>get</contextFuncName> <contextNormFuncName>get</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</first> <second>get</second> </first> <second>674</second> </item> </second> </item> </inlineStackInfo> <originalName>ipVersion.V</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>148</item> <item>149</item> <item>151</item> <item>153</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>31</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>61</id> <name>ipProtocol_V</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>674</lineNumber> <contextFuncName>get</contextFuncName> <contextNormFuncName>get</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_ref.h</first> <second>get</second> </first> <second>674</second> </item> </second> </item> </inlineStackInfo> <originalName>ipProtocol.V</originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56266544</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>154</item> <item>155</item> <item>157</item> <item>159</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>32</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>62</id> <name>_ln196</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>196</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>196</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>807414784</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>6</count> <item_version>0</item_version> <item>160</item> <item>161</item> <item>163</item> <item>164</item> <item>166</item> <item>167</item> </oprand_edges> <opcode>switch</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.49</m_delay> <m_topoIndex>33</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>64</id> <name>icmp_ln1049</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_base.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>1049</lineNumber> <contextFuncName>operator==&amp;lt;32, true&amp;gt;</contextFuncName> <contextNormFuncName>operator_eq_32_true</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_base.h</first> <second>operator==&amp;lt;32, true&amp;gt;</second> </first> <second>1049</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control>auto</control> <opType>icmp</opType> <implIndex>auto</implIndex> <coreName>Cmp</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>9</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>168</item> <item>170</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.65</m_delay> <m_topoIndex>34</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>65</id> <name>br_ln201</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>201</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>201</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56274832</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>171</item> <item>172</item> <item>173</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.41</m_delay> <m_topoIndex>35</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>67</id> <name>_ln202</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>202</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>202</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56278120</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>6</count> <item_version>0</item_version> <item>174</item> <item>175</item> <item>177</item> <item>178</item> <item>180</item> <item>181</item> </oprand_edges> <opcode>switch</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.49</m_delay> <m_topoIndex>36</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>69</id> <name>br_ln225</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>225</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>225</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>182</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.41</m_delay> <m_topoIndex>37</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>71</id> <name>icmp_ln1049_1</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_base.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>1049</lineNumber> <contextFuncName>operator==&amp;lt;8, false&amp;gt;</contextFuncName> <contextNormFuncName>operator_eq_8_false</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/ap_int_base.h</first> <second>operator==&amp;lt;8, false&amp;gt;</second> </first> <second>1049</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control>auto</control> <opType>icmp</opType> <implIndex>auto</implIndex> <coreName>Cmp</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>9</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>195</item> <item>197</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.58</m_delay> <m_topoIndex>38</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>72</id> <name>tdest_r_V_write_ln225</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>225</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>225</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1663920995</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>199</item> <item>200</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.38</m_delay> <m_topoIndex>39</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>73</id> <name>br_ln227</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>227</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>227</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>304</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>201</item> <item>202</item> <item>203</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.38</m_delay> <m_topoIndex>40</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>75</id> <name>tdest_5_ph_i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1869833586</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>8</count> <item_version>0</item_version> <item>183</item> <item>184</item> <item>185</item> <item>186</item> <item>188</item> <item>189</item> <item>190</item> <item>191</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>52</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>76</id> <name>tdest_r_V_write_ln225</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>225</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>225</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56243936</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>192</item> <item>193</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.38</m_delay> <m_topoIndex>53</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>77</id> <name>br_ln227</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>227</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>227</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>194</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.38</m_delay> <m_topoIndex>54</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>79</id> <name>tdest_54_i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>204</item> <item>205</item> <item>206</item> <item>207</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>55</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>80</id> <name>tmp_3</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>174</lineNumber> <contextFuncName>write</contextFuncName> <contextNormFuncName>write</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</first> <second>write</second> </first> <second>174</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56393040</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>586</bitwidth> </Value> <oprand_edges> <count>6</count> <item_version>0</item_version> <item>209</item> <item>210</item> <item>212</item> <item>213</item> <item>214</item> <item>215</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>56</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>81</id> <name>zext_ln174_1</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>174</lineNumber> <contextFuncName>write</contextFuncName> <contextNormFuncName>write</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</first> <second>write</second> </first> <second>174</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>1024</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>216</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>57</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>82</id> <name>eth_level_pkt_write_ln174</name> <fileName>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>174</lineNumber> <contextFuncName>write</contextFuncName> <contextNormFuncName>write</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/tools/Xilinx/Vitis_HLS/2021.1/common/technology/autopilot/hls_stream_39.h</first> <second>write</second> </first> <second>174</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control>auto</control> <opType>fifo</opType> <implIndex>memory</implIndex> <coreName>FIFO</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>78</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>218</item> <item>219</item> <item>220</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.45</m_delay> <m_topoIndex>58</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>83</id> <name>br_ln230</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>230</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>230</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56396376</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>221</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.38</m_delay> <m_topoIndex>41</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>85</id> <name>br_ln0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56398072</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>222</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.38</m_delay> <m_topoIndex>42</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>87</id> <name>storemerge_i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56395984</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>223</item> <item>224</item> <item>225</item> <item>226</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>43</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>88</id> <name>select_ln235</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>235</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>235</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control>auto</control> <opType>select</opType> <implIndex>auto_sel</implIndex> <coreName>Sel</coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>73</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>227</item> <item>228</item> <item>229</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.27</m_delay> <m_topoIndex>44</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_62"> <Value> <Obj> <type>0</type> <id>89</id> <name>pi_fsm_state_write_ln232</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>232</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>232</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56403024</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>230</item> <item>231</item> <item>435</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.38</m_delay> <m_topoIndex>45</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_63"> <Value> <Obj> <type>0</type> <id>90</id> <name>br_ln238</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>238</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>238</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>232</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>46</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_64"> <Value> <Obj> <type>0</type> <id>92</id> <name>br_ln239</name> <fileName>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</fileName> <fileDirectory>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</fileDirectory> <lineNumber>239</lineNumber> <contextFuncName>packet_identification</contextFuncName> <contextNormFuncName>packet_identification</contextNormFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>/home/ubuntu/xup_vitis_network_example/NetLayers/100G-fpga-network-stack-core/synthesis_results_HMB/..//hls/packet_handler/packet_handler.cpp</first> <second>packet_identification</second> </first> <second>239</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56404800</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>233</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>47</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_65"> <Value> <Obj> <type>0</type> <id>94</id> <name>_ln0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>59</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>21</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_66"> <Value> <Obj> <type>2</type> <id>99</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>62</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_67"> <Value> <Obj> <type>2</type> <id>102</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_68"> <Value> <Obj> <type>2</type> <id>105</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_69"> <Value> <Obj> <type>2</type> <id>115</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_70"> <Value> <Obj> <type>2</type> <id>133</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56409872</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>104</content> </item> <item class_id_reference="16" object_id="_71"> <Value> <Obj> <type>2</type> <id>135</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>111</content> </item> <item class_id_reference="16" object_id="_72"> <Value> <Obj> <type>2</type> <id>139</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56412888</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>96</content> </item> <item class_id_reference="16" object_id="_73"> <Value> <Obj> <type>2</type> <id>141</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56412584</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>103</content> </item> <item class_id_reference="16" object_id="_74"> <Value> <Obj> <type>2</type> <id>150</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56410784</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>116</content> </item> <item class_id_reference="16" object_id="_75"> <Value> <Obj> <type>2</type> <id>152</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56413696</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>119</content> </item> <item class_id_reference="16" object_id="_76"> <Value> <Obj> <type>2</type> <id>156</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56395632</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>184</content> </item> <item class_id_reference="16" object_id="_77"> <Value> <Obj> <type>2</type> <id>158</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56395632</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>191</content> </item> <item class_id_reference="16" object_id="_78"> <Value> <Obj> <type>2</type> <id>162</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>16</bitwidth> </Value> <const_type>0</const_type> <content>2054</content> </item> <item class_id_reference="16" object_id="_79"> <Value> <Obj> <type>2</type> <id>165</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56416376</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>16</bitwidth> </Value> <const_type>0</const_type> <content>2048</content> </item> <item class_id_reference="16" object_id="_80"> <Value> <Obj> <type>2</type> <id>169</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_81"> <Value> <Obj> <type>2</type> <id>176</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56417472</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>8</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_82"> <Value> <Obj> <type>2</type> <id>179</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56404848</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>8</bitwidth> </Value> <const_type>0</const_type> <content>6</content> </item> <item class_id_reference="16" object_id="_83"> <Value> <Obj> <type>2</type> <id>187</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>7955819</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>5</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_84"> <Value> <Obj> <type>2</type> <id>196</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56417920</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>8</bitwidth> </Value> <const_type>0</const_type> <content>17</content> </item> <item class_id_reference="16" object_id="_85"> <Value> <Obj> <type>2</type> <id>198</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56348816</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_86"> <Value> <Obj> <type>2</type> <id>211</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <bitwidth>7</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>22</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_87"> <Obj> <type>3</type> <id>17</id> <name>entry</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>347</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>15</item> <item>16</item> </node_objs> </item> <item class_id_reference="18" object_id="_88"> <Obj> <type>3</type> <id>20</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>18</item> <item>19</item> </node_objs> </item> <item class_id_reference="18" object_id="_89"> <Obj> <type>3</type> <id>24</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>21</item> <item>22</item> <item>23</item> </node_objs> </item> <item class_id_reference="18" object_id="_90"> <Obj> <type>3</type> <id>27</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>25</item> <item>26</item> </node_objs> </item> <item class_id_reference="18" object_id="_91"> <Obj> <type>3</type> <id>29</id> <name>._crit_edge10.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>28</item> </node_objs> </item> <item class_id_reference="18" object_id="_92"> <Obj> <type>3</type> <id>32</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>30</item> <item>31</item> </node_objs> </item> <item class_id_reference="18" object_id="_93"> <Obj> <type>3</type> <id>42</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56280856</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>9</count> <item_version>0</item_version> <item>33</item> <item>34</item> <item>35</item> <item>36</item> <item>37</item> <item>38</item> <item>39</item> <item>40</item> <item>41</item> </node_objs> </item> <item class_id_reference="18" object_id="_94"> <Obj> <type>3</type> <id>45</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>55917376</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>43</item> <item>44</item> </node_objs> </item> <item class_id_reference="18" object_id="_95"> <Obj> <type>3</type> <id>47</id> <name>._crit_edge8.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1702258035</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>46</item> </node_objs> </item> <item class_id_reference="18" object_id="_96"> <Obj> <type>3</type> <id>49</id> <name>._crit_edge7.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>48</item> </node_objs> </item> <item class_id_reference="18" object_id="_97"> <Obj> <type>3</type> <id>52</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56404848</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>50</item> <item>51</item> </node_objs> </item> <item class_id_reference="18" object_id="_98"> <Obj> <type>3</type> <id>63</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56428400</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>10</count> <item_version>0</item_version> <item>53</item> <item>54</item> <item>55</item> <item>56</item> <item>57</item> <item>58</item> <item>59</item> <item>60</item> <item>61</item> <item>62</item> </node_objs> </item> <item class_id_reference="18" object_id="_99"> <Obj> <type>3</type> <id>66</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56270824</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>64</item> <item>65</item> </node_objs> </item> <item class_id_reference="18" object_id="_100"> <Obj> <type>3</type> <id>68</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56276208</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>67</item> </node_objs> </item> <item class_id_reference="18" object_id="_101"> <Obj> <type>3</type> <id>70</id> <name>.fold.split322.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1936291937</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>69</item> </node_objs> </item> <item class_id_reference="18" object_id="_102"> <Obj> <type>3</type> <id>74</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1966088192</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>71</item> <item>72</item> <item>73</item> </node_objs> </item> <item class_id_reference="18" object_id="_103"> <Obj> <type>3</type> <id>78</id> <name>.thread.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56387552</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>75</item> <item>76</item> <item>77</item> </node_objs> </item> <item class_id_reference="18" object_id="_104"> <Obj> <type>3</type> <id>84</id> <name>._crit_edge5.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56393288</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>79</item> <item>80</item> <item>81</item> <item>82</item> <item>83</item> </node_objs> </item> <item class_id_reference="18" object_id="_105"> <Obj> <type>3</type> <id>86</id> <name>.thread5.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>1414419794</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>85</item> </node_objs> </item> <item class_id_reference="18" object_id="_106"> <Obj> <type>3</type> <id>91</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56398832</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>87</item> <item>88</item> <item>89</item> <item>90</item> </node_objs> </item> <item class_id_reference="18" object_id="_107"> <Obj> <type>3</type> <id>93</id> <name>._crit_edge2.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>56404304</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>92</item> </node_objs> </item> <item class_id_reference="18" object_id="_108"> <Obj> <type>3</type> <id>95</id> <name>packet_identification.exit</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <contextNormFuncName></contextNormFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <control></control> <opType></opType> <implIndex></implIndex> <coreName></coreName> <isStorage>0</isStorage> <storageDepth>0</storageDepth> <coreId>0</coreId> <rtlModuleName></rtlModuleName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>94</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>198</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_109"> <id>96</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>15</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_110"> <id>97</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_111"> <id>98</id> <edge_type>2</edge_type> <source_obj>95</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_112"> <id>100</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_113"> <id>101</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_114"> <id>103</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_115"> <id>104</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_116"> <id>106</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_117"> <id>107</id> <edge_type>2</edge_type> <source_obj>20</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_118"> <id>110</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_119"> <id>111</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_120"> <id>112</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_121"> <id>113</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_122"> <id>114</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_123"> <id>116</id> <edge_type>1</edge_type> <source_obj>115</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_124"> <id>117</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_125"> <id>118</id> <edge_type>2</edge_type> <source_obj>93</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_126"> <id>119</id> <edge_type>2</edge_type> <source_obj>63</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_127"> <id>122</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_128"> <id>123</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_129"> <id>124</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_130"> <id>125</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_131"> <id>126</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_132"> <id>127</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>54</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_133"> <id>128</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_134"> <id>129</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_135"> <id>132</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_136"> <id>134</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_137"> <id>136</id> <edge_type>1</edge_type> <source_obj>135</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_138"> <id>138</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_139"> <id>140</id> <edge_type>1</edge_type> <source_obj>139</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_140"> <id>142</id> <edge_type>1</edge_type> <source_obj>141</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_141"> <id>145</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_142"> <id>146</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_143"> <id>149</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_144"> <id>151</id> <edge_type>1</edge_type> <source_obj>150</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_145"> <id>153</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_146"> <id>155</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_147"> <id>157</id> <edge_type>1</edge_type> <source_obj>156</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_148"> <id>159</id> <edge_type>1</edge_type> <source_obj>158</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_149"> <id>160</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_150"> <id>161</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_151"> <id>163</id> <edge_type>1</edge_type> <source_obj>162</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_152"> <id>164</id> <edge_type>2</edge_type> <source_obj>78</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_153"> <id>166</id> <edge_type>1</edge_type> <source_obj>165</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_154"> <id>167</id> <edge_type>2</edge_type> <source_obj>66</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_155"> <id>168</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_156"> <id>170</id> <edge_type>1</edge_type> <source_obj>169</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_157"> <id>171</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_158"> <id>172</id> <edge_type>2</edge_type> <source_obj>78</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_159"> <id>173</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_160"> <id>174</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_161"> <id>175</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_162"> <id>177</id> <edge_type>1</edge_type> <source_obj>176</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_163"> <id>178</id> <edge_type>2</edge_type> <source_obj>78</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_164"> <id>180</id> <edge_type>1</edge_type> <source_obj>179</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_165"> <id>181</id> <edge_type>2</edge_type> <source_obj>70</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_166"> <id>182</id> <edge_type>2</edge_type> <source_obj>78</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_167"> <id>183</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_168"> <id>184</id> <edge_type>2</edge_type> <source_obj>70</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_169"> <id>185</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_170"> <id>186</id> <edge_type>2</edge_type> <source_obj>63</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_171"> <id>188</id> <edge_type>1</edge_type> <source_obj>187</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_172"> <id>189</id> <edge_type>2</edge_type> <source_obj>66</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_173"> <id>190</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_174"> <id>191</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_175"> <id>192</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_176"> <id>193</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_177"> <id>194</id> <edge_type>2</edge_type> <source_obj>84</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_178"> <id>195</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_179"> <id>197</id> <edge_type>1</edge_type> <source_obj>196</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_180"> <id>199</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_181"> <id>200</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_182"> <id>201</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_183"> <id>202</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_184"> <id>203</id> <edge_type>2</edge_type> <source_obj>84</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_185"> <id>204</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_186"> <id>205</id> <edge_type>2</edge_type> <source_obj>78</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_187"> <id>206</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_188"> <id>207</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_189"> <id>210</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_190"> <id>212</id> <edge_type>1</edge_type> <source_obj>211</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_191"> <id>213</id> <edge_type>1</edge_type> <source_obj>56</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_192"> <id>214</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_193"> <id>215</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_194"> <id>216</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_195"> <id>219</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_196"> <id>220</id> <edge_type>1</edge_type> <source_obj>81</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_197"> <id>221</id> <edge_type>2</edge_type> <source_obj>91</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_198"> <id>222</id> <edge_type>2</edge_type> <source_obj>91</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_199"> <id>223</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_200"> <id>224</id> <edge_type>2</edge_type> <source_obj>84</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_201"> <id>225</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_202"> <id>226</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_203"> <id>227</id> <edge_type>1</edge_type> <source_obj>56</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_204"> <id>228</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_205"> <id>229</id> <edge_type>1</edge_type> <source_obj>87</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_206"> <id>230</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_207"> <id>231</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_208"> <id>232</id> <edge_type>2</edge_type> <source_obj>93</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_209"> <id>233</id> <edge_type>2</edge_type> <source_obj>95</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_210"> <id>235</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_211"> <id>236</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_212"> <id>237</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_213"> <id>238</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_214"> <id>239</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_215"> <id>240</id> <edge_type>1</edge_type> <source_obj>115</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_216"> <id>241</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_217"> <id>242</id> <edge_type>2</edge_type> <source_obj>49</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_218"> <id>243</id> <edge_type>2</edge_type> <source_obj>42</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_219"> <id>245</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_220"> <id>246</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_221"> <id>247</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_222"> <id>248</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_223"> <id>249</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_224"> <id>250</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_225"> <id>251</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_226"> <id>252</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_227"> <id>253</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_228"> <id>255</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_229"> <id>256</id> <edge_type>1</edge_type> <source_obj>211</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_230"> <id>257</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_231"> <id>258</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_232"> <id>259</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_233"> <id>260</id> <edge_type>1</edge_type> <source_obj>38</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_234"> <id>262</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_235"> <id>263</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_236"> <id>264</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_237"> <id>265</id> <edge_type>2</edge_type> <source_obj>47</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_238"> <id>266</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_239"> <id>267</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_240"> <id>268</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_241"> <id>269</id> <edge_type>2</edge_type> <source_obj>47</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_242"> <id>270</id> <edge_type>2</edge_type> <source_obj>49</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_243"> <id>271</id> <edge_type>2</edge_type> <source_obj>95</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_244"> <id>273</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_245"> <id>274</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_246"> <id>275</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_247"> <id>276</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_248"> <id>277</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_249"> <id>278</id> <edge_type>1</edge_type> <source_obj>115</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_250"> <id>279</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_251"> <id>280</id> <edge_type>2</edge_type> <source_obj>95</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_252"> <id>281</id> <edge_type>2</edge_type> <source_obj>24</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_253"> <id>283</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_254"> <id>284</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_255"> <id>285</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_256"> <id>286</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_257"> <id>287</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_258"> <id>288</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_259"> <id>289</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_260"> <id>290</id> <edge_type>2</edge_type> <source_obj>29</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_261"> <id>291</id> <edge_type>2</edge_type> <source_obj>27</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_262"> <id>292</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_263"> <id>293</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_264"> <id>294</id> <edge_type>2</edge_type> <source_obj>29</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_265"> <id>295</id> <edge_type>2</edge_type> <source_obj>95</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_266"> <id>398</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_267"> <id>399</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_268"> <id>400</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_269"> <id>401</id> <edge_type>2</edge_type> <source_obj>17</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_270"> <id>402</id> <edge_type>2</edge_type> <source_obj>20</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_271"> <id>403</id> <edge_type>2</edge_type> <source_obj>20</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_272"> <id>404</id> <edge_type>2</edge_type> <source_obj>24</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_273"> <id>405</id> <edge_type>2</edge_type> <source_obj>24</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_274"> <id>406</id> <edge_type>2</edge_type> <source_obj>27</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_275"> <id>407</id> <edge_type>2</edge_type> <source_obj>29</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_276"> <id>408</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_277"> <id>409</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_278"> <id>410</id> <edge_type>2</edge_type> <source_obj>42</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_279"> <id>411</id> <edge_type>2</edge_type> <source_obj>42</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_280"> <id>412</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_281"> <id>413</id> <edge_type>2</edge_type> <source_obj>47</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_282"> <id>414</id> <edge_type>2</edge_type> <source_obj>49</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_283"> <id>415</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_284"> <id>416</id> <edge_type>2</edge_type> <source_obj>52</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_285"> <id>417</id> <edge_type>2</edge_type> <source_obj>63</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_286"> <id>418</id> <edge_type>2</edge_type> <source_obj>63</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_287"> <id>419</id> <edge_type>2</edge_type> <source_obj>63</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_288"> <id>420</id> <edge_type>2</edge_type> <source_obj>66</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_289"> <id>421</id> <edge_type>2</edge_type> <source_obj>66</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_290"> <id>422</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_291"> <id>423</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_292"> <id>424</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_293"> <id>425</id> <edge_type>2</edge_type> <source_obj>70</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_294"> <id>426</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_295"> <id>427</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_296"> <id>428</id> <edge_type>2</edge_type> <source_obj>78</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_297"> <id>429</id> <edge_type>2</edge_type> <source_obj>84</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_298"> <id>430</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_299"> <id>431</id> <edge_type>2</edge_type> <source_obj>91</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_300"> <id>432</id> <edge_type>2</edge_type> <source_obj>93</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_301"> <id>433</id> <edge_type>4</edge_type> <source_obj>15</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_302"> <id>434</id> <edge_type>4</edge_type> <source_obj>15</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_303"> <id>435</id> <edge_type>4</edge_type> <source_obj>15</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_304"> <id>436</id> <edge_type>4</edge_type> <source_obj>18</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_305"> <id>437</id> <edge_type>4</edge_type> <source_obj>30</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_306"> <id>438</id> <edge_type>4</edge_type> <source_obj>50</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_307"> <mId>1</mId> <mTag>packet_identification</mTag> <mNormTag>packet_identification</mNormTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>22</count> <item_version>0</item_version> <item>17</item> <item>20</item> <item>24</item> <item>27</item> <item>29</item> <item>32</item> <item>42</item> <item>45</item> <item>47</item> <item>49</item> <item>52</item> <item>63</item> <item>66</item> <item>68</item> <item>70</item> <item>74</item> <item>78</item> <item>84</item> <item>86</item> <item>91</item> <item>93</item> <item>95</item> </basic_blocks> <mII>1</mII> <mDepth>2</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>1</mMinLatency> <mMaxLatency>1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_308"> <states class_id="25" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_309"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>53</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_310"> <id>9</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_311"> <id>10</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_312"> <id>11</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_313"> <id>12</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_314"> <id>13</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_315"> <id>14</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_316"> <id>15</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_317"> <id>16</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_318"> <id>18</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_319"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_320"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_321"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_322"> <id>23</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_323"> <id>25</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_324"> <id>26</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_325"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_326"> <id>30</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_327"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_328"> <id>33</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_329"> <id>34</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_330"> <id>35</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_331"> <id>36</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_332"> <id>41</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_333"> <id>43</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_334"> <id>44</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_335"> <id>46</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_336"> <id>48</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_337"> <id>50</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_338"> <id>51</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_339"> <id>53</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_340"> <id>54</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_341"> <id>55</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_342"> <id>56</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_343"> <id>57</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_344"> <id>58</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_345"> <id>59</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_346"> <id>60</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_347"> <id>61</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_348"> <id>62</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_349"> <id>64</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_350"> <id>65</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_351"> <id>67</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_352"> <id>69</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_353"> <id>71</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_354"> <id>72</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_355"> <id>73</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_356"> <id>83</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_357"> <id>85</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_358"> <id>87</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_359"> <id>88</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_360"> <id>89</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_361"> <id>90</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_362"> <id>92</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_363"> <id>2</id> <operations> <count>12</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_364"> <id>37</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_365"> <id>38</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_366"> <id>39</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_367"> <id>40</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_368"> <id>75</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_369"> <id>76</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_370"> <id>77</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_371"> <id>79</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_372"> <id>80</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_373"> <id>81</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_374"> <id>82</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_375"> <id>94</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_376"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>-1</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="-1"></res> <node_label_latency class_id="35" tracking_level="0" version="0"> <count>59</count> <item_version>0</item_version> <item class_id="36" tracking_level="0" version="0"> <first>15</first> <second class_id="37" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>36</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>38</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>43</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>50</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>54</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>56</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>57</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>61</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>62</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>64</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>69</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>71</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>73</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>75</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>76</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>77</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>79</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>80</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>81</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>82</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>83</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>85</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>87</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>88</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>89</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>90</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>92</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>94</first> <second> <first>1</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="38" tracking_level="0" version="0"> <count>22</count> <item_version>0</item_version> <item class_id="39" tracking_level="0" version="0"> <first>17</first> <second class_id="40" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>20</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>27</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>45</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>47</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>49</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>63</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>68</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>70</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>74</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>78</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>84</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>86</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>91</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>93</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>95</first> <second> <first>1</first> <second>1</second> </second> </item> </bblk_ent_exit> <regions class_id="41" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="42" tracking_level="1" version="0" object_id="_377"> <region_name>packet_identification</region_name> <basic_blocks> <count>22</count> <item_version>0</item_version> <item>17</item> <item>20</item> <item>24</item> <item>27</item> <item>29</item> <item>32</item> <item>42</item> <item>45</item> <item>47</item> <item>49</item> <item>52</item> <item>63</item> <item>66</item> <item>68</item> <item>70</item> <item>74</item> <item>78</item> <item>84</item> <item>86</item> <item>91</item> <item>93</item> <item>95</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>2</pipe_depth> <mDBIIViolationVec class_id="43" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </mDBIIViolationVec> </item> </regions> <dp_fu_nodes class_id="44" tracking_level="0" version="0"> <count>28</count> <item_version>0</item_version> <item class_id="45" tracking_level="0" version="0"> <first>86</first> <second> <count>3</count> <item_version>0</item_version> <item>18</item> <item>30</item> <item>50</item> </second> </item> <item> <first>102</first> <second> <count>3</count> <item_version>0</item_version> <item>21</item> <item>33</item> <item>53</item> </second> </item> <item> <first>116</first> <second> <count>2</count> <item_version>0</item_version> <item>40</item> <item>82</item> </second> </item> <item> <first>126</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>141</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>159</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> <item> <first>167</first> <second> <count>3</count> <item_version>0</item_version> <item>22</item> <item>36</item> <item>56</item> </second> </item> <item> <first>171</first> <second> <count>2</count> <item_version>0</item_version> <item>34</item> <item>54</item> </second> </item> <item> <first>175</first> <second> <count>2</count> <item_version>0</item_version> <item>35</item> <item>55</item> </second> </item> <item> <first>187</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>191</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>197</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>203</first> <second> <count>1</count> <item_version>0</item_version> <item>57</item> </second> </item> <item> <first>213</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>223</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>231</first> <second> <count>1</count> <item_version>0</item_version> <item>60</item> </second> </item> <item> <first>241</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> <item> <first>251</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>257</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>263</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>269</first> <second> <count>1</count> <item_version>0</item_version> <item>88</item> </second> </item> <item> <first>277</first> <second> <count>1</count> <item_version>0</item_version> <item>89</item> </second> </item> <item> <first>283</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>287</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>300</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> <item> <first>305</first> <second> <count>1</count> <item_version>0</item_version> <item>76</item> </second> </item> <item> <first>311</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>324</first> <second> <count>1</count> <item_version>0</item_version> <item>81</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="47" tracking_level="0" version="0"> <count>18</count> <item_version>0</item_version> <item class_id="48" tracking_level="0" version="0"> <first>ethernetType_V_fu_223</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>grp_fu_167</first> <second> <count>3</count> <item_version>0</item_version> <item>22</item> <item>36</item> <item>56</item> </second> </item> <item> <first>grp_fu_171</first> <second> <count>2</count> <item_version>0</item_version> <item>34</item> <item>54</item> </second> </item> <item> <first>grp_fu_175</first> <second> <count>2</count> <item_version>0</item_version> <item>35</item> <item>55</item> </second> </item> <item> <first>icmp_ln1049_1_fu_257</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>icmp_ln1049_fu_251</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>ipProtocol_V_fu_241</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> <item> <first>ipVersion_V_fu_231</first> <second> <count>1</count> <item_version>0</item_version> <item>60</item> </second> </item> <item> <first>p_Result_1_i_fu_203</first> <second> <count>1</count> <item_version>0</item_version> <item>57</item> </second> </item> <item> <first>p_Result_2_i_fu_213</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>select_ln235_fu_269</first> <second> <count>1</count> <item_version>0</item_version> <item>88</item> </second> </item> <item> <first>storemerge_i_phi_fu_126</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>tdest_54_i_phi_fu_159</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> <item> <first>tdest_5_ph_i_phi_fu_141</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>tmp_3_fu_311</first> <second> <count>1</count> <item_version>0</item_version> <item>80</item> </second> </item> <item> <first>tmp_s_fu_287</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>zext_ln174_1_fu_324</first> <second> <count>1</count> <item_version>0</item_version> <item>81</item> </second> </item> <item> <first>zext_ln174_fu_300</first> <second> <count>1</count> <item_version>0</item_version> <item>39</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>10</count> <item_version>0</item_version> <item> <first>grp_nbreadreq_fu_86</first> <second> <count>3</count> <item_version>0</item_version> <item>18</item> <item>30</item> <item>50</item> </second> </item> <item> <first>grp_read_fu_102</first> <second> <count>3</count> <item_version>0</item_version> <item>21</item> <item>33</item> <item>53</item> </second> </item> <item> <first>grp_write_fu_116</first> <second> <count>2</count> <item_version>0</item_version> <item>40</item> <item>82</item> </second> </item> <item> <first>pi_fsm_state_load_load_fu_187</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>sendWord_dest_V_load_fu_283</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>store_ln225_store_fu_263</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>store_ln225_store_fu_305</first> <second> <count>1</count> <item_version>0</item_version> <item>76</item> </second> </item> <item> <first>store_ln232_store_fu_277</first> <second> <count>1</count> <item_version>0</item_version> <item>89</item> </second> </item> <item> <first>store_ln251_store_fu_197</first> <second> <count>1</count> <item_version>0</item_version> <item>43</item> </second> </item> <item> <first>store_ln259_store_fu_191</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="49" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>14</count> <item_version>0</item_version> <item> <first>123</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>134</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>155</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> <item> <first>179</first> <second> <count>2</count> <item_version>0</item_version> <item>34</item> <item>54</item> </second> </item> <item> <first>183</first> <second> <count>2</count> <item_version>0</item_version> <item>35</item> <item>55</item> </second> </item> <item> <first>329</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>339</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>343</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>348</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> <item> <first>352</first> <second> <count>1</count> <item_version>0</item_version> <item>56</item> </second> </item> <item> <first>357</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>361</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> <item> <first>365</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>369</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>14</count> <item_version>0</item_version> <item> <first>ethernetType_V_reg_357</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>icmp_ln1049_1_reg_369</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>icmp_ln1049_reg_365</first> <second> <count>1</count> <item_version>0</item_version> <item>64</item> </second> </item> <item> <first>ipProtocol_V_reg_361</first> <second> <count>1</count> <item_version>0</item_version> <item>61</item> </second> </item> <item> <first>pi_fsm_state_load_reg_329</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>reg_179</first> <second> <count>2</count> <item_version>0</item_version> <item>34</item> <item>54</item> </second> </item> <item> <first>reg_183</first> <second> <count>2</count> <item_version>0</item_version> <item>35</item> <item>55</item> </second> </item> <item> <first>sendWord_last_V_1_reg_352</first> <second> <count>1</count> <item_version>0</item_version> <item>56</item> </second> </item> <item> <first>storemerge_i_reg_123</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>tdest_54_i_reg_155</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> <item> <first>tdest_5_ph_i_reg_134</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>tmp_11_reg_343</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>tmp_1_reg_339</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>tmp_reg_348</first> <second> <count>1</count> <item_version>0</item_version> <item>50</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>3</count> <item_version>0</item_version> <item> <first>123</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>134</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>155</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> </dp_reg_phi> <dp_regname_phi> <count>3</count> <item_version>0</item_version> <item> <first>storemerge_i_reg_123</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>tdest_54_i_reg_155</first> <second> <count>1</count> <item_version>0</item_version> <item>79</item> </second> </item> <item> <first>tdest_5_ph_i_reg_134</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> </dp_regname_phi> <dp_port_io_nodes class_id="50" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="51" tracking_level="0" version="0"> <first>eth_level_pkt</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>write</first> <second> <count>2</count> <item_version>0</item_version> <item>82</item> <item>40</item> </second> </item> </second> </item> <item> <first>s_axis_V_data_V</first> <second> <count>2</count> <item_version>0</item_version> <item> <first>nbreadreq</first> <second> <count>3</count> <item_version>0</item_version> <item>50</item> <item>30</item> <item>18</item> </second> </item> <item> <first>read</first> <second> <count>3</count> <item_version>0</item_version> <item>53</item> <item>33</item> <item>21</item> </second> </item> </second> </item> <item> <first>s_axis_V_dest_V</first> <second> <count>2</count> <item_version>0</item_version> <item> <first>nbreadreq</first> <second> <count>3</count> <item_version>0</item_version> <item>50</item> <item>30</item> <item>18</item> </second> </item> <item> <first>read</first> <second> <count>3</count> <item_version>0</item_version> <item>53</item> <item>33</item> <item>21</item> </second> </item> </second> </item> <item> <first>s_axis_V_keep_V</first> <second> <count>2</count> <item_version>0</item_version> <item> <first>nbreadreq</first> <second> <count>3</count> <item_version>0</item_version> <item>50</item> <item>30</item> <item>18</item> </second> </item> <item> <first>read</first> <second> <count>3</count> <item_version>0</item_version> <item>53</item> <item>33</item> <item>21</item> </second> </item> </second> </item> <item> <first>s_axis_V_last_V</first> <second> <count>2</count> <item_version>0</item_version> <item> <first>nbreadreq</first> <second> <count>3</count> <item_version>0</item_version> <item>50</item> <item>30</item> <item>18</item> </second> </item> <item> <first>read</first> <second> <count>3</count> <item_version>0</item_version> <item>53</item> <item>33</item> <item>21</item> </second> </item> </second> </item> <item> <first>s_axis_V_strb_V</first> <second> <count>2</count> <item_version>0</item_version> <item> <first>nbreadreq</first> <second> <count>3</count> <item_version>0</item_version> <item>50</item> <item>30</item> <item>18</item> </second> </item> <item> <first>read</first> <second> <count>3</count> <item_version>0</item_version> <item>53</item> <item>33</item> <item>21</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core> <count>1</count> <item_version>0</item_version> <item> <first>8</first> <second> <first>1151</first> <second>7</second> </second> </item> </port2core> <node2core> <count>11</count> <item_version>0</item_version> <item> <first>18</first> <second> <first>888</first> <second>111</second> </second> </item> <item> <first>21</first> <second> <first>888</first> <second>111</second> </second> </item> <item> <first>30</first> <second> <first>888</first> <second>111</second> </second> </item> <item> <first>33</first> <second> <first>888</first> <second>111</second> </second> </item> <item> <first>40</first> <second> <first>1151</first> <second>7</second> </second> </item> <item> <first>50</first> <second> <first>888</first> <second>111</second> </second> </item> <item> <first>53</first> <second> <first>888</first> <second>111</second> </second> </item> <item> <first>64</first> <second> <first>45</first> <second>0</second> </second> </item> <item> <first>71</first> <second> <first>45</first> <second>0</second> </second> </item> <item> <first>82</first> <second> <first>1151</first> <second>7</second> </second> </item> <item> <first>88</first> <second> <first>49</first> <second>107</second> </second> </item> </node2core> </syndb> </boost_serialization>
28.891118
168
0.626571
4a91b10c10af6774099634e83a2c33dfb25e7199
3,104
adb
Ada
src/glfw/v3/glfw-windows-context.adb
Roldak/OpenGLAda
6807605b7321249d71286fa25231bdfd537d3eac
[ "MIT" ]
79
2015-04-20T23:10:02.000Z
2022-03-04T13:50:56.000Z
src/glfw/v3/glfw-windows-context.adb
Roldak/OpenGLAda
6807605b7321249d71286fa25231bdfd537d3eac
[ "MIT" ]
126
2015-09-10T10:41:34.000Z
2022-03-20T11:25:40.000Z
src/glfw/v3/glfw-windows-context.adb
Roldak/OpenGLAda
6807605b7321249d71286fa25231bdfd537d3eac
[ "MIT" ]
20
2015-03-17T07:15:57.000Z
2022-02-02T17:12:11.000Z
-- part of OpenGLAda, (c) 2017 Felix Krause -- released under the terms of the MIT license, see the file "COPYING" with Glfw.API; with Glfw.Enums; package body Glfw.Windows.Context is procedure Make_Current (Window : access Glfw.Windows.Window'Class) is begin if not Window.Initialized then -- null is accepted to detach the current context, but an uninitialized -- window *should* lead to an exception instead of detaching the -- context, so we handle this here raise Operation_Exception with "Window not initialized"; end if; if Window = null then API.Make_Context_Current (System.Null_Address); else API.Make_Context_Current (Window.Handle); end if; end Make_Current; function Current return access Glfw.Windows.Window'Class is use type System.Address; Raw : constant System.Address := API.Get_Current_Context; begin if Raw = System.Null_Address then return null; else return Window_Ptr (Raw); end if; end Current; procedure Swap_Buffers (Window : not null access Glfw.Windows.Window'Class) is begin API.Swap_Buffers (Window.Handle); end Swap_Buffers; procedure Set_Swap_Interval (Value : Swap_Interval) renames API.Swap_Interval; function Client_API (Window : not null access Glfw.Windows.Window'Class) return API_Kind is begin return API.Get_Window_Attrib (Window.Handle, Enums.Client_API); end Client_API; function Profile (Window : not null access Glfw.Windows.Window'Class) return OpenGL_Profile_Kind is begin return API.Get_Window_Attrib (Window.Handle, Enums.OpenGL_Profile); end Profile; procedure Get_Context_Version (Window : not null access Glfw.Windows.Window'Class; Major : out Positive; Minor, Revision : out Natural) is begin Major := Positive (Interfaces.C.int'( (API.Get_Window_Attrib (Window.Handle, Enums.Context_Version_Major)))); Minor := Natural (Interfaces.C.int'( (API.Get_Window_Attrib (Window.Handle, Enums.Context_Version_Minor)))); Revision := Natural (Interfaces.C.int'( (API.Get_Window_Attrib (Window.Handle, Enums.Context_Revision)))); end Get_Context_Version; function Is_Forward_Compat (Window : not null access Glfw.Windows.Window'Class) return Boolean is begin return Boolean (Bool'(API.Get_Window_Attrib (Window.Handle, Enums.OpenGL_Forward_Compat))); end Is_Forward_Compat; function Is_Debug_Context (Window : not null access Glfw.Windows.Window'Class) return Boolean is begin return Boolean (Bool'(API.Get_Window_Attrib (Window.Handle, Enums.OpenGL_Debug_Context))); end Is_Debug_Context; function Robustness (Window : not null access Glfw.Windows.Window'Class) return Robustness_Kind is begin return API.Get_Window_Attrib (Window.Handle, Enums.Context_Robustness); end Robustness; end Glfw.Windows.Context;
34.488889
81
0.687178
dcbb331bbc3cbed4011225c89ec9af3e045abf1e
4,591
ads
Ada
3-mid/impact/source/2d/dynamics/impact-d2-island.ads
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
20
2015-11-04T09:23:59.000Z
2022-01-14T10:21:42.000Z
3-mid/impact/source/2d/dynamics/impact-d2-island.ads
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
2
2015-11-04T17:05:56.000Z
2015-12-08T03:16:13.000Z
3-mid/impact/source/2d/dynamics/impact-d2-island.ads
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
1
2015-12-07T12:53:52.000Z
2015-12-07T12:53:52.000Z
with impact.d2.Math, impact.d2.Solid, impact.d2.Contact.Solver, impact.d2.Joint, impact.d2.world_Callbacks, impact.d2.Types; package impact.d2.Island -- -- -- is use impact.d2.Math, impact.d2.Types; -- -- This is an internal structure. -- -- -- type b2Position is -- record -- x : b2Vec2; -- a : float32; -- end record; -- -- -- -- -- This is an internal structure. -- -- -- type b2Velocity is -- record -- v : b2Vec2; -- w : float32; -- end record; type Solid_view is access all Solid.b2Body'Class; type Joint_view is access all Joint.b2Joint'Class; -- type Position_view is access all b2Position; -- type Velocity_view is access all b2Velocity; type Solid_views is array (int32 range <>) of Solid_view; type Joint_views is array (int32 range <>) of Joint_view; -- type Position_views is array (int32 range <>) of Position_view; -- type Velocity_views is array (int32 range <>) of Velocity_view; type access_Solid_views is access all Solid_views; type access_Contact_views is access all Contact.views; type access_Joint_views is access all Joint_views; -- type access_Position_views is access all Position_views; -- type access_Velocity_views is access all Velocity_views; -- This is an internal class. -- type b2Island is tagged record -- b2StackAllocator* m_allocator; m_listener : access world_callbacks.b2ContactListener; m_bodies : access_Solid_views; m_contacts : access_Contact_views; m_joints : access_Joint_views; m_positions : access_Position_views; m_velocities : access_Velocity_views; m_bodyCount, m_jointCount, m_contactCount : int32; m_bodyCapacity, m_contactCapacity, m_jointCapacity : int32; m_positionIterationCount : int32; end record; function to_b2Island (bodyCapacity : int32; contactCapacity : int32; jointCapacity : int32; -- b2StackAllocator* allocator, listener : access world_callbacks.b2ContactListener'Class) return b2Island; procedure destruct (Self : in out b2Island); procedure Clear (Self : in out b2Island); -- procedure Solve (Self : in out b2Island; step : in b2TimeStep; -- gravity : in b2Vec2; -- allowSleep : in Boolean); -- procedure SolveTOI (Self : in out b2Island; subStep : in b2TimeStep; -- toiIndexA, -- toiIndexB : in int32 ); procedure Add (Self : in out b2Island; Solid : access impact.d2.Solid.b2Body'Class); procedure Add (Self : in out b2Island; Contact : access impact.d2.Contact.b2Contact'Class); procedure Add (Self : in out b2Island; Joint : access impact.d2.Joint.b2Joint'Class); -- procedure Report (Self : in out b2Island; constraints : access contact.solver.b2ContactConstraints); -- { -- public: -- b2Island(int32 bodyCapacity, int32 contactCapacity, int32 jointCapacity, -- b2StackAllocator* allocator, b2ContactListener* listener); -- ~b2Island(); -- -- void Clear() -- { -- m_bodyCount = 0; -- m_contactCount = 0; -- m_jointCount = 0; -- } -- -- void Solve(const b2TimeStep& step, const b2Vec2& gravity, bool allowSleep); -- -- void Add(b2Body* body) -- { -- b2Assert(m_bodyCount < m_bodyCapacity); -- body->m_islandIndex = m_bodyCount; -- m_bodies[m_bodyCount++] = body; -- } -- -- void Add(b2Contact* contact) -- { -- b2Assert(m_contactCount < m_contactCapacity); -- m_contacts[m_contactCount++] = contact; -- } -- -- void Add(b2Joint* joint) -- { -- b2Assert(m_jointCount < m_jointCapacity); -- m_joints[m_jointCount++] = joint; -- } -- -- void Report(const b2ContactConstraint* constraints); -- }; -- -- #endif end impact.d2.Island;
30.203947
109
0.5489
18f4c0d747983f314c3132cf12281dee1af0fc4a
1,344
ads
Ada
maps_g_dyn/maps_g.ads
cborao/Ada-P3
a099243531f259158eb30450868c31e81783174c
[ "MIT" ]
null
null
null
maps_g_dyn/maps_g.ads
cborao/Ada-P3
a099243531f259158eb30450868c31e81783174c
[ "MIT" ]
null
null
null
maps_g_dyn/maps_g.ads
cborao/Ada-P3
a099243531f259158eb30450868c31e81783174c
[ "MIT" ]
null
null
null
--PRÁCTICA 3: CÉSAR BORAO MORATINOS (Maps_G_Dyn.ads) with Ada.Text_IO; generic type Key_Type is private; type Value_Type is private; Max_Clients: Natural; with function "=" (K1, K2: Key_Type) return Boolean; package Maps_G is type Map is limited private; procedure Get (M: Map; Key: in Key_Type; Value: out Value_Type; Success: out Boolean); Full_Map : exception; procedure Put (M: in out Map; Key: Key_Type; Value: Value_Type); procedure Delete (M: in out Map; Key: in Key_Type; Success: out Boolean); function Map_Length (M : Map) return Natural; -- -- Cursor Interface for iterating over Map elements -- type Cursor is limited private; function First (M: Map) return Cursor; procedure Next (C: in out Cursor); function Has_Element (C: Cursor) return Boolean; type Element_Type is record Key: Key_Type; Value: Value_Type; end record; No_Element: exception; -- Raises No_Element if Has_Element(C) = False; function Element (C: Cursor) return Element_Type; private type Cell; type Cell_A is access Cell; type Cell is record Key : Key_Type; Value : Value_Type; Next : Cell_A; end record; type Map is record P_First : Cell_A; Length : Natural := 0; end record; type Cursor is record M : Map; Element_A : Cell_A; end record; end Maps_G;
19.764706
53
0.69494
d06196c5f1887ffde3d89629dc13311574cd129e
3,205
adb
Ada
Assignment/mystringtokeniser.adb
vivianjia123/Password-Manager
c61523beb327b5a11be7fbdb04bf0d7569c8a1d6
[ "MIT" ]
null
null
null
Assignment/mystringtokeniser.adb
vivianjia123/Password-Manager
c61523beb327b5a11be7fbdb04bf0d7569c8a1d6
[ "MIT" ]
null
null
null
Assignment/mystringtokeniser.adb
vivianjia123/Password-Manager
c61523beb327b5a11be7fbdb04bf0d7569c8a1d6
[ "MIT" ]
null
null
null
package body MyStringTokeniser with SPARK_Mode is procedure Tokenise(S : in String; Tokens : in out TokenArray; Count : out Natural) is Index : Positive; Extent : TokenExtent; OutIndex : Integer := Tokens'First; begin Count := 0; if (S'First > S'Last) then return; end if; Index := S'First; while OutIndex <= Tokens'Last and Index <= S'Last and Count < Tokens'Length loop -- The loop invariant loops through the Token from the first element to the element -- specified by OutIndex. Each Token in the loop must have a starting index greater -- than or equal to the starting index of the string to ensure the Token is not accessing -- memory outside the Tokens array. Each Token should also have length greater than 0 -- to ensure that memory outside the Tokens array is not accessed or is result of overflow. -- Last token index should be also equal or less than the index of the last char in String S -- so that the token is not accessing memory outside of the Tokens Array. -- This Invariant ensures that the post condition does not fail. pragma Loop_Invariant (for all J in Tokens'First..OutIndex-1 => (Tokens(J).Start >= S'First and Tokens(J).Length > 0) and then Tokens(J).Length-1 <= S'Last - Tokens(J).Start); -- Ensures that the OutIndex is always within the bounds of Tokens array and does not -- access memory outside of the Tokens array i.e. OutIndex is within Tokens'First and Tokens'Last. -- Without this loop invariant then the OutIndex -- may access memory outside of the Tokens array or cause overflow when OutIndex is equal to -- Integer'First and causes integer overflow pragma Loop_Invariant (OutIndex = Tokens'First + Count); -- look for start of next token while (Index >= S'First and Index < S'Last) and then Is_Whitespace(S(Index)) loop Index := Index + 1; end loop; if (Index >= S'First and Index <= S'Last) and then not Is_Whitespace(S(Index)) then -- found a token Extent.Start := Index; Extent.Length := 0; -- look for end of this token while Positive'Last - Extent.Length >= Index and then (Index+Extent.Length >= S'First and Index+Extent.Length <= S'Last) and then not Is_Whitespace(S(Index+Extent.Length)) loop Extent.Length := Extent.Length + 1; end loop; Tokens(OutIndex) := Extent; Count := Count + 1; -- check for last possible token, avoids overflow when incrementing OutIndex if (OutIndex = Tokens'Last) then return; else OutIndex := OutIndex + 1; end if; -- check for end of string, avoids overflow when incrementing Index if S'Last - Extent.Length < Index then return; else Index := Index + Extent.Length; end if; end if; end loop; end Tokenise; end MyStringTokeniser;
43.310811
188
0.609672
18b659a09d6f552617a4325818c2ecb80e7eae34
25,804
adb
Ada
source/nodes/program-element_vector_factories.adb
optikos/oasis
9f64d46d26d964790d69f9db681c874cfb3bf96d
[ "MIT" ]
null
null
null
source/nodes/program-element_vector_factories.adb
optikos/oasis
9f64d46d26d964790d69f9db681c874cfb3bf96d
[ "MIT" ]
null
null
null
source/nodes/program-element_vector_factories.adb
optikos/oasis
9f64d46d26d964790d69f9db681c874cfb3bf96d
[ "MIT" ]
2
2019-09-14T23:18:50.000Z
2019-10-02T10:11:40.000Z
-- Copyright (c) 2019 Maxim Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT -- License-Filename: LICENSE ------------------------------------------------------------- with Program.Nodes.Array_Component_Association_Vectors; with Program.Nodes.Aspect_Specification_Vectors; with Program.Nodes.Element_Vectors; with Program.Nodes.Expression_Vectors; with Program.Nodes.Case_Expression_Path_Vectors; with Program.Nodes.Case_Path_Vectors; with Program.Nodes.Component_Clause_Vectors; with Program.Nodes.Defining_Identifier_Vectors; with Program.Nodes.Discrete_Range_Vectors; with Program.Nodes.Discriminant_Association_Vectors; with Program.Nodes.Discriminant_Specification_Vectors; with Program.Nodes.Elsif_Path_Vectors; with Program.Nodes.Enumeration_Literal_Specification_Vectors; with Program.Nodes.Exception_Handler_Vectors; with Program.Nodes.Formal_Package_Association_Vectors; with Program.Nodes.Identifier_Vectors; with Program.Nodes.Parameter_Association_Vectors; with Program.Nodes.Parameter_Specification_Vectors; with Program.Nodes.Record_Component_Association_Vectors; with Program.Nodes.Select_Path_Vectors; with Program.Nodes.Variant_Vectors; with Program.Storage_Pools; package body Program.Element_Vector_Factories is type Array_Component_Association_Vector_Access is not null access Program.Nodes.Array_Component_Association_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Aspect_Specification_Vector_Access is not null access Program.Nodes.Aspect_Specification_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Case_Expression_Path_Vector_Access is not null access Program.Nodes.Case_Expression_Path_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Case_Path_Vector_Access is not null access Program.Nodes.Case_Path_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Component_Clause_Vector_Access is not null access Program.Nodes.Component_Clause_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Defining_Identifier_Vector_Access is not null access Program.Nodes.Defining_Identifier_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Discrete_Range_Vector_Access is not null access Program.Nodes.Discrete_Range_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Discriminant_Association_Vector_Access is not null access Program.Nodes.Discriminant_Association_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Discriminant_Specification_Vector_Access is not null access Program.Nodes.Discriminant_Specification_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Element_Vector_Access is not null access Program.Nodes.Element_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Elsif_Path_Vector_Access is not null access Program.Nodes.Elsif_Path_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Enumeration_Literal_Specification_Vector_Access is not null access Program.Nodes.Enumeration_Literal_Specification_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Exception_Handler_Vector_Access is not null access Program.Nodes.Exception_Handler_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Expression_Vector_Access is not null access Program.Nodes.Expression_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Formal_Package_Association_Vector_Access is not null access Program.Nodes.Formal_Package_Association_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Identifier_Vector_Access is not null access Program.Nodes.Identifier_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Parameter_Association_Vector_Access is not null access Program.Nodes.Parameter_Association_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Parameter_Specification_Vector_Access is not null access Program.Nodes.Parameter_Specification_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Record_Component_Association_Vector_Access is not null access Program.Nodes.Record_Component_Association_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Select_Path_Vector_Access is not null access Program.Nodes.Select_Path_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; type Variant_Vector_Access is not null access Program.Nodes.Variant_Vectors.Vector with Storage_Pool => Program.Storage_Pools.Pool; ----------------------------------------------- -- Create_Array_Component_Association_Vector -- ----------------------------------------------- not overriding function Create_Array_Component_Association_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Array_Component_Associations .Array_Component_Association_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Array_Component_Association_Vector_Access := new (Self.Subpool) Program.Nodes.Array_Component_Association_Vectors.Vector' (Program.Nodes.Array_Component_Association_Vectors.Create (Each)); begin return Program.Elements.Array_Component_Associations .Array_Component_Association_Vector_Access (Result); end; end Create_Array_Component_Association_Vector; ---------------------------------------- -- Create_Aspect_Specification_Vector -- ---------------------------------------- not overriding function Create_Aspect_Specification_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Aspect_Specifications .Aspect_Specification_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Aspect_Specification_Vector_Access := new (Self.Subpool) Program.Nodes.Aspect_Specification_Vectors.Vector' (Program.Nodes.Aspect_Specification_Vectors.Create (Each)); begin return Program.Elements.Aspect_Specifications .Aspect_Specification_Vector_Access (Result); end; end Create_Aspect_Specification_Vector; ---------------------------------------- -- Create_Case_Expression_Path_Vector -- ---------------------------------------- not overriding function Create_Case_Expression_Path_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Case_Expression_Paths .Case_Expression_Path_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Case_Expression_Path_Vector_Access := new (Self.Subpool) Program.Nodes.Case_Expression_Path_Vectors.Vector' (Program.Nodes.Case_Expression_Path_Vectors.Create (Each)); begin return Program.Elements.Case_Expression_Paths .Case_Expression_Path_Vector_Access (Result); end; end Create_Case_Expression_Path_Vector; ----------------------------- -- Create_Case_Path_Vector -- ----------------------------- not overriding function Create_Case_Path_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Case_Paths.Case_Path_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Case_Path_Vector_Access := new (Self.Subpool) Program.Nodes.Case_Path_Vectors.Vector' (Program.Nodes.Case_Path_Vectors.Create (Each)); begin return Program.Elements.Case_Paths .Case_Path_Vector_Access (Result); end; end Create_Case_Path_Vector; ------------------------------------ -- Create_Component_Clause_Vector -- ------------------------------------ not overriding function Create_Component_Clause_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Component_Clauses .Component_Clause_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Component_Clause_Vector_Access := new (Self.Subpool) Program.Nodes.Component_Clause_Vectors.Vector' (Program.Nodes.Component_Clause_Vectors.Create (Each)); begin return Program.Elements.Component_Clauses .Component_Clause_Vector_Access (Result); end; end Create_Component_Clause_Vector; --------------------------------------- -- Create_Defining_Identifier_Vector -- --------------------------------------- not overriding function Create_Defining_Identifier_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Defining_Identifiers .Defining_Identifier_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Defining_Identifier_Vector_Access := new (Self.Subpool) Program.Nodes.Defining_Identifier_Vectors.Vector' (Program.Nodes.Defining_Identifier_Vectors.Create (Each)); begin return Program.Elements.Defining_Identifiers .Defining_Identifier_Vector_Access (Result); end; end Create_Defining_Identifier_Vector; ---------------------------------- -- Create_Discrete_Range_Vector -- ---------------------------------- not overriding function Create_Discrete_Range_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Discrete_Ranges.Discrete_Range_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Discrete_Range_Vector_Access := new (Self.Subpool) Program.Nodes.Discrete_Range_Vectors.Vector' (Program.Nodes.Discrete_Range_Vectors.Create (Each)); begin return Program.Elements.Discrete_Ranges .Discrete_Range_Vector_Access (Result); end; end Create_Discrete_Range_Vector; -------------------------------------------- -- Create_Discriminant_Association_Vector -- -------------------------------------------- not overriding function Create_Discriminant_Association_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Discriminant_Associations .Discriminant_Association_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Discriminant_Association_Vector_Access := new (Self.Subpool) Program.Nodes.Discriminant_Association_Vectors.Vector' (Program.Nodes.Discriminant_Association_Vectors.Create (Each)); begin return Program.Elements.Discriminant_Associations .Discriminant_Association_Vector_Access (Result); end; end Create_Discriminant_Association_Vector; ---------------------------------------------- -- Create_Discriminant_Specification_Vector -- ---------------------------------------------- not overriding function Create_Discriminant_Specification_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Discriminant_Specifications .Discriminant_Specification_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Discriminant_Specification_Vector_Access := new (Self.Subpool) Program.Nodes.Discriminant_Specification_Vectors.Vector' (Program.Nodes.Discriminant_Specification_Vectors.Create (Each)); begin return Program.Elements.Discriminant_Specifications .Discriminant_Specification_Vector_Access (Result); end; end Create_Discriminant_Specification_Vector; --------------------------- -- Create_Element_Vector -- --------------------------- not overriding function Create_Element_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Element_Vectors.Element_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Element_Vector_Access := new (Self.Subpool) Program.Nodes.Element_Vectors.Vector' (Program.Nodes.Element_Vectors.Create (Each)); begin return Program.Element_Vectors.Element_Vector_Access (Result); end; end Create_Element_Vector; ------------------------------ -- Create_Elsif_Path_Vector -- ------------------------------ not overriding function Create_Elsif_Path_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Elsif_Paths.Elsif_Path_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Elsif_Path_Vector_Access := new (Self.Subpool) Program.Nodes.Elsif_Path_Vectors.Vector' (Program.Nodes.Elsif_Path_Vectors.Create (Each)); begin return Program.Elements.Elsif_Paths.Elsif_Path_Vector_Access (Result); end; end Create_Elsif_Path_Vector; ----------------------------------------------------- -- Create_Enumeration_Literal_Specification_Vector -- ----------------------------------------------------- not overriding function Create_Enumeration_Literal_Specification_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Enumeration_Literal_Specifications .Enumeration_Literal_Specification_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Enumeration_Literal_Specification_Vector_Access := new (Self.Subpool) Program.Nodes.Enumeration_Literal_Specification_Vectors.Vector' (Program.Nodes.Enumeration_Literal_Specification_Vectors.Create (Each)); begin return Program.Elements.Enumeration_Literal_Specifications .Enumeration_Literal_Specification_Vector_Access (Result); end; end Create_Enumeration_Literal_Specification_Vector; ------------------------------------- -- Create_Exception_Handler_Vector -- ------------------------------------- not overriding function Create_Exception_Handler_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Exception_Handlers .Exception_Handler_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Exception_Handler_Vector_Access := new (Self.Subpool) Program.Nodes.Exception_Handler_Vectors.Vector' (Program.Nodes.Exception_Handler_Vectors.Create (Each)); begin return Program.Elements.Exception_Handlers .Exception_Handler_Vector_Access (Result); end; end Create_Exception_Handler_Vector; ------------------------------ -- Create_Expression_Vector -- ------------------------------ not overriding function Create_Expression_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Expressions.Expression_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Expression_Vector_Access := new (Self.Subpool) Program.Nodes.Expression_Vectors.Vector' (Program.Nodes.Expression_Vectors.Create (Each)); begin return Program.Elements.Expressions.Expression_Vector_Access (Result); end; end Create_Expression_Vector; ---------------------------------------------- -- Create_Formal_Package_Association_Vector -- ---------------------------------------------- not overriding function Create_Formal_Package_Association_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Formal_Package_Associations .Formal_Package_Association_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Formal_Package_Association_Vector_Access := new (Self.Subpool) Program.Nodes.Formal_Package_Association_Vectors.Vector' (Program.Nodes.Formal_Package_Association_Vectors.Create (Each)); begin return Program.Elements.Formal_Package_Associations .Formal_Package_Association_Vector_Access (Result); end; end Create_Formal_Package_Association_Vector; ------------------------------ -- Create_Identifier_Vector -- ------------------------------ not overriding function Create_Identifier_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Identifiers .Identifier_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Identifier_Vector_Access := new (Self.Subpool) Program.Nodes.Identifier_Vectors.Vector' (Program.Nodes.Identifier_Vectors.Create (Each)); begin return Program.Elements.Identifiers.Identifier_Vector_Access (Result); end; end Create_Identifier_Vector; ----------------------------------------- -- Create_Parameter_Association_Vector -- ----------------------------------------- not overriding function Create_Parameter_Association_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Parameter_Associations .Parameter_Association_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Parameter_Association_Vector_Access := new (Self.Subpool) Program.Nodes.Parameter_Association_Vectors.Vector' (Program.Nodes.Parameter_Association_Vectors.Create (Each)); begin return Program.Elements.Parameter_Associations .Parameter_Association_Vector_Access (Result); end; end Create_Parameter_Association_Vector; ------------------------------------------- -- Create_Parameter_Specification_Vector -- ------------------------------------------- not overriding function Create_Parameter_Specification_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Parameter_Specifications .Parameter_Specification_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Parameter_Specification_Vector_Access := new (Self.Subpool) Program.Nodes.Parameter_Specification_Vectors.Vector' (Program.Nodes.Parameter_Specification_Vectors.Create (Each)); begin return Program.Elements.Parameter_Specifications .Parameter_Specification_Vector_Access (Result); end; end Create_Parameter_Specification_Vector; ------------------------------------------------ -- Create_Record_Component_Association_Vector -- ------------------------------------------------ not overriding function Create_Record_Component_Association_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Record_Component_Associations .Record_Component_Association_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Record_Component_Association_Vector_Access := new (Self.Subpool) Program.Nodes.Record_Component_Association_Vectors.Vector' (Program.Nodes.Record_Component_Association_Vectors.Create (Each)); begin return Program.Elements.Record_Component_Associations .Record_Component_Association_Vector_Access (Result); end; end Create_Record_Component_Association_Vector; ------------------------------- -- Create_Select_Path_Vector -- ------------------------------- not overriding function Create_Select_Path_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Select_Paths.Select_Path_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Select_Path_Vector_Access := new (Self.Subpool) Program.Nodes.Select_Path_Vectors.Vector' (Program.Nodes.Select_Path_Vectors.Create (Each)); begin return Program.Elements.Select_Paths.Select_Path_Vector_Access (Result); end; end Create_Select_Path_Vector; --------------------------- -- Create_Variant_Vector -- --------------------------- not overriding function Create_Variant_Vector (Self : Element_Vector_Factory; Each : Program.Element_Vectors.Iterators.Forward_Iterator'Class) return Program.Elements.Variants.Variant_Vector_Access is Cursor : constant Program.Element_Vectors.Element_Cursor := Each.First; begin if not Program.Element_Vectors.Has_Element (Cursor) then return null; end if; declare Result : constant Variant_Vector_Access := new (Self.Subpool) Program.Nodes.Variant_Vectors.Vector' (Program.Nodes.Variant_Vectors.Create (Each)); begin return Program.Elements.Variants.Variant_Vector_Access (Result); end; end Create_Variant_Vector; end Program.Element_Vector_Factories;
38.513433
79
0.678654
0e7f189abd0c1a9f41f13b5d26f35b4996f8da49
2,669
ads
Ada
src/apsepp-abstract_early_test_case.ads
thierr26/ada-apsepp
6eb87079ea57707db4ee1e2215fa170af66b1913
[ "MIT" ]
null
null
null
src/apsepp-abstract_early_test_case.ads
thierr26/ada-apsepp
6eb87079ea57707db4ee1e2215fa170af66b1913
[ "MIT" ]
null
null
null
src/apsepp-abstract_early_test_case.ads
thierr26/ada-apsepp
6eb87079ea57707db4ee1e2215fa170af66b1913
[ "MIT" ]
null
null
null
-- Copyright (C) 2019 Thierry Rascle <thierr26@free.fr> -- MIT license. Please refer to the LICENSE file. private with Ada.Exceptions; private with Ada.Tags; with Apsepp.Test_Node_Class.Case_Stub; use Apsepp.Test_Node_Class.Case_Stub; use Apsepp.Test_Node_Class; package Apsepp.Abstract_Early_Test_Case is subtype Test_Routine is Test_Node_Class.Test_Routine; type Early_Test_Case is abstract limited new Test_Case_Stub with private with Type_Invariant'Class => Early_Test_Case.Invariant_Class_Early_Test_Case; not overriding function Invariant_Class_Early_Test_Case (Obj : Early_Test_Case) return Boolean is (Early_Test_Case'Class (Obj).Routine_Count = 1 and then Early_Test_Case'Class (Obj).Has_Early_Test); overriding function Has_Early_Test (Obj : Early_Test_Case) return Boolean is (True); overriding function Early_Run_Done (Obj : Early_Test_Case) return Boolean; not overriding function Early_Routine (Obj : Early_Test_Case) return Test_Routine is abstract; overriding procedure Early_Run (Obj : in out Early_Test_Case); overriding function Routine_Count (Obj : Early_Test_Case) return Test_Routine_Count is (1); overriding function Routine (Obj : Early_Test_Case; K : Test_Routine_Index) return Test_Routine; overriding procedure Run (Obj : in out Early_Test_Case; Outcome : out Test_Outcome; Kind : Run_Kind := Assert_Cond_And_Run_Test); procedure Early_Run_Body (Obj : in out Early_Test_Case'Class); private use Ada.Exceptions, Ada.Tags; type Early_Test_Case is abstract limited new Test_Case_Stub with record Early_Run_Done_Flag : Boolean := False; E : Exception_Occurrence_Access; end record; ---------------------------------------------------------------------------- protected Data_Store is function Locked return Boolean; -- TODOC: Post => Locked <2019-03-27> entry Set (T : Tag; E : Exception_Occurrence_Access); -- TODOC: Pre => Locked <2019-06-09> function T return Tag; -- TODOC: Pre => Locked <2019-06-09> function E return Exception_Occurrence_Access; -- TODOC: Post => not Locked <2019-03-27> procedure Reset; private Locked_Flag : Boolean := False; T_Val : Tag; E_Access : Exception_Occurrence_Access; end Data_Store; ---------------------------------------------------------------------------- end Apsepp.Abstract_Early_Test_Case;
28.094737
79
0.638816
c5f9337edfe0cbb05433f7a6e0e95c3470d55574
259
ads
Ada
Ada/problem_17/problem_17.ads
PyllrNL/Project_Euler_Solutions
3d125dae48e50b1fcddb8b8bd5b1cf653aff1005
[ "MIT" ]
null
null
null
Ada/problem_17/problem_17.ads
PyllrNL/Project_Euler_Solutions
3d125dae48e50b1fcddb8b8bd5b1cf653aff1005
[ "MIT" ]
null
null
null
Ada/problem_17/problem_17.ads
PyllrNL/Project_Euler_Solutions
3d125dae48e50b1fcddb8b8bd5b1cf653aff1005
[ "MIT" ]
null
null
null
with Test_Solution; use Test_Solution; with Ada.Text_IO; use Ada.Text_IO; package problem_17 is function Solution_1( Min, Max : Integer ) return Integer; procedure Test_Solution_1; function Get_Solutions return Solution_Case; end problem_17;
19.923077
61
0.772201
0e0bd1fb8b4bd18e436c0412b1ae4234a045515c
1,113
adb
Ada
ZeroMQ/filecode/examples/Ada/zmq-examples-client.adb
JailbreakFox/LightWeightLib
70e209f6d2941335f48e4692299d885117bd61ee
[ "BSD-2-Clause" ]
2
2015-04-07T14:37:24.000Z
2015-11-06T00:31:01.000Z
ZeroMQ/filecode/examples/Ada/zmq-examples-client.adb
JailbreakFox/LightWeightLib
70e209f6d2941335f48e4692299d885117bd61ee
[ "BSD-2-Clause" ]
null
null
null
ZeroMQ/filecode/examples/Ada/zmq-examples-client.adb
JailbreakFox/LightWeightLib
70e209f6d2941335f48e4692299d885117bd61ee
[ "BSD-2-Clause" ]
null
null
null
with ZMQ.Sockets; with ZMQ.Contexts; with ZMQ.Messages; with Ada.Text_IO; use Ada.Text_IO; procedure ZMQ.examples.Client is ctx : ZMQ.Contexts.Context; s : ZMQ.Sockets.Socket; begin -- Initialise 0MQ context, requesting a single application thread -- and a single I/O thread ctx.Initialize (1); -- Create a ZMQ_REP socket to receive requests and send replies s.Initialize (ctx, Sockets.REQ); -- Bind to the TCP transport and port 5555 on the 'lo' interface s.Connect ("tcp://localhost:5555"); for i in 1 .. 10 loop declare query_string : constant String := "SELECT * FROM mytable"; query : ZMQ.Messages.Message; begin query.Initialize (query_string & "(" & i'Img & ");"); s.Send (query); query.Finalize; end; declare resultset : ZMQ.Messages.Message; begin resultset.Initialize; s.recv (resultset); Put_Line ('"' & resultset.getData & '"'); resultset.Finalize; end; end loop; end ZMQ.Examples.Client;
28.538462
69
0.598383
18568fafafe07bc0a6cb99c5c410aa7b868a448d
7,840
ads
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/g-sets.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/g-sets.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/g-sets.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- G N A T . S E T S -- -- -- -- S p e c -- -- -- -- Copyright (C) 2018-2020, AdaCore -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ pragma Compiler_Unit_Warning; with GNAT.Dynamic_HTables; use GNAT.Dynamic_HTables; package GNAT.Sets is -------------------- -- Membership_Set -- -------------------- -- The following package offers a membership set abstraction with the -- following characteristics: -- -- * Creation of multiple instances, of different sizes -- * Iterable elements -- -- The following use pattern must be employed with this set: -- -- Set : Membership_Set := Create (<some size>); -- -- <various operations> -- -- Destroy (Set); -- -- The destruction of the set reclaims all storage occupied by it. generic type Element_Type is private; with function "=" (Left : Element_Type; Right : Element_Type) return Boolean; with function Hash (Key : Element_Type) return Bucket_Range_Type; -- Map an arbitrary key into the range of buckets package Membership_Sets is -------------------- -- Set operations -- -------------------- -- The following type denotes a membership set handle. Each instance -- must be created using routine Create. type Membership_Set is private; Nil : constant Membership_Set; function Contains (S : Membership_Set; Elem : Element_Type) return Boolean; -- Determine whether membership set S contains element Elem function Create (Initial_Size : Positive) return Membership_Set; -- Create a new membership set with bucket capacity Initial_Size. This -- routine must be called at the start of the membership set's lifetime. procedure Delete (S : Membership_Set; Elem : Element_Type); -- Delete element Elem from membership set S. The routine has no effect -- if the element is not present in the membership set. This action will -- raise Iterated if the membership set has outstanding iterators. procedure Destroy (S : in out Membership_Set); -- Destroy the contents of membership set S, rendering it unusable. This -- routine must be called at the end of the membership set's lifetime. -- This action will raise Iterated if the hash table has outstanding -- iterators. procedure Insert (S : Membership_Set; Elem : Element_Type); -- Insert element Elem in membership set S. The routine has no effect -- if the element is already present in the membership set. This action -- will raise Iterated if the membership set has outstanding iterators. function Is_Empty (S : Membership_Set) return Boolean; -- Determine whether set S is empty function Present (S : Membership_Set) return Boolean; -- Determine whether set S exists procedure Reset (S : Membership_Set); -- Destroy the contents of membership set S, and reset it to its initial -- created state. This action will raise Iterated if the membership set -- has outstanding iterators. function Size (S : Membership_Set) return Natural; -- Obtain the number of elements in membership set S ------------------------- -- Iterator operations -- ------------------------- -- The following type represents an element iterator. An iterator locks -- all mutation operations, and unlocks them once it is exhausted. The -- iterator must be used with the following pattern: -- -- Iter := Iterate (My_Set); -- while Has_Next (Iter) loop -- Next (Iter, Element); -- end loop; -- -- It is possible to advance the iterator by using Next only, however -- this risks raising Iterator_Exhausted. type Iterator is private; function Iterate (S : Membership_Set) return Iterator; -- Obtain an iterator over the elements of membership set S. This action -- locks all mutation functionality of the associated membership set. function Has_Next (Iter : Iterator) return Boolean; -- Determine whether iterator Iter has more keys to examine. If the -- iterator has been exhausted, restore all mutation functionality of -- the associated membership set. procedure Next (Iter : in out Iterator; Elem : out Element_Type); -- Return the current element referenced by iterator Iter and advance -- to the next available element. If the iterator has been exhausted -- and further attempts are made to advance it, this routine restores -- mutation functionality of the associated membership set, and then -- raises Iterator_Exhausted. private procedure Destroy (B : in out Boolean); -- Destroy boolean B package Hashed_Set is new Dynamic_Hash_Tables (Key_Type => Element_Type, Value_Type => Boolean, No_Value => False, Expansion_Threshold => 1.5, Expansion_Factor => 2, Compression_Threshold => 0.3, Compression_Factor => 2, "=" => "=", Destroy_Value => Destroy, Hash => Hash); type Membership_Set is new Hashed_Set.Dynamic_Hash_Table; Nil : constant Membership_Set := Membership_Set (Hashed_Set.Nil); type Iterator is new Hashed_Set.Iterator; end Membership_Sets; end GNAT.Sets;
43.555556
79
0.546556
0e929ea7e9583d5ce31ed3b83ea1aaa07344b86d
1,889
ads
Ada
src/keystore-logs.ads
My-Colaborations/ada-keystore
6ab222c2df81f32309c5a7b4f94a475214ef5ce3
[ "Apache-2.0" ]
25
2019-05-07T20:35:50.000Z
2021-11-30T10:35:47.000Z
src/keystore-logs.ads
My-Colaborations/ada-keystore
6ab222c2df81f32309c5a7b4f94a475214ef5ce3
[ "Apache-2.0" ]
12
2019-12-16T23:30:00.000Z
2021-09-26T18:52:41.000Z
src/keystore-logs.ads
My-Colaborations/ada-keystore
6ab222c2df81f32309c5a7b4f94a475214ef5ce3
[ "Apache-2.0" ]
3
2019-12-18T21:30:04.000Z
2021-01-06T08:30:36.000Z
----------------------------------------------------------------------- -- keystore-logs -- Log support for the keystore -- Copyright (C) 2019, 2020 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Log.Loggers; with Keystore.IO; private package Keystore.Logs is procedure Dump (Log : in Util.Log.Loggers.Logger; Content : in Ada.Streams.Stream_Element_Array); procedure Error (Log : in Util.Log.Loggers.Logger; Message : in String; Block : in IO.Storage_Block); procedure Warn (Log : in Util.Log.Loggers.Logger; Message : in String; Block : in IO.Storage_Block); procedure Info (Log : in Util.Log.Loggers.Logger; Message : in String; Block : in IO.Storage_Block); procedure Debug (Log : in Util.Log.Loggers.Logger; Message : in String; Block : in IO.Storage_Block); procedure Debug (Log : in Util.Log.Loggers.Logger; Message : in String; Block1 : in IO.Storage_Block; Block2 : in IO.Storage_Block); end Keystore.Logs;
40.191489
76
0.573319
0e588a9da2a46966eb03c63f22b1d0a5a28a97a7
1,752
ads
Ada
src/tom/library/sl/ada/abstractstrategycombinatorpackage.ads
rewriting/tom
2918e95c78006f08a2a0919ef440413fa5c2342a
[ "BSD-3-Clause" ]
36
2016-02-19T12:09:49.000Z
2022-02-03T13:13:21.000Z
src/tom/library/sl/ada/abstractstrategycombinatorpackage.ads
rewriting/tom
2918e95c78006f08a2a0919ef440413fa5c2342a
[ "BSD-3-Clause" ]
null
null
null
src/tom/library/sl/ada/abstractstrategycombinatorpackage.ads
rewriting/tom
2918e95c78006f08a2a0919ef440413fa5c2342a
[ "BSD-3-Clause" ]
6
2017-11-30T17:07:10.000Z
2022-03-12T14:46:21.000Z
with ObjectPack, AbstractStrategyPackage, StrategyPackage, VisitablePackage; use ObjectPack, AbstractStrategyPackage, StrategyPackage, VisitablePackage; package AbstractStrategyCombinatorPackage is type AbstractStrategyCombinator is abstract new AbstractStrategy and Object with record arguments : ObjectPtrArrayPtr := null; --contains only StrategyPtr end record; ---------------------------------------------------------------------------- -- Visitable implementation ---------------------------------------------------------------------------- function setChildren(v: access AbstractStrategyCombinator ; children : ObjectPtrArrayPtr) return VisitablePtr; function getChildren(v: access AbstractStrategyCombinator) return ObjectPtrArrayPtr; function getChildAt(v: access AbstractStrategyCombinator; i : Integer) return VisitablePtr; function setChildAt(v: access AbstractStrategyCombinator; i: in Integer; child: in VisitablePtr) return VisitablePtr; function getChildCount(v: access AbstractStrategyCombinator) return Integer; ---------------------------------------------------------------------------- procedure initSubterm(sc : in out AbstractStrategyCombinator); procedure initSubterm(sc : in out AbstractStrategyCombinator; str : StrategyPtr); procedure initSubterm(sc : in out AbstractStrategyCombinator; str1,str2 : StrategyPtr); procedure initSubterm(sc : in out AbstractStrategyCombinator; str1,str2,str3 : StrategyPtr); procedure initSubterm(sc : in out AbstractStrategyCombinator; str : ObjectPtrArray); function getVisitors(sc: AbstractStrategyCombinator) return ObjectPtrArray; ---------------------------------------------------------------------------- end AbstractStrategyCombinatorPackage;
53.090909
119
0.680936
cbd9e2f3683cda67b875c7d67abefd6934233f47
4,027
adb
Ada
Ada95/src/terminal_interface-curses-forms-field_types-regexp.adb
mvaisakh/android_external_libncurses
d44c8a16d7f1ed276d0de0b3f6f1a5596c5f556f
[ "DOC", "Unlicense" ]
35
2015-03-07T13:26:22.000Z
2021-11-06T16:18:59.000Z
Ada95/src/terminal_interface-curses-forms-field_types-regexp.adb
mvaisakh/android_external_libncurses
d44c8a16d7f1ed276d0de0b3f6f1a5596c5f556f
[ "DOC", "Unlicense" ]
3
2017-04-07T21:02:48.000Z
2017-04-08T17:59:35.000Z
Ada95/src/terminal_interface-curses-forms-field_types-regexp.adb
mvaisakh/android_external_libncurses
d44c8a16d7f1ed276d0de0b3f6f1a5596c5f556f
[ "DOC", "Unlicense" ]
19
2015-06-16T06:13:44.000Z
2021-07-24T02:37:45.000Z
------------------------------------------------------------------------------ -- -- -- GNAT ncurses Binding -- -- -- -- Terminal_Interface.Curses.Forms.Field_Types.RegExp -- -- -- -- B O D Y -- -- -- ------------------------------------------------------------------------------ -- Copyright (c) 1998 Free Software Foundation, Inc. -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, distribute with modifications, sublicense, and/or sell -- -- copies of the Software, and to permit persons to whom the Software is -- -- furnished to do so, subject to the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE ABOVE COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, -- -- DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -- -- THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- -- -- -- Except as contained in this notice, the name(s) of the above copyright -- -- holders shall not be used in advertising or otherwise to promote the -- -- sale, use or other dealings in this Software without prior written -- -- authorization. -- ------------------------------------------------------------------------------ -- Author: Juergen Pfeifer, 1996 -- Version Control: -- $Revision: 1.8 $ -- Binding Version 01.00 ------------------------------------------------------------------------------ with Interfaces.C; use Interfaces.C; with Terminal_Interface.Curses.Aux; use Terminal_Interface.Curses.Aux; package body Terminal_Interface.Curses.Forms.Field_Types.RegExp is procedure Set_Field_Type (Fld : in Field; Typ : in Regular_Expression_Field) is type Char_Ptr is access all Interfaces.C.char; C_Regexp_Field_Type : C_Field_Type; pragma Import (C, C_Regexp_Field_Type, "TYPE_REGEXP"); function Set_Ftyp (F : Field := Fld; Cft : C_Field_Type := C_Regexp_Field_Type; Arg1 : Char_Ptr) return C_Int; pragma Import (C, Set_Ftyp, "set_field_type"); Txt : char_array (0 .. Typ.Regular_Expression.all'Length); Len : size_t; Res : Eti_Error; begin To_C (Typ.Regular_Expression.all, Txt, Len); Res := Set_Ftyp (Arg1 => Txt (Txt'First)'Access); if Res /= E_Ok then Eti_Exception (Res); end if; Wrap_Builtin (Fld, Typ); end Set_Field_Type; end Terminal_Interface.Curses.Forms.Field_Types.RegExp;
55.930556
78
0.471567
4adc3f85bdf801668efb0f51b04acfcdc6559992
2,568
ads
Ada
src/agate-arch.ads
Fabien-Chouteau/AGATE
cd8dbc54c1c70379c833e7cd710e2326ad6e9a91
[ "BSD-3-Clause" ]
3
2017-12-23T10:25:07.000Z
2021-06-09T13:47:19.000Z
src/agate-arch.ads
Fabien-Chouteau/AGATE
cd8dbc54c1c70379c833e7cd710e2326ad6e9a91
[ "BSD-3-Clause" ]
null
null
null
src/agate-arch.ads
Fabien-Chouteau/AGATE
cd8dbc54c1c70379c833e7cd710e2326ad6e9a91
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2017-2018, Fabien Chouteau -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ private package AGATE.Arch is procedure Jump_In_Task (T : Task_ID) with No_Return; procedure Idle_Procedure; procedure Initialize_Task_Context (T : Task_ID); end AGATE.Arch;
59.72093
78
0.523364
cbd31f079261391d44909b5a259808f805238088
1,481
adb
Ada
src/sdl-platform.adb
Fabien-Chouteau/sdlada
f08d72e3f5dcec228d68fb5b6681ea831f81ef47
[ "Zlib" ]
89
2015-01-03T01:41:23.000Z
2022-02-23T18:21:11.000Z
src/sdl-platform.adb
Fabien-Chouteau/sdlada
f08d72e3f5dcec228d68fb5b6681ea831f81ef47
[ "Zlib" ]
66
2015-05-01T00:54:03.000Z
2022-01-20T04:09:59.000Z
src/sdl-platform.adb
Fabien-Chouteau/sdlada
f08d72e3f5dcec228d68fb5b6681ea831f81ef47
[ "Zlib" ]
33
2015-04-30T23:39:31.000Z
2022-01-03T13:00:41.000Z
-------------------------------------------------------------------------------------------------------------------- -- Copyright (c) 2013-2020, Luke A. Guest -- -- This software is provided 'as-is', without any express or implied -- warranty. In no event will the authors be held liable for any damages -- arising from the use of this software. -- -- Permission is granted to anyone to use this software for any purpose, -- including commercial applications, and to alter it and redistribute it -- freely, subject to the following restrictions: -- -- 1. The origin of this software must not be misrepresented; you must not -- claim that you wrote the original software. If you use this software -- in a product, an acknowledgment in the product documentation would be -- appreciated but is not required. -- -- 2. Altered source versions must be plainly marked as such, and must not be -- misrepresented as being the original software. -- -- 3. This notice may not be removed or altered from any source -- distribution. -------------------------------------------------------------------------------------------------------------------- package body SDL.Platform is -- Bring in the platform specific version for each build of the library. It's also defined as inline so -- that the compiler can eliminate redundant code in the static expressions that use this function. function Get return Platforms is separate; end SDL.Platform;
52.892857
116
0.618501
cb5b4748a6992ab9331c5fd17e11b0fcbcd25915
5,248
ads
Ada
arch/ARM/Nordic/drivers/nrf_common/nrf-gpio.ads
shakram02/Ada_Drivers_Library
a407ca7ddbc2d9756647016c2f8fd8ef24a239ff
[ "BSD-3-Clause" ]
192
2016-06-01T18:32:04.000Z
2022-03-26T22:52:31.000Z
arch/ARM/Nordic/drivers/nrf_common/nrf-gpio.ads
shakram02/Ada_Drivers_Library
a407ca7ddbc2d9756647016c2f8fd8ef24a239ff
[ "BSD-3-Clause" ]
239
2016-05-26T20:02:01.000Z
2022-03-31T09:46:56.000Z
arch/ARM/Nordic/drivers/nrf_common/nrf-gpio.ads
shakram02/Ada_Drivers_Library
a407ca7ddbc2d9756647016c2f8fd8ef24a239ff
[ "BSD-3-Clause" ]
142
2016-06-05T08:12:20.000Z
2022-03-24T17:37:17.000Z
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2016-2020, AdaCore -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ with HAL.GPIO; package nRF.GPIO is subtype GPIO_Pin_Index is Natural range 0 .. 31; type Pin_IO_Modes is (Mode_In, Mode_Out); type Pin_Resistors is (Pull_Up, Pull_Down, No_Pull); type Pin_Drive is (Drive_S0S1, Drive_H0S1, Drive_S0H1, Drive_H0H1, Drive_D0S1, Drive_D0H1, Drive_S0D1, Drive_H0D1); type Pin_Sense_Mode is (Sense_Disabled, Sense_For_High_Level, Sense_For_Low_Level); type Pin_Input_Buffer_Mode is (Input_Buffer_Connect, Input_Buffer_Disconnect); type GPIO_Configuration is record Mode : Pin_IO_Modes; Resistors : Pin_Resistors; Input_Buffer : Pin_Input_Buffer_Mode := Input_Buffer_Disconnect; Drive : Pin_Drive := Drive_S0S1; Sense : Pin_Sense_Mode := Sense_Disabled; end record; type GPIO_Point is new HAL.GPIO.GPIO_Point with record Pin : GPIO_Pin_Index; end record; overriding function Support (This : GPIO_Point; Capa : HAL.GPIO.Capability) return Boolean is (case Capa is when others => True); -- nRF supports all GPIO capabilities overriding function Mode (This : GPIO_Point) return HAL.GPIO.GPIO_Mode; overriding procedure Set_Mode (This : in out GPIO_Point; Mode : HAL.GPIO.GPIO_Config_Mode); overriding function Pull_Resistor (This : GPIO_Point) return HAL.GPIO.GPIO_Pull_Resistor; overriding procedure Set_Pull_Resistor (This : in out GPIO_Point; Pull : HAL.GPIO.GPIO_Pull_Resistor); overriding function Set (This : GPIO_Point) return Boolean with Inline; -- Returns True if the bit specified by This.Pin is set (not zero) in the -- input data register of This.Port.all; returns False otherwise. overriding procedure Set (This : in out GPIO_Point) with Inline; -- For This.Port.all, sets the output data register bit specified by -- This.Pin to one. Other pins are unaffected. overriding procedure Clear (This : in out GPIO_Point) with Inline; -- For This.Port.all, sets the output data register bit specified by -- This.Pin to zero. Other pins are unaffected. overriding procedure Toggle (This : in out GPIO_Point) with Inline; -- For This.Port.all, negates the output data register bit specified by -- This.Pin (one becomes zero and vice versa). Other pins are unaffected. procedure Configure_IO (This : GPIO_Point; Config : GPIO_Configuration); -- Configures the characteristics specified by Config end nRF.GPIO;
42.666667
78
0.567073
184ea9162add3f65363d850bd8daa36beeebc53d
1,739
ads
Ada
tier-1/xcb/source/thin/xcb-xcb_glx_get_mapfv_reply_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
2
2015-11-12T11:16:20.000Z
2021-08-24T22:32:04.000Z
tier-1/xcb/source/thin/xcb-xcb_glx_get_mapfv_reply_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
1
2018-06-05T05:19:35.000Z
2021-11-20T01:13:23.000Z
tier-1/xcb/source/thin/xcb-xcb_glx_get_mapfv_reply_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
null
null
null
-- This file is generated by SWIG. Please do not modify by hand. -- with Interfaces; with swig; with Interfaces.C; with Interfaces.C.Pointers; package xcb.xcb_glx_get_mapfv_reply_t is -- Item -- type Item is record response_type : aliased Interfaces.Unsigned_8; pad0 : aliased Interfaces.Unsigned_8; sequence : aliased Interfaces.Unsigned_16; length : aliased Interfaces.Unsigned_32; pad1 : aliased swig.int8_t_Array (0 .. 3); n : aliased Interfaces.Unsigned_32; datum : aliased xcb.xcb_glx_float32_t; pad2 : aliased swig.int8_t_Array (0 .. 11); end record; -- Item_Array -- type Item_Array is array (Interfaces.C.size_t range <>) of aliased xcb.xcb_glx_get_mapfv_reply_t .Item; -- Pointer -- package C_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_glx_get_mapfv_reply_t.Item, Element_Array => xcb.xcb_glx_get_mapfv_reply_t.Item_Array, Default_Terminator => (others => <>)); subtype Pointer is C_Pointers.Pointer; -- Pointer_Array -- type Pointer_Array is array (Interfaces.C.size_t range <>) of aliased xcb.xcb_glx_get_mapfv_reply_t .Pointer; -- Pointer_Pointer -- package C_Pointer_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_glx_get_mapfv_reply_t.Pointer, Element_Array => xcb.xcb_glx_get_mapfv_reply_t.Pointer_Array, Default_Terminator => null); subtype Pointer_Pointer is C_Pointer_Pointers.Pointer; end xcb.xcb_glx_get_mapfv_reply_t;
29.474576
78
0.651524
dc76321c4f4dcc58d200bd7b46f052365106c5e8
16,393
adb
Ada
applet/aide/source/aide-gui.adb
charlie5/aIDE
fab406dbcd9b72a4cb215ffebb05166c788d6365
[ "MIT" ]
3
2017-04-29T14:25:22.000Z
2017-09-29T10:15:28.000Z
applet/aide/source/aide-gui.adb
charlie5/aIDE
fab406dbcd9b72a4cb215ffebb05166c788d6365
[ "MIT" ]
null
null
null
applet/aide/source/aide-gui.adb
charlie5/aIDE
fab406dbcd9b72a4cb215ffebb05166c788d6365
[ "MIT" ]
null
null
null
with aIDE.Editor.of_subprogram, aIDE.Editor.of_block, aIDE.Editor.of_package, aIDE.Editor.of_pragma, aIDE.Palette.of_source_Entities, aIDE.Palette.of_pragmas, aIDE.Palette.of_exceptions, aIDE.Palette.of_types, adaM.a_Pragma, Common_Gtk, Glib, Glib.Error, Gtk.Main, Gtk.Builder, Gtk.Text_View, Gtk.Tree_View, Gtk.Tree_Model, Gtk.Widget, Gtk.Window, Gtk.Alignment, Gtk.Notebook, Gtk.Tree_Store, Gtk.Tree_Selection, Gtk.Text_Iter, Gtk.Handlers, Pango.Font, ada.Characters.latin_1, ada.Text_IO; with Ada.Text_IO; with Ada.Tags; package body aIDE.GUI is use Glib, Glib.Error, gtk.Button, gtk.Builder, gtk.Text_View, gtk.Tree_View, gtk.Window, gtk.Alignment, gtk.Notebook, gtk.Tree_Store, Pango.Font; -- Editors -- the_app_Editor : aIDE.Editor.of_subprogram.view; the_package_Editor : aIDE.Editor.of_Package.view; -- Palettes -- the_source_entities_Palette : aIDE.Palette.of_source_entities.view; the_pragma_Palette : aIDE.Palette.of_pragmas.view; the_exceptions_Palette : aIDE.Palette.of_exceptions.view; the_types_Palette : aIDE.Palette.of_types.view; -- Main Widgets -- top_Window : Gtk_Window; the_top_Notebook : Gtk_Notebook; -- 'App' Notebook Page Widgets -- new_app_Button : Gtk_Button; rid_app_Button : Gtk_Button; the_app_tree_Store : gtk_tree_Store; the_app_tree_View : gtk_tree_View; the_app_tree_Selection : Gtk.Tree_Selection.gtk_Tree_Selection; the_app_Alignment : Gtk_Alignment; -- Builder Widgets -- build_project_Button : Gtk_Button; the_build_log_Textview : Gtk_Text_View; -- Package Tab Widgets -- choose_package_Button : Gtk_Button; new_package_Button : Gtk_Button; rid_package_Button : Gtk_Button; the_package_tree_Store : gtk_tree_Store; the_package_tree_View : gtk_tree_View; the_package_tree_Selection : Gtk.Tree_Selection.gtk_Tree_Selection; the_package_Alignment : Gtk_Alignment; -- Gui Callbacks -- package tree_selection_Handlers is new Gtk.Handlers.Callback (Widget_Type => Gtk.Tree_Selection.Gtk_Tree_Selection_Record); -- Gui Events -- procedure on_build_project_Button_clicked (Button : access Gtk_Button_Record'Class) is pragma Unreferenced (Button); begin aIDE.build_Project; end on_build_project_Button_clicked; procedure destroy (Widget : access gtk.Widget.Gtk_Widget_Record'Class) is pragma Unreferenced (Widget); begin Gtk.Main.Main_Quit; end Destroy; procedure on_new_app_Button_clicked (Button : access Gtk_Button_Record'Class) is pragma Unreferenced (Button); the_new_App : constant adam.Subprogram.view := adam.Subprogram.new_Subprogram (Name => anonymous_Procedure); begin all_Apps.append (the_new_App); the_app_Editor.Target_is (the_new_App); declare use AdaM, Gtk, Gtk.Tree_Model; Iter : gtk_tree_Iter; Parent : constant gtk_tree_Iter := Null_Iter; begin the_app_tree_Store.append (Iter, Parent); the_app_tree_Store.set (Iter, 0, +the_new_App.Name); the_app_tree_Selection.select_Iter (Iter); end; end on_new_app_Button_clicked; procedure on_rid_app_Button_clicked (Button : access Gtk_Button_Record'Class) is pragma Unreferenced (Button); use Gtk, Gtk.Tree_Model; use type adam.Subprogram.view; the_App : constant adam.Subprogram.view := the_app_Editor.Target; Iter : gtk_tree_Iter; the_Model : Gtk_Tree_Model; begin if the_App = the_selected_App then return; end if; the_app_tree_Selection.get_Selected (the_Model, Iter); the_app_tree_Store.remove (Iter); the_app_tree_Selection.select_Iter (the_app_tree_Store.get_Iter_first); all_Apps.delete (all_Apps.find_Index (the_App)); end on_rid_app_Button_clicked; procedure on_app_Selection_changed (Selection : access Gtk.Tree_Selection.Gtk_Tree_Selection_Record'Class) is use AdaM, gtk.Tree_Model, ada.Text_IO; Iter : Gtk_Tree_Iter; the_model : Gtk_Tree_Model; begin Selection.Get_Selected (the_model, Iter); if Iter /= null_Iter then declare app_Name : constant String := gtk.Tree_Model.Get_String (the_model, Iter, 0); begin the_app_Editor.Target_is (fetch_App (+app_Name)); end; end if; end on_app_Selection_changed; procedure on_choose_package_Button_clicked (Button : access Gtk_Button_Record'Class) is pragma Unreferenced (Button); begin Ada.Text_IO.put_Line ("YAY"); show_packages_Palette (Invoked_by => Button.all'Access, Target => the_applet_Package); end on_choose_package_Button_clicked; procedure open is use Common_Gtk, ada.Text_IO; glade_Builder : Gtk_Builder; Result : Guint; pragma Unreferenced (Result); begin -- put_Line ("************************************************"); -- put_Line ("'" & Duration'Image (Duration'Delta) & "'"); Gtk.Main.Init; Gtk_New (glade_Builder); -- Read in our Glade XML files. -- declare use ada.Text_IO; Error : aliased GError; begin Result := glade_Builder.Add_From_File ("glade/adam.glade", Error'Access); if Error /= null then put_Line ( "Error adding 'adam.glade' to Glade builder: " & Get_Message (Error)); Error_Free (Error); end if; end; -- Set our references to each important widget. -- top_Window := Gtk_Window (glade_Builder.Get_Object ("top_Window")); the_top_Notebook := Gtk_Notebook (glade_Builder.Get_Object ("top_Notebook")); the_app_Alignment := gtk_Alignment (glade_Builder.Get_Object ("app_editor_Alignment")); new_app_Button := gtk_Button (glade_Builder.Get_Object ("new_app_Button")); rid_app_Button := gtk_Button (glade_Builder.Get_Object ("rid_app_Button")); the_app_tree_Store := gtk_tree_Store (glade_Builder.Get_Object ("app_tree_Store")); the_app_tree_View := gtk_tree_View (glade_Builder.Get_Object ("app_tree_View")); the_app_tree_Selection := the_app_tree_View.Get_Selection; build_project_Button := gtk_Button (glade_Builder.Get_Object ("build_project_Button")); the_build_log_Textview := gtk_Text_view (glade_Builder.Get_Object ("builder_log_Textview")); top_Window.Modify_Font (Font_Desc => From_String ("Courier 10")); -- Hide unused pages in the top notebook. -- the_top_Notebook.get_Nth_Page (0).hide; -- the_top_Notebook.get_Nth_Page (2).hide; the_top_Notebook.get_Nth_Page (3).hide; the_top_Notebook.get_Nth_Page (4).hide; log ("Welcome... "); Widget_Handler.connect (top_Window, "destroy", Widget_Handler.To_Marshaller (Destroy'Access)); Button_Handler.connect (build_project_Button, "clicked", on_build_project_Button_clicked'Access); Button_Handler.Connect (new_app_Button, "clicked", on_new_app_Button_clicked'Access); Button_Handler.Connect (rid_app_Button, "clicked", on_rid_app_Button_clicked'Access); the_app_Editor := aIDE.Editor.of_subprogram.Forge.to_subprogram_Editor (the_selected_App); the_app_Editor.top_Widget.Reparent (New_Parent => the_app_Alignment); for Each of all_Apps loop declare use AdaM, Gtk.Tree_Model; use type AdaM.Subprogram.view; Iter : gtk_tree_Iter; Parent : constant gtk_tree_Iter := Null_Iter; begin the_app_tree_Store.append (Iter, Parent); the_app_tree_Store.set (Iter, 0, +Each.Name); if Each = all_Apps.first_Element then the_app_tree_Selection.Select_Iter (Iter); end if; end; end loop; the_app_tree_View.show_All; tree_selection_Handlers.connect (the_app_tree_Selection, "changed", on_app_Selection_changed'Access); top_Window.show; -- Display our main window and all of its children. enable_bold_Tabs_for (the_top_Notebook); -- The Package Editor -- the_package_Alignment := Gtk_Alignment (glade_Builder.Get_Object ("package_editor_Alignment")); choose_package_Button := Gtk_Button (glade_Builder.Get_Object ("choose_package_Button")); new_package_Button := Gtk_Button (glade_Builder.Get_Object ("new_package_Button")); rid_package_Button := Gtk_Button (glade_Builder.Get_Object ("rid_package_Button")); the_package_tree_Store := gtk_tree_Store (glade_Builder.Get_Object ("package_tree_Store")); the_package_tree_View := gtk_tree_View (glade_Builder.Get_Object ("package_tree_View")); the_package_tree_Selection := the_package_tree_View.Get_Selection; Button_Handler.connect (choose_package_Button, "clicked", on_choose_package_Button_clicked'Access); -- The Palettes -- the_packages_Palette := aIDE.Palette.of_packages.to_packages_Palette; the_source_entities_Palette := aIDE.Palette.of_source_entities.to_source_entities_Palette; the_pragma_Palette := aIDE.Palette.of_pragmas.to_source_entities_Palette; the_exceptions_Palette := aIDE.Palette.of_exceptions.to_exceptions_Palette; the_types_Palette := aIDE.Palette.of_types.to_Palette; the_package_Editor := aIDE.Editor.of_package.Forge.to_package_Editor (the_applet_Package); the_package_Editor.top_Widget.Reparent (New_Parent => the_package_Alignment); gtk.Main.main; -- Enter main GtkAda event loop. end open; -- Palettes -- procedure show_packages_Palette (Invoked_by : in Gtk.Button.gtk_Button; Target : in AdaM.context_Line.view) is begin the_packages_Palette.show (Invoked_by, Target); end show_packages_Palette; procedure show_packages_Palette (Invoked_by : in Gtk.Button.gtk_Button; Target : in AdaM.a_Package.view) is begin the_packages_Palette.show (Invoked_by, Target); end show_packages_Palette; procedure show_types_Palette (Invoked_by : in Gtk.Button.gtk_Button; Target : access AdaM.a_Type.view) is begin the_types_Palette.show (Invoked_by, Target); end show_types_Palette; procedure show_source_entities_Palette (Invoked_by : in aIDE.Editor.view; Target : in AdaM.Entity.Entities_view) -- Target : in AdaM.Source.Entities_view) is use Palette.of_source_entities; -- use type adam.Source.Entities_View; use type adam.Entity.Entities_view, Ada.Tags.Tag; -- the_Editor : constant AIDE.Editor.of_block.view := AIDE.Editor.of_block.view (Invoked_by); the_Editor : aIDE.Editor.of_block.view; the_Filter : Palette.of_source_entities.Filter; begin Ada.Text_IO.put_Line ("EDITOR TAG " & ada.tags.External_Tag (Invoked_by.all'Tag)); Ada.Text_IO.put_Line ("EDITOR TAG " & ada.tags.External_Tag (aide.Editor.of_block.item'Tag)); if Invoked_by.all in aide.Editor.of_block.item'Class then the_Editor := AIDE.Editor.of_block.view (Invoked_by); if the_Editor.Target.my_Declarations = Target then the_Filter := declare_Region; elsif the_Editor.Target.my_Statements = Target then the_Filter := begin_Region; else raise Program_Error; end if; elsif Invoked_by.all in aide.Editor.of_package.item'Class then -- the_Editor := AIDE.Editor.of_package.view (Invoked_by); the_Filter := declare_Region; else raise Program_Error with ada.tags.External_Tag (Invoked_by.all'Tag) & " not yet supported."; end if; the_source_entities_Palette.show (Invoked_by, Target, the_Filter); end show_source_entities_Palette; procedure show_pragma_Palette (Invoked_by : in aIDE.Editor.view; Target : in AdaM.a_Pragma.view) is begin the_pragma_Palette.show (Invoked_by, Target, aIDE.Palette.of_pragmas.declare_Region); end show_pragma_Palette; -- Logging -- procedure clear_Log is First, Last : gtk.text_Iter.gtk_text_Iter; begin the_build_log_Textview.get_Buffer.get_start_Iter (First); the_build_log_Textview.get_Buffer.get_end_Iter (Last); the_build_log_Textview.get_Buffer.delete (First, Last); end clear_Log; procedure log (the_Message : in String := ""; Count : in Positive := 1) is use Ada.Characters; Status : Boolean; pragma Unreferenced (Status); Iter : Gtk.Text_Iter.gtk_text_Iter; use type glib.Gint; begin for i in 1 .. Count loop the_build_log_Textview.get_Buffer.insert_at_Cursor (the_Message & Latin_1.LF); end loop; while gtk.Main.Events_Pending loop Status := gtk.Main.Main_Iteration; end loop; the_build_log_Textview.Get_Buffer.Get_Iter_At_Offset (Iter, -1); Status := the_build_log_Textview.Scroll_To_Iter (Iter => Iter, Within_Margin => 0.1, Use_Align => True, Xalign => 0.2, Yalign => 0.3); end log; procedure show_exceptions_Palette (Invoked_by : in gtk_Button; Target : in adam.exception_Handler.view; Slot : in Positive) is begin the_exceptions_Palette.show (Invoked_by, Target, Slot); end show_exceptions_Palette; procedure update_selected_package_Name (new_Name : in String) is begin choose_package_Button.set_Label (new_Name); end update_selected_package_Name; -- procedure update_selected_package_Name (new_Name : in String) -- is -- use gtk.Tree_Model, ada.Text_IO; -- -- the_Selection : Gtk_Tree_Iter; -- the_Model : Gtk_Tree_Model; -- begin -- the_package_tree_Selection.get_Selected (the_Model, the_Selection); -- -- if the_Selection /= null_Iter -- then -- declare -- -- use ada.Characters.handling, -- -- ada.Directories; -- -- old_Name : constant String := gtk.Tree_Model.get_String (the_Model, the_Selection, 0); -- begin -- if new_Name /= old_Name -- then -- the_package_tree_Store.set (the_Selection, 0, new_Name); -- -- build_Package; -- end if; -- end; -- else -- put_Line ("update_selected_package_Name : *** Null Iter ***"); -- end if; -- end update_selected_package_Name; procedure set_selected_Package (To : in AdaM.a_Package.view) is begin the_package_Editor.Package_is (To); end set_selected_Package; end aIDE.GUI;
29.221034
114
0.617825
d08751dbdb9f5114fb270e38ec47e1b567735fb7
9,051
adb
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/s-pack10.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/s-pack10.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/s-pack10.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M . P A C K _ 1 0 -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with System.Storage_Elements; with System.Unsigned_Types; package body System.Pack_10 is subtype Bit_Order is System.Bit_Order; Reverse_Bit_Order : constant Bit_Order := Bit_Order'Val (1 - Bit_Order'Pos (System.Default_Bit_Order)); subtype Ofs is System.Storage_Elements.Storage_Offset; subtype Uns is System.Unsigned_Types.Unsigned; subtype N07 is System.Unsigned_Types.Unsigned range 0 .. 7; use type System.Storage_Elements.Storage_Offset; use type System.Unsigned_Types.Unsigned; type Cluster is record E0, E1, E2, E3, E4, E5, E6, E7 : Bits_10; end record; for Cluster use record E0 at 0 range 0 * Bits .. 0 * Bits + Bits - 1; E1 at 0 range 1 * Bits .. 1 * Bits + Bits - 1; E2 at 0 range 2 * Bits .. 2 * Bits + Bits - 1; E3 at 0 range 3 * Bits .. 3 * Bits + Bits - 1; E4 at 0 range 4 * Bits .. 4 * Bits + Bits - 1; E5 at 0 range 5 * Bits .. 5 * Bits + Bits - 1; E6 at 0 range 6 * Bits .. 6 * Bits + Bits - 1; E7 at 0 range 7 * Bits .. 7 * Bits + Bits - 1; end record; for Cluster'Size use Bits * 8; for Cluster'Alignment use Integer'Min (Standard'Maximum_Alignment, 1 + 1 * Boolean'Pos (Bits mod 2 = 0) + 2 * Boolean'Pos (Bits mod 4 = 0)); -- Use maximum possible alignment, given the bit field size, since this -- will result in the most efficient code possible for the field. type Cluster_Ref is access Cluster; type Rev_Cluster is new Cluster with Bit_Order => Reverse_Bit_Order, Scalar_Storage_Order => Reverse_Bit_Order; type Rev_Cluster_Ref is access Rev_Cluster; -- The following declarations are for the case where the address -- passed to GetU_10 or SetU_10 is not guaranteed to be aligned. -- These routines are used when the packed array is itself a -- component of a packed record, and therefore may not be aligned. type ClusterU is new Cluster; for ClusterU'Alignment use 1; type ClusterU_Ref is access ClusterU; type Rev_ClusterU is new ClusterU with Bit_Order => Reverse_Bit_Order, Scalar_Storage_Order => Reverse_Bit_Order; type Rev_ClusterU_Ref is access Rev_ClusterU; ------------ -- Get_10 -- ------------ function Get_10 (Arr : System.Address; N : Natural; Rev_SSO : Boolean) return Bits_10 is A : constant System.Address := Arr + Bits * Ofs (Uns (N) / 8); C : Cluster_Ref with Address => A'Address, Import; RC : Rev_Cluster_Ref with Address => A'Address, Import; begin if Rev_SSO then case N07 (Uns (N) mod 8) is when 0 => return RC.E0; when 1 => return RC.E1; when 2 => return RC.E2; when 3 => return RC.E3; when 4 => return RC.E4; when 5 => return RC.E5; when 6 => return RC.E6; when 7 => return RC.E7; end case; else case N07 (Uns (N) mod 8) is when 0 => return C.E0; when 1 => return C.E1; when 2 => return C.E2; when 3 => return C.E3; when 4 => return C.E4; when 5 => return C.E5; when 6 => return C.E6; when 7 => return C.E7; end case; end if; end Get_10; ------------- -- GetU_10 -- ------------- function GetU_10 (Arr : System.Address; N : Natural; Rev_SSO : Boolean) return Bits_10 is A : constant System.Address := Arr + Bits * Ofs (Uns (N) / 8); C : ClusterU_Ref with Address => A'Address, Import; RC : Rev_ClusterU_Ref with Address => A'Address, Import; begin if Rev_SSO then case N07 (Uns (N) mod 8) is when 0 => return RC.E0; when 1 => return RC.E1; when 2 => return RC.E2; when 3 => return RC.E3; when 4 => return RC.E4; when 5 => return RC.E5; when 6 => return RC.E6; when 7 => return RC.E7; end case; else case N07 (Uns (N) mod 8) is when 0 => return C.E0; when 1 => return C.E1; when 2 => return C.E2; when 3 => return C.E3; when 4 => return C.E4; when 5 => return C.E5; when 6 => return C.E6; when 7 => return C.E7; end case; end if; end GetU_10; ------------ -- Set_10 -- ------------ procedure Set_10 (Arr : System.Address; N : Natural; E : Bits_10; Rev_SSO : Boolean) is A : constant System.Address := Arr + Bits * Ofs (Uns (N) / 8); C : Cluster_Ref with Address => A'Address, Import; RC : Rev_Cluster_Ref with Address => A'Address, Import; begin if Rev_SSO then case N07 (Uns (N) mod 8) is when 0 => RC.E0 := E; when 1 => RC.E1 := E; when 2 => RC.E2 := E; when 3 => RC.E3 := E; when 4 => RC.E4 := E; when 5 => RC.E5 := E; when 6 => RC.E6 := E; when 7 => RC.E7 := E; end case; else case N07 (Uns (N) mod 8) is when 0 => C.E0 := E; when 1 => C.E1 := E; when 2 => C.E2 := E; when 3 => C.E3 := E; when 4 => C.E4 := E; when 5 => C.E5 := E; when 6 => C.E6 := E; when 7 => C.E7 := E; end case; end if; end Set_10; ------------- -- SetU_10 -- ------------- procedure SetU_10 (Arr : System.Address; N : Natural; E : Bits_10; Rev_SSO : Boolean) is A : constant System.Address := Arr + Bits * Ofs (Uns (N) / 8); C : ClusterU_Ref with Address => A'Address, Import; RC : Rev_ClusterU_Ref with Address => A'Address, Import; begin if Rev_SSO then case N07 (Uns (N) mod 8) is when 0 => RC.E0 := E; when 1 => RC.E1 := E; when 2 => RC.E2 := E; when 3 => RC.E3 := E; when 4 => RC.E4 := E; when 5 => RC.E5 := E; when 6 => RC.E6 := E; when 7 => RC.E7 := E; end case; else case N07 (Uns (N) mod 8) is when 0 => C.E0 := E; when 1 => C.E1 := E; when 2 => C.E2 := E; when 3 => C.E3 := E; when 4 => C.E4 := E; when 5 => C.E5 := E; when 6 => C.E6 := E; when 7 => C.E7 := E; end case; end if; end SetU_10; end System.Pack_10;
36.059761
78
0.467352
cb47f92e2f70eb9cee197ce002f79b9b6f15b772
620
ads
Ada
tests/mobs-test_data-tests.ads
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
80
2017-04-08T23:14:07.000Z
2022-02-10T22:30:51.000Z
tests/mobs-test_data-tests.ads
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
89
2017-06-24T08:18:26.000Z
2021-11-12T04:37:36.000Z
tests/mobs-test_data-tests.ads
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
9
2018-04-14T16:37:25.000Z
2020-03-21T14:33:49.000Z
-- This package has been generated automatically by GNATtest. -- Do not edit any part of it, see GNATtest documentation for more details. -- begin read only with Gnattest_Generated; package Mobs.Test_Data.Tests is type Test is new GNATtest_Generated.GNATtest_Standard.Mobs.Test_Data .Test with null record; procedure Test_GenerateMob_520182_4cad96(Gnattest_T: in out Test); -- mobs.ads:120:4:GenerateMob:Test_GenearateMob procedure Test_GetRandomItem_61c13c_8c2473(Gnattest_T: in out Test); -- mobs.ads:144:4:GetRandomItem:Test_GetRandomItem end Mobs.Test_Data.Tests; -- end read only
29.52381
76
0.774194
dc0848d8a2528a5bac15d0496a5c8d79b7428f31
3,110
ads
Ada
src/sys/streams/util-streams-buffered-encoders.ads
My-Colaborations/ada-util
039b219f8247e541e281bba73b61f683c52db579
[ "Apache-2.0" ]
null
null
null
src/sys/streams/util-streams-buffered-encoders.ads
My-Colaborations/ada-util
039b219f8247e541e281bba73b61f683c52db579
[ "Apache-2.0" ]
null
null
null
src/sys/streams/util-streams-buffered-encoders.ads
My-Colaborations/ada-util
039b219f8247e541e281bba73b61f683c52db579
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- util-streams-encoders -- Streams with encoding and decoding capabilities -- Copyright (C) 2017, 2019 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Util.Encoders; -- == Encoding Streams == -- The <tt>Encoding_Stream</tt> tagged record represents a stream with encoding capabilities. -- The stream passes the data to be written to the <tt>Transformer</tt> interface that -- allows to make transformations on the data before being written. -- -- Encode : Util.Streams.Buffered.Encoders.Encoding_Stream; -- -- The encoding stream manages a buffer that is used to hold the encoded data before it is -- written to the target stream. The <tt>Initialize</tt> procedure must be called to indicate -- the target stream, the size of the buffer and the encoding format to be used. -- -- Encode.Initialize (Output => File'Access, Size => 4096, Format => "base64"); -- generic type Encoder is limited new Util.Encoders.Transformer with private; package Util.Streams.Buffered.Encoders is -- ----------------------- -- Encoding stream -- ----------------------- -- The <b>Encoding_Stream</b> is an output stream which uses an encoder to -- transform the data before writing it to the output. The transformer can -- change the data by encoding it in Base64, Base16 or encrypting it. type Encoder_Stream is limited new Util.Streams.Buffered.Output_Buffer_Stream with record Transform : Encoder; Flushed : Boolean := False; end record; -- Initialize the stream to write on the given stream. -- An internal buffer is allocated for writing the stream. overriding procedure Initialize (Stream : in out Encoder_Stream; Output : access Output_Stream'Class; Size : in Positive); -- Close the sink. overriding procedure Close (Stream : in out Encoder_Stream); -- Write the buffer array to the output stream. overriding procedure Write (Stream : in out Encoder_Stream; Buffer : in Ada.Streams.Stream_Element_Array); -- Flush the buffer by writing on the output stream. -- Raises Data_Error if there is no output stream. overriding procedure Flush (Stream : in out Encoder_Stream); overriding procedure Finalize (Stream : in out Encoder_Stream); end Util.Streams.Buffered.Encoders;
42.027027
95
0.665273
0e7a679675106f05598b7b7f424778059c49f4d9
56
ads
Ada
test/examples/Project/wps.ads
fintatarta/protypo
c0c2bca17bc766ab95acc99b7422485388a10cb4
[ "MIT" ]
null
null
null
test/examples/Project/wps.ads
fintatarta/protypo
c0c2bca17bc766ab95acc99b7422485388a10cb4
[ "MIT" ]
4
2019-10-09T11:16:38.000Z
2019-10-09T11:20:38.000Z
test/examples/Project/wps.ads
fintatarta/protypo
c0c2bca17bc766ab95acc99b7422485388a10cb4
[ "MIT" ]
null
null
null
package WPs is type WP_Type is null record; end WPs;
14
31
0.732143
c504e903b4a5212a4fd8992a2a9e6d516b3e8266
1,604
ads
Ada
src/natools-static_maps-s_expressions.ads
faelys/natools
947c004e6f69ca144942c6af40e102d089223cf8
[ "0BSD" ]
null
null
null
src/natools-static_maps-s_expressions.ads
faelys/natools
947c004e6f69ca144942c6af40e102d089223cf8
[ "0BSD" ]
null
null
null
src/natools-static_maps-s_expressions.ads
faelys/natools
947c004e6f69ca144942c6af40e102d089223cf8
[ "0BSD" ]
null
null
null
------------------------------------------------------------------------------ -- Copyright (c) 2014, Natacha Porté -- -- -- -- Permission to use, copy, modify, and distribute this software for any -- -- purpose with or without fee is hereby granted, provided that the above -- -- copyright notice and this permission notice appear in all copies. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES -- -- WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF -- -- MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR -- -- ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES -- -- WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN -- -- ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF -- -- OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. -- ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- Natools.Static_Maps.S_Expressions is a common parent to generated static -- -- hash maps related to S-expressions. -- ------------------------------------------------------------------------------ package Natools.Static_Maps.S_Expressions is pragma Pure; end Natools.Static_Maps.S_Expressions;
61.692308
78
0.478803
cb8a528d72c403a5222a87dbabe92d3b15630e6c
4,662
ada
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/ce/ce2201g.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/ce/ce2201g.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/ce/ce2201g.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- CE2201G.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- OBJECTIVE: -- CHECK THAT READ, WRITE, AND END_OF_FILE ARE SUPPORTED -- FOR SEQUENTIAL FILES WITH VARIANT RECORDS WITH DEFAULT -- DISCRIMINANTS. -- APPLICABILITY CRITERIA: -- THIS TEST IS APPLICABLE ONLY TO IMPLEMENTATIONS WHICH SUPPORT -- SEQUENTIAL FILES. -- HISTORY: -- TBN 05/15/86 -- TBN 11/04/86 REVISED TEST TO OUTPUT A NOT_APPLICABLE -- RESULT WHEN FILES ARE NOT SUPPORTED. -- JLH 08/03/87 REMOVED DEPENDENCE OF RESET AND CREATED EXTERNAL -- FILES RATHER THAN TEMPORARY FILES. WITH REPORT; USE REPORT; WITH SEQUENTIAL_IO; PROCEDURE CE2201G IS BEGIN TEST ("CE2201G", "CHECK THAT READ, WRITE, AND END_OF_FILE " & "ARE SUPPORTED FOR SEQUENTIAL FILES WITH " & "UNCONSTRAINED VARIANT RECORD TYPES WITH " & "DEFAULT DISCRIMINANTS."); DECLARE TYPE VAR_REC (DISCR : BOOLEAN := TRUE) IS RECORD CASE DISCR IS WHEN TRUE => A : INTEGER; WHEN FALSE => B : STRING (1..20); END CASE; END RECORD; PACKAGE SEQ_VAR_REC IS NEW SEQUENTIAL_IO (VAR_REC); USE SEQ_VAR_REC; FILE_VAR_REC : FILE_TYPE; INCOMPLETE : EXCEPTION; ITEM_TRUE : VAR_REC(TRUE); -- CONSTRAINED ITEM : VAR_REC; -- UNCONSTRAINED BEGIN BEGIN CREATE (FILE_VAR_REC, OUT_FILE, LEGAL_FILE_NAME); EXCEPTION WHEN USE_ERROR | NAME_ERROR => NOT_APPLICABLE ("CREATE OF SEQUENTIAL FILE WITH " & "MODE OUT_FILE NOT SUPPORTED"); RAISE INCOMPLETE; END; WRITE (FILE_VAR_REC, (TRUE, -5)); WRITE (FILE_VAR_REC, (FALSE, (1..20 => 'B'))); CLOSE (FILE_VAR_REC); BEGIN OPEN (FILE_VAR_REC, IN_FILE, LEGAL_FILE_NAME); EXCEPTION WHEN USE_ERROR => NOT_APPLICABLE ("OPEN OF SEQUENTIAL FILE WITH " & "MODE IN_FILE NOT SUPPORTED"); RAISE INCOMPLETE; END; IF END_OF_FILE (FILE_VAR_REC) THEN FAILED ("WRONG END_OF_FILE VALUE FOR RECORD" & "WITH DISCRIMINANT"); END IF; BEGIN READ (FILE_VAR_REC, ITEM_TRUE); IF ITEM_TRUE /= (TRUE, IDENT_INT(-5)) THEN FAILED ("READ WRONG VALUE - 1"); END IF; IF END_OF_FILE (FILE_VAR_REC) THEN FAILED ("PREMATURE END OF FILE"); END IF; READ (FILE_VAR_REC, ITEM); IF ITEM /= (FALSE, (1..IDENT_INT(20) => 'B')) THEN FAILED ("READ WRONG VALUE - 2"); END IF; IF NOT END_OF_FILE(FILE_VAR_REC) THEN FAILED ("NOT AT END OF FILE"); END IF; END; BEGIN DELETE (FILE_VAR_REC); EXCEPTION WHEN USE_ERROR => NULL; END; EXCEPTION WHEN INCOMPLETE => NULL; END; RESULT; END CE2201G;
33.539568
79
0.537538
c5a4075de5f6dad6e47aee9819a62f12bf0a8a59
6,629
ads
Ada
rts/gcc-9/adainclude/interfac.ads
letsbyteit/build-avr-ada-toolchain
7c5dddbc69e6e2df8c30971417dc50d2f2b29794
[ "MIT" ]
7
2019-09-17T20:54:13.000Z
2021-12-20T04:31:40.000Z
rts/gcc-9/adainclude/interfac.ads
letsbyteit/build-avr-ada-toolchain
7c5dddbc69e6e2df8c30971417dc50d2f2b29794
[ "MIT" ]
6
2019-05-08T14:20:48.000Z
2022-01-20T18:58:30.000Z
rts/gcc-9/adainclude/interfac.ads
letsbyteit/build-avr-ada-toolchain
7c5dddbc69e6e2df8c30971417dc50d2f2b29794
[ "MIT" ]
8
2019-07-09T09:18:51.000Z
2022-01-15T20:28:50.000Z
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- I N T E R F A C E S -- -- -- -- S p e c -- -- -- -- Copyright (C) 2002-2005, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the implementation dependent sections of this file. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ package Interfaces is pragma Pure; type Integer_8 is range -2 ** 7 .. 2 ** 7 - 1; for Integer_8'Size use 8; type Integer_16 is range -2 ** 15 .. 2 ** 15 - 1; for Integer_16'Size use 16; type Integer_32 is range -2 ** 31 .. 2 ** 31 - 1; for Integer_32'Size use 32; type Integer_64 is range -2 ** 63 .. 2 ** 63 - 1; for Integer_64'Size use 64; type Unsigned_8 is mod 2 ** 8; for Unsigned_8'Size use 8; type Unsigned_16 is mod 2 ** 16; for Unsigned_16'Size use 16; type Unsigned_32 is mod 2 ** 32; for Unsigned_32'Size use 32; type Unsigned_64 is mod 2 ** 64; for Unsigned_64'Size use 64; function Shift_Left (Value : Unsigned_8; Amount : Natural) return Unsigned_8; function Shift_Right (Value : Unsigned_8; Amount : Natural) return Unsigned_8; function Shift_Right_Arithmetic (Value : Unsigned_8; Amount : Natural) return Unsigned_8; function Rotate_Left (Value : Unsigned_8; Amount : Natural) return Unsigned_8; function Rotate_Right (Value : Unsigned_8; Amount : Natural) return Unsigned_8; function Shift_Left (Value : Unsigned_16; Amount : Natural) return Unsigned_16; function Shift_Right (Value : Unsigned_16; Amount : Natural) return Unsigned_16; function Shift_Right_Arithmetic (Value : Unsigned_16; Amount : Natural) return Unsigned_16; function Rotate_Left (Value : Unsigned_16; Amount : Natural) return Unsigned_16; function Rotate_Right (Value : Unsigned_16; Amount : Natural) return Unsigned_16; function Shift_Left (Value : Unsigned_32; Amount : Natural) return Unsigned_32; function Shift_Right (Value : Unsigned_32; Amount : Natural) return Unsigned_32; function Shift_Right_Arithmetic (Value : Unsigned_32; Amount : Natural) return Unsigned_32; function Rotate_Left (Value : Unsigned_32; Amount : Natural) return Unsigned_32; function Rotate_Right (Value : Unsigned_32; Amount : Natural) return Unsigned_32; function Shift_Left (Value : Unsigned_64; Amount : Natural) return Unsigned_64; function Shift_Right (Value : Unsigned_64; Amount : Natural) return Unsigned_64; function Shift_Right_Arithmetic (Value : Unsigned_64; Amount : Natural) return Unsigned_64; function Rotate_Left (Value : Unsigned_64; Amount : Natural) return Unsigned_64; function Rotate_Right (Value : Unsigned_64; Amount : Natural) return Unsigned_64; pragma Import (Intrinsic, Shift_Left); pragma Import (Intrinsic, Shift_Right); pragma Import (Intrinsic, Shift_Right_Arithmetic); pragma Import (Intrinsic, Rotate_Left); pragma Import (Intrinsic, Rotate_Right); -- IEEE Floating point types. Note that the form of these definitions -- ensures that the work on VMS, even if the standard library is compiled -- using a Float_Representation pragma for Vax_Float. pragma Warnings (Off); -- Turn off warnings for targets not providing IEEE floating-point types type IEEE_Float_32 is digits 6; pragma Float_Representation (IEEE_Float, IEEE_Float_32); -- type IEEE_Float_64 is digits 15; -- pragma Float_Representation (IEEE_Float, IEEE_Float_64); -- If there is an IEEE extended float available on the machine, we assume -- that it is available as Long_Long_Float. -- Note: it is harmless, and explicitly permitted, to include additional -- types in interfaces, so it is not wrong to have IEEE_Extended_Float -- defined even if the extended format is not available. -- type IEEE_Extended_Float is new Long_Long_Float; end Interfaces;
38.097701
78
0.573691