hexsha
stringlengths
40
40
size
int64
3
1.05M
ext
stringlengths
1
11
lang
stringlengths
1
21
max_stars_repo_path
stringlengths
3
945
max_stars_repo_name
stringlengths
4
112
max_stars_repo_head_hexsha
stringlengths
40
78
max_stars_repo_licenses
sequence
max_stars_count
float64
1
191k
max_stars_repo_stars_event_min_datetime
stringlengths
24
24
max_stars_repo_stars_event_max_datetime
stringlengths
24
24
max_issues_repo_path
stringlengths
3
945
max_issues_repo_name
stringlengths
4
113
max_issues_repo_head_hexsha
stringlengths
40
78
max_issues_repo_licenses
sequence
max_issues_count
float64
1
116k
max_issues_repo_issues_event_min_datetime
stringlengths
24
24
max_issues_repo_issues_event_max_datetime
stringlengths
24
24
max_forks_repo_path
stringlengths
3
945
max_forks_repo_name
stringlengths
4
113
max_forks_repo_head_hexsha
stringlengths
40
78
max_forks_repo_licenses
sequence
max_forks_count
float64
1
105k
max_forks_repo_forks_event_min_datetime
stringlengths
24
24
max_forks_repo_forks_event_max_datetime
stringlengths
24
24
content
stringlengths
3
1.05M
avg_line_length
float64
1
966k
max_line_length
int64
1
977k
alphanum_fraction
float64
0
1
cb03b35999c806b605d01e2fdd1dbf95ae054c72
1,119
adb
Ada
Read Only/gdb-7.12.1/gdb/testsuite/gdb.ada/py_range/foo.adb
samyvic/OS-Project
1622bc1641876584964effd91d65ef02e92728e1
[ "Apache-2.0" ]
null
null
null
Read Only/gdb-7.12.1/gdb/testsuite/gdb.ada/py_range/foo.adb
samyvic/OS-Project
1622bc1641876584964effd91d65ef02e92728e1
[ "Apache-2.0" ]
null
null
null
Read Only/gdb-7.12.1/gdb/testsuite/gdb.ada/py_range/foo.adb
samyvic/OS-Project
1622bc1641876584964effd91d65ef02e92728e1
[ "Apache-2.0" ]
null
null
null
-- Copyright 2013-2017 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with Pck; use Pck; procedure Foo is type Small is range -128 .. 127; SR : Small := 48; type Small_Integer is range -2 ** 31 .. 2 ** 31 - 1; SI : Small_Integer := 740804; type Integer4_T is range -2 ** 31 .. 2 ** 31 - 1; for Integer4_T'Size use 32; IR : Integer4_T := 974; begin Do_Nothing (SR'Address); -- STOP Do_Nothing (SI'Address); Do_Nothing (IR'Address); end Foo;
33.909091
73
0.692583
4a1946dd830ff240347f2819d60f3d0cfba426c1
2,784
adb
Ada
src/console/agate-console__adatextio.adb
Fabien-Chouteau/AGATE
cd8dbc54c1c70379c833e7cd710e2326ad6e9a91
[ "BSD-3-Clause" ]
3
2017-12-23T10:25:07.000Z
2021-06-09T13:47:19.000Z
src/console/agate-console__adatextio.adb
Fabien-Chouteau/AGATE
cd8dbc54c1c70379c833e7cd710e2326ad6e9a91
[ "BSD-3-Clause" ]
null
null
null
src/console/agate-console__adatextio.adb
Fabien-Chouteau/AGATE
cd8dbc54c1c70379c833e7cd710e2326ad6e9a91
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2018, Fabien Chouteau -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ with Ada.Text_IO; package body AGATE.Console is ----------- -- Print -- ----------- procedure Print (C : Character) renames Ada.Text_IO.Put; ----------- -- Print -- ----------- procedure Print (Str : String) renames Ada.Text_IO.Put; ---------------- -- Print_Line -- ---------------- procedure Print_Line (Str : String) renames Ada.Text_IO.Put_Line; end AGATE.Console;
50.618182
78
0.507184
cb6f32cb03b76950dfc899a6f1e6426cb27f7132
101,002
ads
Ada
clients/ada/generated/src/model/-models.ads
cliffano/jenkins-api-clients-generator
522d02b3a130a29471df5ec1d3d22c822b3d0813
[ "MIT" ]
null
null
null
clients/ada/generated/src/model/-models.ads
cliffano/jenkins-api-clients-generator
522d02b3a130a29471df5ec1d3d22c822b3d0813
[ "MIT" ]
null
null
null
clients/ada/generated/src/model/-models.ads
cliffano/jenkins-api-clients-generator
522d02b3a130a29471df5ec1d3d22c822b3d0813
[ "MIT" ]
null
null
null
-- Swaggy Jenkins -- Jenkins API clients generated from Swagger / Open API specification -- -- The version of the OpenAPI document: 1.1.2_pre.0 -- Contact: blah@cliffano.com -- -- NOTE: This package is auto generated by OpenAPI-Generator 5.4.0. -- https://openapi-generator.tech -- Do not edit the class manually. with Swagger.Streams; with Ada.Containers.Vectors; package .Models is pragma Style_Checks ("-mr"); type GithubContent_Type is record Name : Swagger.Nullable_UString; Sha : Swagger.Nullable_UString; P__class : Swagger.Nullable_UString; Repo : Swagger.Nullable_UString; Size : Swagger.Nullable_Integer; Owner : Swagger.Nullable_UString; Path : Swagger.Nullable_UString; Base_64Data : Swagger.Nullable_UString; end record; package GithubContent_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubContent_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubContent_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubContent_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubContent_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubContent_Type_Vectors.Vector); type GithubFile_Type is record Content : .Models.GithubContent_Type; P__class : Swagger.Nullable_UString; end record; package GithubFile_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubFile_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubFile_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubFile_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubFile_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubFile_Type_Vectors.Vector); type QueueItemImpl_Type is record P__class : Swagger.Nullable_UString; Expected_Build_Number : Swagger.Nullable_Integer; Id : Swagger.Nullable_UString; Pipeline : Swagger.Nullable_UString; Queued_Time : Swagger.Nullable_Integer; end record; package QueueItemImpl_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => QueueItemImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in QueueItemImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in QueueItemImpl_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out QueueItemImpl_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out QueueItemImpl_Type_Vectors.Vector); type PipelineBranchesitemlatestRun_Type is record Duration_In_Millis : Swagger.Nullable_Integer; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; En_Queue_Time : Swagger.Nullable_UString; End_Time : Swagger.Nullable_UString; Id : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Pipeline : Swagger.Nullable_UString; Result : Swagger.Nullable_UString; Run_Summary : Swagger.Nullable_UString; Start_Time : Swagger.Nullable_UString; State : Swagger.Nullable_UString; P_Type : Swagger.Nullable_UString; Commit_Id : Swagger.Nullable_UString; P__class : Swagger.Nullable_UString; end record; package PipelineBranchesitemlatestRun_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineBranchesitemlatestRun_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineBranchesitemlatestRun_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineBranchesitemlatestRun_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineBranchesitemlatestRun_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineBranchesitemlatestRun_Type_Vectors.Vector); type BranchImplpermissions_Type is record Create : Swagger.Nullable_Boolean; Read : Swagger.Nullable_Boolean; Start : Swagger.Nullable_Boolean; Stop : Swagger.Nullable_Boolean; P__class : Swagger.Nullable_UString; end record; package BranchImplpermissions_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => BranchImplpermissions_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BranchImplpermissions_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BranchImplpermissions_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BranchImplpermissions_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BranchImplpermissions_Type_Vectors.Vector); type StringParameterValue_Type is record P__class : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; Value : Swagger.Nullable_UString; end record; package StringParameterValue_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => StringParameterValue_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in StringParameterValue_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in StringParameterValue_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out StringParameterValue_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out StringParameterValue_Type_Vectors.Vector); type StringParameterDefinition_Type is record P__class : Swagger.Nullable_UString; Default_Parameter_Value : .Models.StringParameterValue_Type; Description : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; P_Type : Swagger.Nullable_UString; end record; package StringParameterDefinition_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => StringParameterDefinition_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in StringParameterDefinition_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in StringParameterDefinition_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out StringParameterDefinition_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out StringParameterDefinition_Type_Vectors.Vector); type PipelineActivityartifacts_Type is record Name : Swagger.Nullable_UString; Size : Swagger.Nullable_Integer; Url : Swagger.Nullable_UString; P__class : Swagger.Nullable_UString; end record; package PipelineActivityartifacts_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineActivityartifacts_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineActivityartifacts_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineActivityartifacts_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineActivityartifacts_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineActivityartifacts_Type_Vectors.Vector); type PipelineActivity_Type is record P__class : Swagger.Nullable_UString; Artifacts : .Models.PipelineActivityartifacts_Type_Vectors.Vector; Duration_In_Millis : Swagger.Nullable_Integer; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; En_Queue_Time : Swagger.Nullable_UString; End_Time : Swagger.Nullable_UString; Id : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Pipeline : Swagger.Nullable_UString; Result : Swagger.Nullable_UString; Run_Summary : Swagger.Nullable_UString; Start_Time : Swagger.Nullable_UString; State : Swagger.Nullable_UString; P_Type : Swagger.Nullable_UString; Commit_Id : Swagger.Nullable_UString; end record; package PipelineActivity_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineActivity_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineActivity_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineActivity_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineActivity_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineActivity_Type_Vectors.Vector); type Organisation_Type is record P__class : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; end record; package Organisation_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => Organisation_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Organisation_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Organisation_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Organisation_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Organisation_Type_Vectors.Vector); type MultibranchPipeline_Type is record Display_Name : Swagger.Nullable_UString; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; Latest_Run : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Weather_Score : Swagger.Nullable_Integer; Branch_Names : Swagger.UString_Vectors.Vector; Number_Of_Failing_Branches : Swagger.Nullable_Integer; Number_Of_Failing_Pull_Requests : Swagger.Nullable_Integer; Number_Of_Successful_Branches : Swagger.Nullable_Integer; Number_Of_Successful_Pull_Requests : Swagger.Nullable_Integer; Total_Number_Of_Branches : Swagger.Nullable_Integer; Total_Number_Of_Pull_Requests : Swagger.Nullable_Integer; P__class : Swagger.Nullable_UString; end record; package MultibranchPipeline_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => MultibranchPipeline_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in MultibranchPipeline_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in MultibranchPipeline_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out MultibranchPipeline_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out MultibranchPipeline_Type_Vectors.Vector); type EmptyChangeLogSet_Type is record P__class : Swagger.Nullable_UString; Kind : Swagger.Nullable_UString; end record; package EmptyChangeLogSet_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => EmptyChangeLogSet_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in EmptyChangeLogSet_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in EmptyChangeLogSet_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out EmptyChangeLogSet_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out EmptyChangeLogSet_Type_Vectors.Vector); type CauseUserIdCause_Type is record P__class : Swagger.Nullable_UString; Short_Description : Swagger.Nullable_UString; User_Id : Swagger.Nullable_UString; User_Name : Swagger.Nullable_UString; end record; package CauseUserIdCause_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => CauseUserIdCause_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in CauseUserIdCause_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in CauseUserIdCause_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out CauseUserIdCause_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out CauseUserIdCause_Type_Vectors.Vector); type CauseAction_Type is record P__class : Swagger.Nullable_UString; Causes : .Models.CauseUserIdCause_Type_Vectors.Vector; end record; package CauseAction_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => CauseAction_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in CauseAction_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in CauseAction_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out CauseAction_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out CauseAction_Type_Vectors.Vector); type FreeStyleBuild_Type is record P__class : Swagger.Nullable_UString; Number : Swagger.Nullable_Integer; Url : Swagger.Nullable_UString; Actions : .Models.CauseAction_Type_Vectors.Vector; Building : Swagger.Nullable_Boolean; Description : Swagger.Nullable_UString; Display_Name : Swagger.Nullable_UString; Duration : Swagger.Nullable_Integer; Estimated_Duration : Swagger.Nullable_Integer; Executor : Swagger.Nullable_UString; Full_Display_Name : Swagger.Nullable_UString; Id : Swagger.Nullable_UString; Keep_Log : Swagger.Nullable_Boolean; Queue_Id : Swagger.Nullable_Integer; Result : Swagger.Nullable_UString; Timestamp : Swagger.Nullable_Integer; Built_On : Swagger.Nullable_UString; Change_Set : .Models.EmptyChangeLogSet_Type; end record; package FreeStyleBuild_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => FreeStyleBuild_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FreeStyleBuild_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FreeStyleBuild_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FreeStyleBuild_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FreeStyleBuild_Type_Vectors.Vector); type HudsonMasterComputerexecutors_Type is record Current_Executable : .Models.FreeStyleBuild_Type; Idle : Swagger.Nullable_Boolean; Likely_Stuck : Swagger.Nullable_Boolean; Number : Swagger.Nullable_Integer; Progress : Swagger.Nullable_Integer; P__class : Swagger.Nullable_UString; end record; package HudsonMasterComputerexecutors_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => HudsonMasterComputerexecutors_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in HudsonMasterComputerexecutors_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in HudsonMasterComputerexecutors_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out HudsonMasterComputerexecutors_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out HudsonMasterComputerexecutors_Type_Vectors.Vector); type FreeStyleProjecthealthReport_Type is record Description : Swagger.Nullable_UString; Icon_Class_Name : Swagger.Nullable_UString; Icon_Url : Swagger.Nullable_UString; Score : Swagger.Nullable_Integer; P__class : Swagger.Nullable_UString; end record; package FreeStyleProjecthealthReport_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => FreeStyleProjecthealthReport_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FreeStyleProjecthealthReport_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FreeStyleProjecthealthReport_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FreeStyleProjecthealthReport_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FreeStyleProjecthealthReport_Type_Vectors.Vector); type AllView_Type is record P__class : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; Url : Swagger.Nullable_UString; end record; package AllView_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => AllView_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in AllView_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in AllView_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out AllView_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out AllView_Type_Vectors.Vector); type HudsonassignedLabels_Type is record P__class : Swagger.Nullable_UString; end record; package HudsonassignedLabels_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => HudsonassignedLabels_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in HudsonassignedLabels_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in HudsonassignedLabels_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out HudsonassignedLabels_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out HudsonassignedLabels_Type_Vectors.Vector); type ResponseTimeMonitorData_Type is record P__class : Swagger.Nullable_UString; Timestamp : Swagger.Nullable_Integer; Average : Swagger.Nullable_Integer; end record; package ResponseTimeMonitorData_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ResponseTimeMonitorData_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ResponseTimeMonitorData_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ResponseTimeMonitorData_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ResponseTimeMonitorData_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ResponseTimeMonitorData_Type_Vectors.Vector); type SwapSpaceMonitorMemoryUsage2_Type is record P__class : Swagger.Nullable_UString; Available_Physical_Memory : Swagger.Nullable_Integer; Available_Swap_Space : Swagger.Nullable_Integer; Total_Physical_Memory : Swagger.Nullable_Integer; Total_Swap_Space : Swagger.Nullable_Integer; end record; package SwapSpaceMonitorMemoryUsage2_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => SwapSpaceMonitorMemoryUsage2_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in SwapSpaceMonitorMemoryUsage2_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in SwapSpaceMonitorMemoryUsage2_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out SwapSpaceMonitorMemoryUsage2_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out SwapSpaceMonitorMemoryUsage2_Type_Vectors.Vector); type Label1_Type is record P__class : Swagger.Nullable_UString; end record; package Label1_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => Label1_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Label1_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Label1_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Label1_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Label1_Type_Vectors.Vector); type DiskSpaceMonitorDescriptorDiskSpace_Type is record P__class : Swagger.Nullable_UString; Timestamp : Swagger.Nullable_Integer; Path : Swagger.Nullable_UString; Size : Swagger.Nullable_Integer; end record; package DiskSpaceMonitorDescriptorDiskSpace_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => DiskSpaceMonitorDescriptorDiskSpace_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in DiskSpaceMonitorDescriptorDiskSpace_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in DiskSpaceMonitorDescriptorDiskSpace_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out DiskSpaceMonitorDescriptorDiskSpace_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out DiskSpaceMonitorDescriptorDiskSpace_Type_Vectors.Vector); type ClockDifference_Type is record P__class : Swagger.Nullable_UString; Diff : Swagger.Nullable_Integer; end record; package ClockDifference_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ClockDifference_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ClockDifference_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ClockDifference_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ClockDifference_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ClockDifference_Type_Vectors.Vector); type HudsonMasterComputermonitorData_Type is record Hudson_Node_Monitors_Swap_Space_Monitor : .Models.SwapSpaceMonitorMemoryUsage2_Type; Hudson_Node_Monitors_Temporary_Space_Monitor : .Models.DiskSpaceMonitorDescriptorDiskSpace_Type; Hudson_Node_Monitors_Disk_Space_Monitor : .Models.DiskSpaceMonitorDescriptorDiskSpace_Type; Hudson_Node_Monitors_Architecture_Monitor : Swagger.Nullable_UString; Hudson_Node_Monitors_Response_Time_Monitor : .Models.ResponseTimeMonitorData_Type; Hudson_Node_Monitors_Clock_Monitor : .Models.ClockDifference_Type; P__class : Swagger.Nullable_UString; end record; package HudsonMasterComputermonitorData_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => HudsonMasterComputermonitorData_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in HudsonMasterComputermonitorData_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in HudsonMasterComputermonitorData_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out HudsonMasterComputermonitorData_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out HudsonMasterComputermonitorData_Type_Vectors.Vector); type HudsonMasterComputer_Type is record P__class : Swagger.Nullable_UString; Display_Name : Swagger.Nullable_UString; Executors : .Models.HudsonMasterComputerexecutors_Type_Vectors.Vector; Icon : Swagger.Nullable_UString; Icon_Class_Name : Swagger.Nullable_UString; Idle : Swagger.Nullable_Boolean; Jnlp_Agent : Swagger.Nullable_Boolean; Launch_Supported : Swagger.Nullable_Boolean; Load_Statistics : .Models.Label1_Type; Manual_Launch_Allowed : Swagger.Nullable_Boolean; Monitor_Data : .Models.HudsonMasterComputermonitorData_Type; Num_Executors : Swagger.Nullable_Integer; Offline : Swagger.Nullable_Boolean; Offline_Cause : Swagger.Nullable_UString; Offline_Cause_Reason : Swagger.Nullable_UString; Temporarily_Offline : Swagger.Nullable_Boolean; end record; package HudsonMasterComputer_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => HudsonMasterComputer_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in HudsonMasterComputer_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in HudsonMasterComputer_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out HudsonMasterComputer_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out HudsonMasterComputer_Type_Vectors.Vector); type ComputerSet_Type is record P__class : Swagger.Nullable_UString; Busy_Executors : Swagger.Nullable_Integer; Computer : .Models.HudsonMasterComputer_Type_Vectors.Vector; Display_Name : Swagger.Nullable_UString; Total_Executors : Swagger.Nullable_Integer; end record; package ComputerSet_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ComputerSet_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ComputerSet_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ComputerSet_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ComputerSet_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ComputerSet_Type_Vectors.Vector); type DefaultCrumbIssuer_Type is record P__class : Swagger.Nullable_UString; Crumb : Swagger.Nullable_UString; Crumb_Request_Field : Swagger.Nullable_UString; end record; package DefaultCrumbIssuer_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => DefaultCrumbIssuer_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in DefaultCrumbIssuer_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in DefaultCrumbIssuer_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out DefaultCrumbIssuer_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out DefaultCrumbIssuer_Type_Vectors.Vector); type UnlabeledLoadStatistics_Type is record P__class : Swagger.Nullable_UString; end record; package UnlabeledLoadStatistics_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => UnlabeledLoadStatistics_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in UnlabeledLoadStatistics_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in UnlabeledLoadStatistics_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out UnlabeledLoadStatistics_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out UnlabeledLoadStatistics_Type_Vectors.Vector); type FreeStyleProjectactions_Type is record P__class : Swagger.Nullable_UString; end record; package FreeStyleProjectactions_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => FreeStyleProjectactions_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FreeStyleProjectactions_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FreeStyleProjectactions_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FreeStyleProjectactions_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FreeStyleProjectactions_Type_Vectors.Vector); type NullSCM_Type is record P__class : Swagger.Nullable_UString; end record; package NullSCM_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => NullSCM_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in NullSCM_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in NullSCM_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out NullSCM_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out NullSCM_Type_Vectors.Vector); type FreeStyleProject_Type is record P__class : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; Url : Swagger.Nullable_UString; Color : Swagger.Nullable_UString; Actions : .Models.FreeStyleProjectactions_Type_Vectors.Vector; Description : Swagger.Nullable_UString; Display_Name : Swagger.Nullable_UString; Display_Name_Or_Null : Swagger.Nullable_UString; Full_Display_Name : Swagger.Nullable_UString; Full_Name : Swagger.Nullable_UString; Buildable : Swagger.Nullable_Boolean; Builds : .Models.FreeStyleBuild_Type_Vectors.Vector; First_Build : .Models.FreeStyleBuild_Type; Health_Report : .Models.FreeStyleProjecthealthReport_Type_Vectors.Vector; In_Queue : Swagger.Nullable_Boolean; Keep_Dependencies : Swagger.Nullable_Boolean; Last_Build : .Models.FreeStyleBuild_Type; Last_Completed_Build : .Models.FreeStyleBuild_Type; Last_Failed_Build : Swagger.Nullable_UString; Last_Stable_Build : .Models.FreeStyleBuild_Type; Last_Successful_Build : .Models.FreeStyleBuild_Type; Last_Unstable_Build : Swagger.Nullable_UString; Last_Unsuccessful_Build : Swagger.Nullable_UString; Next_Build_Number : Swagger.Nullable_Integer; Queue_Item : Swagger.Nullable_UString; Concurrent_Build : Swagger.Nullable_Boolean; Scm : .Models.NullSCM_Type; end record; package FreeStyleProject_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => FreeStyleProject_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FreeStyleProject_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FreeStyleProject_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FreeStyleProject_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FreeStyleProject_Type_Vectors.Vector); type ListView_Type is record P__class : Swagger.Nullable_UString; Description : Swagger.Nullable_UString; Jobs : .Models.FreeStyleProject_Type_Vectors.Vector; Name : Swagger.Nullable_UString; Url : Swagger.Nullable_UString; end record; package ListView_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ListView_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ListView_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ListView_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ListView_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ListView_Type_Vectors.Vector); type Hudson_Type is record P__class : Swagger.Nullable_UString; Assigned_Labels : .Models.HudsonassignedLabels_Type_Vectors.Vector; Mode : Swagger.Nullable_UString; Node_Description : Swagger.Nullable_UString; Node_Name : Swagger.Nullable_UString; Num_Executors : Swagger.Nullable_Integer; Description : Swagger.Nullable_UString; Jobs : .Models.FreeStyleProject_Type_Vectors.Vector; Primary_View : .Models.AllView_Type; Quieting_Down : Swagger.Nullable_Boolean; Slave_Agent_Port : Swagger.Nullable_Integer; Unlabeled_Load : .Models.UnlabeledLoadStatistics_Type; Use_Crumbs : Swagger.Nullable_Boolean; Use_Security : Swagger.Nullable_Boolean; Views : .Models.AllView_Type_Vectors.Vector; end record; package Hudson_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => Hudson_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Hudson_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Hudson_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Hudson_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Hudson_Type_Vectors.Vector); type QueueBlockedItem_Type is record P__class : Swagger.Nullable_UString; Actions : .Models.CauseAction_Type_Vectors.Vector; Blocked : Swagger.Nullable_Boolean; Buildable : Swagger.Nullable_Boolean; Id : Swagger.Nullable_Integer; In_Queue_Since : Swagger.Nullable_Integer; Params : Swagger.Nullable_UString; Stuck : Swagger.Nullable_Boolean; P_Task : .Models.FreeStyleProject_Type; Url : Swagger.Nullable_UString; Why : Swagger.Nullable_UString; Buildable_Start_Milliseconds : Swagger.Nullable_Integer; end record; package QueueBlockedItem_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => QueueBlockedItem_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in QueueBlockedItem_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in QueueBlockedItem_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out QueueBlockedItem_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out QueueBlockedItem_Type_Vectors.Vector); type Queue_Type is record P__class : Swagger.Nullable_UString; Items : .Models.QueueBlockedItem_Type_Vectors.Vector; end record; package Queue_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => Queue_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Queue_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Queue_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Queue_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Queue_Type_Vectors.Vector); type QueueLeftItem_Type is record P__class : Swagger.Nullable_UString; Actions : .Models.CauseAction_Type_Vectors.Vector; Blocked : Swagger.Nullable_Boolean; Buildable : Swagger.Nullable_Boolean; Id : Swagger.Nullable_Integer; In_Queue_Since : Swagger.Nullable_Integer; Params : Swagger.Nullable_UString; Stuck : Swagger.Nullable_Boolean; P_Task : .Models.FreeStyleProject_Type; Url : Swagger.Nullable_UString; Why : Swagger.Nullable_UString; Cancelled : Swagger.Nullable_Boolean; Executable : .Models.FreeStyleBuild_Type; end record; package QueueLeftItem_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => QueueLeftItem_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in QueueLeftItem_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in QueueLeftItem_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out QueueLeftItem_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out QueueLeftItem_Type_Vectors.Vector); type Link_Type is record P__class : Swagger.Nullable_UString; Href : Swagger.Nullable_UString; end record; package Link_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => Link_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Link_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Link_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Link_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Link_Type_Vectors.Vector); type BranchImpllinks_Type is record Self : .Models.Link_Type; Actions : .Models.Link_Type; Runs : .Models.Link_Type; Queue : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package BranchImpllinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => BranchImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BranchImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BranchImpllinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BranchImpllinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BranchImpllinks_Type_Vectors.Vector); type GithubRepositorylinks_Type is record Self : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package GithubRepositorylinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubRepositorylinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepositorylinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepositorylinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepositorylinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepositorylinks_Type_Vectors.Vector); type GithubRespositoryContainerlinks_Type is record Self : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package GithubRespositoryContainerlinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubRespositoryContainerlinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRespositoryContainerlinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRespositoryContainerlinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRespositoryContainerlinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRespositoryContainerlinks_Type_Vectors.Vector); type GithubScmlinks_Type is record Self : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package GithubScmlinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubScmlinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubScmlinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubScmlinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubScmlinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubScmlinks_Type_Vectors.Vector); type GithubScm_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.GithubScmlinks_Type; Credential_Id : Swagger.Nullable_UString; Id : Swagger.Nullable_UString; Uri : Swagger.Nullable_UString; end record; package GithubScm_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubScm_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubScm_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubScm_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubScm_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubScm_Type_Vectors.Vector); type InputStepImpllinks_Type is record Self : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package InputStepImpllinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => InputStepImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in InputStepImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in InputStepImpllinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out InputStepImpllinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out InputStepImpllinks_Type_Vectors.Vector); type InputStepImpl_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.InputStepImpllinks_Type; Id : Swagger.Nullable_UString; Message : Swagger.Nullable_UString; Ok : Swagger.Nullable_UString; Parameters : .Models.StringParameterDefinition_Type_Vectors.Vector; Submitter : Swagger.Nullable_UString; end record; package InputStepImpl_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => InputStepImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in InputStepImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in InputStepImpl_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out InputStepImpl_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out InputStepImpl_Type_Vectors.Vector); type FavoriteImpllinks_Type is record Self : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package FavoriteImpllinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => FavoriteImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FavoriteImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FavoriteImpllinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FavoriteImpllinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FavoriteImpllinks_Type_Vectors.Vector); type ExtensionClassContainerImpl1links_Type is record Self : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package ExtensionClassContainerImpl1links_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ExtensionClassContainerImpl1links_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassContainerImpl1links_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassContainerImpl1links_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassContainerImpl1links_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassContainerImpl1links_Type_Vectors.Vector); type ExtensionClassImpllinks_Type is record Self : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package ExtensionClassImpllinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ExtensionClassImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassImpllinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassImpllinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassImpllinks_Type_Vectors.Vector); type ExtensionClassImpl_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.ExtensionClassImpllinks_Type; Classes : Swagger.UString_Vectors.Vector; end record; package ExtensionClassImpl_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ExtensionClassImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassImpl_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassImpl_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassImpl_Type_Vectors.Vector); type ExtensionClassContainerImpl1map_Type is record Io_Jenkins_Blueocean_Service_Embedded_Rest_Pipeline_Impl : .Models.ExtensionClassImpl_Type; Io_Jenkins_Blueocean_Service_Embedded_Rest_Multi_Branch_Pipeline_Impl : .Models.ExtensionClassImpl_Type; P__class : Swagger.Nullable_UString; end record; package ExtensionClassContainerImpl1map_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ExtensionClassContainerImpl1map_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassContainerImpl1map_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassContainerImpl1map_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassContainerImpl1map_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassContainerImpl1map_Type_Vectors.Vector); type ExtensionClassContainerImpl1_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.ExtensionClassContainerImpl1links_Type; Map : .Models.ExtensionClassContainerImpl1map_Type; end record; package ExtensionClassContainerImpl1_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ExtensionClassContainerImpl1_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassContainerImpl1_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ExtensionClassContainerImpl1_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassContainerImpl1_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ExtensionClassContainerImpl1_Type_Vectors.Vector); type PipelineImpllinks_Type is record Runs : .Models.Link_Type; Self : .Models.Link_Type; Queue : .Models.Link_Type; Actions : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package PipelineImpllinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineImpllinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineImpllinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineImpllinks_Type_Vectors.Vector); type PipelineImpl_Type is record P__class : Swagger.Nullable_UString; Display_Name : Swagger.Nullable_UString; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; Full_Name : Swagger.Nullable_UString; Latest_Run : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Weather_Score : Swagger.Nullable_Integer; P__links : .Models.PipelineImpllinks_Type; end record; package PipelineImpl_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineImpl_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineImpl_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineImpl_Type_Vectors.Vector); type FavoriteImpl_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.FavoriteImpllinks_Type; Item : .Models.PipelineImpl_Type; end record; package FavoriteImpl_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => FavoriteImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FavoriteImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in FavoriteImpl_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FavoriteImpl_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out FavoriteImpl_Type_Vectors.Vector); type PipelineStepImpllinks_Type is record Self : .Models.Link_Type; Actions : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package PipelineStepImpllinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineStepImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineStepImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineStepImpllinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineStepImpllinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineStepImpllinks_Type_Vectors.Vector); type PipelineStepImpl_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.PipelineStepImpllinks_Type; Display_Name : Swagger.Nullable_UString; Duration_In_Millis : Swagger.Nullable_Integer; Id : Swagger.Nullable_UString; Input : .Models.InputStepImpl_Type; Result : Swagger.Nullable_UString; Start_Time : Swagger.Nullable_UString; State : Swagger.Nullable_UString; end record; package PipelineStepImpl_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineStepImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineStepImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineStepImpl_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineStepImpl_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineStepImpl_Type_Vectors.Vector); type GithubRepositorieslinks_Type is record Self : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package GithubRepositorieslinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubRepositorieslinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepositorieslinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepositorieslinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepositorieslinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepositorieslinks_Type_Vectors.Vector); type GithubOrganizationlinks_Type is record Repositories : .Models.Link_Type; Self : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package GithubOrganizationlinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubOrganizationlinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubOrganizationlinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubOrganizationlinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubOrganizationlinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubOrganizationlinks_Type_Vectors.Vector); type GithubOrganization_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.GithubOrganizationlinks_Type; Jenkins_Organization_Pipeline : Swagger.Nullable_Boolean; Name : Swagger.Nullable_UString; end record; package GithubOrganization_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubOrganization_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubOrganization_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubOrganization_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubOrganization_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubOrganization_Type_Vectors.Vector); type PipelineRunImpllinks_Type is record Nodes : .Models.Link_Type; Log : .Models.Link_Type; Self : .Models.Link_Type; Actions : .Models.Link_Type; Steps : .Models.Link_Type; P__class : Swagger.Nullable_UString; end record; package PipelineRunImpllinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineRunImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunImpllinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunImpllinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunImpllinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunImpllinks_Type_Vectors.Vector); type PipelineRunImpl_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.PipelineRunImpllinks_Type; Duration_In_Millis : Swagger.Nullable_Integer; En_Queue_Time : Swagger.Nullable_UString; End_Time : Swagger.Nullable_UString; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; Id : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Pipeline : Swagger.Nullable_UString; Result : Swagger.Nullable_UString; Run_Summary : Swagger.Nullable_UString; Start_Time : Swagger.Nullable_UString; State : Swagger.Nullable_UString; P_Type : Swagger.Nullable_UString; Commit_Id : Swagger.Nullable_UString; end record; package PipelineRunImpl_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineRunImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunImpl_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunImpl_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunImpl_Type_Vectors.Vector); type BranchImpl_Type is record P__class : Swagger.Nullable_UString; Display_Name : Swagger.Nullable_UString; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; Full_Display_Name : Swagger.Nullable_UString; Full_Name : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Parameters : .Models.StringParameterDefinition_Type_Vectors.Vector; Permissions : .Models.BranchImplpermissions_Type; Weather_Score : Swagger.Nullable_Integer; Pull_Request : Swagger.Nullable_UString; P__links : .Models.BranchImpllinks_Type; Latest_Run : .Models.PipelineRunImpl_Type; end record; package BranchImpl_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => BranchImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BranchImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BranchImpl_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BranchImpl_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BranchImpl_Type_Vectors.Vector); type ClassesByClass_Type is record Classes : Swagger.UString_Vectors.Vector; P__class : Swagger.Nullable_UString; end record; package ClassesByClass_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => ClassesByClass_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ClassesByClass_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ClassesByClass_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ClassesByClass_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ClassesByClass_Type_Vectors.Vector); type PipelinelatestRunartifacts_Type is record Name : Swagger.Nullable_UString; Size : Swagger.Nullable_Integer; Url : Swagger.Nullable_UString; P__class : Swagger.Nullable_UString; end record; package PipelinelatestRunartifacts_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelinelatestRunartifacts_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelinelatestRunartifacts_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelinelatestRunartifacts_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelinelatestRunartifacts_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelinelatestRunartifacts_Type_Vectors.Vector); type PipelinelatestRun_Type is record Artifacts : .Models.PipelinelatestRunartifacts_Type_Vectors.Vector; Duration_In_Millis : Swagger.Nullable_Integer; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; En_Queue_Time : Swagger.Nullable_UString; End_Time : Swagger.Nullable_UString; Id : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Pipeline : Swagger.Nullable_UString; Result : Swagger.Nullable_UString; Run_Summary : Swagger.Nullable_UString; Start_Time : Swagger.Nullable_UString; State : Swagger.Nullable_UString; P_Type : Swagger.Nullable_UString; Commit_Id : Swagger.Nullable_UString; P__class : Swagger.Nullable_UString; end record; package PipelinelatestRun_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelinelatestRun_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelinelatestRun_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelinelatestRun_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelinelatestRun_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelinelatestRun_Type_Vectors.Vector); type Pipeline_Type is record P__class : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; Display_Name : Swagger.Nullable_UString; Full_Name : Swagger.Nullable_UString; Weather_Score : Swagger.Nullable_Integer; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; Latest_Run : .Models.PipelinelatestRun_Type; end record; package Pipeline_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => Pipeline_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Pipeline_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Pipeline_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Pipeline_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Pipeline_Type_Vectors.Vector); type PipelineBranchesitempullRequestlinks_Type is record Self : Swagger.Nullable_UString; P__class : Swagger.Nullable_UString; end record; package PipelineBranchesitempullRequestlinks_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineBranchesitempullRequestlinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineBranchesitempullRequestlinks_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineBranchesitempullRequestlinks_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineBranchesitempullRequestlinks_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineBranchesitempullRequestlinks_Type_Vectors.Vector); type PipelineBranchesitempullRequest_Type is record P__links : .Models.PipelineBranchesitempullRequestlinks_Type; Author : Swagger.Nullable_UString; Id : Swagger.Nullable_UString; Title : Swagger.Nullable_UString; Url : Swagger.Nullable_UString; P__class : Swagger.Nullable_UString; end record; package PipelineBranchesitempullRequest_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineBranchesitempullRequest_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineBranchesitempullRequest_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineBranchesitempullRequest_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineBranchesitempullRequest_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineBranchesitempullRequest_Type_Vectors.Vector); type PipelineBranchesitem_Type is record Display_Name : Swagger.Nullable_UString; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; Name : Swagger.Nullable_UString; Weather_Score : Swagger.Nullable_Integer; Latest_Run : .Models.PipelineBranchesitemlatestRun_Type; Organization : Swagger.Nullable_UString; Pull_Request : .Models.PipelineBranchesitempullRequest_Type; Total_Number_Of_Pull_Requests : Swagger.Nullable_Integer; P__class : Swagger.Nullable_UString; end record; package PipelineBranchesitem_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineBranchesitem_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineBranchesitem_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineBranchesitem_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineBranchesitem_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineBranchesitem_Type_Vectors.Vector); type PipelineFolderImpl_Type is record P__class : Swagger.Nullable_UString; Display_Name : Swagger.Nullable_UString; Full_Name : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Number_Of_Folders : Swagger.Nullable_Integer; Number_Of_Pipelines : Swagger.Nullable_Integer; end record; package PipelineFolderImpl_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineFolderImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineFolderImpl_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineFolderImpl_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineFolderImpl_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineFolderImpl_Type_Vectors.Vector); type PipelineRunartifacts_Type is record Name : Swagger.Nullable_UString; Size : Swagger.Nullable_Integer; Url : Swagger.Nullable_UString; P__class : Swagger.Nullable_UString; end record; package PipelineRunartifacts_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineRunartifacts_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunartifacts_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunartifacts_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunartifacts_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunartifacts_Type_Vectors.Vector); type PipelineRun_Type is record P__class : Swagger.Nullable_UString; Artifacts : .Models.PipelineRunartifacts_Type_Vectors.Vector; Duration_In_Millis : Swagger.Nullable_Integer; Estimated_Duration_In_Millis : Swagger.Nullable_Integer; En_Queue_Time : Swagger.Nullable_UString; End_Time : Swagger.Nullable_UString; Id : Swagger.Nullable_UString; Organization : Swagger.Nullable_UString; Pipeline : Swagger.Nullable_UString; Result : Swagger.Nullable_UString; Run_Summary : Swagger.Nullable_UString; Start_Time : Swagger.Nullable_UString; State : Swagger.Nullable_UString; P_Type : Swagger.Nullable_UString; Commit_Id : Swagger.Nullable_UString; end record; package PipelineRun_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineRun_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRun_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRun_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRun_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRun_Type_Vectors.Vector); type PipelineRunNodeedges_Type is record Id : Swagger.Nullable_UString; P__class : Swagger.Nullable_UString; end record; package PipelineRunNodeedges_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineRunNodeedges_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunNodeedges_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunNodeedges_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunNodeedges_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunNodeedges_Type_Vectors.Vector); type PipelineRunNode_Type is record P__class : Swagger.Nullable_UString; Display_Name : Swagger.Nullable_UString; Duration_In_Millis : Swagger.Nullable_Integer; Edges : .Models.PipelineRunNodeedges_Type_Vectors.Vector; Id : Swagger.Nullable_UString; Result : Swagger.Nullable_UString; Start_Time : Swagger.Nullable_UString; State : Swagger.Nullable_UString; end record; package PipelineRunNode_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => PipelineRunNode_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunNode_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PipelineRunNode_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunNode_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PipelineRunNode_Type_Vectors.Vector); type GenericResource_Type is record P__class : Swagger.Nullable_UString; Display_Name : Swagger.Nullable_UString; Duration_In_Millis : Swagger.Nullable_Integer; Id : Swagger.Nullable_UString; Result : Swagger.Nullable_UString; Start_Time : Swagger.Nullable_UString; end record; package GenericResource_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GenericResource_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GenericResource_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GenericResource_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GenericResource_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GenericResource_Type_Vectors.Vector); type GithubRepositorypermissions_Type is record Admin : Swagger.Nullable_Boolean; Push : Swagger.Nullable_Boolean; Pull : Swagger.Nullable_Boolean; P__class : Swagger.Nullable_UString; end record; package GithubRepositorypermissions_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubRepositorypermissions_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepositorypermissions_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepositorypermissions_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepositorypermissions_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepositorypermissions_Type_Vectors.Vector); type GithubRepository_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.GithubRepositorylinks_Type; Default_Branch : Swagger.Nullable_UString; Description : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; Permissions : .Models.GithubRepositorypermissions_Type; P_Private : Swagger.Nullable_Boolean; Full_Name : Swagger.Nullable_UString; end record; package GithubRepository_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubRepository_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepository_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepository_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepository_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepository_Type_Vectors.Vector); type GithubRepositories_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.GithubRepositorieslinks_Type; Items : .Models.GithubRepository_Type_Vectors.Vector; Last_Page : Swagger.Nullable_Integer; Next_Page : Swagger.Nullable_Integer; Page_Size : Swagger.Nullable_Integer; end record; package GithubRepositories_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubRepositories_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepositories_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRepositories_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepositories_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRepositories_Type_Vectors.Vector); type GithubRespositoryContainer_Type is record P__class : Swagger.Nullable_UString; P__links : .Models.GithubRespositoryContainerlinks_Type; Repositories : .Models.GithubRepositories_Type; end record; package GithubRespositoryContainer_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => GithubRespositoryContainer_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRespositoryContainer_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in GithubRespositoryContainer_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRespositoryContainer_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out GithubRespositoryContainer_Type_Vectors.Vector); type User_Type is record P__class : Swagger.Nullable_UString; Id : Swagger.Nullable_UString; Full_Name : Swagger.Nullable_UString; Email : Swagger.Nullable_UString; Name : Swagger.Nullable_UString; end record; package User_Type_Vectors is new Ada.Containers.Vectors (Index_Type => Positive, Element_Type => User_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in User_Type); procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in User_Type_Vectors.Vector); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out User_Type); procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out User_Type_Vectors.Vector); end .Models;
38.157159
111
0.608552
cb07631f43515ea778e97d8f702ca9fc554c2335
2,965
adb
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/g-eacodu.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/g-eacodu.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/g-eacodu.adb
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- G N A T . E X C E P T I O N _ A C T I O N S . C O R E _ D U M P -- -- -- -- B o d y -- -- -- -- Copyright (C) 2003-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This is the default (Unix) version separate (GNAT.Exception_Actions) procedure Core_Dump (Occurrence : Exception_Occurrence) is pragma Unreferenced (Occurrence); SIG_ABORT : constant := 6; procedure C_Abort; pragma Import (C, C_Abort, "abort"); procedure Signal (Signum : Integer; Handler : System.Address); pragma Import (C, Signal, "signal"); begin -- Unregister the default handler for SIGABRT, since otherwise we would -- simply get a standard Ada exception, which is not what we want. Signal (SIG_ABORT, System.Null_Address); C_Abort; end Core_Dump;
59.3
78
0.442833
c5de874ca95448568fbb7e8701611c5c8af09069
105
ads
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/inline3_pkg.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/inline3_pkg.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/inline3_pkg.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
package Inline3_Pkg is procedure Test (I : Integer); pragma Inline_Always (Test); end Inline3_Pkg;
15
31
0.742857
c59278963efb9ae3babcd78face2e6b5edd64fc8
14,472
adb
Ada
repositories-parse_repo_spec.adb
annexi-strayline/AURA
fbbc4bc963ee82872a67e088b68f0565ba5b50a7
[ "BSD-3-Clause" ]
13
2021-09-28T18:14:32.000Z
2022-02-09T17:48:53.000Z
repositories-parse_repo_spec.adb
annexi-strayline/AURA
fbbc4bc963ee82872a67e088b68f0565ba5b50a7
[ "BSD-3-Clause" ]
9
2021-09-28T19:18:25.000Z
2022-01-14T22:54:06.000Z
repositories-parse_repo_spec.adb
annexi-strayline/AURA
fbbc4bc963ee82872a67e088b68f0565ba5b50a7
[ "BSD-3-Clause" ]
1
2021-10-21T21:19:08.000Z
2021-10-21T21:19:08.000Z
------------------------------------------------------------------------------ -- -- -- Ada User Repository Annex (AURA) -- -- Reference Implementation -- -- -- -- ------------------------------------------------------------------------ -- -- -- -- Copyright (C) 2020, ANNEXI-STRAYLINE Trans-Human Ltd. -- -- All rights reserved. -- -- -- -- Original Contributors: -- -- * Richard Wai (ANNEXI-STRAYLINE) -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- -- -- * Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ with Ada.Exceptions; with Ada.Strings.Wide_Wide_Unbounded; with Ada.Characters.Conversions; with Unicode.Case_Folding.Simple; with Ada_Lexical_Parser; use Ada_Lexical_Parser; separate (Repositories) procedure Parse_Repo_Spec (Stream : not null access Ada.Streams.Root_Stream_Type'Class; Expected_Name: in Unit_Names.Unit_Name; Repo : out Repository) is Source: Source_Buffer (Stream); E: Lexical_Element; Peeked: Boolean := False; -- When True, the next call to Next_Element has no effect, and resets -- Peeked to false package WWU renames Ada.Strings.Wide_Wide_Unbounded; function To_WWS (Source: WWU.Unbounded_Wide_Wide_String) return Wide_Wide_String renames WWU.To_Wide_Wide_String; function To_WWS (Item: in String) return Wide_Wide_String renames Ada.Characters.Conversions.To_Wide_Wide_String; function To_String (Item : in Wide_Wide_String; Substitute: in Character := ' ') return String renames Ada.Characters.Conversions.To_String; procedure Next_Element (Peek: in Boolean := False) is begin -- Peek essentially just ensures the next call to Next_Element -- leaves E as is, for that call. This allows a call to Next_Element -- that does not effect the next call to Next_Element if Peeked then -- If we are doing another Peek, just leave it as is if not Peek then Peeked := False; end if; else loop E := Next_Element (Source); exit when E.Category /= Comment; end loop; if Peek then Peeked := True; end if; end if; end Next_Element; function Category return Lexical_Category is (E.Category); function Content return Wide_Wide_String is (To_WWS (E.Content)); function Read_Constant (Name : in Wide_Wide_String; Subtype_Mark : in Wide_Wide_String; Value_Category: in Lexical_Category; Optional : in Boolean := False) return Wide_Wide_String is begin Next_Element (Peek => Optional); if Optional then if Category /= Identifier or else Content /= Name then -- Not a match for an optional declaration return ""; else -- Clear the peek Next_Element; end if; end if; Assert (Check => Category = Identifier and then Content = Name, Message => "Expected declaration of """ & To_String (Name) & '"'); Next_Element; Assert (Check => Category = Delimiter and then Content = ":", Message => """:"" expected."); Next_Element; Assert (Check => Category = Reserved_Word and then Content = "constant", Message => "All object declarations shall be constant"); Next_Element; Assert (Check => Category = Identifier and then Content = Subtype_Mark, Message => "Incorrect subtype for """ & To_String (Name) & """. Should be """ & To_String (Subtype_Mark) & '"'); Next_Element; Assert (Check => Category = Delimiter and then Content = ":=", Message => """:="" expected."); Next_Element; Assert (Check => Category = Value_Category, Message => "Invalid value for assignment"); return Value: Wide_Wide_String := Content do -- Ensure that a ';' follows. We don't allow expressions -- for these assignments Next_Element; Assert (Check => Category = Delimiter and then Content = ";", Message => "Expressions are not permitted in Repository " & "specifications."); end return; end Read_Constant; begin Next_Element; Assert (Check => Category = Reserved_Word and then Content = "package", Message => "Repository specifications must be packages, and " & "shall not have any with statements"); Next_Element; Assert (Check => Category = Identifier and then Content = "aura", Message => "Package name incorrect for this repository. " & "Expected: " & Expected_Name.To_UTF8_String); Next_Element; Assert (Check => Category = Delimiter and then Content = ".", Message => "Package name incorrect for this repository. " & "Expected: " & Expected_Name.To_UTF8_String); Next_Element; Assert (Check => Category = Identifier and then ("aura." & Content) = Expected_Name.To_String, Message => "Package name incorrect for this repository. " & "Expected: " & Expected_Name.To_UTF8_String); Next_Element; Assert (Check => Category = Reserved_Word and then Content = "with", Message => "Expected ""with"" - " & "Repository specifications must be Pure"); Next_Element; Assert (Check => Category = Identifier and then Content = "pure", Message => "Expected ""Pure"" - " & "Repository specifications must be Pure"); Next_Element; Assert (Check => Category = Reserved_Word and then Content = "is", Message => "Expected ""is"""); -- Load format and initialize the record declare Format_Value: Wide_Wide_String := Read_Constant (Name => "format", Subtype_Mark => "repository_format", Value_Category => Identifier); Found_Match: Boolean := False; Format: Repository_Format; begin -- Match the value. This method ensures that if we change the -- definition of Repository_Format, we don't need to change -- anything here for F in Repository_Format loop declare Check_Value_S: constant String := Repository_Format'Image (F); Check_Value: Wide_Wide_String := To_WWS (Check_Value_S); begin for C of Check_Value loop C := Unicode.Case_Folding.Simple (C); end loop; if Format_Value = Check_Value then Found_Match := True; Format := F; exit; end if; end; end loop; Assert (Check => Found_Match, Message => '"' & Ada.Characters.Conversions.To_String (Format_Value) & """ is not a valid value for Repository_Format"); -- Initialize the Repo record with the Format discriminent -- Languages rules mean we cant initialize a discriminent to a non- -- static value Repo := (case Format is when System => (Format => System, others => <>), when Local => (Format => Local, others => <>), when Git => (Format => Git, others => <>)); end; -- Next-up is "Location", which is present for all formats UBS.Set_Unbounded_String (Target => Repo.Location, Source => Ada.Characters.Conversions.To_String (Read_Constant (Name => "location", Subtype_Mark => "string", Value_Category => String_Literal))); UBS.Set_Unbounded_String (Target => Repo.Snapshot, Source => Ada.Characters.Conversions.To_String (Read_Constant (Name => "snapshot", Subtype_Mark => "string", Value_Category => String_Literal, Optional => True))); case Repo.Format is when System | Local => null; when Git => -- Also load snapshot and Tracking_Branch (if they exist). -- They must appear in that order, and there must be at least -- one UBS.Set_Unbounded_String (Target => Repo.Tracking_Branch, Source => Ada.Characters.Conversions.To_String (Read_Constant (Name => "tracking_branch", Subtype_Mark => "string", Value_Category => String_Literal, Optional => True))); Assert (Check => (if UBS.Length (Repo.Snapshot) = 0 then UBS.Length (Repo.Tracking_Branch) > 0), Message => "There must be either a Snapshot or " & "Tracking_Branch value for a git repository."); end case; -- Finally, there should not be any more unrecognized object declarations, -- so we expect to see "end Repository_X;" Next_Element; Assert (Check => Category = Reserved_Word and then Content = "end", Message => "There shall not be any other declarations in " & "a repository specification"); Next_Element; if Category = Identifier then Assert (Check => Content = "aura", Message => "Package name mismatch at end. Expected """ & Expected_Name.To_UTF8_String & """, found """ & Ada.Characters.Conversions.To_String (Content) & '"'); Next_Element; Assert (Check => Category = Delimiter and then Content = ".", Message => "Package name mismatch at end. Expected """ & Expected_Name.To_UTF8_String & """, found """ & Ada.Characters.Conversions.To_String (Content) & '"'); Next_Element; Assert (Check => Category = Identifier and then ("aura." & Content) = Expected_Name.To_String, Message => "Package name mismatch at end. Expected """ & Expected_Name.To_UTF8_String & """, found """ & Ada.Characters.Conversions.To_String (Content) & '"'); Next_Element; end if; Assert (Check => Category = Delimiter and then Content = ";", Message => "Expected "";"""); exception when e: Assertion_Error => declare Pos: constant Source_Position := Last_Position (Source); begin raise Assertion_Error with (Expected_Name.To_UTF8_String & ":" & Positive'Image (Pos.Line) & ":" & Positive'Image (Pos.Column) & " - " & Ada.Exceptions.Exception_Information (e)); end; when others => raise; end Parse_Repo_Spec;
40.424581
78
0.504975
d09bb90e2508d354a763a20d1ec031251c68646c
867
adb
Ada
build_gnu/binutils/gdb/testsuite/gdb.ada/tagged_not_init/foo.adb
jed-frey/e200-gcc
df1421b421a8ec8729d70791129f5283dee5f9ea
[ "BSD-3-Clause" ]
1
2017-05-31T21:42:12.000Z
2017-05-31T21:42:12.000Z
build_gnu/binutils/gdb/testsuite/gdb.ada/tagged_not_init/foo.adb
jed-frey/e200-gcc
df1421b421a8ec8729d70791129f5283dee5f9ea
[ "BSD-3-Clause" ]
null
null
null
build_gnu/binutils/gdb/testsuite/gdb.ada/tagged_not_init/foo.adb
jed-frey/e200-gcc
df1421b421a8ec8729d70791129f5283dee5f9ea
[ "BSD-3-Clause" ]
1
2019-12-17T22:04:07.000Z
2019-12-17T22:04:07.000Z
-- Copyright 2012-2014 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with Pck; use Pck; procedure Foo is I : Integer := Ident (10); -- STOP Obj : Base; begin Obj.X := I; Do_Nothing (Obj.X'Address); end Foo;
34.68
73
0.712803
c5fe380c1b5b4bf9dca3d63541591104ee41d366
3,641
ads
Ada
source/amf/uml/amf-standard_profile_l2-derives-hash.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/uml/amf-standard_profile_l2-derives-hash.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/uml/amf-standard_profile_l2-derives-hash.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2011-2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ with AMF.Elements.Generic_Hash; function AMF.Standard_Profile_L2.Derives.Hash is new AMF.Elements.Generic_Hash (Standard_Profile_L2_Derive, Standard_Profile_L2_Derive_Access);
72.82
96
0.407306
dcef42ed2c759652e532df75329c734830683166
1,150
ads
Ada
polynomial/clenshaw/chebychev.ads
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
30
2018-12-09T01:15:04.000Z
2022-03-20T16:14:54.000Z
polynomial/clenshaw/chebychev.ads
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
null
null
null
polynomial/clenshaw/chebychev.ads
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
null
null
null
-- package Chebychev -- -- Data structure for generating Chebychev polynomials of the 2nd kind, -- using Clenshaw's method: -- Q_0(X) = 1 -- Q_1(X) = 2*X -- Q_k(X) = 2*X*Q_k-1(X) - Q_k-2(X) -- Alpha(k,X) = 2*X -- Beta(k,X) = -1 -- They are orthogonal on the interval (-1,1) with -- weight function W(X) = Sqrt( 1 - X*X). -- Normalizing integral: Integral(Q_n(X) * Q_n(X) * W(X)) = Pii/2 -- generic type Real is digits <>; with function Exp (X : Real) return real; with function Log (X : Real) return real; package Chebychev is X_Lower_Bound : constant Real := -1.0; X_Upper_Bound : constant Real := +1.0; -- parameter m is unused. type Poly_ID_Integer is new Integer; function Alpha (k : Poly_ID_Integer; m : Real := 0.0; X : Real) return Real; function Beta (k : Poly_ID_Integer; m : Real := 0.0; X : Real) return Real; function Q_0 (X : Real; m : Real := 0.0) return Real; function Q_1 (X : Real; m : Real := 0.0) return Real; function Norm (k : Poly_ID_Integer; m : Real := 0.0) return Real; function Poly_Weight (X : Real) return Real; end Chebychev;
26.136364
79
0.607826
cb347dcef9293042685d44f3f7855a178ef21408
149,730
adb
Ada
Acceleration/memcached/hls/memcachedPipeline_prj/solution1/.autopilot/db/receive.sched.adb
pratik0509/HLSx_Xilinx_edit
14bdbcdb3107aa225e46a0bfe7d4a2a426e9e1ca
[ "BSD-3-Clause" ]
null
null
null
Acceleration/memcached/hls/memcachedPipeline_prj/solution1/.autopilot/db/receive.sched.adb
pratik0509/HLSx_Xilinx_edit
14bdbcdb3107aa225e46a0bfe7d4a2a426e9e1ca
[ "BSD-3-Clause" ]
null
null
null
Acceleration/memcached/hls/memcachedPipeline_prj/solution1/.autopilot/db/receive.sched.adb
pratik0509/HLSx_Xilinx_edit
14bdbcdb3107aa225e46a0bfe7d4a2a426e9e1ca
[ "BSD-3-Clause" ]
1
2018-11-13T17:59:49.000Z
2018-11-13T17:59:49.000Z
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>receive</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>memRdData_V_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>memRdData.V.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <direction>0</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>5</id> <name>disp2rec_V_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <direction>0</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>7</id> <name>getPath2remux_V_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_4"> <Value> <Obj> <type>1</type> <id>8</id> <name>filterPopGet_V_V</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <direction>1</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>63</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_5"> <Value> <Obj> <type>0</type> <id>14</id> <name>getState_load</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>489</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>489</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>89</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>15</id> <name>getValueLength_V_loa</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>505</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>505</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>90</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>16</id> <name>getCounter_load</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>497</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>497</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>91</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>17</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>489</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>489</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>92</item> <item>93</item> <item>94</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>19</id> <name>tmp</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>492</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>492</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>96</item> <item>97</item> <item>99</item> </oprand_edges> <opcode>nbreadreq</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>20</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>492</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>492</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>100</item> <item>101</item> <item>102</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>22</id> <name>tmp_132</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>492</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>492</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>104</item> <item>105</item> <item>106</item> </oprand_edges> <opcode>nbreadreq</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>23</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>492</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>492</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>107</item> <item>108</item> <item>109</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>25</id> <name>tmp_V_16</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>493</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>493</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>111</item> <item>112</item> <item>371</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.39</m_delay> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>26</id> <name>tmp_V_19</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>494</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>494</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>114</item> <item>115</item> <item>372</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>27</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>494</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>494</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>116</item> <item>117</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>28</id> <name>tmp_V_18</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>495</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>495</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>118</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>29</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>495</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>495</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>120</item> <item>121</item> <item>122</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.39</m_delay> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>30</id> <name>tmp_99_i</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>496</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>496</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>124</item> <item>125</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.33</m_delay> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>31</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>496</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>496</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>126</item> <item>127</item> <item>369</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>32</id> <name>tmp_100_i</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>497</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>497</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>129</item> <item>130</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.35</m_delay> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>33</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>497</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>497</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>131</item> <item>132</item> <item>370</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>34</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>498</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>498</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>134</item> <item>135</item> <item>368</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>35</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>499</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>499</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>136</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>37</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>500</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>500</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>137</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>39</id> <name>tmp_113</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>497</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>497</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>138</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>40</id> <name>Lo_assign</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName>Lo</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>140</item> <item>141</item> <item>143</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>41</id> <name>Hi_assign</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName>Hi</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>144</item> <item>146</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>42</id> <name>p_Val2_s</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName>__Val2__</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>147</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>43</id> <name>tmp_114</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>148</item> <item>149</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.10</m_delay> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>44</id> <name>tmp_115</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>151</item> <item>152</item> <item>153</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>45</id> <name>tmp_116</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>154</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>46</id> <name>tmp_117</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>156</item> <item>157</item> <item>159</item> <item>161</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>47</id> <name>tmp_118</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>162</item> <item>163</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.34</m_delay> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>48</id> <name>tmp_119</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>165</item> <item>166</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.34</m_delay> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>49</id> <name>tmp_120</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>167</item> <item>168</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.34</m_delay> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>50</id> <name>tmp_121</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>169</item> <item>170</item> <item>171</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>51</id> <name>tmp_122</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>172</item> <item>173</item> <item>174</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>52</id> <name>tmp_123</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>175</item> <item>176</item> <item>177</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>53</id> <name>tmp_124</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>178</item> <item>179</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.34</m_delay> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>54</id> <name>tmp_125</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>180</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>55</id> <name>tmp_126</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>181</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>56</id> <name>tmp_127</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>182</item> <item>183</item> </oprand_edges> <opcode>lshr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.77</m_delay> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>57</id> <name>tmp_128</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>185</item> <item>186</item> </oprand_edges> <opcode>lshr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>58</id> <name>p_Result_s</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName>__Result__</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>187</item> <item>188</item> </oprand_edges> <opcode>and</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.72</m_delay> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>59</id> <name>tmp_V</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>189</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>60</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>504</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>504</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>190</item> <item>191</item> <item>192</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.39</m_delay> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>61</id> <name>tmp_131</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>505</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>505</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>194</item> <item>195</item> <item>197</item> <item>199</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>62</id> <name>icmp</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>505</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>505</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>200</item> <item>202</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.90</m_delay> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>63</id> <name>tmp_95_i</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>505</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>505</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>203</item> <item>204</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.33</m_delay> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>64</id> <name>storemerge_i</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>505</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>505</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>205</item> <item>206</item> <item>208</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.60</m_delay> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>65</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>505</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>505</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>209</item> <item>210</item> <item>365</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>66</id> <name>tmp_96_i</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>506</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>506</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>211</item> <item>212</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.02</m_delay> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>67</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>506</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>506</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>213</item> <item>214</item> <item>215</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>69</id> <name>tmp_97_i</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>511</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>511</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>224</item> <item>226</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.86</m_delay> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>70</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>511</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>511</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>227</item> <item>228</item> <item>229</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>72</id> <name>tmp_98_i</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>516</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>516</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>235</item> <item>236</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>1.35</m_delay> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>73</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>237</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>75</id> <name>tmp_V_15</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>512</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>512</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.V</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>230</item> <item>231</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>76</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>512</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>512</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>232</item> <item>233</item> <item>373</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>77</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>514</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>514</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>234</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>79</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>507</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>507</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>217</item> <item>218</item> <item>219</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>2.39</m_delay> </item> <item class_id_reference="9" object_id="_62"> <Value> <Obj> <type>0</type> <id>80</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>508</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>508</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>221</item> <item>222</item> <item>366</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_63"> <Value> <Obj> <type>0</type> <id>81</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>510</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>510</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>223</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_64"> <Value> <Obj> <type>0</type> <id>83</id> <name>storemerge11_i</name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>516</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>516</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>6</count> <item_version>0</item_version> <item>239</item> <item>240</item> <item>241</item> <item>242</item> <item>243</item> <item>244</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_65"> <Value> <Obj> <type>0</type> <id>84</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>509</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>509</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>245</item> <item>246</item> <item>367</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.85</m_delay> </item> <item class_id_reference="9" object_id="_66"> <Value> <Obj> <type>0</type> <id>85</id> <name></name> <fileName>sources/valueStore/valueStore.cpp</fileName> <fileDirectory>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</fileDirectory> <lineNumber>517</lineNumber> <contextFuncName>receive</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/pratik0509/Projects/HLx_Examples/Acceleration/memcached/hls</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>sources/valueStore/valueStore.cpp</first> <second>receive</second> </first> <second>517</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>247</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> <item class_id_reference="9" object_id="_67"> <Value> <Obj> <type>0</type> <id>87</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_delay>0.00</m_delay> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>17</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_68"> <Value> <Obj> <type>2</type> <id>98</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_69"> <Value> <Obj> <type>2</type> <id>123</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <const_type>0</const_type> <content>4088</content> </item> <item class_id_reference="16" object_id="_70"> <Value> <Obj> <type>2</type> <id>128</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_71"> <Value> <Obj> <type>2</type> <id>133</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_72"> <Value> <Obj> <type>2</type> <id>142</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_73"> <Value> <Obj> <type>2</type> <id>145</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>14</bitwidth> </Value> <const_type>0</const_type> <content>63</content> </item> <item class_id_reference="16" object_id="_74"> <Value> <Obj> <type>2</type> <id>158</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>511</content> </item> <item class_id_reference="16" object_id="_75"> <Value> <Obj> <type>2</type> <id>160</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_76"> <Value> <Obj> <type>2</type> <id>164</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <const_type>0</const_type> <content>511</content> </item> <item class_id_reference="16" object_id="_77"> <Value> <Obj> <type>2</type> <id>184</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>512</bitwidth> </Value> <const_type>0</const_type> <content>13407807929942597099574024998205846127479365820592393377723561443721764030073546976801874298166903427690031858186486050853753882811946569946433649006084095</content> </item> <item class_id_reference="16" object_id="_78"> <Value> <Obj> <type>2</type> <id>196</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_79"> <Value> <Obj> <type>2</type> <id>198</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>11</content> </item> <item class_id_reference="16" object_id="_80"> <Value> <Obj> <type>2</type> <id>201</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_81"> <Value> <Obj> <type>2</type> <id>207</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>12</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_82"> <Value> <Obj> <type>2</type> <id>220</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_83"> <Value> <Obj> <type>2</type> <id>225</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <const_type>0</const_type> <content>7</content> </item> <item class_id_reference="16" object_id="_84"> <Value> <Obj> <type>2</type> <id>238</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>12</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_85"> <Obj> <type>3</type> <id>18</id> <name>entry</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>14</item> <item>15</item> <item>16</item> <item>17</item> </node_objs> </item> <item class_id_reference="18" object_id="_86"> <Obj> <type>3</type> <id>21</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>19</item> <item>20</item> </node_objs> </item> <item class_id_reference="18" object_id="_87"> <Obj> <type>3</type> <id>24</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>22</item> <item>23</item> </node_objs> </item> <item class_id_reference="18" object_id="_88"> <Obj> <type>3</type> <id>36</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>11</count> <item_version>0</item_version> <item>25</item> <item>26</item> <item>27</item> <item>28</item> <item>29</item> <item>30</item> <item>31</item> <item>32</item> <item>33</item> <item>34</item> <item>35</item> </node_objs> </item> <item class_id_reference="18" object_id="_89"> <Obj> <type>3</type> <id>38</id> <name>._crit_edge3.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>37</item> </node_objs> </item> <item class_id_reference="18" object_id="_90"> <Obj> <type>3</type> <id>68</id> <name>._crit_edge5.i</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>29</count> <item_version>0</item_version> <item>39</item> <item>40</item> <item>41</item> <item>42</item> <item>43</item> <item>44</item> <item>45</item> <item>46</item> <item>47</item> <item>48</item> <item>49</item> <item>50</item> <item>51</item> <item>52</item> <item>53</item> <item>54</item> <item>55</item> <item>56</item> <item>57</item> <item>58</item> <item>59</item> <item>60</item> <item>61</item> <item>62</item> <item>63</item> <item>64</item> <item>65</item> <item>66</item> <item>67</item> </node_objs> </item> <item class_id_reference="18" object_id="_91"> <Obj> <type>3</type> <id>71</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>69</item> <item>70</item> </node_objs> </item> <item class_id_reference="18" object_id="_92"> <Obj> <type>3</type> <id>74</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>72</item> <item>73</item> </node_objs> </item> <item class_id_reference="18" object_id="_93"> <Obj> <type>3</type> <id>78</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>75</item> <item>76</item> <item>77</item> </node_objs> </item> <item class_id_reference="18" object_id="_94"> <Obj> <type>3</type> <id>82</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>79</item> <item>80</item> <item>81</item> </node_objs> </item> <item class_id_reference="18" object_id="_95"> <Obj> <type>3</type> <id>86</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>83</item> <item>84</item> <item>85</item> </node_objs> </item> <item class_id_reference="18" object_id="_96"> <Obj> <type>3</type> <id>88</id> <name>receive.exit</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>87</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>145</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_97"> <id>89</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_98"> <id>90</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>15</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_99"> <id>91</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_100"> <id>92</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_101"> <id>93</id> <edge_type>2</edge_type> <source_obj>21</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_102"> <id>94</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_103"> <id>97</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_104"> <id>99</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_105"> <id>100</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_106"> <id>101</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_107"> <id>102</id> <edge_type>2</edge_type> <source_obj>24</source_obj> <sink_obj>20</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_108"> <id>105</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_109"> <id>106</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_110"> <id>107</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_111"> <id>108</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_112"> <id>109</id> <edge_type>2</edge_type> <source_obj>36</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_113"> <id>112</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_114"> <id>115</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_115"> <id>116</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_116"> <id>117</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_117"> <id>118</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_118"> <id>121</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_119"> <id>122</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_120"> <id>124</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_121"> <id>125</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_122"> <id>126</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_123"> <id>127</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_124"> <id>129</id> <edge_type>1</edge_type> <source_obj>128</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_125"> <id>130</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_126"> <id>131</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_127"> <id>132</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_128"> <id>134</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_129"> <id>135</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_130"> <id>136</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_131"> <id>137</id> <edge_type>2</edge_type> <source_obj>88</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_132"> <id>138</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_133"> <id>141</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_134"> <id>143</id> <edge_type>1</edge_type> <source_obj>142</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_135"> <id>144</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_136"> <id>146</id> <edge_type>1</edge_type> <source_obj>145</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_137"> <id>147</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_138"> <id>148</id> <edge_type>1</edge_type> <source_obj>40</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_139"> <id>149</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_140"> <id>152</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_141"> <id>153</id> <edge_type>1</edge_type> <source_obj>142</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_142"> <id>154</id> <edge_type>1</edge_type> <source_obj>41</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_143"> <id>157</id> <edge_type>1</edge_type> <source_obj>42</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_144"> <id>159</id> <edge_type>1</edge_type> <source_obj>158</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_145"> <id>161</id> <edge_type>1</edge_type> <source_obj>160</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_146"> <id>162</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_147"> <id>163</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_148"> <id>165</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_149"> <id>166</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_150"> <id>167</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_151"> <id>168</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_152"> <id>169</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_153"> <id>170</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_154"> <id>171</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_155"> <id>172</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_156"> <id>173</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_157"> <id>174</id> <edge_type>1</edge_type> <source_obj>42</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_158"> <id>175</id> <edge_type>1</edge_type> <source_obj>43</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_159"> <id>176</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_160"> <id>177</id> <edge_type>1</edge_type> <source_obj>44</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_161"> <id>178</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_162"> <id>179</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_163"> <id>180</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>54</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_164"> <id>181</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_165"> <id>182</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_166"> <id>183</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_167"> <id>185</id> <edge_type>1</edge_type> <source_obj>184</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_168"> <id>186</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_169"> <id>187</id> <edge_type>1</edge_type> <source_obj>56</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_170"> <id>188</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_171"> <id>189</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_172"> <id>191</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_173"> <id>192</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_174"> <id>195</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_175"> <id>197</id> <edge_type>1</edge_type> <source_obj>196</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_176"> <id>199</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_177"> <id>200</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_178"> <id>202</id> <edge_type>1</edge_type> <source_obj>201</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_179"> <id>203</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_180"> <id>204</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_181"> <id>205</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_182"> <id>206</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_183"> <id>208</id> <edge_type>1</edge_type> <source_obj>207</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_184"> <id>209</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_185"> <id>210</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_186"> <id>211</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_187"> <id>212</id> <edge_type>1</edge_type> <source_obj>207</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_188"> <id>213</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_189"> <id>214</id> <edge_type>2</edge_type> <source_obj>71</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_190"> <id>215</id> <edge_type>2</edge_type> <source_obj>82</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_191"> <id>218</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_192"> <id>219</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_193"> <id>221</id> <edge_type>1</edge_type> <source_obj>220</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_194"> <id>222</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_195"> <id>223</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_196"> <id>224</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_197"> <id>226</id> <edge_type>1</edge_type> <source_obj>225</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_198"> <id>227</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_199"> <id>228</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_200"> <id>229</id> <edge_type>2</edge_type> <source_obj>78</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_201"> <id>231</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_202"> <id>232</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_203"> <id>233</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_204"> <id>234</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_205"> <id>235</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_206"> <id>236</id> <edge_type>1</edge_type> <source_obj>128</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_207"> <id>237</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_208"> <id>239</id> <edge_type>1</edge_type> <source_obj>238</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_209"> <id>240</id> <edge_type>2</edge_type> <source_obj>82</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_210"> <id>241</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_211"> <id>242</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_212"> <id>243</id> <edge_type>1</edge_type> <source_obj>238</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_213"> <id>244</id> <edge_type>2</edge_type> <source_obj>78</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_214"> <id>245</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_215"> <id>246</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_216"> <id>247</id> <edge_type>2</edge_type> <source_obj>88</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_217"> <id>349</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_218"> <id>350</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_219"> <id>351</id> <edge_type>2</edge_type> <source_obj>21</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_220"> <id>352</id> <edge_type>2</edge_type> <source_obj>21</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_221"> <id>353</id> <edge_type>2</edge_type> <source_obj>24</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_222"> <id>354</id> <edge_type>2</edge_type> <source_obj>24</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_223"> <id>355</id> <edge_type>2</edge_type> <source_obj>36</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_224"> <id>356</id> <edge_type>2</edge_type> <source_obj>38</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_225"> <id>357</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_226"> <id>358</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_227"> <id>359</id> <edge_type>2</edge_type> <source_obj>71</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_228"> <id>360</id> <edge_type>2</edge_type> <source_obj>71</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_229"> <id>361</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_230"> <id>362</id> <edge_type>2</edge_type> <source_obj>78</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_231"> <id>363</id> <edge_type>2</edge_type> <source_obj>82</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_232"> <id>364</id> <edge_type>2</edge_type> <source_obj>86</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_233"> <id>365</id> <edge_type>4</edge_type> <source_obj>15</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_234"> <id>366</id> <edge_type>4</edge_type> <source_obj>14</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_235"> <id>367</id> <edge_type>4</edge_type> <source_obj>16</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_236"> <id>368</id> <edge_type>4</edge_type> <source_obj>14</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_237"> <id>369</id> <edge_type>4</edge_type> <source_obj>15</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_238"> <id>370</id> <edge_type>4</edge_type> <source_obj>16</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_239"> <id>371</id> <edge_type>4</edge_type> <source_obj>19</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_240"> <id>372</id> <edge_type>4</edge_type> <source_obj>22</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_241"> <id>373</id> <edge_type>4</edge_type> <source_obj>42</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_242"> <mId>1</mId> <mTag>receive</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>12</count> <item_version>0</item_version> <item>18</item> <item>21</item> <item>24</item> <item>36</item> <item>38</item> <item>68</item> <item>71</item> <item>74</item> <item>78</item> <item>82</item> <item>86</item> <item>88</item> </basic_blocks> <mII>1</mII> <mDepth>2</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>1</mMinLatency> <mMaxLatency>1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="-1"></res> <node_label_latency class_id="26" tracking_level="0" version="0"> <count>63</count> <item_version>0</item_version> <item class_id="27" tracking_level="0" version="0"> <first>14</first> <second class_id="28" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>15</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>20</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>27</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>43</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>45</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>47</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>49</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>50</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>54</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>56</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>57</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>61</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>62</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>63</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>64</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>69</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>70</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>73</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>75</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>76</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>77</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>79</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>80</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>81</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>83</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>84</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>85</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>87</first> <second> <first>1</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="29" tracking_level="0" version="0"> <count>12</count> <item_version>0</item_version> <item class_id="30" tracking_level="0" version="0"> <first>18</first> <second class_id="31" tracking_level="0" version="0"> <first>0</first> <second>1</second> </second> </item> <item> <first>21</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>36</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>38</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>68</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>71</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>74</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>78</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>82</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>86</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>88</first> <second> <first>1</first> <second>1</second> </second> </item> </bblk_ent_exit> <regions class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="1" version="0" object_id="_243"> <region_name>receive</region_name> <basic_blocks> <count>12</count> <item_version>0</item_version> <item>18</item> <item>21</item> <item>24</item> <item>36</item> <item>38</item> <item>68</item> <item>71</item> <item>74</item> <item>78</item> <item>82</item> <item>86</item> <item>88</item> </basic_blocks> <nodes> <count>0</count> <item_version>0</item_version> </nodes> <anchor_node>-1</anchor_node> <region_type>8</region_type> <interval>1</interval> <pipe_depth>2</pipe_depth> </item> </regions> <dp_fu_nodes class_id="34" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="35" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="36" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="38" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
27.630559
178
0.605597
cbd724d56c0bcc942681b573b3ca37eed5bff865
3,509
ads
Ada
source/oasis/program-elements-function_instantiations.ads
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
null
null
null
source/oasis/program-elements-function_instantiations.ads
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
null
null
null
source/oasis/program-elements-function_instantiations.ads
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
1
2019-10-16T09:05:27.000Z
2019-10-16T09:05:27.000Z
-- SPDX-FileCopyrightText: 2019 Max Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT ------------------------------------------------------------- with Program.Elements.Declarations; with Program.Lexical_Elements; with Program.Elements.Defining_Names; with Program.Elements.Expressions; with Program.Elements.Parameter_Associations; with Program.Elements.Aspect_Specifications; package Program.Elements.Function_Instantiations is pragma Pure (Program.Elements.Function_Instantiations); type Function_Instantiation is limited interface and Program.Elements.Declarations.Declaration; type Function_Instantiation_Access is access all Function_Instantiation'Class with Storage_Size => 0; not overriding function Name (Self : Function_Instantiation) return not null Program.Elements.Defining_Names.Defining_Name_Access is abstract; not overriding function Generic_Function_Name (Self : Function_Instantiation) return not null Program.Elements.Expressions.Expression_Access is abstract; not overriding function Parameters (Self : Function_Instantiation) return Program.Elements.Parameter_Associations .Parameter_Association_Vector_Access is abstract; not overriding function Aspects (Self : Function_Instantiation) return Program.Elements.Aspect_Specifications .Aspect_Specification_Vector_Access is abstract; not overriding function Has_Not (Self : Function_Instantiation) return Boolean is abstract; not overriding function Has_Overriding (Self : Function_Instantiation) return Boolean is abstract; type Function_Instantiation_Text is limited interface; type Function_Instantiation_Text_Access is access all Function_Instantiation_Text'Class with Storage_Size => 0; not overriding function To_Function_Instantiation_Text (Self : in out Function_Instantiation) return Function_Instantiation_Text_Access is abstract; not overriding function Not_Token (Self : Function_Instantiation_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Overriding_Token (Self : Function_Instantiation_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Function_Token (Self : Function_Instantiation_Text) return not null Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Is_Token (Self : Function_Instantiation_Text) return not null Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function New_Token (Self : Function_Instantiation_Text) return not null Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Left_Bracket_Token (Self : Function_Instantiation_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Right_Bracket_Token (Self : Function_Instantiation_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function With_Token (Self : Function_Instantiation_Text) return Program.Lexical_Elements.Lexical_Element_Access is abstract; not overriding function Semicolon_Token (Self : Function_Instantiation_Text) return not null Program.Lexical_Elements.Lexical_Element_Access is abstract; end Program.Elements.Function_Instantiations;
34.742574
74
0.777999
4a537d33230bf2d4d90c660028767766d47b9a02
25,913
ads
Ada
src/types.ads
Robert-Tice/AdaRoombot
3fd24658ec075f5b0cc22fe1c29205f901b731cd
[ "MIT" ]
10
2017-08-09T15:00:28.000Z
2021-08-13T01:25:30.000Z
src/types.ads
Robert-Tice/AdaRoombot
3fd24658ec075f5b0cc22fe1c29205f901b731cd
[ "MIT" ]
null
null
null
src/types.ads
Robert-Tice/AdaRoombot
3fd24658ec075f5b0cc22fe1c29205f901b731cd
[ "MIT" ]
3
2021-04-10T15:33:05.000Z
2021-05-03T15:55:06.000Z
with System; use System; package Types is type UByte is new Natural range 0 .. 255 with Size => 8; type UByte_Array is array (Positive range <>) of UByte; type Day is (Sunday, Monday, Tuesday, Wednesday, Thursday, Friday, Saturday); type Hour is new Integer range 0 .. 23; type Minute is new Integer range 0 .. 59; type Velocity is new Integer range -500 .. 500 with Size => 16; type Velocity_Container is record Value : Velocity; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Radius is new Integer range -32768 .. 32767 with Static_Predicate => Radius in -2000 .. 2000 | -32768 | 32767, Size => 16; type Radius_Container is record Value : Radius; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Distance is new Integer range -32768 .. 32767 with Size => 16; type Distance_Container is record Value : Distance; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Voltage is new Natural range 0 .. 65535 with Size => 16; type Voltage_Container is record Value : Voltage; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Current is new Integer range -32768 .. 32767 with Size => 16; type Current_Container is record Value : Current; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Temperature is new Integer range -128 .. 127 with Size => 8; type Charge is new Natural range 0 .. 65535 with Size => 16; type Charge_Container is record Value : Charge; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Sensor_Wall_Signal is new Natural range 0 .. 1023 with Size => 16; type Sensor_Wall_Signal_Container is record Value : Sensor_Wall_Signal; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Sensor_Cliff_Signal is new Natural range 0 .. 4095 with Size => 16; type Sensor_Cliff_Signal_Container is record Value : Sensor_Cliff_Signal; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Sensor_Song_Number is new Natural range 0 .. 15 with Size => 8; type Encoder_Counts is new Integer range -32768 .. 32767 with Size => 16; type Encoder_Counts_Container is record Value : Encoder_Counts; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Light_Bump_Signal is new Natural range 0 .. 4095 with Size => 16; type Light_Bump_Signal_Container is record Value : Light_Bump_Signal; end record with Scalar_Storage_Order => High_Order_First, Bit_Order => High_Order_First; type Sensor_Bumps_And_Wheel_Drops is record Bump_Right : Boolean; Bump_Left : Boolean; Wheel_Drop_Right : Boolean; Wheel_Drop_Left : Boolean; end record with Size => 8; for Sensor_Bumps_And_Wheel_Drops use record Bump_Right at 0 range 0 .. 0; Bump_Left at 0 range 1 .. 1; Wheel_Drop_Right at 0 range 2 .. 2; Wheel_Drop_Left at 0 range 3 .. 3; end record; type Sensor_Wheel_Overcurrents is record Side_Brush_OC : Boolean; Main_Brush_OC : Boolean; Right_Wheel_OC : Boolean; Left_Wheel_OC : Boolean; end record with Size => 8; for Sensor_Wheel_Overcurrents use record Side_Brush_OC at 0 range 0 .. 0; Main_Brush_OC at 0 range 2 .. 2; Right_Wheel_OC at 0 range 3 .. 3; Left_Wheel_OC at 0 range 4 .. 4; end record; type Sensor_Buttons is record Clean_But : Boolean; Spot_But : Boolean; Dock_But : Boolean; Minute_But : Boolean; Hour_But : Boolean; Day_But : Boolean; Schedule_But : Boolean; Clock_But : Boolean; end record with Size => 8; for Sensor_Buttons use record Clean_But at 0 range 0 .. 0; Spot_But at 0 range 1 .. 1; Dock_But at 0 range 2 .. 2; Minute_But at 0 range 3 .. 3; Hour_But at 0 range 4 .. 4; Day_But at 0 range 5 .. 5; Schedule_But at 0 range 6 .. 6; Clock_But at 0 range 7 .. 7; end record; type Sensors_Charging_State is (Not_Charging, Reconditiong_Charging, Full_Charging, Trickle_Charging, Waiting, Charging_Fault_Condition) with Size => 8; type Sensor_Charging_Sources_Available is record Internal_Charger : Boolean; Home_Base : Boolean; end record with Size => 8; for Sensor_Charging_Sources_Available use record Internal_Charger at 0 range 0 .. 0; Home_Base at 0 range 1 .. 1; end record; type Sensor_OI_Mode is (Off, Passive, Safe, Full) with Size => 8; type Sensor_Light_Bumper is record LT_Bump_Left : Boolean; LT_Bump_Front_Left : Boolean; LT_Bump_Center_Left : Boolean; LT_Bump_Center_Right : Boolean; LT_Bump_Front_Right : Boolean; LT_Bump_Right : Boolean; end record with Size => 8; for Sensor_Light_Bumper use record LT_Bump_Left at 0 range 0 .. 0; LT_Bump_Front_Left at 0 range 1 .. 1; LT_Bump_Center_Left at 0 range 2 .. 2; LT_Bump_Center_Right at 0 range 3 .. 3; LT_Bump_Front_Right at 0 range 4 .. 4; LT_Bump_Right at 0 range 5 .. 5; end record; type Sensor_Stasis is record Stasis_Toggling : Boolean; Stasis_Disabled : Boolean; end record with Size => 8; for Sensor_Stasis use record Stasis_Toggling at 0 range 0 .. 0; Stasis_Disabled at 0 range 1 .. 1; end record; type Sensor_Collection is record Bumps_And_Wheel_Drops : Sensor_Bumps_And_Wheel_Drops; Wall : Boolean; Cliff_Left : Boolean; Cliff_Front_Left : Boolean; Cliff_Front_Right : Boolean; Cliff_Right : Boolean; Virtual_Wall : Boolean; Wheel_Overcurrents : Sensor_Wheel_Overcurrents; Dirt_Detect : UByte; Unused1 : UByte; IR_Char_Omni : Character; Buttons : Sensor_Buttons; Dis : Distance_Container; Ang : Radius_Container; Charging_State : Sensors_Charging_State; Volt : Voltage_Container; Cur : Current_Container; Temp : Temperature; Batt_Charge : Charge_Container; Batt_Cap : Charge_Container; Wall_Sig : Sensor_Wall_Signal_Container; Cliff_Left_Sig : Sensor_Cliff_Signal_Container; Cliff_Front_Left_Sig : Sensor_Cliff_Signal_Container; Cliff_Front_Right_Sig : Sensor_Cliff_Signal_Container; Cliff_Right_Sig : Sensor_Cliff_Signal_Container; Unused2 : UByte_Array (1 .. 3); Charging_Sources_Available : Sensor_Charging_Sources_Available; OI_Mode : Sensor_OI_Mode; Song_Number : Sensor_Song_Number; Song_Playing : Boolean; Number_Of_Stream_Packets : UByte; Requested_Velocity : Velocity_Container; Requested_Radius : Radius_Container; Requested_Right_Velocity : Velocity_Container; Requested_Left_Velocity : Velocity_Container; Left_Encoder_Counts : Encoder_Counts_Container; Right_Encoder_Counts : Encoder_Counts_Container; Light_Bumper : Sensor_Light_Bumper; Light_Bump_Left_Signal : Light_Bump_Signal_Container; Light_Bump_Front_Left_Signal : Light_Bump_Signal_Container; Light_Bump_Center_Left_Signal : Light_Bump_Signal_Container; Light_Bump_Center_Right_Signal : Light_Bump_Signal_Container; Light_Bump_Front_Right_Signal : Light_Bump_Signal_Container; Light_Bump_Right_Signal : Light_Bump_Signal_Container; IR_Character_Left : Character; IR_Character_Right : Character; Left_Motor_Current : Current_Container; Right_Motor_Current : Current_Container; Main_Motor_Current : Current_Container; Side_Brush_Motor_Current : Current_Container; Stasis : Sensor_Stasis; end record with Alignment => 1; for Sensor_Collection use record Bumps_And_Wheel_Drops at 0 range 0 .. 7; Wall at 1 range 0 .. 7; Cliff_Left at 2 range 0 .. 7; Cliff_Front_Left at 3 range 0 .. 7; Cliff_Front_Right at 4 range 0 .. 7; Cliff_Right at 5 range 0 .. 7; Virtual_Wall at 6 range 0 .. 7; Wheel_Overcurrents at 7 range 0 .. 7; Dirt_Detect at 8 range 0 .. 7; Unused1 at 9 range 0 .. 7; IR_Char_Omni at 10 range 0 .. 7; Buttons at 11 range 0 .. 7; Dis at 12 range 0 .. 15; Ang at 14 range 0 .. 15; Charging_State at 16 range 0 .. 7; Volt at 17 range 0 .. 15; Cur at 19 range 0 .. 15; Temp at 21 range 0 .. 7; Batt_Charge at 22 range 0 .. 15; Batt_Cap at 24 range 0 .. 15; Wall_Sig at 26 range 0 .. 15; Cliff_Left_Sig at 28 range 0 .. 15; Cliff_Front_Left_Sig at 30 range 0 .. 15; Cliff_Front_Right_Sig at 32 range 0 .. 15; Cliff_Right_Sig at 34 range 0 .. 15; Unused2 at 36 range 0 .. 23; Charging_Sources_Available at 39 range 0 .. 7; OI_Mode at 40 range 0 .. 7; Song_Number at 41 range 0 .. 7; Song_Playing at 42 range 0 .. 7; Number_Of_Stream_Packets at 43 range 0 .. 7; Requested_Velocity at 44 range 0 .. 15; Requested_Radius at 46 range 0 .. 15; Requested_Right_Velocity at 48 range 0 .. 15; Requested_Left_Velocity at 50 range 0 .. 15; Left_Encoder_Counts at 52 range 0 .. 15; Right_Encoder_Counts at 54 range 0 .. 15; Light_Bumper at 56 range 0 .. 7; Light_Bump_Left_Signal at 57 range 0 .. 15; Light_Bump_Front_Left_Signal at 59 range 0 .. 15; Light_Bump_Center_Left_Signal at 61 range 0 .. 15; Light_Bump_Center_Right_Signal at 63 range 0 .. 15; Light_Bump_Front_Right_Signal at 65 range 0 .. 15; Light_Bump_Right_Signal at 67 range 0 .. 15; IR_Character_Left at 69 range 0 .. 7; IR_Character_Right at 70 range 0 .. 7; Left_Motor_Current at 71 range 0 .. 15; Right_Motor_Current at 73 range 0 .. 15; Main_Motor_Current at 75 range 0 .. 15; Side_Brush_Motor_Current at 77 range 0 .. 15; Stasis at 79 range 0 .. 7; end record; type Hour_Min is record Hr : Hour; Min : Minute; end record; for Hour_Min use record Hr at 0 range 0 .. 7; Min at 1 range 0 .. 7; end record; type Hour_Min_List is array (Day) of Hour_Min with Pack; type Opcode is (Reset, Start, Baud, Mode_Safe, Mode_Full, Power, Spot_Clean, Clean, Max_Clean, Drive, Motors, LEDs, Song, Play, Sensors_Single, Seek_Dock, PWM_Motors, Drive_Direct, Drive_PWM, Sensors_Stream, Sensors_List, Pause_Resume_Stream, Scheduling_LEDs, Digital_LEDs_Raw, Digital_LEDs_ASCII, Buttons, Schedule, Set_Day_Time, Stop); -- Representation clause for Opcode use (Reset => 7, Start => 128, Baud => 129, Mode_Safe => 131, Mode_Full => 132, Power => 133, Spot_Clean => 134, Clean => 135, Max_Clean => 136, Drive => 137, Motors => 138, LEDs => 139, Song => 140, Play => 141, Sensors_Single => 142, Seek_Dock => 143, PWM_Motors => 144, Drive_Direct => 145, Drive_PWM => 146, Sensors_Stream => 148, Sensors_List => 149, Pause_Resume_Stream => 150, Scheduling_LEDs => 162, Digital_LEDs_Raw => 163, Digital_LEDs_ASCII => 164, Buttons => 165, Schedule => 167, Set_Day_Time => 168, Stop => 173); type Midi_Note is new Integer range 0 .. 127 with Static_Predicate => Midi_Note in 0 | 31 .. 127, Size => 8; type Midi_Tone is record Note : Midi_Note; Duration : Integer range 0 .. 255; end record; for Midi_Tone use record Note at 1 range 0 .. 7; Duration at 2 range 0 .. 7; end record; type Midi_Song is array (Integer range 1 .. 16) of Midi_Tone with Pack; type Sensor_Packets is (Bumps_And_Wheel_Drops, Wall, Cliff_Left, Cliff_Front_Left, Cliff_Front_Right, Cliff_Right, Virtual_Wall, Wheel_Overcurrent, Dirt_Detect, IR_Char_Omni, Buttons, Distance_Sensor, Ang, Charging_State, Voltage_Sensor, Current_Sensor, Temperature_Sensor, Battery_Charge, Battery_Capacity, Wall_Signal, Cliff_Left_Signal, Cliff_Front_Left_Signal, Cliff_Front_Right_Signal, Cliff_Right_Signal, Charging_Sources_Avail, OI_Mode, Song_Number, Song_Playing, Number_Stream_Packets, Req_Velocity, Req_Radius, Req_Right_Velocity, Req_Left_Velocity, Left_Encoder_Counts, Right_Encoder_Counts, Light_Bumper, Light_Bump_Left_Signal, Light_Bump_Front_Left_Signal, Light_Bump_Center_Left_Signal, Light_Bump_Center_Right_Signal, Light_Bump_Front_Right_Signal, Light_Bump_Right_Signal, IR_Char_Left, IR_Char_Right, Left_Motor_Current, Right_Motor_Current, Main_Brush_Motor_Current, Side_Brush_Motor_Current, Stasis); for Sensor_Packets use (Bumps_And_Wheel_Drops => 7, Wall => 8, Cliff_Left => 9, Cliff_Front_Left => 10, Cliff_Front_Right => 11, Cliff_Right => 12, Virtual_Wall => 13, Wheel_Overcurrent => 14, Dirt_Detect => 15, IR_Char_Omni => 17, Buttons => 18, Distance_Sensor => 19, Ang => 20, Charging_State => 21, Voltage_Sensor => 22, Current_Sensor => 23, Temperature_Sensor => 24, Battery_Charge => 25, Battery_Capacity => 26, Wall_Signal => 27, Cliff_Left_Signal => 28, Cliff_Front_Left_Signal => 29, Cliff_Front_Right_Signal => 30, Cliff_Right_Signal => 31, Charging_Sources_Avail => 34, OI_Mode => 35, Song_Number => 36, Song_Playing => 37, Number_Stream_Packets => 38, Req_Velocity => 39, Req_Radius => 40, Req_Right_Velocity => 41, Req_Left_Velocity => 42, Left_Encoder_Counts => 43, Right_Encoder_Counts => 44, Light_Bumper => 45, Light_Bump_Left_Signal => 46, Light_Bump_Front_Left_Signal => 47, Light_Bump_Center_Left_Signal => 48, Light_Bump_Center_Right_Signal => 49, Light_Bump_Front_Right_Signal => 50, Light_Bump_Right_Signal => 51, IR_Char_Left => 52, IR_Char_Right => 53, Left_Motor_Current => 54, Right_Motor_Current => 55, Main_Brush_Motor_Current => 56, Side_Brush_Motor_Current => 57, Stasis => 58); type Baud_Code is (B300, B600, B1200, B2400, B4800, B9600, B19200, B38400, B57600, B115200); type Comm_Rec (Op : Opcode) is record case Op is when Baud => Baud_Rate : Integer range 0 .. 11; when Drive => Vel : Velocity_Container; Rad : Radius_Container; when Motors => Side_Brush : Boolean; Vacuum : Boolean; Main_Brush : Boolean; Side_Brush_CW : Boolean; Main_Brush_Dir : Boolean; when LEDs => Debris : Boolean; Spot : Boolean; Dock : Boolean; Check_Robot : Boolean; Power_Color : Integer range 0 .. 255; Power_Intensity : Integer range 0 .. 255; when Song => Set_Song_Number : Integer range 0 .. 4; Song_Length : Integer range 1 .. 16; when Play => Play_Song_Number : Integer range 0 .. 4; when Sensors_Single => Sensor_Packet_ID : Sensor_Packets; when PWM_Motors => Main_Brush_PWM : Integer range -127 .. 127; Side_Brush_PWM : Integer range -127 .. 127; Vacuum_PWM : Integer range 0 .. 127; when Drive_Direct => Right_Velocity : Velocity_Container; Left_Velocity : Velocity_Container; when Drive_PWM => Right_PWM : Integer range -255 .. 255; Left_PWM : Integer range -255 .. 255; when Sensors_Stream => Num_Stream_Packets : Integer range 0 .. 255; when Sensors_List => Num_Query_Packets : Integer range 0 .. 255; when Pause_Resume_Stream => Stream_State : Boolean; when Scheduling_LEDs => Sun_LED : Boolean; Mon_LED : Boolean; Tues_LED : Boolean; Wed_LED : Boolean; Thurs_LED : Boolean; Fri_LED : Boolean; Sat_LED : Boolean; Colon_LED : Boolean; PM_LED : Boolean; AM_LED : Boolean; Clock_LED : Boolean; Schedule_LED : Boolean; when Digital_LEDs_Raw => A3_Seg : Boolean; B3_Seg : Boolean; C3_Seg : Boolean; D3_Seg : Boolean; E3_Seg : Boolean; F3_Seg : Boolean; G3_Seg : Boolean; A2_Seg : Boolean; B2_Seg : Boolean; C2_Seg : Boolean; D2_Seg : Boolean; E2_Seg : Boolean; F2_Seg : Boolean; G2_Seg : Boolean; A1_Seg : Boolean; B1_Seg : Boolean; C1_Seg : Boolean; D1_Seg : Boolean; E1_Seg : Boolean; F1_Seg : Boolean; G1_Seg : Boolean; A0_Seg : Boolean; B0_Seg : Boolean; C0_Seg : Boolean; D0_Seg : Boolean; E0_Seg : Boolean; F0_Seg : Boolean; G0_Seg : Boolean; when Digital_LEDs_ASCII => Text : String (1 .. 4); when Buttons => Clean_Button : Boolean; Spot_Button : Boolean; Dock_Button : Boolean; Minute_Button : Boolean; Hour_Button : Boolean; Day_Button : Boolean; Schedule_Button : Boolean; Clock_Button : Boolean; when Schedule => Days : Integer range 0 .. 127; HM_List : Hour_Min_List; when Set_Day_Time => Dy : Integer range 0 .. 6; Hr : Hour; Min : Minute; when others => null; end case; end record with Pack, Alignment => 1; -- Representation clause for Comm_Rec use record Op at 0 range 0 .. 7; -- Baud Fields Baud_Rate at 1 range 0 .. 7; -- Motors Fields Side_Brush at 1 range 0 .. 0; Vacuum at 1 range 1 .. 1; Main_Brush at 1 range 2 .. 2; Side_Brush_CW at 1 range 3 .. 3; Main_Brush_Dir at 1 range 4 .. 4; -- LEDs Fields Debris at 1 range 0 .. 0; Spot at 1 range 1 .. 1; Dock at 1 range 2 .. 2; Check_Robot at 1 range 3 .. 3; Power_Color at 2 range 0 .. 7; Power_Intensity at 3 range 0 .. 7; -- Song Fields Set_Song_Number at 1 range 0 .. 7; Song_Length at 2 range 0 .. 7; -- Play Fields Play_Song_Number at 1 range 0 .. 7; -- Sensors Fields Sensor_Packet_ID at 1 range 0 .. 7; -- PWM Motors Fields Main_Brush_PWM at 1 range 0 .. 7; Side_Brush_PWM at 2 range 0 .. 7; Vacuum_PWM at 3 range 0 .. 7; -- Direct Drive Fields Right_Velocity at 1 range 0 .. 15; Left_Velocity at 3 range 0 .. 15; -- Drive PWM Fields Right_PWM at 1 range 0 .. 15; Left_PWM at 3 range 0 .. 15; -- Query List Fields Num_Query_Packets at 1 range 0 .. 7; -- Stream Fields Num_Stream_Packets at 1 range 0 .. 7; -- Pause Resume Stream Stream_State at 1 range 0 .. 0; -- Scheduling LEDs Sun_LED at 1 range 0 .. 0; Mon_LED at 1 range 1 .. 1; Tues_LED at 1 range 2 .. 2; Wed_LED at 1 range 3 .. 3; Thurs_LED at 1 range 4 .. 4; Fri_LED at 1 range 5 .. 5; Sat_LED at 1 range 6 .. 6; Colon_LED at 2 range 0 .. 0; PM_LED at 2 range 1 .. 1; AM_LED at 2 range 2 .. 2; Clock_LED at 2 range 3 .. 3; Schedule_LED at 2 range 4 .. 4; -- Digital LEDs Raw Fields A3_Seg at 1 range 0 .. 0; B3_Seg at 1 range 1 .. 1; C3_Seg at 1 range 2 .. 2; D3_Seg at 1 range 3 .. 3; E3_Seg at 1 range 4 .. 4; F3_Seg at 1 range 5 .. 5; G3_Seg at 1 range 6 .. 6; A2_Seg at 2 range 0 .. 0; B2_Seg at 2 range 1 .. 1; C2_Seg at 2 range 2 .. 2; D2_Seg at 2 range 3 .. 3; E2_Seg at 2 range 4 .. 4; F2_Seg at 2 range 5 .. 5; G2_Seg at 2 range 6 .. 6; A1_Seg at 3 range 0 .. 0; B1_Seg at 3 range 1 .. 1; C1_Seg at 3 range 2 .. 2; D1_Seg at 3 range 3 .. 3; E1_Seg at 3 range 4 .. 4; F1_Seg at 3 range 5 .. 5; G1_Seg at 3 range 6 .. 6; A0_Seg at 4 range 0 .. 0; B0_Seg at 4 range 1 .. 1; C0_Seg at 4 range 2 .. 2; D0_Seg at 4 range 3 .. 3; E0_Seg at 4 range 4 .. 4; F0_Seg at 4 range 5 .. 5; G0_Seg at 4 range 6 .. 6; -- Digital LEDs ASCII Fields Text at 1 range 0 .. 31; -- Button Fields Clean_Button at 1 range 0 .. 0; Spot_Button at 1 range 1 .. 1; Dock_Button at 1 range 2 .. 2; Minute_Button at 1 range 3 .. 3; Hour_Button at 1 range 4 .. 4; Day_Button at 1 range 5 .. 5; Schedule_Button at 1 range 6 .. 6; Clock_Button at 1 range 7 .. 7; -- Schedule Fields Days at 1 range 0 .. 7; HM_List at 2 range 0 .. Hour_Min_List'Length * 16 - 1; -- Set_Day_Time Fields Dy at 1 range 0 .. 7; Hr at 2 range 0 .. 7; Min at 3 range 0 .. 7; -- Drive Fields Vel at 1 range 0 .. 15; Rad at 3 range 0 .. 15; end record; end Types;
34.413015
75
0.52383
4ae4f8c2bcd516cf801789e97c6128bebe6f9ec5
19,172
adb
Ada
src/descriptors.adb
mokafiht/u2f_key_SDCC
2febfaf075eb4f414a937c7369149d68cbffbf2e
[ "BSD-2-Clause" ]
1
2018-02-02T16:24:03.000Z
2018-02-02T16:24:03.000Z
src/descriptors.adb
mokafiht/u2f_key_SDCC
2febfaf075eb4f414a937c7369149d68cbffbf2e
[ "BSD-2-Clause" ]
null
null
null
src/descriptors.adb
mokafiht/u2f_key_SDCC
2febfaf075eb4f414a937c7369149d68cbffbf2e
[ "BSD-2-Clause" ]
null
null
null
M:descriptors S:Ldescriptors.aligned_alloc$size$1$13({2}SI:U),B,1,-4 S:Ldescriptors.aligned_alloc$alignment$1$13({2}SI:U),B,1,1 T:Fdescriptors$SI_UU32[({0}S:S$u32$0$0({4}SL:U),Z,0,0)({0}S:S$s32$0$0({4}SL:S),Z,0,0)({0}S:S$uu16$0$0({4}DA2d,STSI_UU16:S),Z,0,0)({0}S:S$u16$0$0({4}DA2d,SI:U),Z,0,0)({0}S:S$s16$0$0({4}DA2d,SI:S),Z,0,0)({0}S:S$u8$0$0({4}DA4d,SC:U),Z,0,0)({0}S:S$s8$0$0({4}DA4d,SC:S),Z,0,0)] T:Fdescriptors$SI_UU16[({0}S:S$u16$0$0({2}SI:U),Z,0,0)({0}S:S$s16$0$0({2}SI:S),Z,0,0)({0}S:S$u8$0$0({2}DA2d,SC:U),Z,0,0)({0}S:S$s8$0$0({2}DA2d,SC:S),Z,0,0)] T:Fdescriptors$SI_GEN_PTR[({0}S:S$u8$0$0({3}DA3d,SC:U),Z,0,0)({0}S:S$gptr$0$0({3}ST__00000000:S),Z,0,0)] T:Fdescriptors$__00000000[({0}S:S$memtype$0$0({1}SC:U),Z,0,0)({1}S:S$address$0$0({2}STSI_UU16:S),Z,0,0)] T:Fdescriptors$__00000010[({0}S:S$bits$0$0({1}ST__00000011:S),Z,0,0)({0}S:S$c$0$0({1}SC:U),Z,0,0)] T:Fdescriptors$__00000001[({0}S:S$bmRequestType$0$0({1}ST__00000002:S),Z,0,0)({1}S:S$bRequest$0$0({1}SC:U),Z,0,0)({2}S:S$wValue$0$0({2}SI:U),Z,0,0)({4}S:S$wIndex$0$0({2}SI:U),Z,0,0)({6}S:S$wLength$0$0({2}SI:U),Z,0,0)] T:Fdescriptors$__00000011[({0}S:S$callback$0$0({1}SB0$1:U),Z,0,0)({0}S:S$outPacketPending$0$0({1}SB1$1:U),Z,0,0)({0}S:S$inPacketPending$0$0({1}SB2$1:U),Z,0,0)({0}S:S$waitForRead$0$0({1}SB3$1:U),Z,0,0)] T:Fdescriptors$__00000002[({0}S:S$Recipient$0$0({1}SB0$5:U),Z,0,0)({0}S:S$Type$0$0({1}SB5$2:U),Z,0,0)({0}S:S$Direction$0$0({1}SB7$1:U),Z,0,0)] T:Fdescriptors$__00000012[({0}S:S$configurationValue$0$0({1}SC:U),Z,0,0)({1}S:S$numberOfStrings$0$0({1}SC:U),Z,0,0)({2}S:S$state$0$0({1}SC:U),Z,0,0)({3}S:S$savedState$0$0({1}SC:U),Z,0,0)({4}S:S$setup$0$0({8}ST__00000001:S),Z,0,0)({12}S:S$ep0String$0$0({1}ST__00000013:S),Z,0,0)({13}S:S$ep0$0$0({7}ST__00000009:S),Z,0,0)({20}S:S$ep1in$0$0({7}ST__00000009:S),Z,0,0)({27}S:S$ep1out$0$0({7}ST__00000009:S),Z,0,0)({34}S:S$deviceDescriptor$0$0({3}DG,ST__00000004:S),Z,0,0)({37}S:S$configDescriptor$0$0({3}DG,ST__00000005:S),Z,0,0)({40}S:S$stringDescriptors$0$0({3}DG,DG,DG,SC:U),Z,0,0)] T:Fdescriptors$__00000003[({0}S:S$setup$0$0({8}ST__00000001:S),Z,0,0)({0}S:S$c$0$0({8}DA8d,SC:U),Z,0,0)({0}S:S$i$0$0({8}DA4d,SI:U),Z,0,0)] T:Fdescriptors$__00000013[({0}S:S$encoding$0$0({1}ST__00000014:S),Z,0,0)({0}S:S$c$0$0({1}SC:U),Z,0,0)] T:Fdescriptors$__00000004[({0}S:S$bLength$0$0({1}SC:U),Z,0,0)({1}S:S$bDescriptorType$0$0({1}SC:U),Z,0,0)({2}S:S$bcdUSB$0$0({2}SI:U),Z,0,0)({4}S:S$bDeviceClass$0$0({1}SC:U),Z,0,0)({5}S:S$bDeviceSubClass$0$0({1}SC:U),Z,0,0)({6}S:S$bDeviceProtocol$0$0({1}SC:U),Z,0,0)({7}S:S$bMaxPacketSize0$0$0({1}SC:U),Z,0,0)({8}S:S$idVendor$0$0({2}SI:U),Z,0,0)({10}S:S$idProduct$0$0({2}SI:U),Z,0,0)({12}S:S$bcdDevice$0$0({2}SI:U),Z,0,0)({14}S:S$iManufacturer$0$0({1}SC:U),Z,0,0)({15}S:S$iProduct$0$0({1}SC:U),Z,0,0)({16}S:S$iSerialNumber$0$0({1}SC:U),Z,0,0)({17}S:S$bNumConfigurations$0$0({1}SC:U),Z,0,0)] T:Fdescriptors$__00000014[({0}S:S$type$0$0({1}SB0$7:U),Z,0,0)({0}S:S$init$0$0({1}SB7$1:U),Z,0,0)] T:Fdescriptors$__00000005[({0}S:S$bLength$0$0({1}SC:U),Z,0,0)({1}S:S$bDescriptorType$0$0({1}SC:U),Z,0,0)({2}S:S$wTotalLength$0$0({2}SI:U),Z,0,0)({4}S:S$bNumInterfaces$0$0({1}SC:U),Z,0,0)({5}S:S$bConfigurationValue$0$0({1}SC:U),Z,0,0)({6}S:S$iConfiguration$0$0({1}SC:U),Z,0,0)({7}S:S$bmAttributes$0$0({1}SC:U),Z,0,0)({8}S:S$bMaxPower$0$0({1}SC:U),Z,0,0)] T:Fdescriptors$__00000006[({0}S:S$bLength$0$0({1}SC:U),Z,0,0)({1}S:S$bDescriptorType$0$0({1}SC:U),Z,0,0)({2}S:S$bInterfaceNumber$0$0({1}SC:U),Z,0,0)({3}S:S$bAlternateSetting$0$0({1}SC:U),Z,0,0)({4}S:S$bNumEndpoints$0$0({1}SC:U),Z,0,0)({5}S:S$bInterfaceClass$0$0({1}SC:U),Z,0,0)({6}S:S$bInterfaceSubClass$0$0({1}SC:U),Z,0,0)({7}S:S$bInterfaceProtocol$0$0({1}SC:U),Z,0,0)({8}S:S$iInterface$0$0({1}SC:U),Z,0,0)] T:Fdescriptors$__00000007[({0}S:S$bLength$0$0({1}SC:U),Z,0,0)({1}S:S$bDescriptorType$0$0({1}SC:U),Z,0,0)({2}S:S$bEndpointAddress$0$0({1}SC:U),Z,0,0)({3}S:S$bmAttributes$0$0({1}SC:U),Z,0,0)({4}S:S$wMaxPacketSize$0$0({2}SI:U),Z,0,0)({6}S:S$bInterval$0$0({1}SC:U),Z,0,0)] T:Fdescriptors$__00000008[({0}S:S$deviceDescriptor$0$0({3}DG,ST__00000004:S),Z,0,0)({3}S:S$configDescriptor$0$0({3}DG,SC:U),Z,0,0)({6}S:S$stringDescriptors$0$0({3}DG,DG,DG,SC:U),Z,0,0)({9}S:S$numberOfStrings$0$0({1}SC:U),Z,0,0)] T:Fdescriptors$__00000009[({0}S:S$buf$0$0({3}DG,SC:U),Z,0,0)({3}S:S$remaining$0$0({2}SI:U),Z,0,0)({5}S:S$state$0$0({1}SC:U),Z,0,0)({6}S:S$misc$0$0({1}ST__00000010:S),Z,0,0)] S:Fdescriptors$myUsbStringTable_USEnglish$0$0({10}DA5d,DC,SC:U),F,0,0 S:G$ACC$0$0({1}SC:U),I,0,0 S:G$ADC0AC$0$0({1}SC:U),I,0,0 S:G$ADC0CF$0$0({1}SC:U),I,0,0 S:G$ADC0CN0$0$0({1}SC:U),I,0,0 S:G$ADC0CN1$0$0({1}SC:U),I,0,0 S:G$ADC0GTH$0$0({1}SC:U),I,0,0 S:G$ADC0GTL$0$0({1}SC:U),I,0,0 S:G$ADC0H$0$0({1}SC:U),I,0,0 S:G$ADC0L$0$0({1}SC:U),I,0,0 S:G$ADC0LTH$0$0({1}SC:U),I,0,0 S:G$ADC0LTL$0$0({1}SC:U),I,0,0 S:G$ADC0MX$0$0({1}SC:U),I,0,0 S:G$ADC0PWR$0$0({1}SC:U),I,0,0 S:G$ADC0TK$0$0({1}SC:U),I,0,0 S:G$B$0$0({1}SC:U),I,0,0 S:G$CKCON0$0$0({1}SC:U),I,0,0 S:G$CKCON1$0$0({1}SC:U),I,0,0 S:G$CLKSEL$0$0({1}SC:U),I,0,0 S:G$CMP0CN0$0$0({1}SC:U),I,0,0 S:G$CMP0CN1$0$0({1}SC:U),I,0,0 S:G$CMP0MD$0$0({1}SC:U),I,0,0 S:G$CMP0MX$0$0({1}SC:U),I,0,0 S:G$CMP1CN0$0$0({1}SC:U),I,0,0 S:G$CMP1CN1$0$0({1}SC:U),I,0,0 S:G$CMP1MD$0$0({1}SC:U),I,0,0 S:G$CMP1MX$0$0({1}SC:U),I,0,0 S:G$CRC0CN0$0$0({1}SC:U),I,0,0 S:G$CRC0CN1$0$0({1}SC:U),I,0,0 S:G$CRC0CNT$0$0({1}SC:U),I,0,0 S:G$CRC0DAT$0$0({1}SC:U),I,0,0 S:G$CRC0FLIP$0$0({1}SC:U),I,0,0 S:G$CRC0IN$0$0({1}SC:U),I,0,0 S:G$CRC0ST$0$0({1}SC:U),I,0,0 S:G$DERIVID$0$0({1}SC:U),I,0,0 S:G$DEVICEID$0$0({1}SC:U),I,0,0 S:G$DPH$0$0({1}SC:U),I,0,0 S:G$DPL$0$0({1}SC:U),I,0,0 S:G$EIE1$0$0({1}SC:U),I,0,0 S:G$EIE2$0$0({1}SC:U),I,0,0 S:G$EIP1$0$0({1}SC:U),I,0,0 S:G$EIP1H$0$0({1}SC:U),I,0,0 S:G$EIP2$0$0({1}SC:U),I,0,0 S:G$EIP2H$0$0({1}SC:U),I,0,0 S:G$EMI0CN$0$0({1}SC:U),I,0,0 S:G$FLKEY$0$0({1}SC:U),I,0,0 S:G$HFO0CAL$0$0({1}SC:U),I,0,0 S:G$HFO1CAL$0$0({1}SC:U),I,0,0 S:G$HFOCN$0$0({1}SC:U),I,0,0 S:G$I2C0CN0$0$0({1}SC:U),I,0,0 S:G$I2C0DIN$0$0({1}SC:U),I,0,0 S:G$I2C0DOUT$0$0({1}SC:U),I,0,0 S:G$I2C0FCN0$0$0({1}SC:U),I,0,0 S:G$I2C0FCN1$0$0({1}SC:U),I,0,0 S:G$I2C0FCT$0$0({1}SC:U),I,0,0 S:G$I2C0SLAD$0$0({1}SC:U),I,0,0 S:G$I2C0STAT$0$0({1}SC:U),I,0,0 S:G$IE$0$0({1}SC:U),I,0,0 S:G$IP$0$0({1}SC:U),I,0,0 S:G$IPH$0$0({1}SC:U),I,0,0 S:G$IT01CF$0$0({1}SC:U),I,0,0 S:G$LFO0CN$0$0({1}SC:U),I,0,0 S:G$P0$0$0({1}SC:U),I,0,0 S:G$P0MASK$0$0({1}SC:U),I,0,0 S:G$P0MAT$0$0({1}SC:U),I,0,0 S:G$P0MDIN$0$0({1}SC:U),I,0,0 S:G$P0MDOUT$0$0({1}SC:U),I,0,0 S:G$P0SKIP$0$0({1}SC:U),I,0,0 S:G$P1$0$0({1}SC:U),I,0,0 S:G$P1MASK$0$0({1}SC:U),I,0,0 S:G$P1MAT$0$0({1}SC:U),I,0,0 S:G$P1MDIN$0$0({1}SC:U),I,0,0 S:G$P1MDOUT$0$0({1}SC:U),I,0,0 S:G$P1SKIP$0$0({1}SC:U),I,0,0 S:G$P2$0$0({1}SC:U),I,0,0 S:G$P2MASK$0$0({1}SC:U),I,0,0 S:G$P2MAT$0$0({1}SC:U),I,0,0 S:G$P2MDIN$0$0({1}SC:U),I,0,0 S:G$P2MDOUT$0$0({1}SC:U),I,0,0 S:G$P2SKIP$0$0({1}SC:U),I,0,0 S:G$P3$0$0({1}SC:U),I,0,0 S:G$P3MDIN$0$0({1}SC:U),I,0,0 S:G$P3MDOUT$0$0({1}SC:U),I,0,0 S:G$PCA0CENT$0$0({1}SC:U),I,0,0 S:G$PCA0CLR$0$0({1}SC:U),I,0,0 S:G$PCA0CN0$0$0({1}SC:U),I,0,0 S:G$PCA0CPH0$0$0({1}SC:U),I,0,0 S:G$PCA0CPH1$0$0({1}SC:U),I,0,0 S:G$PCA0CPH2$0$0({1}SC:U),I,0,0 S:G$PCA0CPL0$0$0({1}SC:U),I,0,0 S:G$PCA0CPL1$0$0({1}SC:U),I,0,0 S:G$PCA0CPL2$0$0({1}SC:U),I,0,0 S:G$PCA0CPM0$0$0({1}SC:U),I,0,0 S:G$PCA0CPM1$0$0({1}SC:U),I,0,0 S:G$PCA0CPM2$0$0({1}SC:U),I,0,0 S:G$PCA0H$0$0({1}SC:U),I,0,0 S:G$PCA0L$0$0({1}SC:U),I,0,0 S:G$PCA0MD$0$0({1}SC:U),I,0,0 S:G$PCA0POL$0$0({1}SC:U),I,0,0 S:G$PCA0PWM$0$0({1}SC:U),I,0,0 S:G$PCON0$0$0({1}SC:U),I,0,0 S:G$PCON1$0$0({1}SC:U),I,0,0 S:G$PFE0CN$0$0({1}SC:U),I,0,0 S:G$PRTDRV$0$0({1}SC:U),I,0,0 S:G$PSCTL$0$0({1}SC:U),I,0,0 S:G$PSW$0$0({1}SC:U),I,0,0 S:G$REF0CN$0$0({1}SC:U),I,0,0 S:G$REG0CN$0$0({1}SC:U),I,0,0 S:G$REG1CN$0$0({1}SC:U),I,0,0 S:G$REVID$0$0({1}SC:U),I,0,0 S:G$RSTSRC$0$0({1}SC:U),I,0,0 S:G$SBCON1$0$0({1}SC:U),I,0,0 S:G$SBRLH1$0$0({1}SC:U),I,0,0 S:G$SBRLL1$0$0({1}SC:U),I,0,0 S:G$SBUF0$0$0({1}SC:U),I,0,0 S:G$SBUF1$0$0({1}SC:U),I,0,0 S:G$SCON0$0$0({1}SC:U),I,0,0 S:G$SCON1$0$0({1}SC:U),I,0,0 S:G$SFRPAGE$0$0({1}SC:U),I,0,0 S:G$SFRPGCN$0$0({1}SC:U),I,0,0 S:G$SFRSTACK$0$0({1}SC:U),I,0,0 S:G$SMB0ADM$0$0({1}SC:U),I,0,0 S:G$SMB0ADR$0$0({1}SC:U),I,0,0 S:G$SMB0CF$0$0({1}SC:U),I,0,0 S:G$SMB0CN0$0$0({1}SC:U),I,0,0 S:G$SMB0DAT$0$0({1}SC:U),I,0,0 S:G$SMB0FCN0$0$0({1}SC:U),I,0,0 S:G$SMB0FCN1$0$0({1}SC:U),I,0,0 S:G$SMB0FCT$0$0({1}SC:U),I,0,0 S:G$SMB0RXLN$0$0({1}SC:U),I,0,0 S:G$SMB0TC$0$0({1}SC:U),I,0,0 S:G$SMOD1$0$0({1}SC:U),I,0,0 S:G$SP$0$0({1}SC:U),I,0,0 S:G$SPI0CFG$0$0({1}SC:U),I,0,0 S:G$SPI0CKR$0$0({1}SC:U),I,0,0 S:G$SPI0CN0$0$0({1}SC:U),I,0,0 S:G$SPI0DAT$0$0({1}SC:U),I,0,0 S:G$SPI0FCN0$0$0({1}SC:U),I,0,0 S:G$SPI0FCN1$0$0({1}SC:U),I,0,0 S:G$SPI0FCT$0$0({1}SC:U),I,0,0 S:G$TCON$0$0({1}SC:U),I,0,0 S:G$TH0$0$0({1}SC:U),I,0,0 S:G$TH1$0$0({1}SC:U),I,0,0 S:G$TL0$0$0({1}SC:U),I,0,0 S:G$TL1$0$0({1}SC:U),I,0,0 S:G$TMOD$0$0({1}SC:U),I,0,0 S:G$TMR2CN0$0$0({1}SC:U),I,0,0 S:G$TMR2CN1$0$0({1}SC:U),I,0,0 S:G$TMR2H$0$0({1}SC:U),I,0,0 S:G$TMR2L$0$0({1}SC:U),I,0,0 S:G$TMR2RLH$0$0({1}SC:U),I,0,0 S:G$TMR2RLL$0$0({1}SC:U),I,0,0 S:G$TMR3CN0$0$0({1}SC:U),I,0,0 S:G$TMR3CN1$0$0({1}SC:U),I,0,0 S:G$TMR3H$0$0({1}SC:U),I,0,0 S:G$TMR3L$0$0({1}SC:U),I,0,0 S:G$TMR3RLH$0$0({1}SC:U),I,0,0 S:G$TMR3RLL$0$0({1}SC:U),I,0,0 S:G$TMR4CN0$0$0({1}SC:U),I,0,0 S:G$TMR4CN1$0$0({1}SC:U),I,0,0 S:G$TMR4H$0$0({1}SC:U),I,0,0 S:G$TMR4L$0$0({1}SC:U),I,0,0 S:G$TMR4RLH$0$0({1}SC:U),I,0,0 S:G$TMR4RLL$0$0({1}SC:U),I,0,0 S:G$UART1FCN0$0$0({1}SC:U),I,0,0 S:G$UART1FCN1$0$0({1}SC:U),I,0,0 S:G$UART1FCT$0$0({1}SC:U),I,0,0 S:G$UART1LIN$0$0({1}SC:U),I,0,0 S:G$USB0ADR$0$0({1}SC:U),I,0,0 S:G$USB0AEC$0$0({1}SC:U),I,0,0 S:G$USB0CDCF$0$0({1}SC:U),I,0,0 S:G$USB0CDCN$0$0({1}SC:U),I,0,0 S:G$USB0CDSTA$0$0({1}SC:U),I,0,0 S:G$USB0CF$0$0({1}SC:U),I,0,0 S:G$USB0DAT$0$0({1}SC:U),I,0,0 S:G$USB0XCN$0$0({1}SC:U),I,0,0 S:G$VDM0CN$0$0({1}SC:U),I,0,0 S:G$WDTCN$0$0({1}SC:U),I,0,0 S:G$XBR0$0$0({1}SC:U),I,0,0 S:G$XBR1$0$0({1}SC:U),I,0,0 S:G$XBR2$0$0({1}SC:U),I,0,0 S:G$ADC0GT$0$0({2}SI:U),I,0,0 S:G$ADC0$0$0({2}SI:U),I,0,0 S:G$ADC0LT$0$0({2}SI:U),I,0,0 S:G$DP$0$0({2}SI:U),I,0,0 S:G$PCA0CP0$0$0({2}SI:U),I,0,0 S:G$PCA0CP1$0$0({2}SI:U),I,0,0 S:G$PCA0CP2$0$0({2}SI:U),I,0,0 S:G$PCA0$0$0({2}SI:U),I,0,0 S:G$SBRL1$0$0({2}SI:U),I,0,0 S:G$TMR2$0$0({2}SI:U),I,0,0 S:G$TMR2RL$0$0({2}SI:U),I,0,0 S:G$TMR3$0$0({2}SI:U),I,0,0 S:G$TMR3RL$0$0({2}SI:U),I,0,0 S:G$TMR4$0$0({2}SI:U),I,0,0 S:G$TMR4RL$0$0({2}SI:U),I,0,0 S:G$_XPAGE$0$0({1}SC:U),I,0,0 S:G$ACC_ACC0$0$0({1}SX:U),J,0,0 S:G$ACC_ACC1$0$0({1}SX:U),J,0,0 S:G$ACC_ACC2$0$0({1}SX:U),J,0,0 S:G$ACC_ACC3$0$0({1}SX:U),J,0,0 S:G$ACC_ACC4$0$0({1}SX:U),J,0,0 S:G$ACC_ACC5$0$0({1}SX:U),J,0,0 S:G$ACC_ACC6$0$0({1}SX:U),J,0,0 S:G$ACC_ACC7$0$0({1}SX:U),J,0,0 S:G$ADC0CN0_ADCM0$0$0({1}SX:U),J,0,0 S:G$ADC0CN0_ADCM1$0$0({1}SX:U),J,0,0 S:G$ADC0CN0_ADCM2$0$0({1}SX:U),J,0,0 S:G$ADC0CN0_ADWINT$0$0({1}SX:U),J,0,0 S:G$ADC0CN0_ADBUSY$0$0({1}SX:U),J,0,0 S:G$ADC0CN0_ADINT$0$0({1}SX:U),J,0,0 S:G$ADC0CN0_ADBMEN$0$0({1}SX:U),J,0,0 S:G$ADC0CN0_ADEN$0$0({1}SX:U),J,0,0 S:G$B_B0$0$0({1}SX:U),J,0,0 S:G$B_B1$0$0({1}SX:U),J,0,0 S:G$B_B2$0$0({1}SX:U),J,0,0 S:G$B_B3$0$0({1}SX:U),J,0,0 S:G$B_B4$0$0({1}SX:U),J,0,0 S:G$B_B5$0$0({1}SX:U),J,0,0 S:G$B_B6$0$0({1}SX:U),J,0,0 S:G$B_B7$0$0({1}SX:U),J,0,0 S:G$IE_EX0$0$0({1}SX:U),J,0,0 S:G$IE_ET0$0$0({1}SX:U),J,0,0 S:G$IE_EX1$0$0({1}SX:U),J,0,0 S:G$IE_ET1$0$0({1}SX:U),J,0,0 S:G$IE_ES0$0$0({1}SX:U),J,0,0 S:G$IE_ET2$0$0({1}SX:U),J,0,0 S:G$IE_ESPI0$0$0({1}SX:U),J,0,0 S:G$IE_EA$0$0({1}SX:U),J,0,0 S:G$IP_PX0$0$0({1}SX:U),J,0,0 S:G$IP_PT0$0$0({1}SX:U),J,0,0 S:G$IP_PX1$0$0({1}SX:U),J,0,0 S:G$IP_PT1$0$0({1}SX:U),J,0,0 S:G$IP_PS0$0$0({1}SX:U),J,0,0 S:G$IP_PT2$0$0({1}SX:U),J,0,0 S:G$IP_PSPI0$0$0({1}SX:U),J,0,0 S:G$P0_B0$0$0({1}SX:U),J,0,0 S:G$P0_B1$0$0({1}SX:U),J,0,0 S:G$P0_B2$0$0({1}SX:U),J,0,0 S:G$P0_B3$0$0({1}SX:U),J,0,0 S:G$P0_B4$0$0({1}SX:U),J,0,0 S:G$P0_B5$0$0({1}SX:U),J,0,0 S:G$P0_B6$0$0({1}SX:U),J,0,0 S:G$P0_B7$0$0({1}SX:U),J,0,0 S:G$P1_B0$0$0({1}SX:U),J,0,0 S:G$P1_B1$0$0({1}SX:U),J,0,0 S:G$P1_B2$0$0({1}SX:U),J,0,0 S:G$P1_B3$0$0({1}SX:U),J,0,0 S:G$P1_B4$0$0({1}SX:U),J,0,0 S:G$P1_B5$0$0({1}SX:U),J,0,0 S:G$P1_B6$0$0({1}SX:U),J,0,0 S:G$P1_B7$0$0({1}SX:U),J,0,0 S:G$P2_B0$0$0({1}SX:U),J,0,0 S:G$P2_B1$0$0({1}SX:U),J,0,0 S:G$P2_B2$0$0({1}SX:U),J,0,0 S:G$P2_B3$0$0({1}SX:U),J,0,0 S:G$P3_B0$0$0({1}SX:U),J,0,0 S:G$P3_B1$0$0({1}SX:U),J,0,0 S:G$PCA0CN0_CCF0$0$0({1}SX:U),J,0,0 S:G$PCA0CN0_CCF1$0$0({1}SX:U),J,0,0 S:G$PCA0CN0_CCF2$0$0({1}SX:U),J,0,0 S:G$PCA0CN0_CR$0$0({1}SX:U),J,0,0 S:G$PCA0CN0_CF$0$0({1}SX:U),J,0,0 S:G$PSW_PARITY$0$0({1}SX:U),J,0,0 S:G$PSW_F1$0$0({1}SX:U),J,0,0 S:G$PSW_OV$0$0({1}SX:U),J,0,0 S:G$PSW_RS0$0$0({1}SX:U),J,0,0 S:G$PSW_RS1$0$0({1}SX:U),J,0,0 S:G$PSW_F0$0$0({1}SX:U),J,0,0 S:G$PSW_AC$0$0({1}SX:U),J,0,0 S:G$PSW_CY$0$0({1}SX:U),J,0,0 S:G$SCON0_RI$0$0({1}SX:U),J,0,0 S:G$SCON0_TI$0$0({1}SX:U),J,0,0 S:G$SCON0_RB8$0$0({1}SX:U),J,0,0 S:G$SCON0_TB8$0$0({1}SX:U),J,0,0 S:G$SCON0_REN$0$0({1}SX:U),J,0,0 S:G$SCON0_MCE$0$0({1}SX:U),J,0,0 S:G$SCON0_SMODE$0$0({1}SX:U),J,0,0 S:G$SCON1_RI$0$0({1}SX:U),J,0,0 S:G$SCON1_TI$0$0({1}SX:U),J,0,0 S:G$SCON1_RBX$0$0({1}SX:U),J,0,0 S:G$SCON1_TBX$0$0({1}SX:U),J,0,0 S:G$SCON1_REN$0$0({1}SX:U),J,0,0 S:G$SCON1_PERR$0$0({1}SX:U),J,0,0 S:G$SCON1_OVR$0$0({1}SX:U),J,0,0 S:G$SMB0CN0_SI$0$0({1}SX:U),J,0,0 S:G$SMB0CN0_ACK$0$0({1}SX:U),J,0,0 S:G$SMB0CN0_ARBLOST$0$0({1}SX:U),J,0,0 S:G$SMB0CN0_ACKRQ$0$0({1}SX:U),J,0,0 S:G$SMB0CN0_STO$0$0({1}SX:U),J,0,0 S:G$SMB0CN0_STA$0$0({1}SX:U),J,0,0 S:G$SMB0CN0_TXMODE$0$0({1}SX:U),J,0,0 S:G$SMB0CN0_MASTER$0$0({1}SX:U),J,0,0 S:G$SPI0CN0_SPIEN$0$0({1}SX:U),J,0,0 S:G$SPI0CN0_TXNF$0$0({1}SX:U),J,0,0 S:G$SPI0CN0_NSSMD0$0$0({1}SX:U),J,0,0 S:G$SPI0CN0_NSSMD1$0$0({1}SX:U),J,0,0 S:G$SPI0CN0_RXOVRN$0$0({1}SX:U),J,0,0 S:G$SPI0CN0_MODF$0$0({1}SX:U),J,0,0 S:G$SPI0CN0_WCOL$0$0({1}SX:U),J,0,0 S:G$SPI0CN0_SPIF$0$0({1}SX:U),J,0,0 S:G$TCON_IT0$0$0({1}SX:U),J,0,0 S:G$TCON_IE0$0$0({1}SX:U),J,0,0 S:G$TCON_IT1$0$0({1}SX:U),J,0,0 S:G$TCON_IE1$0$0({1}SX:U),J,0,0 S:G$TCON_TR0$0$0({1}SX:U),J,0,0 S:G$TCON_TF0$0$0({1}SX:U),J,0,0 S:G$TCON_TR1$0$0({1}SX:U),J,0,0 S:G$TCON_TF1$0$0({1}SX:U),J,0,0 S:G$TMR2CN0_T2XCLK0$0$0({1}SX:U),J,0,0 S:G$TMR2CN0_T2XCLK1$0$0({1}SX:U),J,0,0 S:G$TMR2CN0_TR2$0$0({1}SX:U),J,0,0 S:G$TMR2CN0_T2SPLIT$0$0({1}SX:U),J,0,0 S:G$TMR2CN0_TF2CEN$0$0({1}SX:U),J,0,0 S:G$TMR2CN0_TF2LEN$0$0({1}SX:U),J,0,0 S:G$TMR2CN0_TF2L$0$0({1}SX:U),J,0,0 S:G$TMR2CN0_TF2H$0$0({1}SX:U),J,0,0 S:G$TMR4CN0_T4XCLK0$0$0({1}SX:U),J,0,0 S:G$TMR4CN0_T4XCLK1$0$0({1}SX:U),J,0,0 S:G$TMR4CN0_TR4$0$0({1}SX:U),J,0,0 S:G$TMR4CN0_T4SPLIT$0$0({1}SX:U),J,0,0 S:G$TMR4CN0_TF4CEN$0$0({1}SX:U),J,0,0 S:G$TMR4CN0_TF4LEN$0$0({1}SX:U),J,0,0 S:G$TMR4CN0_TF4L$0$0({1}SX:U),J,0,0 S:G$TMR4CN0_TF4H$0$0({1}SX:U),J,0,0 S:G$UART1FCN1_RIE$0$0({1}SX:U),J,0,0 S:G$UART1FCN1_RXTO0$0$0({1}SX:U),J,0,0 S:G$UART1FCN1_RXTO1$0$0({1}SX:U),J,0,0 S:G$UART1FCN1_RFRQ$0$0({1}SX:U),J,0,0 S:G$UART1FCN1_TIE$0$0({1}SX:U),J,0,0 S:G$UART1FCN1_TXHOLD$0$0({1}SX:U),J,0,0 S:G$UART1FCN1_TXNF$0$0({1}SX:U),J,0,0 S:G$UART1FCN1_TFRQ$0$0({1}SX:U),J,0,0 S:G$atof$0$0({2}DF,SF:S),C,0,0 S:G$atoi$0$0({2}DF,SI:S),C,0,0 S:G$atol$0$0({2}DF,SL:S),C,0,0 S:G$_uitoa$0$0({2}DF,SV:S),C,0,0 S:G$_itoa$0$0({2}DF,SV:S),C,0,0 S:G$_ultoa$0$0({2}DF,SV:S),C,0,0 S:G$_ltoa$0$0({2}DF,SV:S),C,0,0 S:G$rand$0$0({2}DF,SI:S),C,0,0 S:G$srand$0$0({2}DF,SV:S),C,0,0 S:G$calloc$0$0({2}DF,DX,SV:S),C,0,0 S:G$malloc$0$0({2}DF,DX,SV:S),C,0,0 S:G$realloc$0$0({2}DF,DX,SV:S),C,0,0 S:G$aligned_alloc$0$0({2}DF,DG,SV:S),C,0,2 S:G$free$0$0({2}DF,SV:S),C,0,0 S:G$abs$0$0({2}DF,SI:S),C,0,0 S:G$labs$0$0({2}DF,SL:S),C,0,0 S:G$mblen$0$0({2}DF,SI:S),C,0,0 S:G$mbtowc$0$0({2}DF,SI:S),C,0,0 S:G$wctomb$0$0({2}DF,SI:S),C,0,0 S:G$memcpy$0$0({2}DF,DG,SV:S),C,0,0 S:G$memmove$0$0({2}DF,DG,SV:S),C,0,0 S:G$strcpy$0$0({2}DF,DG,SC:U),C,0,0 S:G$strncpy$0$0({2}DF,DG,SC:U),C,0,0 S:G$strcat$0$0({2}DF,DG,SC:U),C,0,0 S:G$strncat$0$0({2}DF,DG,SC:U),C,0,0 S:G$memcmp$0$0({2}DF,SI:S),C,0,0 S:G$strcmp$0$0({2}DF,SI:S),C,0,0 S:G$strncmp$0$0({2}DF,SI:S),C,0,0 S:G$strxfrm$0$0({2}DF,SI:U),C,0,0 S:G$memchr$0$0({2}DF,DG,SV:S),C,0,0 S:G$strchr$0$0({2}DF,DG,SC:U),C,0,0 S:G$strcspn$0$0({2}DF,SI:U),C,0,0 S:G$strpbrk$0$0({2}DF,DG,SC:U),C,0,0 S:G$strrchr$0$0({2}DF,DG,SC:U),C,0,0 S:G$strspn$0$0({2}DF,SI:U),C,0,0 S:G$strstr$0$0({2}DF,DG,SC:U),C,0,0 S:G$strtok$0$0({2}DF,DG,SC:U),C,0,0 S:G$memset$0$0({2}DF,DG,SV:S),C,0,0 S:G$strlen$0$0({2}DF,SI:U),C,0,0 S:G$USBD_SetUsbState$0$0({2}DF,SV:S),C,0,0 S:G$USBDCH9_SetupCmd$0$0({2}DF,SC:U),C,0,0 S:G$USBD_AbortAllTransfers$0$0({2}DF,SV:S),C,0,0 S:G$USBD_AbortTransfer$0$0({2}DF,SC:S),C,0,0 S:G$USBD_Connect$0$0({2}DF,SV:S),C,0,0 S:G$USBD_Disconnect$0$0({2}DF,SV:S),C,0,0 S:G$USBD_EpIsBusy$0$0({2}DF,SB0$1:U),C,0,0 S:G$USBD_GetUsbState$0$0({2}DF,SC:U),C,0,0 S:G$USBD_Init$0$0({2}DF,SC:S),C,0,0 S:G$USBD_Read$0$0({2}DF,SC:S),C,0,0 S:G$USBD_RemoteWakeup$0$0({2}DF,SC:S),C,0,0 S:G$USBD_StallEp$0$0({2}DF,SC:S),C,0,0 S:G$USBD_Stop$0$0({2}DF,SV:S),C,0,0 S:G$USBD_Suspend$0$0({2}DF,SV:S),C,0,0 S:G$USBD_UnStallEp$0$0({2}DF,SC:S),C,0,0 S:G$USBD_Write$0$0({2}DF,SC:S),C,0,0 S:G$USBD_EnterHandler$0$0({2}DF,SV:S),C,0,0 S:G$USBD_ExitHandler$0$0({2}DF,SV:S),C,0,0 S:G$USBD_ResetCb$0$0({2}DF,SV:S),C,0,0 S:G$USBD_SofCb$0$0({2}DF,SV:S),C,0,0 S:G$USBD_DeviceStateChangeCb$0$0({2}DF,SV:S),C,0,0 S:G$USBD_IsSelfPoweredCb$0$0({2}DF,SB0$1:U),C,0,0 S:G$USBD_SetupCmdCb$0$0({2}DF,SC:U),C,0,0 S:G$USBD_SetInterfaceCb$0$0({2}DF,SC:U),C,0,0 S:G$USBD_RemoteWakeupCb$0$0({2}DF,SB0$1:U),C,0,0 S:G$USBD_RemoteWakeupDelay$0$0({2}DF,SV:S),C,0,0 S:G$USBD_Run$0$0({2}DF,SV:S),C,0,0 S:G$USBD_XferCompleteCb$0$0({2}DF,SI:U),C,0,0 S:G$USB_ReadFIFO$0$0({2}DF,SV:S),C,0,0 S:G$USB_WriteFIFO$0$0({2}DF,SV:S),C,0,0 S:G$USB_GetIntsEnabled$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_IsRegulatorEnabled$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_IsPrefetchEnabled$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_SuspendOscillator$0$0({2}DF,SV:S),C,0,0 S:G$USB_SetIndex$0$0({2}DF,SV:S),C,0,0 S:G$USB_GetCommonInts$0$0({2}DF,SC:U),C,0,0 S:G$USB_GetInInts$0$0({2}DF,SC:U),C,0,0 S:G$USB_GetOutInts$0$0({2}DF,SC:U),C,0,0 S:G$USB_GetIndex$0$0({2}DF,SC:U),C,0,0 S:G$USB_IsSuspended$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_GetSetupEnd$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_Ep0SentStall$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_Ep0InPacketReady$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_Ep0OutPacketReady$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_Ep0GetCount$0$0({2}DF,SC:U),C,0,0 S:G$USB_EpnInGetSentStall$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_EpnGetInPacketReady$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_EpnOutGetSentStall$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_EpnGetOutPacketReady$0$0({2}DF,SB0$1:U),C,0,0 S:G$USB_EpOutGetCount$0$0({2}DF,SI:U),C,0,0 S:G$USB_GetSofNumber$0$0({2}DF,SI:U),C,0,0 S:G$USB_AbortInEp$0$0({2}DF,SV:S),C,0,0 S:G$USB_AbortOutEp$0$0({2}DF,SV:S),C,0,0 S:G$USB_ActivateEp$0$0({2}DF,SV:S),C,0,0 S:G$ReportDescriptor0$0$0({34}DA34d,SC:U),D,0,0 S:G$deviceDesc$0$0({14}DA14d,SC:U),D,0,0 S:G$configDesc$0$0({41}DA41d,SC:U),D,0,0 S:Fdescriptors$langDesc$0$0({4}DA2d,SI:U),D,0,0 S:Fdescriptors$mfrDesc$0$0({16}DA16d,SC:U),D,0,0 S:Fdescriptors$prodDesc$0$0({12}DA12d,SC:U),D,0,0 S:Fdescriptors$serDesc$0$0({20}DA20d,SC:U),D,0,0 S:Fdescriptors$int0Desc$0$0({12}DA12d,SC:U),D,0,0 S:G$initstruct$0$0({10}ST__00000008:S),D,0,0 S:Fdescriptors$__str_0$0$0({13}DA13d,SC:S),Z,0,0 S:Fdescriptors$__str_1$0$0({9}DA9d,SC:S),Z,0,0 S:Fdescriptors$__str_2$0$0({17}DA17d,SC:S),Z,0,0 S:Fdescriptors$__xinit_myUsbStringTable_USEnglish$0$0({10}DA5d,DC,SC:U),C,0,0
41.587852
588
0.609535
cbef66a66263e11f114c28fddadca0205577ac06
18,575
ads
Ada
src/drivers/qspi_u2008/sam_svd-qspi.ads
Fabien-Chouteau/samd51-hal
54d4b29df100502d8b3e3b4680a198640faa5f4d
[ "BSD-3-Clause" ]
1
2020-02-24T23:19:03.000Z
2020-02-24T23:19:03.000Z
src/svd/sam_svd-qspi.ads
Fabien-Chouteau/samd51-hal
54d4b29df100502d8b3e3b4680a198640faa5f4d
[ "BSD-3-Clause" ]
null
null
null
src/svd/sam_svd-qspi.ads
Fabien-Chouteau/samd51-hal
54d4b29df100502d8b3e3b4680a198640faa5f4d
[ "BSD-3-Clause" ]
null
null
null
pragma Style_Checks (Off); -- This spec has been automatically generated from ATSAMD51G19A.svd pragma Restrictions (No_Elaboration_Code); with HAL; with System; package SAM_SVD.QSPI is pragma Preelaborate; --------------- -- Registers -- --------------- -- Control A type QSPI_CTRLA_Register is record -- Software Reset SWRST : Boolean := False; -- Enable ENABLE : Boolean := False; -- unspecified Reserved_2_23 : HAL.UInt22 := 16#0#; -- Last Transfer LASTXFER : Boolean := False; -- unspecified Reserved_25_31 : HAL.UInt7 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_CTRLA_Register use record SWRST at 0 range 0 .. 0; ENABLE at 0 range 1 .. 1; Reserved_2_23 at 0 range 2 .. 23; LASTXFER at 0 range 24 .. 24; Reserved_25_31 at 0 range 25 .. 31; end record; -- Serial Memory Mode type CTRLB_MODESelect is (-- SPI operating mode SPI, -- Serial Memory operating mode MEMORY) with Size => 1; for CTRLB_MODESelect use (SPI => 0, MEMORY => 1); -- Chip Select Mode type CTRLB_CSMODESelect is (-- The chip select is deasserted if TD has not been reloaded before the end of -- the current transfer. NORELOAD, -- The chip select is deasserted when the bit LASTXFER is written at 1 and the -- character written in TD has been transferred. LASTXFER, -- The chip select is deasserted systematically after each transfer. SYSTEMATICALLY) with Size => 2; for CTRLB_CSMODESelect use (NORELOAD => 0, LASTXFER => 1, SYSTEMATICALLY => 2); -- Data Length type CTRLB_DATALENSelect is (-- 8-bits transfer Val_8BITS, -- 9 bits transfer Val_9BITS, -- 10-bits transfer Val_10BITS, -- 11-bits transfer Val_11BITS, -- 12-bits transfer Val_12BITS, -- 13-bits transfer Val_13BITS, -- 14-bits transfer Val_14BITS, -- 15-bits transfer Val_15BITS, -- 16-bits transfer Val_16BITS) with Size => 4; for CTRLB_DATALENSelect use (Val_8BITS => 0, Val_9BITS => 1, Val_10BITS => 2, Val_11BITS => 3, Val_12BITS => 4, Val_13BITS => 5, Val_14BITS => 6, Val_15BITS => 7, Val_16BITS => 8); subtype QSPI_CTRLB_DLYBCT_Field is HAL.UInt8; subtype QSPI_CTRLB_DLYCS_Field is HAL.UInt8; -- Control B type QSPI_CTRLB_Register is record -- Serial Memory Mode MODE : CTRLB_MODESelect := SAM_SVD.QSPI.SPI; -- Local Loopback Enable LOOPEN : Boolean := False; -- Wait Data Read Before Transfer WDRBT : Boolean := False; -- Serial Memory reg SMEMREG : Boolean := False; -- Chip Select Mode CSMODE : CTRLB_CSMODESelect := SAM_SVD.QSPI.NORELOAD; -- unspecified Reserved_6_7 : HAL.UInt2 := 16#0#; -- Data Length DATALEN : CTRLB_DATALENSelect := SAM_SVD.QSPI.Val_8BITS; -- unspecified Reserved_12_15 : HAL.UInt4 := 16#0#; -- Delay Between Consecutive Transfers DLYBCT : QSPI_CTRLB_DLYBCT_Field := 16#0#; -- Minimum Inactive CS Delay DLYCS : QSPI_CTRLB_DLYCS_Field := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_CTRLB_Register use record MODE at 0 range 0 .. 0; LOOPEN at 0 range 1 .. 1; WDRBT at 0 range 2 .. 2; SMEMREG at 0 range 3 .. 3; CSMODE at 0 range 4 .. 5; Reserved_6_7 at 0 range 6 .. 7; DATALEN at 0 range 8 .. 11; Reserved_12_15 at 0 range 12 .. 15; DLYBCT at 0 range 16 .. 23; DLYCS at 0 range 24 .. 31; end record; subtype QSPI_BAUD_BAUD_Field is HAL.UInt8; subtype QSPI_BAUD_DLYBS_Field is HAL.UInt8; -- Baud Rate type QSPI_BAUD_Register is record -- Clock Polarity CPOL : Boolean := False; -- Clock Phase CPHA : Boolean := False; -- unspecified Reserved_2_7 : HAL.UInt6 := 16#0#; -- Serial Clock Baud Rate BAUD : QSPI_BAUD_BAUD_Field := 16#0#; -- Delay Before SCK DLYBS : QSPI_BAUD_DLYBS_Field := 16#0#; -- unspecified Reserved_24_31 : HAL.UInt8 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_BAUD_Register use record CPOL at 0 range 0 .. 0; CPHA at 0 range 1 .. 1; Reserved_2_7 at 0 range 2 .. 7; BAUD at 0 range 8 .. 15; DLYBS at 0 range 16 .. 23; Reserved_24_31 at 0 range 24 .. 31; end record; subtype QSPI_RXDATA_DATA_Field is HAL.UInt16; -- Receive Data type QSPI_RXDATA_Register is record -- Read-only. Receive Data DATA : QSPI_RXDATA_DATA_Field; -- unspecified Reserved_16_31 : HAL.UInt16; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_RXDATA_Register use record DATA at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; subtype QSPI_TXDATA_DATA_Field is HAL.UInt16; -- Transmit Data type QSPI_TXDATA_Register is record -- Write-only. Transmit Data DATA : QSPI_TXDATA_DATA_Field := 16#0#; -- unspecified Reserved_16_31 : HAL.UInt16 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_TXDATA_Register use record DATA at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; -- Interrupt Enable Clear type QSPI_INTENCLR_Register is record -- Receive Data Register Full Interrupt Disable RXC : Boolean := False; -- Transmit Data Register Empty Interrupt Disable DRE : Boolean := False; -- Transmission Complete Interrupt Disable TXC : Boolean := False; -- Overrun Error Interrupt Disable ERROR : Boolean := False; -- unspecified Reserved_4_7 : HAL.UInt4 := 16#0#; -- Chip Select Rise Interrupt Disable CSRISE : Boolean := False; -- unspecified Reserved_9_9 : HAL.Bit := 16#0#; -- Instruction End Interrupt Disable INSTREND : Boolean := False; -- unspecified Reserved_11_31 : HAL.UInt21 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_INTENCLR_Register use record RXC at 0 range 0 .. 0; DRE at 0 range 1 .. 1; TXC at 0 range 2 .. 2; ERROR at 0 range 3 .. 3; Reserved_4_7 at 0 range 4 .. 7; CSRISE at 0 range 8 .. 8; Reserved_9_9 at 0 range 9 .. 9; INSTREND at 0 range 10 .. 10; Reserved_11_31 at 0 range 11 .. 31; end record; -- Interrupt Enable Set type QSPI_INTENSET_Register is record -- Receive Data Register Full Interrupt Enable RXC : Boolean := False; -- Transmit Data Register Empty Interrupt Enable DRE : Boolean := False; -- Transmission Complete Interrupt Enable TXC : Boolean := False; -- Overrun Error Interrupt Enable ERROR : Boolean := False; -- unspecified Reserved_4_7 : HAL.UInt4 := 16#0#; -- Chip Select Rise Interrupt Enable CSRISE : Boolean := False; -- unspecified Reserved_9_9 : HAL.Bit := 16#0#; -- Instruction End Interrupt Enable INSTREND : Boolean := False; -- unspecified Reserved_11_31 : HAL.UInt21 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_INTENSET_Register use record RXC at 0 range 0 .. 0; DRE at 0 range 1 .. 1; TXC at 0 range 2 .. 2; ERROR at 0 range 3 .. 3; Reserved_4_7 at 0 range 4 .. 7; CSRISE at 0 range 8 .. 8; Reserved_9_9 at 0 range 9 .. 9; INSTREND at 0 range 10 .. 10; Reserved_11_31 at 0 range 11 .. 31; end record; -- Interrupt Flag Status and Clear type QSPI_INTFLAG_Register is record -- Receive Data Register Full RXC : Boolean := False; -- Transmit Data Register Empty DRE : Boolean := False; -- Transmission Complete TXC : Boolean := False; -- Overrun Error ERROR : Boolean := False; -- unspecified Reserved_4_7 : HAL.UInt4 := 16#0#; -- Chip Select Rise CSRISE : Boolean := False; -- unspecified Reserved_9_9 : HAL.Bit := 16#0#; -- Instruction End INSTREND : Boolean := False; -- unspecified Reserved_11_31 : HAL.UInt21 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_INTFLAG_Register use record RXC at 0 range 0 .. 0; DRE at 0 range 1 .. 1; TXC at 0 range 2 .. 2; ERROR at 0 range 3 .. 3; Reserved_4_7 at 0 range 4 .. 7; CSRISE at 0 range 8 .. 8; Reserved_9_9 at 0 range 9 .. 9; INSTREND at 0 range 10 .. 10; Reserved_11_31 at 0 range 11 .. 31; end record; -- Status Register type QSPI_STATUS_Register is record -- unspecified Reserved_0_0 : HAL.Bit; -- Read-only. Enable ENABLE : Boolean; -- unspecified Reserved_2_8 : HAL.UInt7; -- Read-only. Chip Select CSSTATUS : Boolean; -- unspecified Reserved_10_31 : HAL.UInt22; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_STATUS_Register use record Reserved_0_0 at 0 range 0 .. 0; ENABLE at 0 range 1 .. 1; Reserved_2_8 at 0 range 2 .. 8; CSSTATUS at 0 range 9 .. 9; Reserved_10_31 at 0 range 10 .. 31; end record; subtype QSPI_INSTRCTRL_INSTR_Field is HAL.UInt8; subtype QSPI_INSTRCTRL_OPTCODE_Field is HAL.UInt8; -- Instruction Code type QSPI_INSTRCTRL_Register is record -- Instruction Code INSTR : QSPI_INSTRCTRL_INSTR_Field := 16#0#; -- unspecified Reserved_8_15 : HAL.UInt8 := 16#0#; -- Option Code OPTCODE : QSPI_INSTRCTRL_OPTCODE_Field := 16#0#; -- unspecified Reserved_24_31 : HAL.UInt8 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_INSTRCTRL_Register use record INSTR at 0 range 0 .. 7; Reserved_8_15 at 0 range 8 .. 15; OPTCODE at 0 range 16 .. 23; Reserved_24_31 at 0 range 24 .. 31; end record; -- Instruction Code, Address, Option Code and Data Width type INSTRFRAME_WIDTHSelect is (-- Instruction: Single-bit SPI / Address-Option: Single-bit SPI / Data: -- Single-bit SPI SINGLE_BIT_SPI, -- Instruction: Single-bit SPI / Address-Option: Single-bit SPI / Data: Dual -- SPI DUAL_OUTPUT, -- Instruction: Single-bit SPI / Address-Option: Single-bit SPI / Data: Quad -- SPI QUAD_OUTPUT, -- Instruction: Single-bit SPI / Address-Option: Dual SPI / Data: Dual SPI DUAL_IO, -- Instruction: Single-bit SPI / Address-Option: Quad SPI / Data: Quad SPI QUAD_IO, -- Instruction: Dual SPI / Address-Option: Dual SPI / Data: Dual SPI DUAL_CMD, -- Instruction: Quad SPI / Address-Option: Quad SPI / Data: Quad SPI QUAD_CMD) with Size => 3; for INSTRFRAME_WIDTHSelect use (SINGLE_BIT_SPI => 0, DUAL_OUTPUT => 1, QUAD_OUTPUT => 2, DUAL_IO => 3, QUAD_IO => 4, DUAL_CMD => 5, QUAD_CMD => 6); -- Option Code Length type INSTRFRAME_OPTCODELENSelect is (-- 1-bit length option code Val_1BIT, -- 2-bits length option code Val_2BITS, -- 4-bits length option code Val_4BITS, -- 8-bits length option code Val_8BITS) with Size => 2; for INSTRFRAME_OPTCODELENSelect use (Val_1BIT => 0, Val_2BITS => 1, Val_4BITS => 2, Val_8BITS => 3); -- Address Length type INSTRFRAME_ADDRLENSelect is (-- 24-bits address length Val_24BITS, -- 32-bits address length Val_32BITS) with Size => 1; for INSTRFRAME_ADDRLENSelect use (Val_24BITS => 0, Val_32BITS => 1); -- Data Transfer Type type INSTRFRAME_TFRTYPESelect is (-- Read transfer from the serial memory.Scrambling is not performed.Read at -- random location (fetch) in the serial flash memory is not possible. READ, -- Read data transfer from the serial memory.If enabled, scrambling is -- performed.Read at random location (fetch) in the serial flash memory is -- possible. READMEMORY, -- Write transfer into the serial memory.Scrambling is not performed. WRITE, -- Write data transfer into the serial memory.If enabled, scrambling is -- performed. WRITEMEMORY) with Size => 2; for INSTRFRAME_TFRTYPESelect use (READ => 0, READMEMORY => 1, WRITE => 2, WRITEMEMORY => 3); subtype QSPI_INSTRFRAME_DUMMYLEN_Field is HAL.UInt5; -- Instruction Frame type QSPI_INSTRFRAME_Register is record -- Instruction Code, Address, Option Code and Data Width WIDTH : INSTRFRAME_WIDTHSelect := SAM_SVD.QSPI.SINGLE_BIT_SPI; -- unspecified Reserved_3_3 : HAL.Bit := 16#0#; -- Instruction Enable INSTREN : Boolean := False; -- Address Enable ADDREN : Boolean := False; -- Option Enable OPTCODEEN : Boolean := False; -- Data Enable DATAEN : Boolean := False; -- Option Code Length OPTCODELEN : INSTRFRAME_OPTCODELENSelect := SAM_SVD.QSPI.Val_1BIT; -- Address Length ADDRLEN : INSTRFRAME_ADDRLENSelect := SAM_SVD.QSPI.Val_24BITS; -- unspecified Reserved_11_11 : HAL.Bit := 16#0#; -- Data Transfer Type TFRTYPE : INSTRFRAME_TFRTYPESelect := SAM_SVD.QSPI.READ; -- Continuous Read Mode CRMODE : Boolean := False; -- Double Data Rate Enable DDREN : Boolean := False; -- Dummy Cycles Length DUMMYLEN : QSPI_INSTRFRAME_DUMMYLEN_Field := 16#0#; -- unspecified Reserved_21_31 : HAL.UInt11 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_INSTRFRAME_Register use record WIDTH at 0 range 0 .. 2; Reserved_3_3 at 0 range 3 .. 3; INSTREN at 0 range 4 .. 4; ADDREN at 0 range 5 .. 5; OPTCODEEN at 0 range 6 .. 6; DATAEN at 0 range 7 .. 7; OPTCODELEN at 0 range 8 .. 9; ADDRLEN at 0 range 10 .. 10; Reserved_11_11 at 0 range 11 .. 11; TFRTYPE at 0 range 12 .. 13; CRMODE at 0 range 14 .. 14; DDREN at 0 range 15 .. 15; DUMMYLEN at 0 range 16 .. 20; Reserved_21_31 at 0 range 21 .. 31; end record; -- Scrambling Mode type QSPI_SCRAMBCTRL_Register is record -- Scrambling/Unscrambling Enable ENABLE : Boolean := False; -- Scrambling/Unscrambling Random Value Disable RANDOMDIS : Boolean := False; -- unspecified Reserved_2_31 : HAL.UInt30 := 16#0#; end record with Volatile_Full_Access, Object_Size => 32, Bit_Order => System.Low_Order_First; for QSPI_SCRAMBCTRL_Register use record ENABLE at 0 range 0 .. 0; RANDOMDIS at 0 range 1 .. 1; Reserved_2_31 at 0 range 2 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- Quad SPI interface type QSPI_Peripheral is record -- Control A CTRLA : aliased QSPI_CTRLA_Register; -- Control B CTRLB : aliased QSPI_CTRLB_Register; -- Baud Rate BAUD : aliased QSPI_BAUD_Register; -- Receive Data RXDATA : aliased QSPI_RXDATA_Register; -- Transmit Data TXDATA : aliased QSPI_TXDATA_Register; -- Interrupt Enable Clear INTENCLR : aliased QSPI_INTENCLR_Register; -- Interrupt Enable Set INTENSET : aliased QSPI_INTENSET_Register; -- Interrupt Flag Status and Clear INTFLAG : aliased QSPI_INTFLAG_Register; -- Status Register STATUS : aliased QSPI_STATUS_Register; -- Instruction Address INSTRADDR : aliased HAL.UInt32; -- Instruction Code INSTRCTRL : aliased QSPI_INSTRCTRL_Register; -- Instruction Frame INSTRFRAME : aliased QSPI_INSTRFRAME_Register; -- Scrambling Mode SCRAMBCTRL : aliased QSPI_SCRAMBCTRL_Register; -- Scrambling Key SCRAMBKEY : aliased HAL.UInt32; end record with Volatile; for QSPI_Peripheral use record CTRLA at 16#0# range 0 .. 31; CTRLB at 16#4# range 0 .. 31; BAUD at 16#8# range 0 .. 31; RXDATA at 16#C# range 0 .. 31; TXDATA at 16#10# range 0 .. 31; INTENCLR at 16#14# range 0 .. 31; INTENSET at 16#18# range 0 .. 31; INTFLAG at 16#1C# range 0 .. 31; STATUS at 16#20# range 0 .. 31; INSTRADDR at 16#30# range 0 .. 31; INSTRCTRL at 16#34# range 0 .. 31; INSTRFRAME at 16#38# range 0 .. 31; SCRAMBCTRL at 16#40# range 0 .. 31; SCRAMBKEY at 16#44# range 0 .. 31; end record; -- Quad SPI interface QSPI_Periph : aliased QSPI_Peripheral with Import, Address => QSPI_Base; end SAM_SVD.QSPI;
32.587719
85
0.583311
dcfeb21d3f5b15c6b802129a61572b0eeb18c7d1
1,147
ads
Ada
3-mid/opengl/source/demo/opengl-demo.ads
charlie5/lace-alire
9ace9682cf4daac7adb9f980c2868d6225b8111c
[ "0BSD" ]
1
2022-01-20T07:13:42.000Z
2022-01-20T07:13:42.000Z
3-mid/opengl/source/demo/opengl-demo.ads
charlie5/lace-alire
9ace9682cf4daac7adb9f980c2868d6225b8111c
[ "0BSD" ]
null
null
null
3-mid/opengl/source/demo/opengl-demo.ads
charlie5/lace-alire
9ace9682cf4daac7adb9f980c2868d6225b8111c
[ "0BSD" ]
null
null
null
with openGL.Model, openGL.Visual, openGL.Renderer.lean, openGL.Camera, openGL.Dolly, openGL.frame_Counter, sdl.Video.Windows, sdl.Video.GL; package openGL.Demo -- -- Provides a convenient method of setting up a simple openGL demo. -- is Window : standard.sdl.Video.Windows.Window; GL_Context : standard.sdl.Video.GL.Contexts; Renderer : aliased openGL.Renderer.lean.item; Camera : aliased openGL.Camera.item; Dolly : openGL.Dolly.item (camera => Camera'unchecked_Access); FPS_Counter : openGL.frame_Counter.item; Done : Boolean := False; function Models return openGL.Model.views; -- -- Creates a set of models with one model of each kind. procedure layout (the_Visuals : in openGL.Visual.views); -- -- Layout the visuals in a grid fashion for viewing all at once. procedure print_Usage (append_Message : in String := ""); procedure define (Name : in String; Width : in Positive := 1366; Height : in Positive := 768); procedure destroy; end openGL.Demo;
26.068182
79
0.632956
dc062d3d4e3323aa935ad9d3d280fbb7c4e2eeb1
374,563
adb
Ada
AES/AES_Solutions/unoptimized/.autopilot/db/KeySchedule.sched.adb
itzpankajpanwar/VLSI
64f18489ebeb5f46c4c402809d3e66b2a717e8af
[ "Apache-2.0" ]
null
null
null
AES/AES_Solutions/unoptimized/.autopilot/db/KeySchedule.sched.adb
itzpankajpanwar/VLSI
64f18489ebeb5f46c4c402809d3e66b2a717e8af
[ "Apache-2.0" ]
null
null
null
AES/AES_Solutions/unoptimized/.autopilot/db/KeySchedule.sched.adb
itzpankajpanwar/VLSI
64f18489ebeb5f46c4c402809d3e66b2a717e8af
[ "Apache-2.0" ]
null
null
null
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>KeySchedule</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>key</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>key</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>32</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>word</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>2</direction> <if_type>1</if_type> <array_size>480</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>171</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_3"> <Value> <Obj> <type>0</type> <id>5</id> <name>_ln132</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>132</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>G:\AES</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>132</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>196</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_4"> <Value> <Obj> <type>0</type> <id>7</id> <name>j_0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>j</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>199</item> <item>200</item> <item>201</item> <item>202</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_5"> <Value> <Obj> <type>0</type> <id>8</id> <name>icmp_ln132</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>132</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>132</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>203</item> <item>205</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.13</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>10</id> <name>j</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>132</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>132</second> </item> </second> </item> </inlineStackInfo> <originalName>j</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>206</item> <item>208</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.65</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>11</id> <name>_ln132</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>132</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>132</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>209</item> <item>210</item> <item>211</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>5</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>13</id> <name>trunc_ln135</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>213</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>6</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>14</id> <name>shl_ln</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>215</item> <item>216</item> <item>218</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>7</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>15</id> <name>_ln133</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>133</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>133</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>219</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>8</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>17</id> <name>i_0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>220</item> <item>221</item> <item>222</item> <item>223</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>10</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>18</id> <name>zext_ln133</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>133</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>133</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>224</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>11</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>19</id> <name>icmp_ln133</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>133</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>133</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>225</item> <item>226</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.13</m_delay> <m_topoIndex>12</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>21</id> <name>i</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>133</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>133</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>227</item> <item>228</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.65</m_delay> <m_topoIndex>13</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>22</id> <name>_ln133</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>133</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>133</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>229</item> <item>230</item> <item>231</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>14</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>24</id> <name>add_ln135</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>232</item> <item>233</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>15</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>25</id> <name>zext_ln135</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>234</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>16</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>26</id> <name>key_addr</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>235</item> <item>237</item> <item>238</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>17</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>27</id> <name>key_load</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>239</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>18</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>28</id> <name>tmp_s</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>241</item> <item>242</item> <item>244</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>20</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>29</id> <name>zext_ln135_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>245</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>21</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>30</id> <name>tmp_4</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>247</item> <item>248</item> <item>249</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>22</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>31</id> <name>zext_ln135_2</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>250</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>23</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>32</id> <name>sub_ln135</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>251</item> <item>252</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>24</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>33</id> <name>trunc_ln135_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>253</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>25</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>34</id> <name>or_ln135</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>254</item> <item>255</item> </oprand_edges> <opcode>or</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.96</m_delay> <m_topoIndex>26</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>35</id> <name>tmp_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>257</item> <item>258</item> <item>260</item> <item>262</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>27</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>36</id> <name>tmp_2</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>11</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>264</item> <item>265</item> <item>266</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>28</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>37</id> <name>sext_ln135</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>267</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>29</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>38</id> <name>word_addr</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>268</item> <item>269</item> <item>270</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>30</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>39</id> <name>word_addr_write_ln135</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>135</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>135</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>271</item> <item>272</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>31</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>40</id> <name>_ln133</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>133</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>133</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>273</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>32</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>42</id> <name>_ln0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>197</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>19</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>44</id> <name>_ln138</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>212</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>9</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>46</id> <name>j_1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>j</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>274</item> <item>275</item> <item>277</item> <item>278</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>33</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>47</id> <name>icmp_ln138</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>279</item> <item>281</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.42</m_delay> <m_topoIndex>34</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>49</id> <name>_ln138</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>282</item> <item>283</item> <item>284</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>35</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>51</id> <name>trunc_ln138</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>285</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>36</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>52</id> <name>icmp_ln142</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>142</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>142</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>286</item> <item>287</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.95</m_delay> <m_topoIndex>37</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>53</id> <name>add_ln144</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>289</item> <item>290</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>38</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>54</id> <name>zext_ln144</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>291</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>39</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>55</id> <name>zext_ln144_3</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>292</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>40</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>56</id> <name>zext_ln144_4</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>293</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>41</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>57</id> <name>add_ln144_2</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>295</item> <item>296</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.91</m_delay> <m_topoIndex>42</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>58</id> <name>zext_ln144_5</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>297</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>43</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>59</id> <name>word_addr_4</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>298</item> <item>299</item> <item>300</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>44</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>60</id> <name>add_ln145</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>145</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>302</item> <item>303</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>45</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>61</id> <name>zext_ln145</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>145</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>304</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>46</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>62</id> <name>word_addr_5</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>145</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>305</item> <item>306</item> <item>307</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>47</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>63</id> <name>add_ln146</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>146</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>309</item> <item>310</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>48</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>64</id> <name>zext_ln146</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>146</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>311</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>49</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>65</id> <name>word_addr_6</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>146</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>312</item> <item>313</item> <item>314</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>50</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>66</id> <name>word_addr_7</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>147</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>315</item> <item>316</item> <item>317</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>51</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>67</id> <name>_ln142</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>142</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>142</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>318</item> <item>319</item> <item>320</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>52</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>69</id> <name>temp_0_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>151</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>151</second> </item> </second> </item> </inlineStackInfo> <originalName>temp[0]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>521</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>53</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>70</id> <name>temp_1_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>152</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>152</second> </item> </second> </item> </inlineStackInfo> <originalName>temp[1]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>522</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>54</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>71</id> <name>temp_2_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>153</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>153</second> </item> </second> </item> </inlineStackInfo> <originalName>temp[2]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>523</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>58</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>72</id> <name>word_load</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>154</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>154</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>524</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>59</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>73</id> <name>_ln155</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>155</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>155</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>525</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>60</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>75</id> <name>word_load_5</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName>in</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>321</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>55</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>76</id> <name>tmp_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>323</item> <item>324</item> <item>326</item> </oprand_edges> <opcode>bitselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>61</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_62"> <Value> <Obj> <type>0</type> <id>77</id> <name>trunc_ln248</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>327</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>65</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_63"> <Value> <Obj> <type>0</type> <id>78</id> <name>sub_ln248</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>329</item> <item>330</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.55</m_delay> <m_topoIndex>66</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_64"> <Value> <Obj> <type>0</type> <id>79</id> <name>trunc_ln248_1</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>331</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>67</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_65"> <Value> <Obj> <type>0</type> <id>80</id> <name>tmp_5</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>333</item> <item>335</item> <item>336</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>68</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_66"> <Value> <Obj> <type>0</type> <id>81</id> <name>sub_ln248_2</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>338</item> <item>339</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>69</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_67"> <Value> <Obj> <type>0</type> <id>82</id> <name>tmp_6</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>340</item> <item>341</item> <item>342</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>70</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_68"> <Value> <Obj> <type>0</type> <id>83</id> <name>select_ln248</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>343</item> <item>344</item> <item>345</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>71</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_69"> <Value> <Obj> <type>0</type> <id>84</id> <name>zext_ln</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>347</item> <item>348</item> <item>350</item> <item>352</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>72</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_70"> <Value> <Obj> <type>0</type> <id>85</id> <name>sub_ln248_1</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>353</item> <item>354</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>73</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_71"> <Value> <Obj> <type>0</type> <id>86</id> <name>tmp_7</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>355</item> <item>356</item> <item>357</item> <item>358</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>74</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_72"> <Value> <Obj> <type>0</type> <id>87</id> <name>select_ln248_1</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>359</item> <item>360</item> <item>361</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>75</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_73"> <Value> <Obj> <type>0</type> <id>88</id> <name>sext_ln248_1_cast</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>362</item> <item>363</item> <item>365</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>76</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_74"> <Value> <Obj> <type>0</type> <id>89</id> <name>add_ln248</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>366</item> <item>367</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>77</m_topoIndex> <m_clusterGroupNumber>1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_75"> <Value> <Obj> <type>0</type> <id>90</id> <name>sext_ln248</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>368</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>99</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_76"> <Value> <Obj> <type>0</type> <id>91</id> <name>Sbox_addr</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>369</item> <item>370</item> <item>371</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>100</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_77"> <Value> <Obj> <type>0</type> <id>92</id> <name>Sbox_load</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>372</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>101</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_78"> <Value> <Obj> <type>0</type> <id>93</id> <name>trunc_ln144_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>374</item> <item>375</item> <item>377</item> <item>379</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>102</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_79"> <Value> <Obj> <type>0</type> <id>94</id> <name>add_ln144_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>381</item> <item>382</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>103</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_80"> <Value> <Obj> <type>0</type> <id>95</id> <name>zext_ln144_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>383</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>104</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_81"> <Value> <Obj> <type>0</type> <id>96</id> <name>Rcon0_addr</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>384</item> <item>385</item> <item>386</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>105</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_82"> <Value> <Obj> <type>0</type> <id>97</id> <name>Rcon0_load</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>387</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>106</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_83"> <Value> <Obj> <type>0</type> <id>98</id> <name>temp_0</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName>temp[0]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>388</item> <item>389</item> </oprand_edges> <opcode>xor</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.99</m_delay> <m_topoIndex>136</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_84"> <Value> <Obj> <type>0</type> <id>99</id> <name>zext_ln144_2</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>144</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>144</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>390</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>137</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_85"> <Value> <Obj> <type>0</type> <id>100</id> <name>word_load_6</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>145</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName>in</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>391</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>56</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_86"> <Value> <Obj> <type>0</type> <id>101</id> <name>tmp_8</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>392</item> <item>393</item> <item>394</item> </oprand_edges> <opcode>bitselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>62</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_87"> <Value> <Obj> <type>0</type> <id>102</id> <name>trunc_ln248_2</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>395</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>78</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_88"> <Value> <Obj> <type>0</type> <id>103</id> <name>sub_ln248_4</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>396</item> <item>397</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.55</m_delay> <m_topoIndex>79</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_89"> <Value> <Obj> <type>0</type> <id>104</id> <name>trunc_ln248_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>398</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>80</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_90"> <Value> <Obj> <type>0</type> <id>105</id> <name>tmp_9</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>399</item> <item>400</item> <item>401</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>81</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_91"> <Value> <Obj> <type>0</type> <id>106</id> <name>sub_ln248_6</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>402</item> <item>403</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>82</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_92"> <Value> <Obj> <type>0</type> <id>107</id> <name>tmp_10</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>404</item> <item>405</item> <item>406</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>83</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_93"> <Value> <Obj> <type>0</type> <id>108</id> <name>select_ln248_2</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>407</item> <item>408</item> <item>409</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>84</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_94"> <Value> <Obj> <type>0</type> <id>109</id> <name>zext_ln248_2</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>410</item> <item>411</item> <item>412</item> <item>413</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>85</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_95"> <Value> <Obj> <type>0</type> <id>110</id> <name>sub_ln248_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>414</item> <item>415</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>86</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_96"> <Value> <Obj> <type>0</type> <id>111</id> <name>tmp_11</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>416</item> <item>417</item> <item>418</item> <item>419</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>87</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_97"> <Value> <Obj> <type>0</type> <id>112</id> <name>select_ln248_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>420</item> <item>421</item> <item>422</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>88</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_98"> <Value> <Obj> <type>0</type> <id>113</id> <name>sext_ln248_3_cast</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>423</item> <item>424</item> <item>425</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>89</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_99"> <Value> <Obj> <type>0</type> <id>114</id> <name>add_ln248_1</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>426</item> <item>427</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>90</m_topoIndex> <m_clusterGroupNumber>2</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_100"> <Value> <Obj> <type>0</type> <id>115</id> <name>sext_ln248_1</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>428</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>107</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_101"> <Value> <Obj> <type>0</type> <id>116</id> <name>Sbox_addr_1</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>429</item> <item>430</item> <item>431</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>108</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_102"> <Value> <Obj> <type>0</type> <id>117</id> <name>temp_1</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName>temp[1]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>432</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>109</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_103"> <Value> <Obj> <type>0</type> <id>118</id> <name>zext_ln248</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>145</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>433</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>138</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_104"> <Value> <Obj> <type>0</type> <id>119</id> <name>word_load_7</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>146</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName>in</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>434</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>63</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_105"> <Value> <Obj> <type>0</type> <id>120</id> <name>tmp_12</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>435</item> <item>436</item> <item>437</item> </oprand_edges> <opcode>bitselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>91</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_106"> <Value> <Obj> <type>0</type> <id>121</id> <name>trunc_ln248_4</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>438</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>110</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_107"> <Value> <Obj> <type>0</type> <id>122</id> <name>sub_ln248_8</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>439</item> <item>440</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.55</m_delay> <m_topoIndex>92</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_108"> <Value> <Obj> <type>0</type> <id>123</id> <name>trunc_ln248_5</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>441</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>93</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_109"> <Value> <Obj> <type>0</type> <id>124</id> <name>tmp_13</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>442</item> <item>443</item> <item>444</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>111</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_110"> <Value> <Obj> <type>0</type> <id>125</id> <name>sub_ln248_9</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>445</item> <item>446</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>112</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_111"> <Value> <Obj> <type>0</type> <id>126</id> <name>tmp_14</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>447</item> <item>448</item> <item>449</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>113</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_112"> <Value> <Obj> <type>0</type> <id>127</id> <name>select_ln248_4</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>450</item> <item>451</item> <item>452</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>114</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_113"> <Value> <Obj> <type>0</type> <id>128</id> <name>zext_ln248_4</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>453</item> <item>454</item> <item>455</item> <item>456</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>94</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_114"> <Value> <Obj> <type>0</type> <id>129</id> <name>sub_ln248_5</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>457</item> <item>458</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>115</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_115"> <Value> <Obj> <type>0</type> <id>130</id> <name>tmp_15</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>459</item> <item>460</item> <item>461</item> <item>462</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>116</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_116"> <Value> <Obj> <type>0</type> <id>131</id> <name>select_ln248_5</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>463</item> <item>464</item> <item>465</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>117</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_117"> <Value> <Obj> <type>0</type> <id>132</id> <name>sext_ln248_5_cast</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>466</item> <item>467</item> <item>468</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>118</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_118"> <Value> <Obj> <type>0</type> <id>133</id> <name>add_ln248_2</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>469</item> <item>470</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>119</m_topoIndex> <m_clusterGroupNumber>3</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_119"> <Value> <Obj> <type>0</type> <id>134</id> <name>sext_ln248_2</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>471</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>120</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_120"> <Value> <Obj> <type>0</type> <id>135</id> <name>Sbox_addr_2</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>472</item> <item>473</item> <item>474</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>121</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_121"> <Value> <Obj> <type>0</type> <id>136</id> <name>temp_2</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName>temp[2]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>475</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>122</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_122"> <Value> <Obj> <type>0</type> <id>137</id> <name>zext_ln248_1</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>146</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>476</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>139</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_123"> <Value> <Obj> <type>0</type> <id>138</id> <name>word_load_8</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>147</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName>in</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>477</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>64</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_124"> <Value> <Obj> <type>0</type> <id>139</id> <name>tmp_16</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>478</item> <item>479</item> <item>480</item> </oprand_edges> <opcode>bitselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>95</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_125"> <Value> <Obj> <type>0</type> <id>140</id> <name>trunc_ln248_6</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>481</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>123</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_126"> <Value> <Obj> <type>0</type> <id>141</id> <name>sub_ln248_10</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>482</item> <item>483</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>2.55</m_delay> <m_topoIndex>96</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_127"> <Value> <Obj> <type>0</type> <id>142</id> <name>trunc_ln248_7</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>484</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>97</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_128"> <Value> <Obj> <type>0</type> <id>143</id> <name>tmp_17</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>485</item> <item>486</item> <item>487</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>124</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_129"> <Value> <Obj> <type>0</type> <id>144</id> <name>sub_ln248_11</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>488</item> <item>489</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>125</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_130"> <Value> <Obj> <type>0</type> <id>145</id> <name>tmp_18</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>490</item> <item>491</item> <item>492</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>126</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_131"> <Value> <Obj> <type>0</type> <id>146</id> <name>select_ln248_6</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>493</item> <item>494</item> <item>495</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>127</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_132"> <Value> <Obj> <type>0</type> <id>147</id> <name>zext_ln248_6</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>496</item> <item>497</item> <item>498</item> <item>499</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>98</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_133"> <Value> <Obj> <type>0</type> <id>148</id> <name>sub_ln248_7</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>500</item> <item>501</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>128</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_134"> <Value> <Obj> <type>0</type> <id>149</id> <name>tmp_19</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>502</item> <item>503</item> <item>504</item> <item>505</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>129</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_135"> <Value> <Obj> <type>0</type> <id>150</id> <name>select_ln248_7</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>506</item> <item>507</item> <item>508</item> </oprand_edges> <opcode>select</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>130</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_136"> <Value> <Obj> <type>0</type> <id>151</id> <name>sext_ln248_7_cast</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>509</item> <item>510</item> <item>511</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>131</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_137"> <Value> <Obj> <type>0</type> <id>152</id> <name>add_ln248_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>512</item> <item>513</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>132</m_topoIndex> <m_clusterGroupNumber>4</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_138"> <Value> <Obj> <type>0</type> <id>153</id> <name>sext_ln248_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>514</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>133</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_139"> <Value> <Obj> <type>0</type> <id>154</id> <name>Sbox_addr_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>515</item> <item>516</item> <item>517</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>134</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_140"> <Value> <Obj> <type>0</type> <id>155</id> <name>Sbox_load_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>518</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>135</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_141"> <Value> <Obj> <type>0</type> <id>156</id> <name>zext_ln248_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>519</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>140</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_142"> <Value> <Obj> <type>0</type> <id>157</id> <name>_ln149</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>149</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>149</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>520</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>141</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_143"> <Value> <Obj> <type>0</type> <id>159</id> <name>temp_2_0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>temp[2]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>526</item> <item>527</item> <item>528</item> <item>529</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>142</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_144"> <Value> <Obj> <type>0</type> <id>160</id> <name>temp_1_0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>temp[1]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>530</item> <item>531</item> <item>532</item> <item>533</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>143</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_145"> <Value> <Obj> <type>0</type> <id>161</id> <name>temp_0_0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>temp[0]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>534</item> <item>535</item> <item>536</item> <item>537</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>144</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_146"> <Value> <Obj> <type>0</type> <id>162</id> <name>temp_3</name> <fileName>aes/aes_func.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>248</lineNumber> <contextFuncName>SubByte</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>2</count> <item_version>0</item_version> <item> <first> <first>aes/aes_func.c</first> <second>SubByte</second> </first> <second>248</second> </item> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>147</second> </item> </second> </item> </inlineStackInfo> <originalName>temp[3]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>538</item> <item>539</item> <item>540</item> <item>541</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>145</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_147"> <Value> <Obj> <type>0</type> <id>163</id> <name>add_ln160</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>542</item> <item>544</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>146</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_148"> <Value> <Obj> <type>0</type> <id>164</id> <name>zext_ln160</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>545</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>147</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_149"> <Value> <Obj> <type>0</type> <id>165</id> <name>zext_ln159</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>159</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>159</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>546</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>148</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_150"> <Value> <Obj> <type>0</type> <id>166</id> <name>_ln159</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>159</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>159</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>547</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.76</m_delay> <m_topoIndex>149</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_151"> <Value> <Obj> <type>0</type> <id>168</id> <name>i_2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>548</item> <item>549</item> <item>550</item> <item>551</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>150</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_152"> <Value> <Obj> <type>0</type> <id>169</id> <name>icmp_ln159</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>159</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>159</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>552</item> <item>553</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.13</m_delay> <m_topoIndex>151</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_153"> <Value> <Obj> <type>0</type> <id>171</id> <name>i_4</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>159</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>159</second> </item> </second> </item> </inlineStackInfo> <originalName>i</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>554</item> <item>555</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.65</m_delay> <m_topoIndex>152</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_154"> <Value> <Obj> <type>0</type> <id>172</id> <name>_ln159</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>159</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>159</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>556</item> <item>557</item> <item>558</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>153</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_155"> <Value> <Obj> <type>0</type> <id>174</id> <name>tmp_20</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>559</item> <item>560</item> <item>561</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>154</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_156"> <Value> <Obj> <type>0</type> <id>175</id> <name>tmp_21</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>562</item> <item>563</item> <item>564</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>155</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_157"> <Value> <Obj> <type>0</type> <id>176</id> <name>zext_ln160_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>565</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>156</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_158"> <Value> <Obj> <type>0</type> <id>177</id> <name>sub_ln160</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>566</item> <item>567</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>157</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_159"> <Value> <Obj> <type>0</type> <id>178</id> <name>add_ln160_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>568</item> <item>569</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>158</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_160"> <Value> <Obj> <type>0</type> <id>179</id> <name>sext_ln160</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>570</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>159</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_161"> <Value> <Obj> <type>0</type> <id>180</id> <name>word_addr_8</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>571</item> <item>572</item> <item>573</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>160</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_162"> <Value> <Obj> <type>0</type> <id>181</id> <name>add_ln160_2</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>574</item> <item>575</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.73</m_delay> <m_topoIndex>161</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_163"> <Value> <Obj> <type>0</type> <id>182</id> <name>sext_ln160_1</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>576</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>167</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_164"> <Value> <Obj> <type>0</type> <id>183</id> <name>word_addr_9</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>577</item> <item>578</item> <item>579</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>168</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_165"> <Value> <Obj> <type>0</type> <id>184</id> <name>word_load_4</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>580</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>162</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_166"> <Value> <Obj> <type>0</type> <id>185</id> <name>trunc_ln160</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>581</item> </oprand_edges> <opcode>trunc</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>163</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_167"> <Value> <Obj> <type>0</type> <id>186</id> <name>tmp</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>6</count> <item_version>0</item_version> <item>583</item> <item>584</item> <item>585</item> <item>586</item> <item>587</item> <item>588</item> </oprand_edges> <opcode>mux</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.95</m_delay> <m_topoIndex>164</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_168"> <Value> <Obj> <type>0</type> <id>187</id> <name>xor_ln160</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>589</item> <item>590</item> </oprand_edges> <opcode>xor</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.99</m_delay> <m_topoIndex>169</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_169"> <Value> <Obj> <type>0</type> <id>188</id> <name>word_addr_9_write_ln160</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>160</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>160</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>591</item> <item>592</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>3.25</m_delay> <m_topoIndex>170</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_170"> <Value> <Obj> <type>0</type> <id>189</id> <name>_ln159</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>159</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>159</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>593</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>171</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_171"> <Value> <Obj> <type>0</type> <id>191</id> <name>j_3</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName>j</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>594</item> <item>596</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.82</m_delay> <m_topoIndex>165</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_172"> <Value> <Obj> <type>0</type> <id>192</id> <name>_ln138</name> <fileName>aes/aes_key.c</fileName> <fileDirectory>G:\AES</fileDirectory> <lineNumber>138</lineNumber> <contextFuncName>KeySchedule</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>G:\AES</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>aes/aes_key.c</first> <second>KeySchedule</second> </first> <second>138</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>597</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>166</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_173"> <Value> <Obj> <type>0</type> <id>194</id> <name>_ln0</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>57</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>26</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_174"> <Value> <Obj> <type>2</type> <id>198</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_175"> <Value> <Obj> <type>2</type> <id>204</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_176"> <Value> <Obj> <type>2</type> <id>207</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>3</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_177"> <Value> <Obj> <type>2</type> <id>217</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_178"> <Value> <Obj> <type>2</type> <id>236</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_179"> <Value> <Obj> <type>2</type> <id>243</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>7</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_180"> <Value> <Obj> <type>2</type> <id>259</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_181"> <Value> <Obj> <type>2</type> <id>261</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>10</content> </item> <item class_id_reference="16" object_id="_182"> <Value> <Obj> <type>2</type> <id>276</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_183"> <Value> <Obj> <type>2</type> <id>280</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>44</content> </item> <item class_id_reference="16" object_id="_184"> <Value> <Obj> <type>2</type> <id>288</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>63</content> </item> <item class_id_reference="16" object_id="_185"> <Value> <Obj> <type>2</type> <id>294</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>8</bitwidth> </Value> <const_type>0</const_type> <content>120</content> </item> <item class_id_reference="16" object_id="_186"> <Value> <Obj> <type>2</type> <id>301</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <const_type>0</const_type> <content>240</content> </item> <item class_id_reference="16" object_id="_187"> <Value> <Obj> <type>2</type> <id>308</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>9</bitwidth> </Value> <const_type>0</const_type> <content>360</content> </item> <item class_id_reference="16" object_id="_188"> <Value> <Obj> <type>2</type> <id>325</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>31</content> </item> <item class_id_reference="16" object_id="_189"> <Value> <Obj> <type>2</type> <id>328</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_190"> <Value> <Obj> <type>2</type> <id>334</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_191"> <Value> <Obj> <type>2</type> <id>337</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>10</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_192"> <Value> <Obj> <type>2</type> <id>349</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_193"> <Value> <Obj> <type>2</type> <id>351</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>9</content> </item> <item class_id_reference="16" object_id="_194"> <Value> <Obj> <type>2</type> <id>364</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_195"> <Value> <Obj> <type>2</type> <id>376</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>2</content> </item> <item class_id_reference="16" object_id="_196"> <Value> <Obj> <type>2</type> <id>378</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>5</content> </item> <item class_id_reference="16" object_id="_197"> <Value> <Obj> <type>2</type> <id>380</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <const_type>0</const_type> <content>15</content> </item> <item class_id_reference="16" object_id="_198"> <Value> <Obj> <type>2</type> <id>543</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>60</content> </item> <item class_id_reference="16" object_id="_199"> <Value> <Obj> <type>2</type> <id>595</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>6</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>16</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_200"> <Obj> <type>3</type> <id>6</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>5</item> </node_objs> </item> <item class_id_reference="18" object_id="_201"> <Obj> <type>3</type> <id>12</id> <name>.loopexit24</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>7</item> <item>8</item> <item>10</item> <item>11</item> </node_objs> </item> <item class_id_reference="18" object_id="_202"> <Obj> <type>3</type> <id>16</id> <name>.preheader3.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>13</item> <item>14</item> <item>15</item> </node_objs> </item> <item class_id_reference="18" object_id="_203"> <Obj> <type>3</type> <id>23</id> <name>.preheader3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>17</item> <item>18</item> <item>19</item> <item>21</item> <item>22</item> </node_objs> </item> <item class_id_reference="18" object_id="_204"> <Obj> <type>3</type> <id>41</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>17</count> <item_version>0</item_version> <item>24</item> <item>25</item> <item>26</item> <item>27</item> <item>28</item> <item>29</item> <item>30</item> <item>31</item> <item>32</item> <item>33</item> <item>34</item> <item>35</item> <item>36</item> <item>37</item> <item>38</item> <item>39</item> <item>40</item> </node_objs> </item> <item class_id_reference="18" object_id="_205"> <Obj> <type>3</type> <id>43</id> <name>.loopexit24.loopexit</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>42</item> </node_objs> </item> <item class_id_reference="18" object_id="_206"> <Obj> <type>3</type> <id>45</id> <name>.preheader1.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>44</item> </node_objs> </item> <item class_id_reference="18" object_id="_207"> <Obj> <type>3</type> <id>50</id> <name>.preheader1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>3</count> <item_version>0</item_version> <item>46</item> <item>47</item> <item>49</item> </node_objs> </item> <item class_id_reference="18" object_id="_208"> <Obj> <type>3</type> <id>68</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>17</count> <item_version>0</item_version> <item>51</item> <item>52</item> <item>53</item> <item>54</item> <item>55</item> <item>56</item> <item>57</item> <item>58</item> <item>59</item> <item>60</item> <item>61</item> <item>62</item> <item>63</item> <item>64</item> <item>65</item> <item>66</item> <item>67</item> </node_objs> </item> <item class_id_reference="18" object_id="_209"> <Obj> <type>3</type> <id>74</id> <name>.critedge</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>69</item> <item>70</item> <item>71</item> <item>72</item> <item>73</item> </node_objs> </item> <item class_id_reference="18" object_id="_210"> <Obj> <type>3</type> <id>158</id> <name>._crit_edge</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>83</count> <item_version>0</item_version> <item>75</item> <item>76</item> <item>77</item> <item>78</item> <item>79</item> <item>80</item> <item>81</item> <item>82</item> <item>83</item> <item>84</item> <item>85</item> <item>86</item> <item>87</item> <item>88</item> <item>89</item> <item>90</item> <item>91</item> <item>92</item> <item>93</item> <item>94</item> <item>95</item> <item>96</item> <item>97</item> <item>98</item> <item>99</item> <item>100</item> <item>101</item> <item>102</item> <item>103</item> <item>104</item> <item>105</item> <item>106</item> <item>107</item> <item>108</item> <item>109</item> <item>110</item> <item>111</item> <item>112</item> <item>113</item> <item>114</item> <item>115</item> <item>116</item> <item>117</item> <item>118</item> <item>119</item> <item>120</item> <item>121</item> <item>122</item> <item>123</item> <item>124</item> <item>125</item> <item>126</item> <item>127</item> <item>128</item> <item>129</item> <item>130</item> <item>131</item> <item>132</item> <item>133</item> <item>134</item> <item>135</item> <item>136</item> <item>137</item> <item>138</item> <item>139</item> <item>140</item> <item>141</item> <item>142</item> <item>143</item> <item>144</item> <item>145</item> <item>146</item> <item>147</item> <item>148</item> <item>149</item> <item>150</item> <item>151</item> <item>152</item> <item>153</item> <item>154</item> <item>155</item> <item>156</item> <item>157</item> </node_objs> </item> <item class_id_reference="18" object_id="_211"> <Obj> <type>3</type> <id>167</id> <name>.loopexit</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>8</count> <item_version>0</item_version> <item>159</item> <item>160</item> <item>161</item> <item>162</item> <item>163</item> <item>164</item> <item>165</item> <item>166</item> </node_objs> </item> <item class_id_reference="18" object_id="_212"> <Obj> <type>3</type> <id>173</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>168</item> <item>169</item> <item>171</item> <item>172</item> </node_objs> </item> <item class_id_reference="18" object_id="_213"> <Obj> <type>3</type> <id>190</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>16</count> <item_version>0</item_version> <item>174</item> <item>175</item> <item>176</item> <item>177</item> <item>178</item> <item>179</item> <item>180</item> <item>181</item> <item>182</item> <item>183</item> <item>184</item> <item>185</item> <item>186</item> <item>187</item> <item>188</item> <item>189</item> </node_objs> </item> <item class_id_reference="18" object_id="_214"> <Obj> <type>3</type> <id>193</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>191</item> <item>192</item> </node_objs> </item> <item class_id_reference="18" object_id="_215"> <Obj> <type>3</type> <id>195</id> <name>.loopexit2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>194</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>353</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_216"> <id>196</id> <edge_type>2</edge_type> <source_obj>12</source_obj> <sink_obj>5</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_217"> <id>197</id> <edge_type>2</edge_type> <source_obj>12</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_218"> <id>199</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>7</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_219"> <id>200</id> <edge_type>2</edge_type> <source_obj>6</source_obj> <sink_obj>7</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_220"> <id>201</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>7</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_221"> <id>202</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>7</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_222"> <id>203</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>8</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_223"> <id>205</id> <edge_type>1</edge_type> <source_obj>204</source_obj> <sink_obj>8</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_224"> <id>206</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>10</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_225"> <id>208</id> <edge_type>1</edge_type> <source_obj>207</source_obj> <sink_obj>10</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_226"> <id>209</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>11</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_227"> <id>210</id> <edge_type>2</edge_type> <source_obj>16</source_obj> <sink_obj>11</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_228"> <id>211</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>11</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_229"> <id>212</id> <edge_type>2</edge_type> <source_obj>50</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_230"> <id>213</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>13</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_231"> <id>216</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_232"> <id>218</id> <edge_type>1</edge_type> <source_obj>217</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_233"> <id>219</id> <edge_type>2</edge_type> <source_obj>23</source_obj> <sink_obj>15</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_234"> <id>220</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>17</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_235"> <id>221</id> <edge_type>2</edge_type> <source_obj>41</source_obj> <sink_obj>17</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_236"> <id>222</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_237"> <id>223</id> <edge_type>2</edge_type> <source_obj>16</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_238"> <id>224</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_239"> <id>225</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_240"> <id>226</id> <edge_type>1</edge_type> <source_obj>204</source_obj> <sink_obj>19</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_241"> <id>227</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_242"> <id>228</id> <edge_type>1</edge_type> <source_obj>207</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_243"> <id>229</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_244"> <id>230</id> <edge_type>2</edge_type> <source_obj>41</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_245"> <id>231</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_246"> <id>232</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_247"> <id>233</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_248"> <id>234</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_249"> <id>235</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_250"> <id>237</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_251"> <id>238</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_252"> <id>239</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_253"> <id>242</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_254"> <id>244</id> <edge_type>1</edge_type> <source_obj>243</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_255"> <id>245</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>29</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_256"> <id>248</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_257"> <id>249</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_258"> <id>250</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_259"> <id>251</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_260"> <id>252</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_261"> <id>253</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>33</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_262"> <id>254</id> <edge_type>1</edge_type> <source_obj>33</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_263"> <id>255</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_264"> <id>258</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_265"> <id>260</id> <edge_type>1</edge_type> <source_obj>259</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_266"> <id>262</id> <edge_type>1</edge_type> <source_obj>261</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_267"> <id>265</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_268"> <id>266</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_269"> <id>267</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_270"> <id>268</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_271"> <id>269</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_272"> <id>270</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_273"> <id>271</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_274"> <id>272</id> <edge_type>1</edge_type> <source_obj>38</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_275"> <id>273</id> <edge_type>2</edge_type> <source_obj>23</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_276"> <id>274</id> <edge_type>1</edge_type> <source_obj>191</source_obj> <sink_obj>46</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_277"> <id>275</id> <edge_type>2</edge_type> <source_obj>193</source_obj> <sink_obj>46</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_278"> <id>277</id> <edge_type>1</edge_type> <source_obj>276</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_279"> <id>278</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_280"> <id>279</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_281"> <id>281</id> <edge_type>1</edge_type> <source_obj>280</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_282"> <id>282</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_283"> <id>283</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_284"> <id>284</id> <edge_type>2</edge_type> <source_obj>195</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_285"> <id>285</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_286"> <id>286</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_287"> <id>287</id> <edge_type>1</edge_type> <source_obj>217</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_288"> <id>289</id> <edge_type>1</edge_type> <source_obj>288</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_289"> <id>290</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_290"> <id>291</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>54</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_291"> <id>292</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>55</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_292"> <id>293</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_293"> <id>295</id> <edge_type>1</edge_type> <source_obj>294</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_294"> <id>296</id> <edge_type>1</edge_type> <source_obj>56</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_295"> <id>297</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_296"> <id>298</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_297"> <id>299</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_298"> <id>300</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_299"> <id>302</id> <edge_type>1</edge_type> <source_obj>301</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_300"> <id>303</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_301"> <id>304</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_302"> <id>305</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_303"> <id>306</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_304"> <id>307</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_305"> <id>309</id> <edge_type>1</edge_type> <source_obj>308</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_306"> <id>310</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_307"> <id>311</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>64</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_308"> <id>312</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_309"> <id>313</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_310"> <id>314</id> <edge_type>1</edge_type> <source_obj>64</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_311"> <id>315</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_312"> <id>316</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_313"> <id>317</id> <edge_type>1</edge_type> <source_obj>54</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_314"> <id>318</id> <edge_type>1</edge_type> <source_obj>52</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_315"> <id>319</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_316"> <id>320</id> <edge_type>2</edge_type> <source_obj>158</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_317"> <id>321</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_318"> <id>324</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_319"> <id>326</id> <edge_type>1</edge_type> <source_obj>325</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_320"> <id>327</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_321"> <id>329</id> <edge_type>1</edge_type> <source_obj>328</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_322"> <id>330</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>78</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_323"> <id>331</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_324"> <id>335</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_325"> <id>336</id> <edge_type>1</edge_type> <source_obj>79</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_326"> <id>338</id> <edge_type>1</edge_type> <source_obj>337</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_327"> <id>339</id> <edge_type>1</edge_type> <source_obj>80</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_328"> <id>341</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_329"> <id>342</id> <edge_type>1</edge_type> <source_obj>77</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_330"> <id>343</id> <edge_type>1</edge_type> <source_obj>76</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_331"> <id>344</id> <edge_type>1</edge_type> <source_obj>81</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_332"> <id>345</id> <edge_type>1</edge_type> <source_obj>82</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_333"> <id>348</id> <edge_type>1</edge_type> <source_obj>78</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_334"> <id>350</id> <edge_type>1</edge_type> <source_obj>349</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_335"> <id>352</id> <edge_type>1</edge_type> <source_obj>351</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_336"> <id>353</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_337"> <id>354</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_338"> <id>356</id> <edge_type>1</edge_type> <source_obj>75</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_339"> <id>357</id> <edge_type>1</edge_type> <source_obj>349</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_340"> <id>358</id> <edge_type>1</edge_type> <source_obj>351</source_obj> <sink_obj>86</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_341"> <id>359</id> <edge_type>1</edge_type> <source_obj>76</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_342"> <id>360</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_343"> <id>361</id> <edge_type>1</edge_type> <source_obj>86</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_344"> <id>363</id> <edge_type>1</edge_type> <source_obj>87</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_345"> <id>365</id> <edge_type>1</edge_type> <source_obj>364</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_346"> <id>366</id> <edge_type>1</edge_type> <source_obj>88</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_347"> <id>367</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_348"> <id>368</id> <edge_type>1</edge_type> <source_obj>89</source_obj> <sink_obj>90</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_349"> <id>369</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_350"> <id>370</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_351"> <id>371</id> <edge_type>1</edge_type> <source_obj>90</source_obj> <sink_obj>91</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_352"> <id>372</id> <edge_type>1</edge_type> <source_obj>91</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_353"> <id>375</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_354"> <id>377</id> <edge_type>1</edge_type> <source_obj>376</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_355"> <id>379</id> <edge_type>1</edge_type> <source_obj>378</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_356"> <id>381</id> <edge_type>1</edge_type> <source_obj>380</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_357"> <id>382</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_358"> <id>383</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_359"> <id>384</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_360"> <id>385</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_361"> <id>386</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_362"> <id>387</id> <edge_type>1</edge_type> <source_obj>96</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_363"> <id>388</id> <edge_type>1</edge_type> <source_obj>97</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_364"> <id>389</id> <edge_type>1</edge_type> <source_obj>92</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_365"> <id>390</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_366"> <id>391</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>100</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_367"> <id>393</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_368"> <id>394</id> <edge_type>1</edge_type> <source_obj>325</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_369"> <id>395</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>102</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_370"> <id>396</id> <edge_type>1</edge_type> <source_obj>328</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_371"> <id>397</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_372"> <id>398</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>104</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_373"> <id>400</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_374"> <id>401</id> <edge_type>1</edge_type> <source_obj>104</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_375"> <id>402</id> <edge_type>1</edge_type> <source_obj>337</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_376"> <id>403</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_377"> <id>405</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_378"> <id>406</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_379"> <id>407</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_380"> <id>408</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_381"> <id>409</id> <edge_type>1</edge_type> <source_obj>107</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_382"> <id>411</id> <edge_type>1</edge_type> <source_obj>103</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_383"> <id>412</id> <edge_type>1</edge_type> <source_obj>349</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_384"> <id>413</id> <edge_type>1</edge_type> <source_obj>351</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_385"> <id>414</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>110</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_386"> <id>415</id> <edge_type>1</edge_type> <source_obj>109</source_obj> <sink_obj>110</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_387"> <id>417</id> <edge_type>1</edge_type> <source_obj>100</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_388"> <id>418</id> <edge_type>1</edge_type> <source_obj>349</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_389"> <id>419</id> <edge_type>1</edge_type> <source_obj>351</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_390"> <id>420</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_391"> <id>421</id> <edge_type>1</edge_type> <source_obj>110</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_392"> <id>422</id> <edge_type>1</edge_type> <source_obj>111</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_393"> <id>424</id> <edge_type>1</edge_type> <source_obj>112</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_394"> <id>425</id> <edge_type>1</edge_type> <source_obj>364</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_395"> <id>426</id> <edge_type>1</edge_type> <source_obj>113</source_obj> <sink_obj>114</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_396"> <id>427</id> <edge_type>1</edge_type> <source_obj>108</source_obj> <sink_obj>114</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_397"> <id>428</id> <edge_type>1</edge_type> <source_obj>114</source_obj> <sink_obj>115</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_398"> <id>429</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>116</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_399"> <id>430</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>116</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_400"> <id>431</id> <edge_type>1</edge_type> <source_obj>115</source_obj> <sink_obj>116</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_401"> <id>432</id> <edge_type>1</edge_type> <source_obj>116</source_obj> <sink_obj>117</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_402"> <id>433</id> <edge_type>1</edge_type> <source_obj>117</source_obj> <sink_obj>118</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_403"> <id>434</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>119</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_404"> <id>436</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_405"> <id>437</id> <edge_type>1</edge_type> <source_obj>325</source_obj> <sink_obj>120</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_406"> <id>438</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>121</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_407"> <id>439</id> <edge_type>1</edge_type> <source_obj>328</source_obj> <sink_obj>122</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_408"> <id>440</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>122</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_409"> <id>441</id> <edge_type>1</edge_type> <source_obj>122</source_obj> <sink_obj>123</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_410"> <id>443</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>124</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_411"> <id>444</id> <edge_type>1</edge_type> <source_obj>123</source_obj> <sink_obj>124</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_412"> <id>445</id> <edge_type>1</edge_type> <source_obj>337</source_obj> <sink_obj>125</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_413"> <id>446</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>125</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_414"> <id>448</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>126</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_415"> <id>449</id> <edge_type>1</edge_type> <source_obj>121</source_obj> <sink_obj>126</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_416"> <id>450</id> <edge_type>1</edge_type> <source_obj>120</source_obj> <sink_obj>127</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_417"> <id>451</id> <edge_type>1</edge_type> <source_obj>125</source_obj> <sink_obj>127</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_418"> <id>452</id> <edge_type>1</edge_type> <source_obj>126</source_obj> <sink_obj>127</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_419"> <id>454</id> <edge_type>1</edge_type> <source_obj>122</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_420"> <id>455</id> <edge_type>1</edge_type> <source_obj>349</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_421"> <id>456</id> <edge_type>1</edge_type> <source_obj>351</source_obj> <sink_obj>128</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_422"> <id>457</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>129</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_423"> <id>458</id> <edge_type>1</edge_type> <source_obj>128</source_obj> <sink_obj>129</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_424"> <id>460</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>130</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_425"> <id>461</id> <edge_type>1</edge_type> <source_obj>349</source_obj> <sink_obj>130</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_426"> <id>462</id> <edge_type>1</edge_type> <source_obj>351</source_obj> <sink_obj>130</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_427"> <id>463</id> <edge_type>1</edge_type> <source_obj>120</source_obj> <sink_obj>131</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_428"> <id>464</id> <edge_type>1</edge_type> <source_obj>129</source_obj> <sink_obj>131</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_429"> <id>465</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>131</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_430"> <id>467</id> <edge_type>1</edge_type> <source_obj>131</source_obj> <sink_obj>132</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_431"> <id>468</id> <edge_type>1</edge_type> <source_obj>364</source_obj> <sink_obj>132</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_432"> <id>469</id> <edge_type>1</edge_type> <source_obj>132</source_obj> <sink_obj>133</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_433"> <id>470</id> <edge_type>1</edge_type> <source_obj>127</source_obj> <sink_obj>133</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_434"> <id>471</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>134</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_435"> <id>472</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>135</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_436"> <id>473</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>135</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_437"> <id>474</id> <edge_type>1</edge_type> <source_obj>134</source_obj> <sink_obj>135</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_438"> <id>475</id> <edge_type>1</edge_type> <source_obj>135</source_obj> <sink_obj>136</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_439"> <id>476</id> <edge_type>1</edge_type> <source_obj>136</source_obj> <sink_obj>137</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_440"> <id>477</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>138</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_441"> <id>479</id> <edge_type>1</edge_type> <source_obj>138</source_obj> <sink_obj>139</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_442"> <id>480</id> <edge_type>1</edge_type> <source_obj>325</source_obj> <sink_obj>139</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_443"> <id>481</id> <edge_type>1</edge_type> <source_obj>138</source_obj> <sink_obj>140</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_444"> <id>482</id> <edge_type>1</edge_type> <source_obj>328</source_obj> <sink_obj>141</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_445"> <id>483</id> <edge_type>1</edge_type> <source_obj>138</source_obj> <sink_obj>141</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_446"> <id>484</id> <edge_type>1</edge_type> <source_obj>141</source_obj> <sink_obj>142</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_447"> <id>486</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>143</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_448"> <id>487</id> <edge_type>1</edge_type> <source_obj>142</source_obj> <sink_obj>143</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_449"> <id>488</id> <edge_type>1</edge_type> <source_obj>337</source_obj> <sink_obj>144</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_450"> <id>489</id> <edge_type>1</edge_type> <source_obj>143</source_obj> <sink_obj>144</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_451"> <id>491</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>145</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_452"> <id>492</id> <edge_type>1</edge_type> <source_obj>140</source_obj> <sink_obj>145</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_453"> <id>493</id> <edge_type>1</edge_type> <source_obj>139</source_obj> <sink_obj>146</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_454"> <id>494</id> <edge_type>1</edge_type> <source_obj>144</source_obj> <sink_obj>146</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_455"> <id>495</id> <edge_type>1</edge_type> <source_obj>145</source_obj> <sink_obj>146</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_456"> <id>497</id> <edge_type>1</edge_type> <source_obj>141</source_obj> <sink_obj>147</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_457"> <id>498</id> <edge_type>1</edge_type> <source_obj>349</source_obj> <sink_obj>147</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_458"> <id>499</id> <edge_type>1</edge_type> <source_obj>351</source_obj> <sink_obj>147</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_459"> <id>500</id> <edge_type>1</edge_type> <source_obj>334</source_obj> <sink_obj>148</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_460"> <id>501</id> <edge_type>1</edge_type> <source_obj>147</source_obj> <sink_obj>148</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_461"> <id>503</id> <edge_type>1</edge_type> <source_obj>138</source_obj> <sink_obj>149</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_462"> <id>504</id> <edge_type>1</edge_type> <source_obj>349</source_obj> <sink_obj>149</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_463"> <id>505</id> <edge_type>1</edge_type> <source_obj>351</source_obj> <sink_obj>149</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_464"> <id>506</id> <edge_type>1</edge_type> <source_obj>139</source_obj> <sink_obj>150</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_465"> <id>507</id> <edge_type>1</edge_type> <source_obj>148</source_obj> <sink_obj>150</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_466"> <id>508</id> <edge_type>1</edge_type> <source_obj>149</source_obj> <sink_obj>150</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_467"> <id>510</id> <edge_type>1</edge_type> <source_obj>150</source_obj> <sink_obj>151</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_468"> <id>511</id> <edge_type>1</edge_type> <source_obj>364</source_obj> <sink_obj>151</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_469"> <id>512</id> <edge_type>1</edge_type> <source_obj>151</source_obj> <sink_obj>152</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_470"> <id>513</id> <edge_type>1</edge_type> <source_obj>146</source_obj> <sink_obj>152</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_471"> <id>514</id> <edge_type>1</edge_type> <source_obj>152</source_obj> <sink_obj>153</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_472"> <id>515</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>154</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_473"> <id>516</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>154</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_474"> <id>517</id> <edge_type>1</edge_type> <source_obj>153</source_obj> <sink_obj>154</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_475"> <id>518</id> <edge_type>1</edge_type> <source_obj>154</source_obj> <sink_obj>155</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_476"> <id>519</id> <edge_type>1</edge_type> <source_obj>155</source_obj> <sink_obj>156</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_477"> <id>520</id> <edge_type>2</edge_type> <source_obj>167</source_obj> <sink_obj>157</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_478"> <id>521</id> <edge_type>1</edge_type> <source_obj>66</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_479"> <id>522</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_480"> <id>523</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_481"> <id>524</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_482"> <id>525</id> <edge_type>2</edge_type> <source_obj>167</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_483"> <id>526</id> <edge_type>1</edge_type> <source_obj>137</source_obj> <sink_obj>159</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_484"> <id>527</id> <edge_type>2</edge_type> <source_obj>158</source_obj> <sink_obj>159</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_485"> <id>528</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>159</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_486"> <id>529</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>159</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_487"> <id>530</id> <edge_type>1</edge_type> <source_obj>118</source_obj> <sink_obj>160</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_488"> <id>531</id> <edge_type>2</edge_type> <source_obj>158</source_obj> <sink_obj>160</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_489"> <id>532</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>160</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_490"> <id>533</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>160</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_491"> <id>534</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>161</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_492"> <id>535</id> <edge_type>2</edge_type> <source_obj>158</source_obj> <sink_obj>161</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_493"> <id>536</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>161</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_494"> <id>537</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>161</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_495"> <id>538</id> <edge_type>1</edge_type> <source_obj>156</source_obj> <sink_obj>162</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_496"> <id>539</id> <edge_type>2</edge_type> <source_obj>158</source_obj> <sink_obj>162</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_497"> <id>540</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>162</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_498"> <id>541</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>162</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_499"> <id>542</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>163</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_500"> <id>544</id> <edge_type>1</edge_type> <source_obj>543</source_obj> <sink_obj>163</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_501"> <id>545</id> <edge_type>1</edge_type> <source_obj>163</source_obj> <sink_obj>164</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_502"> <id>546</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>165</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_503"> <id>547</id> <edge_type>2</edge_type> <source_obj>173</source_obj> <sink_obj>166</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_504"> <id>548</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>168</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_505"> <id>549</id> <edge_type>2</edge_type> <source_obj>167</source_obj> <sink_obj>168</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_506"> <id>550</id> <edge_type>1</edge_type> <source_obj>171</source_obj> <sink_obj>168</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_507"> <id>551</id> <edge_type>2</edge_type> <source_obj>190</source_obj> <sink_obj>168</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_508"> <id>552</id> <edge_type>1</edge_type> <source_obj>168</source_obj> <sink_obj>169</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_509"> <id>553</id> <edge_type>1</edge_type> <source_obj>204</source_obj> <sink_obj>169</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_510"> <id>554</id> <edge_type>1</edge_type> <source_obj>168</source_obj> <sink_obj>171</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_511"> <id>555</id> <edge_type>1</edge_type> <source_obj>207</source_obj> <sink_obj>171</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_512"> <id>556</id> <edge_type>1</edge_type> <source_obj>169</source_obj> <sink_obj>172</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_513"> <id>557</id> <edge_type>2</edge_type> <source_obj>190</source_obj> <sink_obj>172</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_514"> <id>558</id> <edge_type>2</edge_type> <source_obj>193</source_obj> <sink_obj>172</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_515"> <id>560</id> <edge_type>1</edge_type> <source_obj>168</source_obj> <sink_obj>174</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_516"> <id>561</id> <edge_type>1</edge_type> <source_obj>243</source_obj> <sink_obj>174</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_517"> <id>563</id> <edge_type>1</edge_type> <source_obj>168</source_obj> <sink_obj>175</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_518"> <id>564</id> <edge_type>1</edge_type> <source_obj>198</source_obj> <sink_obj>175</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_519"> <id>565</id> <edge_type>1</edge_type> <source_obj>175</source_obj> <sink_obj>176</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_520"> <id>566</id> <edge_type>1</edge_type> <source_obj>174</source_obj> <sink_obj>177</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_521"> <id>567</id> <edge_type>1</edge_type> <source_obj>176</source_obj> <sink_obj>177</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_522"> <id>568</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>178</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_523"> <id>569</id> <edge_type>1</edge_type> <source_obj>177</source_obj> <sink_obj>178</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_524"> <id>570</id> <edge_type>1</edge_type> <source_obj>178</source_obj> <sink_obj>179</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_525"> <id>571</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>180</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_526"> <id>572</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>180</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_527"> <id>573</id> <edge_type>1</edge_type> <source_obj>179</source_obj> <sink_obj>180</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_528"> <id>574</id> <edge_type>1</edge_type> <source_obj>165</source_obj> <sink_obj>181</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_529"> <id>575</id> <edge_type>1</edge_type> <source_obj>177</source_obj> <sink_obj>181</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_530"> <id>576</id> <edge_type>1</edge_type> <source_obj>181</source_obj> <sink_obj>182</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_531"> <id>577</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>183</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_532"> <id>578</id> <edge_type>1</edge_type> <source_obj>236</source_obj> <sink_obj>183</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_533"> <id>579</id> <edge_type>1</edge_type> <source_obj>182</source_obj> <sink_obj>183</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_534"> <id>580</id> <edge_type>1</edge_type> <source_obj>180</source_obj> <sink_obj>184</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_535"> <id>581</id> <edge_type>1</edge_type> <source_obj>168</source_obj> <sink_obj>185</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_536"> <id>584</id> <edge_type>1</edge_type> <source_obj>161</source_obj> <sink_obj>186</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_537"> <id>585</id> <edge_type>1</edge_type> <source_obj>160</source_obj> <sink_obj>186</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_538"> <id>586</id> <edge_type>1</edge_type> <source_obj>159</source_obj> <sink_obj>186</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_539"> <id>587</id> <edge_type>1</edge_type> <source_obj>162</source_obj> <sink_obj>186</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_540"> <id>588</id> <edge_type>1</edge_type> <source_obj>185</source_obj> <sink_obj>186</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_541"> <id>589</id> <edge_type>1</edge_type> <source_obj>184</source_obj> <sink_obj>187</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_542"> <id>590</id> <edge_type>1</edge_type> <source_obj>186</source_obj> <sink_obj>187</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_543"> <id>591</id> <edge_type>1</edge_type> <source_obj>187</source_obj> <sink_obj>188</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_544"> <id>592</id> <edge_type>1</edge_type> <source_obj>183</source_obj> <sink_obj>188</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_545"> <id>593</id> <edge_type>2</edge_type> <source_obj>173</source_obj> <sink_obj>189</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_546"> <id>594</id> <edge_type>1</edge_type> <source_obj>46</source_obj> <sink_obj>191</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_547"> <id>596</id> <edge_type>1</edge_type> <source_obj>595</source_obj> <sink_obj>191</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_548"> <id>597</id> <edge_type>2</edge_type> <source_obj>50</source_obj> <sink_obj>192</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_549"> <id>617</id> <edge_type>2</edge_type> <source_obj>6</source_obj> <sink_obj>12</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_550"> <id>618</id> <edge_type>2</edge_type> <source_obj>12</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_551"> <id>619</id> <edge_type>2</edge_type> <source_obj>12</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_552"> <id>620</id> <edge_type>2</edge_type> <source_obj>16</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_553"> <id>621</id> <edge_type>2</edge_type> <source_obj>23</source_obj> <sink_obj>43</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_554"> <id>622</id> <edge_type>2</edge_type> <source_obj>23</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_555"> <id>623</id> <edge_type>2</edge_type> <source_obj>41</source_obj> <sink_obj>23</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_556"> <id>624</id> <edge_type>2</edge_type> <source_obj>43</source_obj> <sink_obj>12</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_557"> <id>625</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>50</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_558"> <id>626</id> <edge_type>2</edge_type> <source_obj>50</source_obj> <sink_obj>195</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_559"> <id>627</id> <edge_type>2</edge_type> <source_obj>50</source_obj> <sink_obj>68</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_560"> <id>628</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>158</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_561"> <id>629</id> <edge_type>2</edge_type> <source_obj>68</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_562"> <id>630</id> <edge_type>2</edge_type> <source_obj>74</source_obj> <sink_obj>167</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_563"> <id>631</id> <edge_type>2</edge_type> <source_obj>158</source_obj> <sink_obj>167</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_564"> <id>632</id> <edge_type>2</edge_type> <source_obj>167</source_obj> <sink_obj>173</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_565"> <id>633</id> <edge_type>2</edge_type> <source_obj>173</source_obj> <sink_obj>193</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_566"> <id>634</id> <edge_type>2</edge_type> <source_obj>173</source_obj> <sink_obj>190</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_567"> <id>635</id> <edge_type>2</edge_type> <source_obj>190</source_obj> <sink_obj>173</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_568"> <id>636</id> <edge_type>2</edge_type> <source_obj>193</source_obj> <sink_obj>50</sink_obj> <is_back_edge>1</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>12</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_569"> <mId>1</mId> <mTag>KeySchedule</mTag> <mType>0</mType> <sub_regions> <count>5</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>7</item> <item>8</item> <item>12</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>562</mMinLatency> <mMaxLatency>602</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_570"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>6</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_571"> <mId>3</mId> <mTag>Loop 1</mTag> <mType>1</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>4</item> <item>5</item> <item>6</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>4</mMinTripCount> <mMaxTripCount>4</mMaxTripCount> <mMinLatency>40</mMinLatency> <mMaxLatency>40</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_572"> <mId>4</mId> <mTag>Region 1</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>12</item> <item>16</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_573"> <mId>5</mId> <mTag>Loop 1.1</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>23</item> <item>41</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>4</mMinTripCount> <mMaxTripCount>4</mMaxTripCount> <mMinLatency>8</mMinLatency> <mMaxLatency>8</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_574"> <mId>6</mId> <mTag>Region 2</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>43</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_575"> <mId>7</mId> <mTag>Region 3</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>45</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_576"> <mId>8</mId> <mTag>Loop 2</mTag> <mType>1</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>9</item> <item>10</item> <item>11</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>40</mMinTripCount> <mMaxTripCount>40</mMaxTripCount> <mMinLatency>520</mMinLatency> <mMaxLatency>560</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_577"> <mId>9</mId> <mTag>Region 4</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>5</count> <item_version>0</item_version> <item>50</item> <item>68</item> <item>74</item> <item>158</item> <item>167</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>4</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_578"> <mId>10</mId> <mTag>Loop 2.1</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>173</item> <item>190</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>4</mMinTripCount> <mMaxTripCount>4</mMaxTripCount> <mMinLatency>8</mMinLatency> <mMaxLatency>8</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_579"> <mId>11</mId> <mTag>Region 5</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>193</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_580"> <mId>12</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>195</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="-1"></res> <node_label_latency class_id="26" tracking_level="0" version="0"> <count>171</count> <item_version>0</item_version> <item class_id="27" tracking_level="0" version="0"> <first>5</first> <second class_id="28" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>7</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>8</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>10</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>11</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>15</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>27</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>28</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>29</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>36</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>38</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>40</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>42</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>47</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>49</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>53</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>54</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>55</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>56</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>57</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>61</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>62</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>63</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>64</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>67</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>69</first> <second> <first>4</first> <second>1</second> </second> </item> <item> <first>70</first> <second> <first>4</first> <second>1</second> </second> </item> <item> <first>71</first> <second> <first>5</first> <second>1</second> </second> </item> <item> <first>72</first> <second> <first>5</first> <second>1</second> </second> </item> <item> <first>73</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>75</first> <second> <first>4</first> <second>1</second> </second> </item> <item> <first>76</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>77</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>78</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>79</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>80</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>81</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>82</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>83</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>84</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>85</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>86</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>87</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>88</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>89</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>90</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>91</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>92</first> <second> <first>9</first> <second>1</second> </second> </item> <item> <first>93</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>94</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>95</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>96</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>97</first> <second> <first>9</first> <second>1</second> </second> </item> <item> <first>98</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>99</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>100</first> <second> <first>4</first> <second>1</second> </second> </item> <item> <first>101</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>102</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>103</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>104</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>105</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>106</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>107</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>108</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>109</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>110</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>111</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>112</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>113</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>114</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>115</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>116</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>117</first> <second> <first>9</first> <second>1</second> </second> </item> <item> <first>118</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>119</first> <second> <first>7</first> <second>1</second> </second> </item> <item> <first>120</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>121</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>122</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>123</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>124</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>125</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>126</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>127</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>128</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>129</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>130</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>131</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>132</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>133</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>134</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>135</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>136</first> <second> <first>9</first> <second>1</second> </second> </item> <item> <first>137</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>138</first> <second> <first>7</first> <second>1</second> </second> </item> <item> <first>139</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>140</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>141</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>142</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>143</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>144</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>145</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>146</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>147</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>148</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>149</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>150</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>151</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>152</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>153</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>154</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>155</first> <second> <first>9</first> <second>1</second> </second> </item> <item> <first>156</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>157</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>159</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>160</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>161</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>162</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>163</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>164</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>165</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>166</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>168</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>169</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>171</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>172</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>174</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>175</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>176</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>177</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>178</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>179</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>180</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>181</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>182</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>183</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>184</first> <second> <first>11</first> <second>1</second> </second> </item> <item> <first>185</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>186</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>187</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>188</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>189</first> <second> <first>12</first> <second>0</second> </second> </item> <item> <first>191</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>192</first> <second> <first>11</first> <second>0</second> </second> </item> <item> <first>194</first> <second> <first>4</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="29" tracking_level="0" version="0"> <count>16</count> <item_version>0</item_version> <item class_id="30" tracking_level="0" version="0"> <first>6</first> <second class_id="31" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>12</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>16</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>23</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>41</first> <second> <first>2</first> <second>3</second> </second> </item> <item> <first>43</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>45</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>50</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>68</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>74</first> <second> <first>2</first> <second>4</second> </second> </item> <item> <first>158</first> <second> <first>2</first> <second>6</second> </second> </item> <item> <first>167</first> <second> <first>6</first> <second>6</second> </second> </item> <item> <first>173</first> <second> <first>7</first> <second>7</second> </second> </item> <item> <first>190</first> <second> <first>7</first> <second>8</second> </second> </item> <item> <first>193</first> <second> <first>7</first> <second>7</second> </second> </item> <item> <first>195</first> <second> <first>2</first> <second>2</second> </second> </item> </bblk_ent_exit> <regions class_id="32" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </regions> <dp_fu_nodes class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="34" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="35" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="36" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
26.525246
71
0.588136
c50bb5989f98481cbfb42bced7f74f6c27197d81
829
ads
Ada
tests/src/test_utils-abstract_encoder-cobs_queue.ads
Fabien-Chouteau/COBS
155ce5c2ecb4fffdac31df9dccd1a0d0c5916c2b
[ "MIT" ]
null
null
null
tests/src/test_utils-abstract_encoder-cobs_queue.ads
Fabien-Chouteau/COBS
155ce5c2ecb4fffdac31df9dccd1a0d0c5916c2b
[ "MIT" ]
null
null
null
tests/src/test_utils-abstract_encoder-cobs_queue.ads
Fabien-Chouteau/COBS
155ce5c2ecb4fffdac31df9dccd1a0d0c5916c2b
[ "MIT" ]
null
null
null
with COBS.Queue.Encoder; package Test_Utils.Abstract_Encoder.COBS_Queue is subtype Parent is Abstract_Encoder.Instance; type Instance (Size : COBS.Queue.Encoder.Buffer_Size) is limited new Parent with private; type Acc is access all Instance; type Any_Acc is access all Instance'Class; overriding procedure Receive (This : in out Instance; Data : Storage_Element); overriding procedure End_Of_Frame (This : in out Instance); overriding procedure Update (This : in out Instance); overriding procedure End_Of_Test (This : in out Instance); private type Instance (Size : COBS.Queue.Encoder.Buffer_Size) is limited new Parent with record Encoder : COBS.Queue.Encoder.Instance (Size); end record; end Test_Utils.Abstract_Encoder.COBS_Queue;
25.121212
56
0.720145
dc4dcc5edac50cf4683bc0fcee46afed92a27f2e
52,424
adb
Ada
Vivado_HLS_Tutorial/Design_Analysis/lab1/dct_prj/solution5/.autopilot/db/dct.sched.adb
williambong/Vivado
68efafbc44b65c0bb047dbafc0ff7f1b56ee36bb
[ "MIT" ]
null
null
null
Vivado_HLS_Tutorial/Design_Analysis/lab1/dct_prj/solution5/.autopilot/db/dct.sched.adb
williambong/Vivado
68efafbc44b65c0bb047dbafc0ff7f1b56ee36bb
[ "MIT" ]
null
null
null
Vivado_HLS_Tutorial/Design_Analysis/lab1/dct_prj/solution5/.autopilot/db/dct.sched.adb
williambong/Vivado
68efafbc44b65c0bb047dbafc0ff7f1b56ee36bb
[ "MIT" ]
null
null
null
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="11"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>dct</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>input_r</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>input</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>64</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>output_r</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>output</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>64</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>13</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_3"> <Value> <Obj> <type>0</type> <id>15</id> <name>buf_2d_in_0</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>124</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>dct.cpp</first> <second>dct</second> </first> <second>124</second> </item> </second> </item> </inlineStackInfo> <originalName>buf_2d_in[0]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>30</item> </oprand_edges> <opcode>alloca</opcode> </item> <item class_id_reference="9" object_id="_4"> <Value> <Obj> <type>0</type> <id>16</id> <name>buf_2d_in_1</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>124</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>124</second> </item> </second> </item> </inlineStackInfo> <originalName>buf_2d_in[1]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>31</item> </oprand_edges> <opcode>alloca</opcode> </item> <item class_id_reference="9" object_id="_5"> <Value> <Obj> <type>0</type> <id>17</id> <name>buf_2d_in_2</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>124</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>124</second> </item> </second> </item> </inlineStackInfo> <originalName>buf_2d_in[2]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>32</item> </oprand_edges> <opcode>alloca</opcode> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>18</id> <name>buf_2d_in_3</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>124</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>124</second> </item> </second> </item> </inlineStackInfo> <originalName>buf_2d_in[3]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>33</item> </oprand_edges> <opcode>alloca</opcode> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>19</id> <name>buf_2d_in_4</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>124</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>124</second> </item> </second> </item> </inlineStackInfo> <originalName>buf_2d_in[4]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>34</item> </oprand_edges> <opcode>alloca</opcode> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>20</id> <name>buf_2d_in_5</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>124</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>124</second> </item> </second> </item> </inlineStackInfo> <originalName>buf_2d_in[5]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>35</item> </oprand_edges> <opcode>alloca</opcode> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>21</id> <name>buf_2d_in_6</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>124</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>124</second> </item> </second> </item> </inlineStackInfo> <originalName>buf_2d_in[6]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>36</item> </oprand_edges> <opcode>alloca</opcode> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>22</id> <name>buf_2d_in_7</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>124</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>124</second> </item> </second> </item> </inlineStackInfo> <originalName>buf_2d_in[7]</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>37</item> </oprand_edges> <opcode>alloca</opcode> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>23</id> <name>buf_2d_out</name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>125</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>125</second> </item> </second> </item> </inlineStackInfo> <originalName>buf_2d_out</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>16</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>38</item> </oprand_edges> <opcode>alloca</opcode> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>24</id> <name></name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>128</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>128</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>10</count> <item_version>0</item_version> <item>40</item> <item>41</item> <item>42</item> <item>43</item> <item>44</item> <item>45</item> <item>46</item> <item>47</item> <item>48</item> <item>49</item> </oprand_edges> <opcode>call</opcode> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>25</id> <name></name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>130</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>130</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>20</count> <item_version>0</item_version> <item>51</item> <item>52</item> <item>53</item> <item>54</item> <item>55</item> <item>56</item> <item>57</item> <item>58</item> <item>59</item> <item>60</item> <item>65</item> <item>66</item> <item>67</item> <item>68</item> <item>69</item> <item>70</item> <item>71</item> <item>72</item> <item>89</item> <item>90</item> </oprand_edges> <opcode>call</opcode> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>26</id> <name></name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>133</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>133</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>5</count> <item_version>0</item_version> <item>62</item> <item>63</item> <item>64</item> <item>88</item> <item>91</item> </oprand_edges> <opcode>call</opcode> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>27</id> <name></name> <fileName>dct.cpp</fileName> <fileDirectory>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</fileDirectory> <lineNumber>134</lineNumber> <contextFuncName>dct</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>d:/opt/source/Vivado/Vivado_HLS_Tutorial/Design_Analysis/lab1</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>dct.cpp</first> <second>dct</second> </first> <second>134</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_16"> <Value> <Obj> <type>2</type> <id>29</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_17"> <Value> <Obj> <type>2</type> <id>39</id> <name>dct_read_data</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:dct_read_data&gt;</content> </item> <item class_id_reference="16" object_id="_18"> <Value> <Obj> <type>2</type> <id>50</id> <name>dct_dct_2d</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:dct_dct_2d&gt;</content> </item> <item class_id_reference="16" object_id="_19"> <Value> <Obj> <type>2</type> <id>61</id> <name>dct_write_data</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:dct_write_data&gt;</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_20"> <Obj> <type>3</type> <id>28</id> <name>dct</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>13</count> <item_version>0</item_version> <item>15</item> <item>16</item> <item>17</item> <item>18</item> <item>19</item> <item>20</item> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> <item>26</item> <item>27</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>44</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_21"> <id>30</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>15</sink_obj> </item> <item class_id_reference="20" object_id="_22"> <id>31</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>16</sink_obj> </item> <item class_id_reference="20" object_id="_23"> <id>32</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_24"> <id>33</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_25"> <id>34</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_26"> <id>35</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_27"> <id>36</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_28"> <id>37</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_29"> <id>38</id> <edge_type>1</edge_type> <source_obj>29</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_30"> <id>40</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_31"> <id>41</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_32"> <id>42</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_33"> <id>43</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_34"> <id>44</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_35"> <id>45</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_36"> <id>46</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_37"> <id>47</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_38"> <id>48</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_39"> <id>49</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_40"> <id>51</id> <edge_type>1</edge_type> <source_obj>50</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_41"> <id>52</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_42"> <id>53</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_43"> <id>54</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_44"> <id>55</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_45"> <id>56</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_46"> <id>57</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_47"> <id>58</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_48"> <id>59</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_49"> <id>60</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_50"> <id>62</id> <edge_type>1</edge_type> <source_obj>61</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_51"> <id>63</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_52"> <id>64</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_53"> <id>65</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_54"> <id>66</id> <edge_type>1</edge_type> <source_obj>4</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_55"> <id>67</id> <edge_type>1</edge_type> <source_obj>5</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_56"> <id>68</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_57"> <id>69</id> <edge_type>1</edge_type> <source_obj>7</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_58"> <id>70</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_59"> <id>71</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_60"> <id>72</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_61"> <id>88</id> <edge_type>4</edge_type> <source_obj>25</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_62"> <id>89</id> <edge_type>4</edge_type> <source_obj>24</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_63"> <id>90</id> <edge_type>4</edge_type> <source_obj>24</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_64"> <id>91</id> <edge_type>4</edge_type> <source_obj>25</source_obj> <sink_obj>26</sink_obj> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_65"> <mId>1</mId> <mTag>dct</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>28</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>507</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>1</mIsDfPipe> <mDfPipe class_id="23" tracking_level="1" version="0" object_id="_66"> <port_list class_id="24" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port_list> <process_list class_id="25" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_67"> <type>0</type> <name>dct_read_data_U0</name> <ssdmobj_id>24</ssdmobj_id> <pins class_id="27" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_68"> <port class_id="29" tracking_level="1" version="0" object_id="_69"> <name>input</name> <dir>2</dir> <type>0</type> </port> <inst class_id="30" tracking_level="1" version="0" object_id="_70"> <type>0</type> <name>dct_read_data_U0</name> <ssdmobj_id>24</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_71"> <port class_id_reference="29" object_id="_72"> <name>buf_0</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </item> <item class_id_reference="28" object_id="_73"> <port class_id_reference="29" object_id="_74"> <name>buf_1</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </item> <item class_id_reference="28" object_id="_75"> <port class_id_reference="29" object_id="_76"> <name>buf_2</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </item> <item class_id_reference="28" object_id="_77"> <port class_id_reference="29" object_id="_78"> <name>buf_3</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </item> <item class_id_reference="28" object_id="_79"> <port class_id_reference="29" object_id="_80"> <name>buf_4</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </item> <item class_id_reference="28" object_id="_81"> <port class_id_reference="29" object_id="_82"> <name>buf_5</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </item> <item class_id_reference="28" object_id="_83"> <port class_id_reference="29" object_id="_84"> <name>buf_6</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </item> <item class_id_reference="28" object_id="_85"> <port class_id_reference="29" object_id="_86"> <name>buf_7</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </item> </pins> </item> <item class_id_reference="26" object_id="_87"> <type>0</type> <name>dct_dct_2d_U0</name> <ssdmobj_id>25</ssdmobj_id> <pins> <count>17</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_88"> <port class_id_reference="29" object_id="_89"> <name>in_block_0</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_90"> <type>0</type> <name>dct_dct_2d_U0</name> <ssdmobj_id>25</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_91"> <port class_id_reference="29" object_id="_92"> <name>in_block_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_93"> <port class_id_reference="29" object_id="_94"> <name>in_block_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_95"> <port class_id_reference="29" object_id="_96"> <name>in_block_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_97"> <port class_id_reference="29" object_id="_98"> <name>in_block_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_99"> <port class_id_reference="29" object_id="_100"> <name>in_block_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_101"> <port class_id_reference="29" object_id="_102"> <name>in_block_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_103"> <port class_id_reference="29" object_id="_104"> <name>in_block_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_105"> <port class_id_reference="29" object_id="_106"> <name>out_block</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_107"> <port class_id_reference="29" object_id="_108"> <name>dct_coeff_table_0</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_109"> <port class_id_reference="29" object_id="_110"> <name>dct_coeff_table_1</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_111"> <port class_id_reference="29" object_id="_112"> <name>dct_coeff_table_2</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_113"> <port class_id_reference="29" object_id="_114"> <name>dct_coeff_table_3</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_115"> <port class_id_reference="29" object_id="_116"> <name>dct_coeff_table_4</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_117"> <port class_id_reference="29" object_id="_118"> <name>dct_coeff_table_5</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_119"> <port class_id_reference="29" object_id="_120"> <name>dct_coeff_table_6</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> <item class_id_reference="28" object_id="_121"> <port class_id_reference="29" object_id="_122"> <name>dct_coeff_table_7</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </item> </pins> </item> <item class_id_reference="26" object_id="_123"> <type>0</type> <name>dct_write_data_U0</name> <ssdmobj_id>26</ssdmobj_id> <pins> <count>2</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_124"> <port class_id_reference="29" object_id="_125"> <name>buf</name> <dir>2</dir> <type>0</type> </port> <inst class_id_reference="30" object_id="_126"> <type>0</type> <name>dct_write_data_U0</name> <ssdmobj_id>26</ssdmobj_id> </inst> </item> <item class_id_reference="28" object_id="_127"> <port class_id_reference="29" object_id="_128"> <name>output</name> <dir>2</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_126"></inst> </item> </pins> </item> </process_list> <channel_list class_id="31" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="32" tracking_level="1" version="0" object_id="_129"> <type>1</type> <name>buf_2d_in_0</name> <ssdmobj_id>15</ssdmobj_id> <ctype>1</ctype> <depth>0</depth> <bitwidth>0</bitwidth> <source class_id_reference="28" object_id="_130"> <port class_id_reference="29" object_id="_131"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </source> <sink class_id_reference="28" object_id="_132"> <port class_id_reference="29" object_id="_133"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </sink> </item> <item class_id_reference="32" object_id="_134"> <type>1</type> <name>buf_2d_in_1</name> <ssdmobj_id>16</ssdmobj_id> <ctype>1</ctype> <depth>0</depth> <bitwidth>0</bitwidth> <source class_id_reference="28" object_id="_135"> <port class_id_reference="29" object_id="_136"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </source> <sink class_id_reference="28" object_id="_137"> <port class_id_reference="29" object_id="_138"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </sink> </item> <item class_id_reference="32" object_id="_139"> <type>1</type> <name>buf_2d_in_2</name> <ssdmobj_id>17</ssdmobj_id> <ctype>1</ctype> <depth>0</depth> <bitwidth>0</bitwidth> <source class_id_reference="28" object_id="_140"> <port class_id_reference="29" object_id="_141"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </source> <sink class_id_reference="28" object_id="_142"> <port class_id_reference="29" object_id="_143"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </sink> </item> <item class_id_reference="32" object_id="_144"> <type>1</type> <name>buf_2d_in_3</name> <ssdmobj_id>18</ssdmobj_id> <ctype>1</ctype> <depth>0</depth> <bitwidth>0</bitwidth> <source class_id_reference="28" object_id="_145"> <port class_id_reference="29" object_id="_146"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </source> <sink class_id_reference="28" object_id="_147"> <port class_id_reference="29" object_id="_148"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </sink> </item> <item class_id_reference="32" object_id="_149"> <type>1</type> <name>buf_2d_in_4</name> <ssdmobj_id>19</ssdmobj_id> <ctype>1</ctype> <depth>0</depth> <bitwidth>0</bitwidth> <source class_id_reference="28" object_id="_150"> <port class_id_reference="29" object_id="_151"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </source> <sink class_id_reference="28" object_id="_152"> <port class_id_reference="29" object_id="_153"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </sink> </item> <item class_id_reference="32" object_id="_154"> <type>1</type> <name>buf_2d_in_5</name> <ssdmobj_id>20</ssdmobj_id> <ctype>1</ctype> <depth>0</depth> <bitwidth>0</bitwidth> <source class_id_reference="28" object_id="_155"> <port class_id_reference="29" object_id="_156"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </source> <sink class_id_reference="28" object_id="_157"> <port class_id_reference="29" object_id="_158"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </sink> </item> <item class_id_reference="32" object_id="_159"> <type>1</type> <name>buf_2d_in_6</name> <ssdmobj_id>21</ssdmobj_id> <ctype>1</ctype> <depth>0</depth> <bitwidth>0</bitwidth> <source class_id_reference="28" object_id="_160"> <port class_id_reference="29" object_id="_161"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </source> <sink class_id_reference="28" object_id="_162"> <port class_id_reference="29" object_id="_163"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </sink> </item> <item class_id_reference="32" object_id="_164"> <type>1</type> <name>buf_2d_in_7</name> <ssdmobj_id>22</ssdmobj_id> <ctype>1</ctype> <depth>0</depth> <bitwidth>0</bitwidth> <source class_id_reference="28" object_id="_165"> <port class_id_reference="29" object_id="_166"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_70"></inst> </source> <sink class_id_reference="28" object_id="_167"> <port class_id_reference="29" object_id="_168"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </sink> </item> <item class_id_reference="32" object_id="_169"> <type>1</type> <name>buf_2d_out</name> <ssdmobj_id>23</ssdmobj_id> <ctype>1</ctype> <depth>0</depth> <bitwidth>0</bitwidth> <source class_id_reference="28" object_id="_170"> <port class_id_reference="29" object_id="_171"> <name>in</name> <dir>3</dir> <type>0</type> </port> <inst class_id_reference="30" object_id_reference="_90"></inst> </source> <sink class_id_reference="28" object_id="_172"> <port class_id_reference="29" object_id="_173"> <name>out</name> <dir>3</dir> <type>1</type> </port> <inst class_id_reference="30" object_id_reference="_126"></inst> </sink> </item> </channel_list> <net_list class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </net_list> </mDfPipe> </item> </cdfg_regions> <fsm class_id="-1"></fsm> <res class_id="35" tracking_level="1" version="0" object_id="_174"> <dp_component_resource class_id="36" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_component_resource> <dp_expression_resource> <count>0</count> <item_version>0</item_version> </dp_expression_resource> <dp_fifo_resource> <count>0</count> <item_version>0</item_version> </dp_fifo_resource> <dp_memory_resource> <count>0</count> <item_version>0</item_version> </dp_memory_resource> <dp_multiplexer_resource> <count>0</count> <item_version>0</item_version> </dp_multiplexer_resource> <dp_register_resource> <count>0</count> <item_version>0</item_version> </dp_register_resource> <dp_component_map class_id="37" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_component_map> <dp_expression_map> <count>0</count> <item_version>0</item_version> </dp_expression_map> <dp_fifo_map> <count>0</count> <item_version>0</item_version> </dp_fifo_map> <dp_memory_map> <count>0</count> <item_version>0</item_version> </dp_memory_map> </res> <node_label_latency class_id="38" tracking_level="0" version="0"> <count>13</count> <item_version>0</item_version> <item class_id="39" tracking_level="0" version="0"> <first>15</first> <second class_id="40" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>20</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>0</first> <second>1</second> </second> </item> <item> <first>25</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>26</first> <second> <first>4</first> <second>1</second> </second> </item> <item> <first>27</first> <second> <first>5</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="41" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="42" tracking_level="0" version="0"> <first>28</first> <second class_id="43" tracking_level="0" version="0"> <first>0</first> <second>5</second> </second> </item> </bblk_ent_exit> <regions class_id="44" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="45" tracking_level="1" version="0" object_id="_175"> <region_name>dct</region_name> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>28</item> </basic_blocks> <nodes> <count>17</count> <item_version>0</item_version> <item>11</item> <item>12</item> <item>13</item> <item>14</item> <item>15</item> <item>16</item> <item>17</item> <item>18</item> <item>19</item> <item>20</item> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> <item>26</item> <item>27</item> </nodes> <anchor_node>-1</anchor_node> <region_type>16</region_type> <interval>0</interval> <pipe_depth>0</pipe_depth> </item> </regions> <dp_fu_nodes class_id="46" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes> <dp_fu_nodes_expression class_id="47" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="48" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>0</count> <item_version>0</item_version> </dp_reg_nodes> <dp_regname_nodes> <count>0</count> <item_version>0</item_version> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="49" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_port_io_nodes> <port2core class_id="50" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </port2core> <node2core> <count>0</count> <item_version>0</item_version> </node2core> </syndb> </boost_serialization>
29.043767
98
0.591828
c5a05d3f32d4d39ca5fe72e6f96e2086f6beabb4
13,896
adb
Ada
source/RASCAL-ToolboxTextArea.adb
bracke/Meaning
709f609df916aa9442f9b75c7dcb62ab807a48e9
[ "MIT" ]
null
null
null
source/RASCAL-ToolboxTextArea.adb
bracke/Meaning
709f609df916aa9442f9b75c7dcb62ab807a48e9
[ "MIT" ]
null
null
null
source/RASCAL-ToolboxTextArea.adb
bracke/Meaning
709f609df916aa9442f9b75c7dcb62ab807a48e9
[ "MIT" ]
null
null
null
-------------------------------------------------------------------------------- -- -- -- Copyright (C) 2004, RISC OS Ada Library (RASCAL) developers. -- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- -------------------------------------------------------------------------------- -- $Author$ -- $Date$ -- $Revision$ with RASCAL.OS; with RASCAL.Utility; use RASCAL.Utility; with RASCAL.Memory; use RASCAL.Memory; with Interfaces.C; use Interfaces.C; with Kernel; use Kernel; with Reporter; package body RASCAL.ToolboxTextArea is Toolbox_ObjectMiscOp : constant Interfaces.C.unsigned :=16#44EC6#; -- function Get_State (Window : in Object_ID; Component : in Component_ID; Flags : in System.Unsigned_Types.Unsigned := 0) return integer is Register : aliased Kernel.swi_regs; Error : oserror_access; begin Register.R(0) := int(Unsigned_to_Int(Flags)); Register.R(1) := int(Window); Register.R(2) := 16408; Register.R(3) := int(Component); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Get_State: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; return integer(Register.R(0)); end Get_State; -- procedure Set_State (Window : in Object_ID; Component : in Component_ID; State : in integer; Flags : in System.Unsigned_Types.Unsigned := 0) is Register : aliased Kernel.swi_regs; Error : oserror_access; begin Register.R(0) := int(Unsigned_to_Int(Flags)); Register.R(1) := int(Window); Register.R(2) := 16409; Register.R(3) := int(Component); Register.R(4) := int(State); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Set_State: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; end Set_State; -- procedure Set_Text (Window : in Object_ID; Component : in Component_ID; Text : in string; Flags : in System.Unsigned_Types.Unsigned := 0) is Register : aliased Kernel.swi_regs; Error : oserror_access; Text_0 : string := Text & ASCII.NUL; begin Register.R(0) := int(Unsigned_to_Int(Flags)); Register.R(1) := int(Window); Register.R(2) := 16410; Register.R(3) := int(Component); Register.R(4) := Adr_To_Int(Text_0'Address); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Set_Text: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; end Set_Text; -- function Get_Text (Window : in Object_ID; Component : in Component_ID) return String is Register : aliased Kernel.swi_regs; Error : oserror_access; Buffer_Size : integer := 0; begin Register.R(0) := 0; Register.R(1) := int(Window); Register.R(2) := 16411; Register.R(3) := int(Component); Register.R(4) := 0; Register.R(5) := 0; Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Get_Text: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; Buffer_Size := integer(Register.R(5)); declare Buffer : Char_Array(1..size_t(Buffer_Size + 1)); begin Register.R(0) := 0; Register.R(1) := int(Window); Register.R(2) := 16411; Register.R(3) := int(Component); Register.R(4) := Adr_To_Int(Buffer'Address); Register.R(5) := int(Buffer_Size); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Get_Text: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; return To_Ada(Buffer); end; end Get_Text; -- procedure Insert_Text (Window : in Object_ID; Component : in Component_ID; Text : in string; Index : in integer := 0; Flags : in System.Unsigned_Types.Unsigned := 0) is Register : aliased Kernel.swi_regs; Error : oserror_access; Null_Text : string := Text & ASCII.NUL; begin Register.R(0) := int(Unsigned_to_Int(Flags)); Register.R(1) := int(Window); Register.R(2) := 16412; Register.R(3) := int(Component); Register.R(4) := int(Index); Register.R(5) := Adr_To_Int(Null_Text'Address); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Insert_Text: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; end Insert_Text; -- procedure Replace_Text (Window : in Object_ID; Component : in Component_ID; Text : in string; End_Index : in integer := 0; Start_Index : in integer := 0; Flags : in System.Unsigned_Types.Unsigned := 0) is Register : aliased Kernel.swi_regs; Error : oserror_access; Null_Text : string := Text & ASCII.NUL; begin Register.R(0) := int(Unsigned_to_Int(Flags)); Register.R(1) := int(Window); Register.R(2) := 16413; Register.R(3) := int(Component); Register.R(4) := int(Start_Index); Register.R(5) := int(End_Index); Register.R(6) := Adr_To_Int(Null_Text'Address); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Replace_Text: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; end Replace_Text; -- function Get_Selection (Window : in Object_ID; Component : in Component_ID) return String is Register : aliased Kernel.swi_regs; Error : oserror_access; Buffer_Size : integer := 0; begin Register.R(0) := 1; Register.R(1) := int(Window); Register.R(2) := 16414; Register.R(3) := int(Component); Register.R(4) := 0; Register.R(5) := 0; Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Get_Selection: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; Buffer_Size := integer(Register.R(5)); declare Buffer : String(1..Buffer_Size + 1); begin Register.R(0) := 1; Register.R(1) := int(Window); Register.R(2) := 16414; Register.R(3) := int(Component); Register.R(4) := Adr_To_Int(Buffer'Address); Register.R(5) := int(Buffer_Size); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Get_Selection: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; return MemoryToString(Buffer'Address); end; end Get_Selection; -- procedure Get_Selection_Index (Window : in Object_ID; Component : in Component_ID; Start_Index: out integer; End_Index : out integer) is Register : aliased Kernel.swi_regs; Error : oserror_access; begin Register.R(0) := 0; Register.R(1) := int(Window); Register.R(2) := 16414; Register.R(3) := int(Component); Register.R(4) := 0; Register.R(5) := 0; Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Get_Selection: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; Start_Index := integer(Register.R(0)); End_Index := integer(Register.R(1)); end Get_Selection_Index; -- procedure Set_Selection (Window : in Object_ID; Component : in Component_ID; End_Index : in integer; Start_Index : in integer :=0; Flags : in System.Unsigned_Types.Unsigned := 0) is Register : aliased Kernel.swi_regs; Error : oserror_access; begin Register.R(0) := int(Unsigned_to_Int(Flags)); Register.R(1) := int(Window); Register.R(2) := 16415; Register.R(3) := int(Component); Register.R(4) := int(Start_Index); Register.R(5) := int(End_Index); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Set_Selection: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; end Set_Selection; -- procedure Set_Font (Window : in Object_ID; Component : in Component_ID; Font : in String; Font_Width : in integer := 12; Font_Height : in integer := 12; Flags : in System.Unsigned_Types.Unsigned := 0) is Register : aliased Kernel.swi_regs; Error : oserror_access; Null_Font : string := Font & ASCII.NUL; begin Register.R(0) := int(Unsigned_to_Int(Flags)); Register.R(1) := int(Window); Register.R(2) := 16416; Register.R(3) := int(Component); Register.R(4) := Adr_To_Int(Null_Font'Address); Register.R(5) := int(Font_Width); Register.R(6) := int(Font_Height); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Set_Font: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; end Set_Font; -- procedure Set_Colour (Window : in Object_ID; Component : in Component_ID; Foreground : in integer := 12; Background : in integer := 12; Flags : in System.Unsigned_Types.Unsigned := 0) is Register : aliased Kernel.swi_regs; Error : oserror_access; begin Register.R(0) := int(Unsigned_to_Int(Flags)); Register.R(1) := int(Window); Register.R(2) := 16417; Register.R(3) := int(Component); Register.R(4) := int(Foreground); Register.R(5) := int(Background); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxTextArea.Set_Colour: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; end Set_Colour; -- procedure Get_Colour (Window : in Object_ID; Component : in Component_ID; Foreground: out integer; Background: out integer; Flags : in System.Unsigned_Types.Unsigned := 0) is Register : aliased Kernel.swi_regs; Error : oserror_access; begin Register.R(0) := int(Unsigned_to_Int(Flags)); Register.R(1) := int(Window); Register.R(2) := 16418; Register.R(3) := int(Component); Error := Kernel.swi(Toolbox_ObjectMiscOp,Register'Access,Register'Access); if Error /= null then pragma Debug(Reporter.Report("ToolboxOptionButton.Get_Selected: " & To_Ada(Error.ErrMess))); OS.Raise_Error(Error); end if; Foreground := integer(Register.R(0)); Background := integer(Register.R(1)); end Get_Colour; -- end RASCAL.ToolboxTextArea;
37.15508
101
0.547208
c53b73cbabe941ca53f40f9155ad6739ca1fa8de
4,312
adb
Ada
src/linux/helios-monitor-cpu.adb
stcarrez/helios
770055d87eaaa70a29a8a39190ecc8aa4e31b5be
[ "Apache-2.0" ]
1
2020-09-04T18:34:08.000Z
2020-09-04T18:34:08.000Z
src/linux/helios-monitor-cpu.adb
stcarrez/helios
770055d87eaaa70a29a8a39190ecc8aa4e31b5be
[ "Apache-2.0" ]
null
null
null
src/linux/helios-monitor-cpu.adb
stcarrez/helios
770055d87eaaa70a29a8a39190ecc8aa4e31b5be
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- helios-monitor -- Helios monitor -- Copyright (C) 2017 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Helios.Tools.Files; package body Helios.Monitor.CPU is -- ------------------------------ -- Start the agent and build the definition tree. -- ------------------------------ overriding procedure Start (Agent : in out Agent_Type; Config : in Util.Properties.Manager) is Values : constant String := Config.Get ("values"); begin Agent.User_Time := Agent.Create_Definition ("user", Values); Agent.Nice_Time := Agent.Create_Definition ("nice", Values); Agent.Sys_Time := Agent.Create_Definition ("system", Values); Agent.Idle_Time := Agent.Create_Definition ("idle", Values); Agent.Iowait_Time := Agent.Create_Definition ("iowait", Values); Agent.Irq_Time := Agent.Create_Definition ("irq", Values); Agent.Softirq_Time := Agent.Create_Definition ("softirq", Values); Agent.Steal_Time := Agent.Create_Definition ("steal", Values); Agent.Guest_Time := Agent.Create_Definition ("guest", Values); Agent.Guest_Nice_Time := Agent.Create_Definition ("guest_nice", Values); Agent.Ctx_Count := Agent.Create_Definition ("context", Values); Agent.Processes_Count := Agent.Create_Definition ("processes", Values); Agent.Blocked_Count := Agent.Create_Definition ("procs_blocked", Values); Agent.Running_Count := Agent.Create_Definition ("procs_running", Values); Agent.Softirq_Count := Agent.Create_Definition ("softirq_count", Values); Agent.Intr_Count := Agent.Create_Definition ("intr", Values); end Start; -- ------------------------------ -- Collect the values in the snapshot. -- ------------------------------ overriding procedure Collect (Agent : in out Agent_Type; Values : in out Datas.Snapshot_Type) is Line : Helios.Tools.Files.File_Extractor; begin Line.Open ("/proc/stat"); loop Line.Read; exit when Line.Is_Eof; if Line.Is_Field ("cpu") then Values.Set_Value (Agent.User_Time, Line.Get_Value (2)); Values.Set_Value (Agent.Nice_Time, Line.Get_Value (3)); Values.Set_Value (Agent.Sys_Time, Line.Get_Value (4)); Values.Set_Value (Agent.Idle_Time, Line.Get_Value (5)); Values.Set_Value (Agent.Iowait_Time, Line.Get_Value (6)); Values.Set_Value (Agent.Irq_Time, Line.Get_Value (7)); Values.Set_Value (Agent.Softirq_Time, Line.Get_Value (8)); Values.Set_Value (Agent.Steal_Time, Line.Get_Value (9)); Values.Set_Value (Agent.Guest_Time, Line.Get_Value (10)); Values.Set_Value (Agent.Guest_Nice_Time, Line.Get_Value (11)); elsif Line.Is_Field ("ctxt") then Values.Set_Value (Agent.Ctx_Count, Line.Get_Value (2)); elsif Line.Is_Field ("processes") then Values.Set_Value (Agent.Processes_Count, Line.Get_Value (2)); elsif Line.Is_Field ("softirq") then Values.Set_Value (Agent.Softirq_Count, Line.Get_Value (2)); elsif Line.Is_Field ("procs_running") then Values.Set_Value (Agent.Running_Count, Line.Get_Value (2)); elsif Line.Is_Field ("procs_blocked") then Values.Set_Value (Agent.Blocked_Count, Line.Get_Value (2)); elsif Line.Is_Field ("intr") then Values.Set_Value (Agent.Intr_Count, Line.Get_Value (2)); end if; end loop; end Collect; end Helios.Monitor.CPU;
45.87234
79
0.623609
d0ce6f08316594cc2d1a864d57c8d07d3e6b0024
3,742
ads
Ada
gcc-gcc-7_3_0-release/gcc/ada/a-assert.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/ada/a-assert.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/ada/a-assert.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . A S S E R T I O N S -- -- -- -- Copyright (C) 2015, Free Software Foundation, Inc. -- -- -- -- S p e c -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contracts that have been added. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- Preconditions in this unit are meant for analysis only, not for run-time -- checking, so that the expected exceptions are raised when calling Assert. -- This is enforced by setting the corresponding assertion policy to Ignore. pragma Assertion_Policy (Pre => Ignore); -- We do a with of System.Assertions to get hold of the exception (following -- the specific RM permission that lets' Assertion_Error being a renaming). -- The suppression of Warnings stops the warning about bad categorization. pragma Warnings (Off); with System.Assertions; pragma Warnings (On); package Ada.Assertions with SPARK_Mode is pragma Pure (Assertions); Assertion_Error : exception renames System.Assertions.Assert_Failure; -- This is the renaming that is allowed by 11.4.2(24). Note that the -- Exception_Name will refer to the one in System.Assertions (see -- AARM-11.4.1(12.b)). procedure Assert (Check : Boolean) with Pre => Check; procedure Assert (Check : Boolean; Message : String) with Pre => Check; end Ada.Assertions;
55.850746
78
0.482362
4ab8693d7fea55347add6c0dfaa80c51b811809f
5,137
adb
Ada
source/nodes/program-nodes-task_definitions.adb
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
null
null
null
source/nodes/program-nodes-task_definitions.adb
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
null
null
null
source/nodes/program-nodes-task_definitions.adb
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
1
2019-10-16T09:05:27.000Z
2019-10-16T09:05:27.000Z
-- SPDX-FileCopyrightText: 2019 Max Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT ------------------------------------------------------------- package body Program.Nodes.Task_Definitions is function Create (Visible_Declarations : Program.Element_Vectors.Element_Vector_Access; Private_Token : not null Program.Lexical_Elements .Lexical_Element_Access; Private_Declarations : Program.Element_Vectors.Element_Vector_Access; End_Token : not null Program.Lexical_Elements .Lexical_Element_Access; End_Name : Program.Elements.Identifiers.Identifier_Access) return Task_Definition is begin return Result : Task_Definition := (Visible_Declarations => Visible_Declarations, Private_Token => Private_Token, Private_Declarations => Private_Declarations, End_Token => End_Token, End_Name => End_Name, Enclosing_Element => null) do Initialize (Result); end return; end Create; function Create (Visible_Declarations : Program.Element_Vectors.Element_Vector_Access; Private_Declarations : Program.Element_Vectors.Element_Vector_Access; End_Name : Program.Elements.Identifiers.Identifier_Access; Is_Part_Of_Implicit : Boolean := False; Is_Part_Of_Inherited : Boolean := False; Is_Part_Of_Instance : Boolean := False) return Implicit_Task_Definition is begin return Result : Implicit_Task_Definition := (Visible_Declarations => Visible_Declarations, Private_Declarations => Private_Declarations, End_Name => End_Name, Is_Part_Of_Implicit => Is_Part_Of_Implicit, Is_Part_Of_Inherited => Is_Part_Of_Inherited, Is_Part_Of_Instance => Is_Part_Of_Instance, Enclosing_Element => null) do Initialize (Result); end return; end Create; overriding function Visible_Declarations (Self : Base_Task_Definition) return Program.Element_Vectors.Element_Vector_Access is begin return Self.Visible_Declarations; end Visible_Declarations; overriding function Private_Declarations (Self : Base_Task_Definition) return Program.Element_Vectors.Element_Vector_Access is begin return Self.Private_Declarations; end Private_Declarations; overriding function End_Name (Self : Base_Task_Definition) return Program.Elements.Identifiers.Identifier_Access is begin return Self.End_Name; end End_Name; overriding function Private_Token (Self : Task_Definition) return not null Program.Lexical_Elements.Lexical_Element_Access is begin return Self.Private_Token; end Private_Token; overriding function End_Token (Self : Task_Definition) return not null Program.Lexical_Elements.Lexical_Element_Access is begin return Self.End_Token; end End_Token; overriding function Is_Part_Of_Implicit (Self : Implicit_Task_Definition) return Boolean is begin return Self.Is_Part_Of_Implicit; end Is_Part_Of_Implicit; overriding function Is_Part_Of_Inherited (Self : Implicit_Task_Definition) return Boolean is begin return Self.Is_Part_Of_Inherited; end Is_Part_Of_Inherited; overriding function Is_Part_Of_Instance (Self : Implicit_Task_Definition) return Boolean is begin return Self.Is_Part_Of_Instance; end Is_Part_Of_Instance; procedure Initialize (Self : in out Base_Task_Definition'Class) is begin for Item in Self.Visible_Declarations.Each_Element loop Set_Enclosing_Element (Item.Element, Self'Unchecked_Access); end loop; for Item in Self.Private_Declarations.Each_Element loop Set_Enclosing_Element (Item.Element, Self'Unchecked_Access); end loop; if Self.End_Name.Assigned then Set_Enclosing_Element (Self.End_Name, Self'Unchecked_Access); end if; null; end Initialize; overriding function Is_Task_Definition (Self : Base_Task_Definition) return Boolean is pragma Unreferenced (Self); begin return True; end Is_Task_Definition; overriding function Is_Definition (Self : Base_Task_Definition) return Boolean is pragma Unreferenced (Self); begin return True; end Is_Definition; overriding procedure Visit (Self : not null access Base_Task_Definition; Visitor : in out Program.Element_Visitors.Element_Visitor'Class) is begin Visitor.Task_Definition (Self); end Visit; overriding function To_Task_Definition_Text (Self : in out Task_Definition) return Program.Elements.Task_Definitions.Task_Definition_Text_Access is begin return Self'Unchecked_Access; end To_Task_Definition_Text; overriding function To_Task_Definition_Text (Self : in out Implicit_Task_Definition) return Program.Elements.Task_Definitions.Task_Definition_Text_Access is pragma Unreferenced (Self); begin return null; end To_Task_Definition_Text; end Program.Nodes.Task_Definitions;
32.719745
79
0.721627
4a2ec3238f159a20a8caacd40ab83d37472fed79
4,320
adb
Ada
llvm-gcc-4.2-2.9/gcc/ada/s-tratas.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
llvm-gcc-4.2-2.9/gcc/ada/s-tratas.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
llvm-gcc-4.2-2.9/gcc/ada/s-tratas.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . T R A C E S . T A S K I N G -- -- -- -- B o d y -- -- -- -- Copyright (C) 2001-2005 Free Software Foundation, Inc. -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNARL is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNARL; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ package body System.Traces.Tasking is pragma Warnings (Off); -- kill warnings on unreferenced formals --------------------- -- Send_Trace_Info -- --------------------- procedure Send_Trace_Info (Id : Trace_T; Task_Name2 : ST.Task_Id) is begin null; end Send_Trace_Info; procedure Send_Trace_Info (Id : Trace_T; Task_Name2 : ST.Task_Id; Entry_Number : ST.Entry_Index) is begin null; end Send_Trace_Info; procedure Send_Trace_Info (Id : Trace_T; Task_Name : ST.Task_Id; Task_Name2 : ST.Task_Id; Entry_Number : ST.Entry_Index) is begin null; end Send_Trace_Info; procedure Send_Trace_Info (Id : Trace_T; Task_Name : ST.Task_Id; Task_Name2 : ST.Task_Id) is begin null; end Send_Trace_Info; procedure Send_Trace_Info (Id : Trace_T; Entry_Number : ST.Entry_Index) is begin null; end Send_Trace_Info; procedure Send_Trace_Info (Id : Trace_T; Acceptor : ST.Task_Id; Entry_Number : ST.Entry_Index; Timeout : Duration) is begin null; end Send_Trace_Info; procedure Send_Trace_Info (Id : Trace_T; Entry_Number : ST.Entry_Index; Timeout : Duration) is begin null; end Send_Trace_Info; procedure Send_Trace_Info (Id : Trace_T; Task_Name : ST.Task_Id; Number : Integer) is begin null; end Send_Trace_Info; procedure Send_Trace_Info (Id : Trace_T; Task_Name : ST.Task_Id; Number : Integer; Timeout : Duration) is begin null; end Send_Trace_Info; end System.Traces.Tasking;
35.409836
78
0.483796
dc6d3a10aff03f6c375356d9153460823bedee63
1,378
ads
Ada
tier-1/xcb/source/thin/xcb-xcb_glx_get_string_cookie_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
2
2015-11-12T11:16:20.000Z
2021-08-24T22:32:04.000Z
tier-1/xcb/source/thin/xcb-xcb_glx_get_string_cookie_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
1
2018-06-05T05:19:35.000Z
2021-11-20T01:13:23.000Z
tier-1/xcb/source/thin/xcb-xcb_glx_get_string_cookie_t.ads
charlie5/cBound
741be08197a61ad9c72553e3302f3b669902216d
[ "0BSD" ]
null
null
null
-- This file is generated by SWIG. Please do not modify by hand. -- with Interfaces.C; with Interfaces.C; with Interfaces.C.Pointers; package xcb.xcb_glx_get_string_cookie_t is -- Item -- type Item is record sequence : aliased Interfaces.C.unsigned; end record; -- Item_Array -- type Item_Array is array (Interfaces.C .size_t range <>) of aliased xcb.xcb_glx_get_string_cookie_t .Item; -- Pointer -- package C_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_glx_get_string_cookie_t.Item, Element_Array => xcb.xcb_glx_get_string_cookie_t.Item_Array, Default_Terminator => (others => <>)); subtype Pointer is C_Pointers.Pointer; -- Pointer_Array -- type Pointer_Array is array (Interfaces.C .size_t range <>) of aliased xcb.xcb_glx_get_string_cookie_t .Pointer; -- Pointer_Pointer -- package C_Pointer_Pointers is new Interfaces.C.Pointers (Index => Interfaces.C.size_t, Element => xcb.xcb_glx_get_string_cookie_t.Pointer, Element_Array => xcb.xcb_glx_get_string_cookie_t.Pointer_Array, Default_Terminator => null); subtype Pointer_Pointer is C_Pointer_Pointers.Pointer; end xcb.xcb_glx_get_string_cookie_t;
26
74
0.664006
dcef57fc8459e81ee6d45b9bb646e4eaadae221d
2,041
ads
Ada
orka/src/gl/interface/gl-objects-shaders.ads
onox/orka
9edf99559a16ffa96dfdb208322f4d18efbcbac6
[ "Apache-2.0" ]
52
2016-07-30T23:00:28.000Z
2022-02-05T11:54:55.000Z
orka/src/gl/interface/gl-objects-shaders.ads
onox/orka
9edf99559a16ffa96dfdb208322f4d18efbcbac6
[ "Apache-2.0" ]
79
2016-08-01T18:36:48.000Z
2022-02-27T12:14:20.000Z
orka/src/gl/interface/gl-objects-shaders.ads
onox/orka
9edf99559a16ffa96dfdb208322f4d18efbcbac6
[ "Apache-2.0" ]
4
2018-04-28T22:36:26.000Z
2020-11-14T23:00:29.000Z
-- SPDX-License-Identifier: Apache-2.0 -- -- Copyright (c) 2013 Felix Krause <contact@flyx.org> -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. private with GL.Low_Level; package GL.Objects.Shaders is pragma Preelaborate; type Shader_Type is (Fragment_Shader, Vertex_Shader, Geometry_Shader, Tess_Evaluation_Shader, Tess_Control_Shader, Compute_Shader); type Shader (Kind : Shader_Type) is new GL_Object with private; procedure Set_Source (Subject : Shader; Source : String); function Source (Subject : Shader) return String; procedure Compile (Subject : Shader); function Compile_Status (Subject : Shader) return Boolean; function Info_Log (Subject : Shader) return String; overriding procedure Initialize_Id (Object : in out Shader); overriding procedure Delete_Id (Object : in out Shader); overriding function Identifier (Object : Shader) return Types.Debug.Identifier is (Types.Debug.Shader); private type Shader (Kind : Shader_Type) is new GL_Object with null record; for Shader_Type use (Fragment_Shader => 16#8B30#, Vertex_Shader => 16#8B31#, Geometry_Shader => 16#8DD9#, Tess_Evaluation_Shader => 16#8E87#, Tess_Control_Shader => 16#8E88#, Compute_Shader => 16#91B9#); for Shader_Type'Size use Low_Level.Enum'Size; end GL.Objects.Shaders;
34.016667
76
0.66683
dc982ba42b96fd1bd3ea5729036d34931b001c43
976
adb
Ada
gdb/testsuite/gdb.ada/array_of_symbolic_length/foo.adb
greyblue9/binutils-gdb
05377632b124fe7600eea7f4ee0e9a35d1b0cbdc
[ "BSD-3-Clause" ]
1
2020-10-14T03:24:35.000Z
2020-10-14T03:24:35.000Z
gdb/testsuite/gdb.ada/array_of_symbolic_length/foo.adb
greyblue9/binutils-gdb
05377632b124fe7600eea7f4ee0e9a35d1b0cbdc
[ "BSD-3-Clause" ]
null
null
null
gdb/testsuite/gdb.ada/array_of_symbolic_length/foo.adb
greyblue9/binutils-gdb
05377632b124fe7600eea7f4ee0e9a35d1b0cbdc
[ "BSD-3-Clause" ]
null
null
null
-- Copyright 2021 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with Pck; use Pck; with System; procedure Foo is My_Value : My_Array := (others => 23); Rt : Outer := (A => (others => (A1 => (others => 4), A2 => (others => 8)))); begin Do_Nothing (My_Value'Address); -- BREAK end Foo;
37.538462
73
0.67418
dc85861ff2db7d5ff3736dd2b62bd01e2dcaff74
3,534
ads
Ada
source/web/fastcgi/fastcgi-requests-internals.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/web/fastcgi/fastcgi-requests-internals.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/web/fastcgi/fastcgi-requests-internals.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Web Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2010, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ with Matreshka.FastCGI; package FastCGI.Requests.Internals is function Create (Descriptor : Matreshka.FastCGI.Descriptor_Access) return Request; end FastCGI.Requests.Internals;
66.679245
78
0.406621
d00f75a8160b12d17a6076495e2d2d356ab20e74
266,509
ads
Ada
legacy-core/src/test/resources/dm/TestModel.ads
greifentor/archimedes-legacy
32eac85cba6e82b2c2001b339cb6af20b11799b9
[ "Apache-2.0" ]
null
null
null
legacy-core/src/test/resources/dm/TestModel.ads
greifentor/archimedes-legacy
32eac85cba6e82b2c2001b339cb6af20b11799b9
[ "Apache-2.0" ]
27
2021-03-11T13:09:39.000Z
2021-11-19T07:14:13.000Z
legacy-core/src/test/resources/dm/TestModel.ads
greifentor/archimedes-legacy
32eac85cba6e82b2c2001b339cb6af20b11799b9
[ "Apache-2.0" ]
null
null
null
<Diagramm> <AdditionalSQLCode> <SQLCode> <AdditionalSQLCodePostChanging></AdditionalSQLCodePostChanging> <AdditionalSQLCodePostReducing></AdditionalSQLCodePostReducing> <AdditionalSQLCodePreChanging></AdditionalSQLCodePreChanging> <AdditionalSQLCodePreExtending></AdditionalSQLCodePreExtending> </SQLCode> </AdditionalSQLCode> <Colors> <Anzahl>23</Anzahl> <Color0> <B>255</B> <G>0</G> <Name>blau</Name> <R>0</R> </Color0> <Color1> <B>221</B> <G>212</G> <Name>blaugrau</Name> <R>175</R> </Color1> <Color10> <B>192</B> <G>192</G> <Name>hellgrau</Name> <R>192</R> </Color10> <Color11> <B>255</B> <G>0</G> <Name>kamesinrot</Name> <R>255</R> </Color11> <Color12> <B>0</B> <G>200</G> <Name>orange</Name> <R>255</R> </Color12> <Color13> <B>255</B> <G>247</G> <Name>pastell-blau</Name> <R>211</R> </Color13> <Color14> <B>186</B> <G>245</G> <Name>pastell-gelb</Name> <R>255</R> </Color14> <Color15> <B>234</B> <G>255</G> <Name>pastell-gr&amp;uuml;n</Name> <R>211</R> </Color15> <Color16> <B>255</B> <G>211</G> <Name>pastell-lila</Name> <R>244</R> </Color16> <Color17> <B>191</B> <G>165</G> <Name>pastell-rot</Name> <R>244</R> </Color17> <Color18> <B>175</B> <G>175</G> <Name>pink</Name> <R>255</R> </Color18> <Color19> <B>0</B> <G>0</G> <Name>rot</Name> <R>255</R> </Color19> <Color2> <B>61</B> <G>125</G> <Name>braun</Name> <R>170</R> </Color2> <Color20> <B>0</B> <G>0</G> <Name>schwarz</Name> <R>0</R> </Color20> <Color21> <B>255</B> <G>255</G> <Name>t&amp;uuml;rkis</Name> <R>0</R> </Color21> <Color22> <B>255</B> <G>255</G> <Name>wei&amp;szlig;</Name> <R>255</R> </Color22> <Color3> <B>64</B> <G>64</G> <Name>dunkelgrau</Name> <R>64</R> </Color3> <Color4> <B>84</B> <G>132</G> <Name>dunkelgr&amp;uuml;n</Name> <R>94</R> </Color4> <Color5> <B>0</B> <G>255</G> <Name>gelb</Name> <R>255</R> </Color5> <Color6> <B>0</B> <G>225</G> <Name>goldgelb</Name> <R>255</R> </Color6> <Color7> <B>128</B> <G>128</G> <Name>grau</Name> <R>128</R> </Color7> <Color8> <B>0</B> <G>255</G> <Name>gr&amp;uuml;n</Name> <R>0</R> </Color8> <Color9> <B>255</B> <G>212</G> <Name>hellblau</Name> <R>191</R> </Color9> </Colors> <ComplexIndices> <IndexCount>0</IndexCount> </ComplexIndices> <DataSource> <Import> <DBName></DBName> <Description></Description> <Domains>false</Domains> <Driver></Driver> <Name></Name> <Referenzen>false</Referenzen> <User></User> </Import> <Update> <DBMode>MYSQL</DBMode> <DBName>jdbc:mysql://messene/platon</DBName> <Description></Description> <DomainFaehig>false</DomainFaehig> <Driver>com.mysql.jdbc.Driver</Driver> <FkNotNullBeachten>false</FkNotNullBeachten> <Name></Name> <Quote></Quote> <ReferenzenSetzen>false</ReferenzenSetzen> <User>platon</User> </Update> </DataSource> <DefaultComment> <Anzahl>0</Anzahl> </DefaultComment> <Domains> <Anzahl>14</Anzahl> <Domain0> <Datatype>4</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar>A boolean value in number format. 0 means false, any other value is true.</Kommentar> <Length>0</Length> <NKS>0</NKS> <Name>Boolean</Name> <Parameters></Parameters> </Domain0> <Domain1> <Datatype>12</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar>This type is for descriptions in the meaning of names of data records.</Kommentar> <Length>100</Length> <NKS>0</NKS> <Name>Description</Name> <Parameters></Parameters> </Domain1> <Domain10> <Datatype>12</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar></Kommentar> <Length>21</Length> <NKS>0</NKS> <Name>Password</Name> <Parameters></Parameters> </Domain10> <Domain11> <Datatype>4</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar></Kommentar> <Length>0</Length> <NKS>0</NKS> <Name>Portnumber</Name> <Parameters></Parameters> </Domain11> <Domain12> <Datatype>4</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar></Kommentar> <Length>0</Length> <NKS>0</NKS> <Name>Sorting</Name> <Parameters></Parameters> </Domain12> <Domain13> <Datatype>12</Datatype> <History></History> <Initialwert>':o)'</Initialwert> <Kommentar>A token, that describes the record in a short form.</Kommentar> <Length>20</Length> <NKS>0</NKS> <Name>Token</Name> <Parameters></Parameters> </Domain13> <Domain2> <Datatype>12</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar></Kommentar> <Length>255</Length> <NKS>0</NKS> <Name>Filename</Name> <Parameters></Parameters> </Domain2> <Domain3> <Datatype>-5</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar>A GLI is a global local identificator. Fields of this type are used to store comprehensive ids (across more than one databases).</Kommentar> <Length>0</Length> <NKS>0</NKS> <Name>GLI</Name> <Parameters></Parameters> </Domain3> <Domain4> <Datatype>-5</Datatype> <History></History> <Initialwert>-1</Initialwert> <Kommentar>This type should be used for key data fields.</Kommentar> <Length>0</Length> <NKS>0</NKS> <Name>Ident</Name> <Parameters></Parameters> </Domain4> <Domain5> <Datatype>12</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar></Kommentar> <Length>255</Length> <NKS>0</NKS> <Name>LongDescription</Name> <Parameters></Parameters> </Domain5> <Domain6> <Datatype>-5</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar>A type to store more precise manner, than the PTimestamp domain. LongPTimestamps include millis additionally. The format is: YYYYMMDDHHMMSSsss.</Kommentar> <Length>0</Length> <NKS>0</NKS> <Name>LongPTimestamp</Name> <Parameters></Parameters> </Domain6> <Domain7> <Datatype>-1</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar></Kommentar> <Length>0</Length> <NKS>0</NKS> <Name>Longtext</Name> <Parameters></Parameters> </Domain7> <Domain8> <Datatype>12</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar>This field contains the name of the Workstation, where the data record has been changed.</Kommentar> <Length>40</Length> <NKS>0</NKS> <Name>PCName</Name> <Parameters></Parameters> </Domain8> <Domain9> <Datatype>2</Datatype> <History></History> <Initialwert>NULL</Initialwert> <Kommentar>Data fields of this type contain time informations in the following format: YYYYMMDDHHMMSS.</Kommentar> <Length>14</Length> <NKS>0</NKS> <Name>PTimestamp</Name> <Parameters></Parameters> </Domain9> </Domains> <Factories> <Object>archimedes.legacy.scheme.DefaultObjectFactory</Object> </Factories> <Pages> <PerColumn>5</PerColumn> <PerRow>10</PerRow> </Pages> <Parameter> <AdditionalSQLScriptListener>archimedes.scheme.SQLScriptListenerTest01, archimedes.scheme.SQLScriptListenerTest02</AdditionalSQLScriptListener> <Applicationname>TestModel</Applicationname> <AufgehobeneAusblenden>false</AufgehobeneAusblenden> <Autor>ollie</Autor> <Basepackagename>testmodel</Basepackagename> <CodeFactoryClassName></CodeFactoryClassName> <Codebasispfad>~/codetmp/</Codebasispfad> <DBVersionDBVersionColumn></DBVersionDBVersionColumn> <DBVersionDescriptionColumn></DBVersionDescriptionColumn> <DBVersionTablename></DBVersionTablename> <History></History> <Kommentar>Archimedesmodell f&amp;uuml;r den Unittest.</Kommentar> <Name>TestModel</Name> <Optionen> <Anzahl>0</Anzahl> </Optionen> <PflichtfelderMarkieren>false</PflichtfelderMarkieren> <ReferenzierteSpaltenAnzeigen>true</ReferenzierteSpaltenAnzeigen> <SchemaName></SchemaName> <Schriftgroessen> <Tabelleninhalte>12</Tabelleninhalte> <Ueberschriften>24</Ueberschriften> <Untertitel>12</Untertitel> </Schriftgroessen> <Scripte> <AfterWrite>&amp;lt;null&amp;gt;</AfterWrite> </Scripte> <TechnischeFelderAusgrauen>true</TechnischeFelderAusgrauen> <UdschebtiBaseClassName></UdschebtiBaseClassName> <Version>2</Version> <Versionsdatum>09.12.2008</Versionsdatum> <Versionskommentar>&amp;lt;null&amp;gt;</Versionskommentar> </Parameter> <Sequences> <Count>0</Count> </Sequences> <Stereotype> <Anzahl>4</Anzahl> <Stereotype0> <DoNotPrint>false</DoNotPrint> <HideTable>false</HideTable> <History></History> <Kommentar>Diese Stereotype kennzeichnet eine Tabelle, deren Datens&amp;auml;tze nicht gel&amp;ouml;scht, sondern lediglich deaktiviert werden sollen.</Kommentar> <Name>Deactivatable</Name> </Stereotype0> <Stereotype1> <DoNotPrint>false</DoNotPrint> <HideTable>false</HideTable> <History></History> <Kommentar>Diese Stereotype kennzeichnet eine Tabelle, deren Datens&amp;auml;tze nicht gel&amp;ouml;scht, sondern lediglich deaktiviert werden sollen.</Kommentar> <Name>Deaktivierbar</Name> </Stereotype1> <Stereotype2> <DoNotPrint>false</DoNotPrint> <HideTable>false</HideTable> <History></History> <Kommentar>-/-</Kommentar> <Name>System</Name> </Stereotype2> <Stereotype3> <DoNotPrint>false</DoNotPrint> <HideTable>false</HideTable> <History></History> <Kommentar>-/-</Kommentar> <Name>Test</Name> </Stereotype3> </Stereotype> <Tabellen> <Anzahl>9</Anzahl> <Tabelle0> <Aufgehoben>false</Aufgehoben> <Codegenerator> <AuswahlMembers> <Anzahl>5</Anzahl> <Member0> <Attribute>OPTIONAL</Attribute> <Spalte>Description</Spalte> <Tabelle>DataScheme</Tabelle> </Member0> <Member1> <Attribute>OPTIONAL</Attribute> <Spalte>Token</Spalte> <Tabelle>DataScheme</Tabelle> </Member1> <Member2> <Attribute>OPTIONAL</Attribute> <Spalte>Version</Spalte> <Tabelle>DataScheme</Tabelle> </Member2> <Member3> <Attribute>OPTIONAL</Attribute> <Spalte>LoadModelAtStart</Spalte> <Tabelle>DataScheme</Tabelle> </Member3> <Member4> <Attribute>OPTIONAL</Attribute> <Spalte>Request</Spalte> <Tabelle>DataScheme</Tabelle> </Member4> </AuswahlMembers> <CompareMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>Description</Spalte> </Member0> </CompareMembers> <Equalsmembers> <Anzahl>4</Anzahl> <Member0> <Spalte>DataScheme</Spalte> </Member0> <Member1> <Spalte>Deleted</Spalte> </Member1> <Member2> <Spalte>Description</Spalte> </Member2> <Member3> <Spalte>Token</Spalte> </Member3> </Equalsmembers> <HashCodeMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>DataScheme</Spalte> </Member0> </HashCodeMembers> <NReferenzen> <Anzahl>0</Anzahl> </NReferenzen> <OrderMembers> <Anzahl>1</Anzahl> <Member0> <Richtung>ASC</Richtung> <Spalte>Description</Spalte> <Tabelle>DataScheme</Tabelle> </Member0> </OrderMembers> <ToComboStringMembers> <Anzahl>2</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> <Member1> <Prefix> (</Prefix> <Spalte>Token</Spalte> <Suffix>)</Suffix> </Member1> </ToComboStringMembers> <ToStringMembers> <Anzahl>2</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> <Member1> <Prefix> (</Prefix> <Spalte>Token</Spalte> <Suffix>)</Suffix> </Member1> </ToStringMembers> </Codegenerator> <Farben> <Hintergrund>blaugrau</Hintergrund> <Schrift>java.awt.Color[r=0,g=0,b=0]</Schrift> </Farben> <FirstGenerationDone>true</FirstGenerationDone> <History></History> <InDevelopment>false</InDevelopment> <Kommentar></Kommentar> <NMRelation>false</NMRelation> <Name>DataScheme</Name> <Options> <Count>0</Count> </Options> <Panels> <Anzahl>1</Anzahl> <Panel0> <PanelClass></PanelClass> <PanelNumber>0</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Daten</TabTitle> <TabToolTipText>Hier k&ouml;nnen Sie die Daten des Objektes warten.</TabToolTipText> </Panel0> </Panels> <Spalten> <Anzahl>15</Anzahl> <Codegenerator> <ActiveInApplication>true</ActiveInApplication> <AdditionalCreateConstraints></AdditionalCreateConstraints> <Codegeneratoroptionen></Codegeneratoroptionen> <Codeverzeichnis>platon/scheme</Codeverzeichnis> <Codieren>false</Codieren> <DynamicCode>true</DynamicCode> <Inherited>false</Inherited> <Kontextname></Kontextname> <UniqueFormula>Description &amp; Deleted | Token &amp; Deleted</UniqueFormula> </Codegenerator> <Spalte0> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>DataScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte0> <Spalte1> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Active</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte1> <Spalte10> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>R&amp;uuml;ckfrage</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>R</Mnemonic> <Position>7</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>CheckBoxRequest</RessourceIdentifier> <ToolTipText>Setzen Sie diese Flagge, wenn zu dem Datenmodell eine R&amp;uuml;ckfrage besteht.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Request</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte10> <Spalte11> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>R&amp;uuml;ckfragebeschreibung</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>8</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldRequestDescription</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie die eigentliche Problemstellung der R&amp;uuml;ckfrage hinterlegen.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>RequestDescription</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte11> <Spalte12> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Spezifikation</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>S</Mnemonic> <Position>4</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldSpecification</RessourceIdentifier> <ToolTipText>Geben Sie hier eine Spezifikation zum Datenschema an.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Specification</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte12> <Spalte13> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Token</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>K&amp;uuml;rzel</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>K</Mnemonic> <Position>2</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldToken</RessourceIdentifier> <ToolTipText>Geben Sie hier ein eindeutiges K&amp;uuml;rzel f&amp;uuml;r den Datensatz ein.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Token</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte13> <Spalte14> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Token</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Aktuelle Datenmodellversion</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>O</Mnemonic> <Position>9</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldVersion</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie die aktuelle Version des Datenmodells festlegen.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Version</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte14> <Spalte2> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Filename</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>ADS-Dateiname</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>A</Mnemonic> <Position>3</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldADSFilePath</RessourceIdentifier> <ToolTipText>Geben Sie hier den vollst&amp;auml;ndigen Namen der ADS-Datei an, f&amp;uuml;r die die Dokumentation gelten soll.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>ADSFilePath</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte2> <Spalte3> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Kommentar</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>K</Mnemonic> <Position>5</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldComment</RessourceIdentifier> <ToolTipText>Hier finden Sie Platz f&amp;uuml;r Kommentare zur Tabelle oder der Spezifikation.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Comment</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte3> <Spalte4> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Deleted</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>true</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte4> <Spalte5> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bezeichnung</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>B</Mnemonic> <Position>1</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldDescription</RessourceIdentifier> <ToolTipText>Geben Sie hier eine eindeutige Bezeichnung f&amp;uuml;r den Datensatz ein. </ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Description</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte5> <Spalte6> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PTimestamp</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationDate</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte6> <Spalte7> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PCName</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationPCName</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte7> <Spalte8> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>true</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationUser</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte8> <Spalte9> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bei Start oder Wechsel laden</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>W</Mnemonic> <Position>6</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>CheckBoxLoadModelAtStart</RessourceIdentifier> <ToolTipText>Setzen Sie diese Flagge, wenn die Datenmodelldatei beim Programmstart bzw. bei einem Schemawechsel geladen und abgeglichen werden soll.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar>Diese Flagge mu&amp;szlig; gesetzt werden, wenn die Datenmodelldatei bei geladen und abgeglichen werden soll, sobald das DataScheme gewechselt wird.</Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LoadModelAtStart</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte9> </Spalten> <Stereotype> <Anzahl>0</Anzahl> </Stereotype> <Views> <Anzahl>1</Anzahl> <View0> <Name>Main</Name> <X>100</X> <Y>75</Y> </View0> </Views> </Tabelle0> <Tabelle1> <Aufgehoben>false</Aufgehoben> <Codegenerator> <AuswahlMembers> <Anzahl>8</Anzahl> <Member0> <Attribute>OPTIONAL</Attribute> <Spalte>Description</Spalte> <Tabelle>TableScheme</Tabelle> </Member0> <Member1> <Attribute>OPTIONAL</Attribute> <Spalte>Active</Spalte> <Tabelle>TableScheme</Tabelle> </Member1> <Member2> <Attribute>OPTIONAL</Attribute> <Spalte>Verified</Spalte> <Tabelle>TableScheme</Tabelle> </Member2> <Member3> <Attribute>OPTIONAL</Attribute> <Spalte>Request</Spalte> <Tabelle>TableScheme</Tabelle> </Member3> <Member4> <Attribute>OPTIONAL</Attribute> <Spalte>SinceVersion</Spalte> <Tabelle>TableScheme</Tabelle> </Member4> <Member5> <Attribute>OPTIONAL</Attribute> <Spalte>TilVersion</Spalte> <Tabelle>TableScheme</Tabelle> </Member5> <Member6> <Attribute>OPTIONAL</Attribute> <Spalte>DescriptionSpecificationSheet</Spalte> <Tabelle>TableScheme</Tabelle> </Member6> <Member7> <Attribute>OPTIONAL</Attribute> <Spalte>Specification</Spalte> <Tabelle>TableScheme</Tabelle> </Member7> </AuswahlMembers> <CompareMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>Description</Spalte> </Member0> </CompareMembers> <Equalsmembers> <Anzahl>4</Anzahl> <Member0> <Spalte>TableScheme</Spalte> </Member0> <Member1> <Spalte>Deleted</Spalte> </Member1> <Member2> <Spalte>Description</Spalte> </Member2> <Member3> <Spalte>Specification</Spalte> </Member3> </Equalsmembers> <HashCodeMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>TableScheme</Spalte> </Member0> </HashCodeMembers> <NReferenzen> <Anzahl>2</Anzahl> <NReferenz0> <Alterable>true</Alterable> <DeleteConfirmationRequired>false</DeleteConfirmationRequired> <Extensible>false</Extensible> <Id>0</Id> <Panel>1</Panel> <PanelType>standard</PanelType> <PermitCreate>false</PermitCreate> <Spalte>TableScheme</Spalte> <Tabelle>ColumnScheme</Tabelle> </NReferenz0> <NReferenz1> <Alterable>false</Alterable> <DeleteConfirmationRequired>false</DeleteConfirmationRequired> <Extensible>false</Extensible> <Id>1</Id> <Panel>2</Panel> <PanelType>standard</PanelType> <PermitCreate>false</PermitCreate> <Spalte>TableScheme</Spalte> <Tabelle>StereotypeSchemeTableScheme</Tabelle> </NReferenz1> </NReferenzen> <OrderMembers> <Anzahl>1</Anzahl> <Member0> <Richtung>ASC</Richtung> <Spalte>Description</Spalte> <Tabelle>TableScheme</Tabelle> </Member0> </OrderMembers> <ToComboStringMembers> <Anzahl>1</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> </ToComboStringMembers> <ToStringMembers> <Anzahl>1</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> </ToStringMembers> </Codegenerator> <Farben> <Hintergrund>blaugrau</Hintergrund> <Schrift>java.awt.Color[r=0,g=0,b=0]</Schrift> </Farben> <FirstGenerationDone>true</FirstGenerationDone> <History></History> <InDevelopment>false</InDevelopment> <Kommentar>Diese Klasse enth&amp;auml;lt die Angaben zu den einzelnen Tabellen des beschriebenen Datenschemas.</Kommentar> <NMRelation>false</NMRelation> <Name>TableScheme</Name> <Options> <Count>0</Count> </Options> <Panels> <Anzahl>3</Anzahl> <Panel0> <PanelClass></PanelClass> <PanelNumber>0</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Daten</TabTitle> <TabToolTipText>Hier k&ouml;nnen Sie die Daten des Objektes warten.</TabToolTipText> </Panel0> <Panel1> <PanelClass></PanelClass> <PanelNumber>1</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Spalten</TabTitle> <TabToolTipText>Hier finden Sie die Spalten der Tabelle.</TabToolTipText> </Panel1> <Panel2> <PanelClass></PanelClass> <PanelNumber>2</PanelNumber> <TabMnemonic>2</TabMnemonic> <TabTitle>2.Stereotypen</TabTitle> <TabToolTipText>Hier finden Sie die Stereotypen, die der Tabelle zugeordnet sind.</TabToolTipText> </Panel2> </Panels> <Spalten> <Anzahl>16</Anzahl> <Codegenerator> <ActiveInApplication>true</ActiveInApplication> <AdditionalCreateConstraints></AdditionalCreateConstraints> <Codegeneratoroptionen>&lt;TestTag&gt;DataScheme, ColumnScheme&lt;/TestTag&gt;</Codegeneratoroptionen> <Codeverzeichnis>platon/scheme</Codeverzeichnis> <Codieren>false</Codieren> <DynamicCode>true</DynamicCode> <Inherited>false</Inherited> <Kontextname></Kontextname> <UniqueFormula></UniqueFormula> </Codegenerator> <Spalte0> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>TableScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte0> <Spalte1> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Active</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte1> <Spalte10> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>R&amp;uuml;ckfragebeschreibung</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>8</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldRequestDescription</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie den Inhalt der R&amp;uuml;ckfrage hinterlegen.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>RequestDescription</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte10> <Spalte11> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Token</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Seit Version</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>9</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldSinceVersion</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie die Version des Datenmodells angeben, ab der die Tabelle Bestandteil des Modells war.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>SinceVersion</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte11> <Spalte12> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Spezifikation</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>S</Mnemonic> <Position>2</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldSpecification</RessourceIdentifier> <ToolTipText>Geben Sie hier die technische Spezifikation um Tabellenschema an.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Specification</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte12> <Spalte13> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Token</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bis Version</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>10</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldTilVersion</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie die Version des Datenmodells angeben, bis zu der die Tabelle Bestandteil des Datenmodells war.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>TilVersion</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte13> <Spalte14> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Gepr&amp;uuml;ft</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>G</Mnemonic> <Position>5</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>CheckBoxVerified</RessourceIdentifier> <ToolTipText>Setzen Sie diese Flagge, wenn die Tabellenbeschreibung als gepr&amp;uuml;ft gelten soll.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Verified</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte14> <Spalte15> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>true</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Datenschema</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>4</Position> <ReferenceWeight>massiv</ReferenceWeight> <RessourceIdentifier>ComboBoxDatascheme</RessourceIdentifier> <ToolTipText>Hier finden Sie das Datenschema, zu dem die Tabelle geh&amp;ouml;rt.</ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>DataScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <Referenz> <Direction0>UP</Direction0> <Direction1>DOWN</Direction1> <Offset0>25</Offset0> <Offset1>25</Offset1> <Spalte>DataScheme</Spalte> <Tabelle>DataScheme</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>UP</Direction0> <Direction1>DOWN</Direction1> <Name>Main</Name> <Offset0>25</Offset0> <Offset1>25</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte15> <Spalte2> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Kommentar</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>K</Mnemonic> <Position>3</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldComment</RessourceIdentifier> <ToolTipText>Hier finden Sie Platz f&amp;uuml;r Kommentare zur Tabelle oder der Spezifikation.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Comment</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte2> <Spalte3> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Deleted</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>true</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte3> <Spalte4> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>true</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bezeichnung</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>B</Mnemonic> <Position>1</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldDescription</RessourceIdentifier> <ToolTipText>Geben Sie hier eine eindeutige Bezeichnung f&amp;uuml;r den Datensatz ein. </ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Description</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte4> <Spalte5> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bezeichnung (lt. Pflichtenheft)</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>11</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldDescriptionSpecificationSheet</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie die Bezeichnung des Feldes lt. Pflichtenheft angeben, falls diese vom Namen des Datenbankfeldes abweicht.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar>Eine Bezeichnung lt. Pflichtenheft, falls abweichend.</Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>DescriptionSpecificationSheet</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte5> <Spalte6> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PTimestamp</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationDate</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte6> <Spalte7> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PCName</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationPCName</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte7> <Spalte8> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>true</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationUser</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte8> <Spalte9> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>R&amp;uuml;ckfrage</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>7</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>CheckBoxRequest</RessourceIdentifier> <ToolTipText>Setzen Sie diese Flagge, wenn Sie zur Tabelle eine R&amp;uuml;ckfrage haben.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Request</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte9> </Spalten> <Stereotype> <Anzahl>0</Anzahl> </Stereotype> <Views> <Anzahl>1</Anzahl> <View0> <Name>Main</Name> <X>100</X> <Y>425</Y> </View0> </Views> </Tabelle1> <Tabelle2> <Aufgehoben>false</Aufgehoben> <Codegenerator> <AuswahlMembers> <Anzahl>5</Anzahl> <Member0> <Attribute>OPTIONAL</Attribute> <Spalte>Description</Spalte> <Tabelle>DomainScheme</Tabelle> </Member0> <Member1> <Attribute>OPTIONAL</Attribute> <Spalte>SQLType</Spalte> <Tabelle>DomainScheme</Tabelle> </Member1> <Member2> <Attribute>OPTIONAL</Attribute> <Spalte>Active</Spalte> <Tabelle>DomainScheme</Tabelle> </Member2> <Member3> <Attribute>OPTIONAL</Attribute> <Spalte>Verified</Spalte> <Tabelle>DomainScheme</Tabelle> </Member3> <Member4> <Attribute>OPTIONAL</Attribute> <Spalte>Specification</Spalte> <Tabelle>DomainScheme</Tabelle> </Member4> </AuswahlMembers> <CompareMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>Description</Spalte> </Member0> </CompareMembers> <Equalsmembers> <Anzahl>5</Anzahl> <Member0> <Spalte>DomainScheme</Spalte> </Member0> <Member1> <Spalte>Active</Spalte> </Member1> <Member2> <Spalte>Deleted</Spalte> </Member2> <Member3> <Spalte>Description</Spalte> </Member3> <Member4> <Spalte>SQLType</Spalte> </Member4> </Equalsmembers> <HashCodeMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>DomainScheme</Spalte> </Member0> </HashCodeMembers> <NReferenzen> <Anzahl>1</Anzahl> <NReferenz0> <Alterable>false</Alterable> <DeleteConfirmationRequired>false</DeleteConfirmationRequired> <Extensible>false</Extensible> <Id>0</Id> <Panel>1</Panel> <PanelType>standard</PanelType> <PermitCreate>false</PermitCreate> <Spalte>DomainScheme</Spalte> <Tabelle>ColumnScheme</Tabelle> </NReferenz0> </NReferenzen> <OrderMembers> <Anzahl>2</Anzahl> <Member0> <Richtung>DESC</Richtung> <Spalte>Active</Spalte> <Tabelle>DomainScheme</Tabelle> </Member0> <Member1> <Richtung>ASC</Richtung> <Spalte>Description</Spalte> <Tabelle>DomainScheme</Tabelle> </Member1> </OrderMembers> <ToComboStringMembers> <Anzahl>2</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> <Member1> <Prefix> (</Prefix> <Spalte>SQLType</Spalte> <Suffix>)</Suffix> </Member1> </ToComboStringMembers> <ToStringMembers> <Anzahl>2</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> <Member1> <Prefix> (</Prefix> <Spalte>SQLType</Spalte> <Suffix>)</Suffix> </Member1> </ToStringMembers> </Codegenerator> <Farben> <Hintergrund>hellblau</Hintergrund> <Schrift>java.awt.Color[r=0,g=0,b=0]</Schrift> </Farben> <FirstGenerationDone>true</FirstGenerationDone> <History></History> <InDevelopment>false</InDevelopment> <Kommentar></Kommentar> <NMRelation>false</NMRelation> <Name>DomainScheme</Name> <Options> <Count>0</Count> </Options> <Panels> <Anzahl>2</Anzahl> <Panel0> <PanelClass></PanelClass> <PanelNumber>0</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Daten</TabTitle> <TabToolTipText>Hier k&ouml;nnen Sie die Daten des Objektes warten.</TabToolTipText> </Panel0> <Panel1> <PanelClass></PanelClass> <PanelNumber>1</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Tabellenspalten</TabTitle> <TabToolTipText>Hier finden Sie die Tabellenspalten dieser Domain.</TabToolTipText> </Panel1> </Panels> <Spalten> <Anzahl>12</Anzahl> <Codegenerator> <ActiveInApplication>true</ActiveInApplication> <AdditionalCreateConstraints></AdditionalCreateConstraints> <Codegeneratoroptionen></Codegeneratoroptionen> <Codeverzeichnis>platon/scheme</Codeverzeichnis> <Codieren>false</Codieren> <DynamicCode>true</DynamicCode> <Inherited>false</Inherited> <Kontextname></Kontextname> <UniqueFormula></UniqueFormula> </Codegenerator> <Spalte0> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>DomainScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte0> <Spalte1> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Active</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte1> <Spalte10> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Gepr&amp;uuml;ft</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>G</Mnemonic> <Position>6</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>CheckBoxVerified</RessourceIdentifier> <ToolTipText>Setzen Sie diese Flagge, wenn das Dom&amp;auml;nenschema als gepr&amp;uuml;ft gelten soll.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Verified</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte10> <Spalte11> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Datenschema</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>D</Mnemonic> <Position>5</Position> <ReferenceWeight>massiv</ReferenceWeight> <RessourceIdentifier>ComboBoxDataScheme</RessourceIdentifier> <ToolTipText>Geben Sie hier das Datenschema an, f&amp;uuml;r das der Domaintyp gelten soll.</ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar>Das Datenschema, f&amp;uuml;r das Dom&amp;auml;nentyp gelten soll.</Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>DataScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <Referenz> <Direction0>RIGHT</Direction0> <Direction1>RIGHT</Direction1> <Offset0>25</Offset0> <Offset1>25</Offset1> <Spalte>DataScheme</Spalte> <Tabelle>DataScheme</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>RIGHT</Direction0> <Direction1>RIGHT</Direction1> <Name>Main</Name> <Offset0>25</Offset0> <Offset1>25</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte11> <Spalte2> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Kommentar</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>K</Mnemonic> <Position>4</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldComment</RessourceIdentifier> <ToolTipText>Hier finden Sie Platz f&amp;uuml;r Kommentare zur Tabelle oder der Spezifikation.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Comment</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte2> <Spalte3> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Deleted</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte3> <Spalte4> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bezeichnung</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>B</Mnemonic> <Position>1</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldDescription</RessourceIdentifier> <ToolTipText>Geben Sie hier eine eindeutige Bezeichnung f&amp;uuml;r den Datensatz ein. </ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Description</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte4> <Spalte5> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PTimestamp</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationDate</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte5> <Spalte6> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PCName</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationPCName</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte6> <Spalte7> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>true</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationUser</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte7> <Spalte8> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Spezifikation</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>S</Mnemonic> <Position>3</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldSpecification</RessourceIdentifier> <ToolTipText>Geben Sie hier eine Spezifikation zum Domainschema an.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Specification</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte8> <Spalte9> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>SQL-Typ</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>Q</Mnemonic> <Position>2</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldSQLType</RessourceIdentifier> <ToolTipText>Geben Sie hier den SQL-Typ an, der hinter dem Domainschema steht.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>SQLType</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte9> </Spalten> <Stereotype> <Anzahl>0</Anzahl> </Stereotype> <Views> <Anzahl>1</Anzahl> <View0> <Name>Main</Name> <X>500</X> <Y>925</Y> </View0> </Views> </Tabelle2> <Tabelle3> <Aufgehoben>false</Aufgehoben> <Codegenerator> <AuswahlMembers> <Anzahl>11</Anzahl> <Member0> <Attribute>OPTIONAL</Attribute> <Spalte>Description</Spalte> <Tabelle>ColumnScheme</Tabelle> </Member0> <Member1> <Attribute>OPTIONAL</Attribute> <Spalte>Description</Spalte> <Tabelle>TableScheme</Tabelle> </Member1> <Member10> <Attribute>OPTIONAL</Attribute> <Spalte>Specification</Spalte> <Tabelle>ColumnScheme</Tabelle> </Member10> <Member2> <Attribute>OPTIONAL</Attribute> <Spalte>Description</Spalte> <Tabelle>DomainScheme</Tabelle> </Member2> <Member3> <Attribute>OPTIONAL</Attribute> <Spalte>SQLType</Spalte> <Tabelle>DomainScheme</Tabelle> </Member3> <Member4> <Attribute>OPTIONAL</Attribute> <Spalte>Active</Spalte> <Tabelle>ColumnScheme</Tabelle> </Member4> <Member5> <Attribute>OPTIONAL</Attribute> <Spalte>Verified</Spalte> <Tabelle>ColumnScheme</Tabelle> </Member5> <Member6> <Attribute>OPTIONAL</Attribute> <Spalte>Request</Spalte> <Tabelle>ColumnScheme</Tabelle> </Member6> <Member7> <Attribute>OPTIONAL</Attribute> <Spalte>SinceVersion</Spalte> <Tabelle>ColumnScheme</Tabelle> </Member7> <Member8> <Attribute>OPTIONAL</Attribute> <Spalte>TilVersion</Spalte> <Tabelle>ColumnScheme</Tabelle> </Member8> <Member9> <Attribute>OPTIONAL</Attribute> <Spalte>DescriptionSpecificationSheet</Spalte> <Tabelle>ColumnScheme</Tabelle> </Member9> </AuswahlMembers> <CompareMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>Description</Spalte> </Member0> </CompareMembers> <Equalsmembers> <Anzahl>4</Anzahl> <Member0> <Spalte>ColumnScheme</Spalte> </Member0> <Member1> <Spalte>Deleted</Spalte> </Member1> <Member2> <Spalte>Description</Spalte> </Member2> <Member3> <Spalte>DomainScheme</Spalte> </Member3> </Equalsmembers> <HashCodeMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>ColumnScheme</Spalte> </Member0> </HashCodeMembers> <NReferenzen> <Anzahl>0</Anzahl> </NReferenzen> <OrderMembers> <Anzahl>2</Anzahl> <Member0> <Richtung>ASC</Richtung> <Spalte>Description</Spalte> <Tabelle>ColumnScheme</Tabelle> </Member0> <Member1> <Richtung>ASC</Richtung> <Spalte>Description</Spalte> <Tabelle>TableScheme</Tabelle> </Member1> </OrderMembers> <ToComboStringMembers> <Anzahl>2</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> <Member1> <Prefix></Prefix> <Spalte>DomainScheme</Spalte> <Suffix></Suffix> </Member1> </ToComboStringMembers> <ToStringMembers> <Anzahl>2</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> <Member1> <Prefix>(</Prefix> <Spalte>DomainScheme</Spalte> <Suffix>)</Suffix> </Member1> </ToStringMembers> </Codegenerator> <Farben> <Hintergrund>blaugrau</Hintergrund> <Schrift>java.awt.Color[r=0,g=0,b=0]</Schrift> </Farben> <FirstGenerationDone>true</FirstGenerationDone> <History></History> <InDevelopment>false</InDevelopment> <Kommentar>Diese Klasse enth&amp;auml;lt Informationen &amp;uuml;ber die Datenfelder des beschriebenen Datenmodells.</Kommentar> <NMRelation>false</NMRelation> <Name>ColumnScheme</Name> <Options> <Count>0</Count> </Options> <Panels> <Anzahl>1</Anzahl> <Panel0> <PanelClass></PanelClass> <PanelNumber>0</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Daten</TabTitle> <TabToolTipText>Hier k&ouml;nnen Sie die Daten des Objektes warten.</TabToolTipText> </Panel0> </Panels> <Spalten> <Anzahl>19</Anzahl> <Codegenerator> <ActiveInApplication>true</ActiveInApplication> <AdditionalCreateConstraints></AdditionalCreateConstraints> <Codegeneratoroptionen></Codegeneratoroptionen> <Codeverzeichnis>platon/scheme</Codeverzeichnis> <Codieren>false</Codieren> <DynamicCode>true</DynamicCode> <Inherited>false</Inherited> <Kontextname></Kontextname> <UniqueFormula></UniqueFormula> </Codegenerator> <Spalte0> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>ColumnScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte0> <Spalte1> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Active</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte1> <Spalte10> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>R&amp;uuml;ckfrage</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>6</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>CheckBoxRequest</RessourceIdentifier> <ToolTipText>Setzen Sie diese Flagge, wenn zu dem Datenfeld eine R&amp;uuml;ckfrage n&amp;ouml;tig ist.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Request</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte10> <Spalte11> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>R&amp;uuml;ckfragenbeschreibung</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>7</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldRequestDescription</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie die Beschreibung der R&amp;uuml;ckfrage hinterlegen.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>RequestDescription</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte11> <Spalte12> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Token</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Seit Version</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>9</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldSinceVersion</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie die Version des Datenmodells angeben, ab der die Tabellenspalte Bestandteil des Datenmodells war.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>SinceVersion</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte12> <Spalte13> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Spezifikation</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>S</Mnemonic> <Position>3</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldSpecification</RessourceIdentifier> <ToolTipText>Geben Sie hier eine Spezifikation zum Datenfeld an.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Specification</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte13> <Spalte14> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Token</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bis Version</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>10</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldTilVersion</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie die Version des Datenmodells angeben, bis zu der die Tabellenspalte ein Bestandteil desselben war.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>TilVersion</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte14> <Spalte15> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Gepr&amp;uuml;ft</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>G</Mnemonic> <Position>5</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>CheckBoxVerified</RessourceIdentifier> <ToolTipText>Setzen Sie diese Flagge, wenn das Tabellenspaltenschema als gepr&amp;uuml;ft gelten soll.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Verified</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte15> <Spalte16> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>DataScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <Referenz> <Direction0>UP</Direction0> <Direction1>RIGHT</Direction1> <Offset0>25</Offset0> <Offset1>50</Offset1> <Spalte>DataScheme</Spalte> <Tabelle>DataScheme</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>UP</Direction0> <Direction1>RIGHT</Direction1> <Name>Main</Name> <Offset0>25</Offset0> <Offset1>50</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte16> <Spalte17> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>true</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Dom&amp;auml;ne (Typ)</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>D</Mnemonic> <Position>2</Position> <ReferenceWeight>massiv</ReferenceWeight> <RessourceIdentifier>ComboBoxDomainScheme</RessourceIdentifier> <ToolTipText>Legen Sie hier einen Dom&amp;auml;nentyp f&amp;uuml;r das Datenfeld fest.</ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>DomainScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <Referenz> <Direction0>DOWN</Direction0> <Direction1>UP</Direction1> <Offset0>25</Offset0> <Offset1>25</Offset1> <Spalte>DomainScheme</Spalte> <Tabelle>DomainScheme</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>DOWN</Direction0> <Direction1>UP</Direction1> <Name>Main</Name> <Offset0>25</Offset0> <Offset1>25</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte17> <Spalte18> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>true</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Tabelle</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>T</Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>ComboBoxTableScheme</RessourceIdentifier> <ToolTipText>Hier finden Sie die Tabelle, zu der das Datenfeld geh&amp;ouml;rt.</ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>TableScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <Referenz> <Direction0>LEFT</Direction0> <Direction1>RIGHT</Direction1> <Offset0>25</Offset0> <Offset1>25</Offset1> <Spalte>TableScheme</Spalte> <Tabelle>TableScheme</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>LEFT</Direction0> <Direction1>RIGHT</Direction1> <Name>Main</Name> <Offset0>25</Offset0> <Offset1>25</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte18> <Spalte2> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Kommentar</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>K</Mnemonic> <Position>4</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldComment</RessourceIdentifier> <ToolTipText>Hier finden Sie Platz f&amp;uuml;r Kommentare zur Tabelle oder der Spezifikation.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Comment</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte2> <Spalte3> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Deleted</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>true</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte3> <Spalte4> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>true</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bezeichnung</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>B</Mnemonic> <Position>1</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldDescription</RessourceIdentifier> <ToolTipText>Geben Sie hier eine eindeutige Bezeichnung f&amp;uuml;r den Datensatz ein. </ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Description</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte4> <Spalte5> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bezeichnung (lt. Pflichtenheft)</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>11</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldDescriptionSpecificationSheet</RessourceIdentifier> <ToolTipText>Hier k&amp;ouml;nnen Sie eine Bezeichnung des Feldes im Pflichtenheft angeben, falls diese vom Namen des Datenbankenfeldes abweicht.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar>Bezeichnung lt. Pflichtenheft, falls diese abweicht.</Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>DescriptionSpecificationSheet</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte5> <Spalte6> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PTimestamp</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationDate</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte6> <Spalte7> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PCName</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationPCName</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte7> <Spalte8> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>true</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationUser</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte8> <Spalte9> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>true</Disabled> <Domain>LongDescription</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Referenziert</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>3</Position> <ReferenceWeight>massiv</ReferenceWeight> <RessourceIdentifier>ComboBoxReferences</RessourceIdentifier> <ToolTipText>Hier finden Sie einen Hinweis auf eine durch die Tabellenspalte referenzierte andere Tabellenspalte.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>ReferencesTo</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte9> </Spalten> <Stereotype> <Anzahl>1</Anzahl> </Stereotype> <Stereotype0>Test</Stereotype0> <Views> <Anzahl>1</Anzahl> <View0> <Name>Main</Name> <X>500</X> <Y>425</Y> </View0> </Views> </Tabelle3> <Tabelle4> <Aufgehoben>false</Aufgehoben> <Codegenerator> <AuswahlMembers> <Anzahl>4</Anzahl> <Member0> <Attribute>OPTIONAL</Attribute> <Spalte>Description</Spalte> <Tabelle>StereotypeScheme</Tabelle> </Member0> <Member1> <Attribute>OPTIONAL</Attribute> <Spalte>Active</Spalte> <Tabelle>StereotypeScheme</Tabelle> </Member1> <Member2> <Attribute>OPTIONAL</Attribute> <Spalte>Verified</Spalte> <Tabelle>StereotypeScheme</Tabelle> </Member2> <Member3> <Attribute>OPTIONAL</Attribute> <Spalte>Specification</Spalte> <Tabelle>StereotypeScheme</Tabelle> </Member3> </AuswahlMembers> <CompareMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>Description</Spalte> </Member0> </CompareMembers> <Equalsmembers> <Anzahl>6</Anzahl> <Member0> <Spalte>StereotypeScheme</Spalte> </Member0> <Member1> <Spalte>Active</Spalte> </Member1> <Member2> <Spalte>Deleted</Spalte> </Member2> <Member3> <Spalte>Description</Spalte> </Member3> <Member4> <Spalte>Specification</Spalte> </Member4> <Member5> <Spalte>Comment</Spalte> </Member5> </Equalsmembers> <HashCodeMembers> <Anzahl>0</Anzahl> </HashCodeMembers> <NReferenzen> <Anzahl>1</Anzahl> <NReferenz0> <Alterable>false</Alterable> <DeleteConfirmationRequired>false</DeleteConfirmationRequired> <Extensible>false</Extensible> <Id>0</Id> <Panel>1</Panel> <PanelType>standard</PanelType> <PermitCreate>false</PermitCreate> <Spalte>StereotypeScheme</Spalte> <Tabelle>StereotypeSchemeTableScheme</Tabelle> </NReferenz0> </NReferenzen> <OrderMembers> <Anzahl>1</Anzahl> <Member0> <Richtung>ASC</Richtung> <Spalte>Description</Spalte> <Tabelle>StereotypeScheme</Tabelle> </Member0> </OrderMembers> <ToComboStringMembers> <Anzahl>1</Anzahl> <Member0> <Prefix>&lt;&lt; </Prefix> <Spalte>Description</Spalte> <Suffix> &gt;&gt;</Suffix> </Member0> </ToComboStringMembers> <ToStringMembers> <Anzahl>1</Anzahl> <Member0> <Prefix>&lt;&lt; </Prefix> <Spalte>Description</Spalte> <Suffix> &gt;&gt;</Suffix> </Member0> </ToStringMembers> </Codegenerator> <Farben> <Hintergrund>hellblau</Hintergrund> <Schrift>java.awt.Color[r=0,g=0,b=0]</Schrift> </Farben> <FirstGenerationDone>true</FirstGenerationDone> <History></History> <InDevelopment>false</InDevelopment> <Kommentar>:oD</Kommentar> <NMRelation>false</NMRelation> <Name>StereotypeScheme</Name> <Options> <Count>0</Count> </Options> <Panels> <Anzahl>2</Anzahl> <Panel0> <PanelClass></PanelClass> <PanelNumber>0</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Daten</TabTitle> <TabToolTipText>Hier k&ouml;nnen Sie die Daten des Objektes warten.</TabToolTipText> </Panel0> <Panel1> <PanelClass></PanelClass> <PanelNumber>1</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Tabellen</TabTitle> <TabToolTipText>Hier finden Sie die Tabellen, denen die Stereotype zugeordnet ist.</TabToolTipText> </Panel1> </Panels> <Spalten> <Anzahl>11</Anzahl> <Codegenerator> <ActiveInApplication>true</ActiveInApplication> <AdditionalCreateConstraints></AdditionalCreateConstraints> <Codegeneratoroptionen></Codegeneratoroptionen> <Codeverzeichnis>platon/scheme</Codeverzeichnis> <Codieren>false</Codieren> <DynamicCode>true</DynamicCode> <Inherited>false</Inherited> <Kontextname></Kontextname> <UniqueFormula></UniqueFormula> </Codegenerator> <Spalte0> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>StereotypeScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte0> <Spalte1> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Active</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte1> <Spalte10> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>true</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Datenschema</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>D</Mnemonic> <Position>4</Position> <ReferenceWeight>massiv</ReferenceWeight> <RessourceIdentifier>ComboBoxDataScheme</RessourceIdentifier> <ToolTipText>Geben Sie hier das Datenschema an, f&amp;uuml;r das die Stereotype gelten soll.</ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar>Das Datenschema, in dem die Stereotype gelten soll.</Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>DataScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <Referenz> <Direction0>LEFT</Direction0> <Direction1>LEFT</Direction1> <Offset0>25</Offset0> <Offset1>75</Offset1> <Spalte>DataScheme</Spalte> <Tabelle>DataScheme</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>LEFT</Direction0> <Direction1>LEFT</Direction1> <Name>Main</Name> <Offset0>25</Offset0> <Offset1>75</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte10> <Spalte2> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Kommentar</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>K</Mnemonic> <Position>3</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldComment</RessourceIdentifier> <ToolTipText>Hier finden Sie Platz f&amp;uuml;r Kommentare zur Tabelle oder der Spezifikation.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Comment</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte2> <Spalte3> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Deleted</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>true</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte3> <Spalte4> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>true</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bezeichnung</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>B</Mnemonic> <Position>1</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldDescription</RessourceIdentifier> <ToolTipText>Geben Sie hier eine eindeutige Bezeichnung f&amp;uuml;r den Datensatz ein. </ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Description</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte4> <Spalte5> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PTimestamp</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>true</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationDate</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte5> <Spalte6> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PCName</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationPCName</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte6> <Spalte7> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>true</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationUser</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte7> <Spalte8> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Longtext</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Spezifikation</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>S</Mnemonic> <Position>2</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldSpecification</RessourceIdentifier> <ToolTipText>Geben Sie hier eine Spezifikation zum Stereotypen ein.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Specification</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte8> <Spalte9> <AlterInBatch>true</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Gepr&amp;uuml;ft</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>G</Mnemonic> <Position>5</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>CheckBoxVerified</RessourceIdentifier> <ToolTipText>Setzen Sie diese Flagge, wenn die Stereotypenbeschreibung als gepr&amp;uuml;ft gelten soll.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Verified</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte9> </Spalten> <Stereotype> <Anzahl>0</Anzahl> </Stereotype> <Views> <Anzahl>1</Anzahl> <View0> <Name>Main</Name> <X>100</X> <Y>1075</Y> </View0> </Views> </Tabelle4> <Tabelle5> <Aufgehoben>false</Aufgehoben> <Codegenerator> <AuswahlMembers> <Anzahl>1</Anzahl> <Member0> <Attribute>OPTIONAL</Attribute> <Spalte>TableScheme</Spalte> <Tabelle>StereotypeSchemeTableScheme</Tabelle> </Member0> </AuswahlMembers> <CompareMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>TableScheme</Spalte> </Member0> </CompareMembers> <Equalsmembers> <Anzahl>3</Anzahl> <Member0> <Spalte>Active</Spalte> </Member0> <Member1> <Spalte>Deleted</Spalte> </Member1> <Member2> <Spalte>TableScheme</Spalte> </Member2> </Equalsmembers> <HashCodeMembers> <Anzahl>0</Anzahl> </HashCodeMembers> <NReferenzen> <Anzahl>0</Anzahl> </NReferenzen> <OrderMembers> <Anzahl>1</Anzahl> <Member0> <Richtung>ASC</Richtung> <Spalte>TableScheme</Spalte> <Tabelle>StereotypeSchemeTableScheme</Tabelle> </Member0> </OrderMembers> <ToComboStringMembers> <Anzahl>1</Anzahl> <Member0> <Prefix></Prefix> <Spalte>TableScheme</Spalte> <Suffix></Suffix> </Member0> </ToComboStringMembers> <ToStringMembers> <Anzahl>1</Anzahl> <Member0> <Prefix></Prefix> <Spalte>TableScheme</Spalte> <Suffix></Suffix> </Member0> </ToStringMembers> </Codegenerator> <Farben> <Hintergrund>pastell-blau</Hintergrund> <Schrift>java.awt.Color[r=0,g=0,b=0]</Schrift> </Farben> <FirstGenerationDone>true</FirstGenerationDone> <History></History> <InDevelopment>false</InDevelopment> <Kommentar>:ob</Kommentar> <NMRelation>false</NMRelation> <Name>StereotypeSchemeTableScheme</Name> <Options> <Count>0</Count> </Options> <Panels> <Anzahl>1</Anzahl> <Panel0> <PanelClass></PanelClass> <PanelNumber>0</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Daten</TabTitle> <TabToolTipText>Hier k&ouml;nnen Sie die Daten des Objektes warten.</TabToolTipText> </Panel0> </Panels> <Spalten> <Anzahl>7</Anzahl> <Codegenerator> <ActiveInApplication>true</ActiveInApplication> <AdditionalCreateConstraints></AdditionalCreateConstraints> <Codegeneratoroptionen></Codegeneratoroptionen> <Codeverzeichnis>platon/scheme</Codeverzeichnis> <Codieren>false</Codieren> <DynamicCode>true</DynamicCode> <Inherited>false</Inherited> <Kontextname></Kontextname> <UniqueFormula></UniqueFormula> </Codegenerator> <Spalte0> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Active</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte0> <Spalte1> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Deleted</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte1> <Spalte2> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PTimestamp</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>true</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationDate</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte2> <Spalte3> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>PCName</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationPCName</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte3> <Spalte4> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText>-</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>true</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>LastModificationUser</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>true</TechnicalField> <Unique>false</Unique> </Spalte4> <Spalte5> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>StereotypeScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <Referenz> <Direction0>DOWN</Direction0> <Direction1>UP</Direction1> <Offset0>25</Offset0> <Offset1>25</Offset1> <Spalte>StereotypeScheme</Spalte> <Tabelle>StereotypeScheme</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>DOWN</Direction0> <Direction1>UP</Direction1> <Name>Main</Name> <Offset0>25</Offset0> <Offset1>25</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte5> <Spalte6> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText> </ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>TableScheme</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <Referenz> <Direction0>UP</Direction0> <Direction1>DOWN</Direction1> <Offset0>25</Offset0> <Offset1>25</Offset1> <Spalte>TableScheme</Spalte> <Tabelle>TableScheme</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>UP</Direction0> <Direction1>DOWN</Direction1> <Name>Main</Name> <Offset0>25</Offset0> <Offset1>25</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte6> </Spalten> <Stereotype> <Anzahl>0</Anzahl> </Stereotype> <Views> <Anzahl>1</Anzahl> <View0> <Name>Main</Name> <X>100</X> <Y>825</Y> </View0> </Views> </Tabelle5> <Tabelle6> <Aufgehoben>false</Aufgehoben> <Codegenerator> <AuswahlMembers> <Anzahl>2</Anzahl> <Member0> <Attribute>OPTIONAL</Attribute> <Spalte>Description</Spalte> <Tabelle>TestTabelle</Tabelle> </Member0> <Member1> <Attribute>OPTIONAL</Attribute> <Spalte>Token</Spalte> <Tabelle>TestTabelle</Tabelle> </Member1> </AuswahlMembers> <CompareMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>Description</Spalte> </Member0> </CompareMembers> <Equalsmembers> <Anzahl>4</Anzahl> <Member0> <Spalte>Id</Spalte> </Member0> <Member1> <Spalte>Description</Spalte> </Member1> <Member2> <Spalte>Deleted</Spalte> </Member2> <Member3> <Spalte>Token</Spalte> </Member3> </Equalsmembers> <HashCodeMembers> <Anzahl>1</Anzahl> <Member0> <Spalte>Id</Spalte> </Member0> </HashCodeMembers> <NReferenzen> <Anzahl>0</Anzahl> </NReferenzen> <OrderMembers> <Anzahl>1</Anzahl> <Member0> <Richtung>ASC</Richtung> <Spalte>Description</Spalte> <Tabelle>TestTabelle</Tabelle> </Member0> </OrderMembers> <ToComboStringMembers> <Anzahl>2</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> <Member1> <Prefix> (</Prefix> <Spalte>Token</Spalte> <Suffix>)</Suffix> </Member1> </ToComboStringMembers> <ToStringMembers> <Anzahl>2</Anzahl> <Member0> <Prefix></Prefix> <Spalte>Description</Spalte> <Suffix></Suffix> </Member0> <Member1> <Prefix> (</Prefix> <Spalte>Token</Spalte> <Suffix>)</Suffix> </Member1> </ToStringMembers> </Codegenerator> <Farben> <Hintergrund>dunkelgr&amp;uuml;n</Hintergrund> <Schrift>schwarz</Schrift> </Farben> <FirstGenerationDone>false</FirstGenerationDone> <History></History> <InDevelopment>false</InDevelopment> <Kommentar></Kommentar> <NMRelation>false</NMRelation> <Name>TestTabelle</Name> <Options> <Count>0</Count> </Options> <Panels> <Anzahl>1</Anzahl> <Panel0> <PanelClass></PanelClass> <PanelNumber>0</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Daten</TabTitle> <TabToolTipText>Hier k&ouml;nnen Sie die Daten des Objekt warten</TabToolTipText> </Panel0> </Panels> <Spalten> <Anzahl>5</Anzahl> <Codegenerator> <ActiveInApplication>false</ActiveInApplication> <AdditionalCreateConstraints></AdditionalCreateConstraints> <Codegeneratoroptionen></Codegeneratoroptionen> <Codeverzeichnis>.</Codeverzeichnis> <Codieren>true</Codieren> <DynamicCode>true</DynamicCode> <Inherited>false</Inherited> <Kontextname></Kontextname> <UniqueFormula></UniqueFormula> </Codegenerator> <Spalte0> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Id</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte0> <Spalte1> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Boolean</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue>0</IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Deleted</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte1> <Spalte2> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>true</Editormember> <LabelText>Bezeichnung</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>B</Mnemonic> <Position>1</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldDescription</RessourceIdentifier> <ToolTipText>Geben Sie hier eine eindeutige,aussagekr&amp;auml;ftige Bezeichnung ein.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Description</Name> <NotNull>true</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte2> <Spalte3> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Token</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText>K&amp;uuml;rzel</LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic>K</Mnemonic> <Position>2</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier>TextFieldToken</RessourceIdentifier> <ToolTipText>Geben Sie hier ein eindeutiges, aussagekr&amp;auml;ftiges K&amp;uuml;rzel ein.</ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>true</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Token</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>true</Unique> </Spalte3> <Spalte4> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History></History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Referenz</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <Referenz> <Direction0>UP</Direction0> <Direction1>DOWN</Direction1> <Offset0>25</Offset0> <Offset1>25</Offset1> <Spalte>DomainScheme</Spalte> <Tabelle>DomainScheme</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>UP</Direction0> <Direction1>DOWN</Direction1> <Name>Main</Name> <Offset0>25</Offset0> <Offset1>25</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte4> </Spalten> <Stereotype> <Anzahl>1</Anzahl> </Stereotype> <Stereotype0>Deactivatable</Stereotype0> <Views> <Anzahl>1</Anzahl> <View0> <Name>Main</Name> <X>500</X> <Y>1275</Y> </View0> </Views> </Tabelle6> <Tabelle7> <Aufgehoben>false</Aufgehoben> <Codegenerator> <AuswahlMembers> <Anzahl>0</Anzahl> </AuswahlMembers> <CompareMembers> <Anzahl>0</Anzahl> </CompareMembers> <Equalsmembers> <Anzahl>0</Anzahl> </Equalsmembers> <HashCodeMembers> <Anzahl>0</Anzahl> </HashCodeMembers> <NReferenzen> <Anzahl>0</Anzahl> </NReferenzen> <OrderMembers> <Anzahl>0</Anzahl> </OrderMembers> <ToComboStringMembers> <Anzahl>0</Anzahl> </ToComboStringMembers> <ToStringMembers> <Anzahl>0</Anzahl> </ToStringMembers> </Codegenerator> <Farben> <Hintergrund>pastell-gelb</Hintergrund> <Schrift>schwarz</Schrift> </Farben> <FirstGenerationDone>false</FirstGenerationDone> <History>@changed null - Added.</History> <InDevelopment>false</InDevelopment> <Kommentar></Kommentar> <NMRelation>false</NMRelation> <Name>MultiKeyTabelle</Name> <Options> <Count>0</Count> </Options> <Panels> <Anzahl>1</Anzahl> <Panel0> <PanelClass></PanelClass> <PanelNumber>0</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Daten</TabTitle> <TabToolTipText>Hier k&ouml;nnen Sie die Daten des Objekt warten</TabToolTipText> </Panel0> </Panels> <Spalten> <Anzahl>2</Anzahl> <Codegenerator> <ActiveInApplication>false</ActiveInApplication> <AdditionalCreateConstraints></AdditionalCreateConstraints> <Codegeneratoroptionen></Codegeneratoroptionen> <Codeverzeichnis>.</Codeverzeichnis> <Codieren>true</Codieren> <DynamicCode>true</DynamicCode> <Inherited>false</Inherited> <Kontextname></Kontextname> <UniqueFormula></UniqueFormula> </Codegenerator> <Spalte0> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History>@changed null - Added.</History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Id0</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte0> <Spalte1> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Token</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History>@changed null - Added.</History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Id1</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte1> </Spalten> <Stereotype> <Anzahl>0</Anzahl> </Stereotype> <Views> <Anzahl>1</Anzahl> <View0> <Name>Main</Name> <X>1075</X> <Y>1275</Y> </View0> </Views> </Tabelle7> <Tabelle8> <Aufgehoben>false</Aufgehoben> <Codegenerator> <AuswahlMembers> <Anzahl>0</Anzahl> </AuswahlMembers> <CompareMembers> <Anzahl>0</Anzahl> </CompareMembers> <Equalsmembers> <Anzahl>0</Anzahl> </Equalsmembers> <HashCodeMembers> <Anzahl>0</Anzahl> </HashCodeMembers> <NReferenzen> <Anzahl>0</Anzahl> </NReferenzen> <OrderMembers> <Anzahl>0</Anzahl> </OrderMembers> <ToComboStringMembers> <Anzahl>0</Anzahl> </ToComboStringMembers> <ToStringMembers> <Anzahl>0</Anzahl> </ToStringMembers> </Codegenerator> <Farben> <Hintergrund>goldgelb</Hintergrund> <Schrift>schwarz</Schrift> </Farben> <FirstGenerationDone>false</FirstGenerationDone> <History>@changed null - Added.</History> <InDevelopment>false</InDevelopment> <Kommentar></Kommentar> <NMRelation>false</NMRelation> <Name>MultiKeyReferenzTabelle</Name> <Options> <Count>0</Count> </Options> <Panels> <Anzahl>1</Anzahl> <Panel0> <PanelClass></PanelClass> <PanelNumber>0</PanelNumber> <TabMnemonic>1</TabMnemonic> <TabTitle>1.Daten</TabTitle> <TabToolTipText>Hier k&ouml;nnen Sie die Daten des Objekt warten</TabToolTipText> </Panel0> </Panels> <Spalten> <Anzahl>3</Anzahl> <Codegenerator> <ActiveInApplication>false</ActiveInApplication> <AdditionalCreateConstraints></AdditionalCreateConstraints> <Codegeneratoroptionen></Codegeneratoroptionen> <Codeverzeichnis>.</Codeverzeichnis> <Codieren>true</Codieren> <DynamicCode>true</DynamicCode> <Inherited>false</Inherited> <Kontextname></Kontextname> <UniqueFormula></UniqueFormula> </Codegenerator> <Spalte0> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>true</CanBeReferenced> <Disabled>false</Disabled> <Domain>Ident</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>false</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History>@changed null - Added.</History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Id</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>true</PrimaryKey> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte0> <Spalte1> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Description</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History>@changed null - Added.</History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Ref0</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <Referenz> <Direction0>UP</Direction0> <Direction1>UP</Direction1> <Offset0>0</Offset0> <Offset1>0</Offset1> <Spalte>Id0</Spalte> <Tabelle>MultiKeyTabelle</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>UP</Direction0> <Direction1>UP</Direction1> <Name>Main</Name> <Offset0>0</Offset0> <Offset1>0</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte1> <Spalte2> <AlterInBatch>false</AlterInBatch> <Aufgehoben>false</Aufgehoben> <CanBeReferenced>false</CanBeReferenced> <Disabled>false</Disabled> <Domain>Token</Domain> <Editordescriptor> <Editormember>false</Editormember> <LabelText></LabelText> <MaxCharacters>0</MaxCharacters> <Mnemonic></Mnemonic> <Position>0</Position> <ReferenceWeight>keine</ReferenceWeight> <RessourceIdentifier></RessourceIdentifier> <ToolTipText></ToolTipText> </Editordescriptor> <ForeignKey>true</ForeignKey> <Global>false</Global> <GlobalId>false</GlobalId> <HideReference>false</HideReference> <History>@changed null - Added.</History> <IndexSearchMember>false</IndexSearchMember> <Indexed>false</Indexed> <IndividualDefaultValue></IndividualDefaultValue> <Kodiert>false</Kodiert> <Kommentar></Kommentar> <Konsistenz> <Writeablemember>false</Writeablemember> </Konsistenz> <LastModificationField>false</LastModificationField> <ListItemField>false</ListItemField> <Name>Ref1</Name> <NotNull>false</NotNull> <PanelNumber>0</PanelNumber> <Parameter></Parameter> <PrimaryKey>false</PrimaryKey> <Referenz> <Direction0>UP</Direction0> <Direction1>UP</Direction1> <Offset0>0</Offset0> <Offset1>0</Offset1> <Spalte>Id1</Spalte> <Tabelle>MultiKeyTabelle</Tabelle> <Views> <Anzahl>1</Anzahl> <View0> <Direction0>UP</Direction0> <Direction1>UP</Direction1> <Name>Main</Name> <Offset0>0</Offset0> <Offset1>0</Offset1> <Points> <Anzahl>0</Anzahl> </Points> </View0> </Views> </Referenz> <RemovedStateField>false</RemovedStateField> <SequenceForKeyGeneration></SequenceForKeyGeneration> <TechnicalField>false</TechnicalField> <Unique>false</Unique> </Spalte2> </Spalten> <Stereotype> <Anzahl>0</Anzahl> </Stereotype> <Views> <Anzahl>1</Anzahl> <View0> <Name>Main</Name> <X>1075</X> <Y>1075</Y> </View0> </Views> </Tabelle8> </Tabellen> <Views> <Anzahl>1</Anzahl> <View0> <Beschreibung>Diese Sicht beinhaltet alle Tabellen des Schemas</Beschreibung> <Name>Main</Name> <ReferenzierteSpaltenAnzeigen>true</ReferenzierteSpaltenAnzeigen> <Tabelle0>DataScheme</Tabelle0> <Tabelle1>TableScheme</Tabelle1> <Tabelle2>DomainScheme</Tabelle2> <Tabelle3>ColumnScheme</Tabelle3> <Tabelle4>StereotypeScheme</Tabelle4> <Tabelle5>StereotypeSchemeTableScheme</Tabelle5> <Tabelle6>TestTabelle</Tabelle6> <Tabellenanzahl>7</Tabellenanzahl> <TechnischeSpaltenVerstecken>false</TechnischeSpaltenVerstecken> </View0> </Views> </Diagramm>
47.864404
191
0.469894
d0611128b56f473e99c6fb16cb513481a8dc11a9
4,641
ads
Ada
disorderly/mwc_constants.ads
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
30
2018-12-09T01:15:04.000Z
2022-03-20T16:14:54.000Z
disorderly/mwc_constants.ads
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
null
null
null
disorderly/mwc_constants.ads
jscparker/math_packages
b112a90338014d5c2dfae3f7265ee30841fb6cfd
[ "ISC", "MIT" ]
null
null
null
-- package MWC_Constants -- -- Multiply_with_Carry_Constants -- -- Multiply with carry is an algorithm for calculating -- -- a*x mod (a*b-1) -- -- efficiently. Main benefit: even if a*x overflows 64 bit arithmetic, -- the multiply with carry algorithm works entirely in 64 bit arithmetic. -- No extended precision arithmetic is required. -- -- use g*c mod(c-1) = g mod(c-1) -- a*x mod(a*b) = a*(x mod b) (using x = (x/b)*b + x mod b) -- -- z = z mod c + (z/c)*c -- z mod (c-1) = (z mod c + (z/c)) mod (c-1) -- -- let c = a*b, z = a*x -- a*x mod (a*b-1) = [a*(x mod b) + x/b] mod (a*b-1) -- -- or say: x = x_hi*b + x_lo -- -- a*x mod (a*b-1) = [(a*b)*x_hi + a*x_lo] mod (a*b-1). -- -- = x_hi mod (a*b-1) + a*x_lo mod (a*b-1) -- = [x_hi + a*x_lo] mod (a*b-1) -- -- x_hi = x mod (b) and x_lo = x / b. -- -- x = a*b-1, and x=0 produce streams of period = 1. -- x_hi + a*x_lo reaches max of a*b-1 when x = a*b-1. -- -- x is in [1 .. ab-2]. NOTE (ab-2) / 2 is also prime. -- x/b = (ab-2)/b = ((a-1)b + b-2)/b = a-1. -- x mod b = (ab-2) mod b = ((a-1)b + b-2) mod b = b-2 -- So if x = ab-2, then x_hi + a*x_lo = a-1 + a*(b-2) -- -- -- EVALUATING x mod (2**n - k). -- -- (for example in an LCG you want: a*y mod (2**n - k) -- -- b = 2**n = base -- -- write x in base b: x = (x/b)*b + x mod b -- -- x mod m = ((x/b)*b + x mod b) mod (b - k) -- = ((x/b)*k) + (x/b)*(b - k) + (x mod b)) mod (b - k) -- = ((x/b)*k) + (x mod b)) mod (b - k) -- -- e.g. b = 2**32 and k = 5. -- -- -- VERIFIED SAFE PRIMES: -- -- -- Do[ If[ PrimeQ[a*(2^32) - 1] && -- PrimeQ[(a*(2^32) - 1 - 1)/2] , -- Print[(a*(2^32) - 1), " ", a, " ", -- ((a - 1594719654)*1.81338)], Null ], -- {a, 1594719654 - 30000, 1594719654 + 0000}] -- -- -- ratio of periods = (1+sqrt(5))/2 (1.618033.. golden mean) -- product of periods = 2^124 * 0.9999999960368 -- -- (7250911033471008767*11732400383950061567) / 2^126 = 0.9999999960368 -- -- b=2**32 -- -- m0 = 11732400383950061567 a0 = 2731662333 -- m1 = 7250911033471008767 a1 = 1688234283 -- package MWC_Constants with Spark_Mode => On is pragma Pure (MWC_Constants); -- If a single parameter mutates, the generator fails, so reassert. -- b0 : constant := 2**32; a0 : constant := 2731662333; -- a0 must be the larger a pragma Assert (a0 = 2731662333); -- 2731662333, 2731662333 pragma Assert (b0 = 2**32); -- 2**32, 2**32 -- m0 = 11732400383950061567 a0 = 2731662333 b1 : constant := 2**32; a1 : constant := 1688234283; pragma Assert (a1 = 1688234283); -- 1688234283, 1688234283 pragma Assert (b1 = 2**32); -- 2**32, 2**32 -- m1 = a1*2^32-1 = 7250911033471008767 a1 = 1688234283 -- Ratio of periods = golden mean, just for fun. -- (7250911033471008767*11732400383950061567)/4 = 2^124 * 0.99999999604 m0 : constant := a0 * b0 - 1; -- LCG modulus (safe prime) m1 : constant := a1 * b1 - 1; -- LCG modulus (safe prime) p0 : constant := (m0 - 1) / 2; -- period (prime) p1 : constant := (m1 - 1) / 2; -- period (prime) pragma Assert (a0 < b0); pragma Assert (a1 < b1); pragma Assert (a1 < a0); pragma Assert ((a1/2)*2 < a1); -- but only for b1 = 2^32 --pragma Assert (m0 < Parent_Random_Int'Last); --pragma Assert (m1 < Parent_Random_Int'Last); --pragma Assert (m0 > Random_Int'Last + 1); -- for Reset to work. --pragma Assert (m1 > Random_Int'Last + 1); -- ie m1 > 2**61 -- b0 : constant := 2**32-5; -- too slow usually -- a0 : constant := 2731663104; -- a0 must be the larger a -- m0 = a0*(2^32-5)-1 = 11732403681711531263 -- -- b0 : constant := 2**32-5; -- a0 : constant := 2147476584; -- FOR TESTING -- m0 = a0*(2^32-5)-1 = 9223341686468413943 = 2^63 * .999999978 -- -- b0 : constant := 2**16+1; -- a0 : constant := 57684; -- FOR TESTING -- m0 = a0*b0-1 = 3780436307; p0 = 1890218153 -- -- b0 : constant := 2**16+1; -- a0 : constant := 59592; -- FOR TESTING -- m0 = a0*b0-1 = 3905480903; p0 = 1952740451 -- -- b0 : constant := 2**16+1; -- a0 : constant := 59964; -- FOR TESTING -- m0 = a0*b0-1 = 3929860667; p0 = 1964930333 -- -- b0 : constant := 2**16+1; -- a0 : constant := 49380; -- FOR TESTING -- m0 = a0*b0-1 = 3236217059; p0 = 1618108529 -- -- m0 : constant := a0 * b0 - 1; -- For the testing parameters above -- p0 : constant := (m0-1) / 2; -- For the testing parameters above end MWC_Constants;
32.006897
76
0.52618
dce219436bb54b198bdc0ab66c1e0452be80fbf2
3,185
ads
Ada
support/support-cmdline.ads
leo-brewin/adm-bssn-numerical
9e32c201272e9a41e7535475fe381e450b99b058
[ "MIT" ]
1
2022-01-25T11:36:06.000Z
2022-01-25T11:36:06.000Z
support/support-cmdline.ads
leo-brewin/adm-bssn-numerical
9e32c201272e9a41e7535475fe381e450b99b058
[ "MIT" ]
null
null
null
support/support-cmdline.ads
leo-brewin/adm-bssn-numerical
9e32c201272e9a41e7535475fe381e450b99b058
[ "MIT" ]
null
null
null
package Support.Cmdline is procedure get_command_arg (the_arg_string : out String; -- the unprocessed argument i.e., -xfoo in -xfoo which_arg : Integer); -- which argument function get_command_arg (which_arg : Integer; -- which argument default : String) -- default return String; procedure put_command_args (item : character; value : string); --------------------------------------------------------------------------------------------------------- procedure read_command_arg (value : out Integer; -- the foo in -xfoo found : out Boolean; -- did we find it? target_flag : Character; -- the x in -xfoo default : Integer := 0); -- default procedure read_command_arg (value : out Real; -- the foo in -xfoo found : out Boolean; -- did we find it? target_flag : Character; -- the x in -xfoo default : Real := 0.0); -- default procedure read_command_arg (value : out String; -- the foo in -xfoo found : out Boolean; -- did we find it? target_flag : Character; -- the x in -xfoo default : String := "null"); -- default procedure read_command_arg (value : out String; -- the foo in -xfoo found : out Boolean; -- did we find it? target_flag : Character; -- the x in -xfoo default : Character := '?'); -- default function read_command_arg (target_flag : Character; -- the x in -xfoo default : Integer := 0) -- default return Integer; function read_command_arg (target_flag : Character; -- the x in -xfoo default : Real := 0.0) -- default return Real; function read_command_arg (target_flag : Character; -- the x in -xfoo default : String := "null") -- default return String; function read_command_arg (target_flag : Character; -- the x in -xfoo default : Character := '?') -- default return Character; function find_command_arg (target_flag : Character) return boolean; function num_command_args return integer; -- number of command line arguments like -i -o function num_command_items return integer; -- number of command line arguments of any kind, foo bar -i -o function echo_command_name return String; -- the command name without any arguments function echo_command_line return String; -- the full command line function echo_command_line_args return String; -- just the command line arguments -- echo details to standard output procedure echo_command_name; -- the command name without any arguments procedure echo_command_line; -- the full command line procedure echo_command_line_args; -- just the command line arguments end Support.Cmdline;
41.363636
112
0.548509
d0ac76f3744edf781f640f54a9400ed827aaf63b
13,639
ads
Ada
extern/gnat_sdl/gnat_sdl2/src/avx512bwintrin_h.ads
AdaCore/training_material
6651eb2c53f8c39649b8e0b3c757bc8ff963025a
[ "CC-BY-4.0" ]
15
2020-10-07T08:56:45.000Z
2022-02-08T23:13:22.000Z
extern/gnat_sdl/gnat_sdl2/src/avx512bwintrin_h.ads
AdaCore/training_material
6651eb2c53f8c39649b8e0b3c757bc8ff963025a
[ "CC-BY-4.0" ]
20
2020-11-05T14:35:20.000Z
2022-01-13T15:59:33.000Z
extern/gnat_sdl/gnat_sdl2/src/avx512bwintrin_h.ads
AdaCore/training_material
6651eb2c53f8c39649b8e0b3c757bc8ff963025a
[ "CC-BY-4.0" ]
6
2020-10-08T15:57:06.000Z
2021-08-31T12:03:08.000Z
pragma Ada_2005; pragma Style_Checks (Off); with Interfaces.C; use Interfaces.C; with Interfaces.C.Extensions; package avx512bwintrin_h is -- Copyright (C) 2014-2017 Free Software Foundation, Inc. -- This file is part of GCC. -- GCC is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3, or (at your option) -- any later version. -- GCC is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- Under Section 7 of GPL version 3, you are granted additional -- permissions described in the GCC Runtime Library Exception, version -- 3.1, as published by the Free Software Foundation. -- You should have received a copy of the GNU General Public License and -- a copy of the GCC Runtime Library Exception along with this program; -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- <http://www.gnu.org/licenses/>. -- Internal data types for implementing the intrinsics. subtype uu_v32hi is <vector>; -- d:\install\gpl2018\lib\gcc\x86_64-pc-mingw32\7.3.1\include\avx512bwintrin.h:38 subtype uu_v64qi is <vector>; -- d:\install\gpl2018\lib\gcc\x86_64-pc-mingw32\7.3.1\include\avx512bwintrin.h:39 subtype uu_mmask64 is Extensions.unsigned_long_long; -- d:\install\gpl2018\lib\gcc\x86_64-pc-mingw32\7.3.1\include\avx512bwintrin.h:41 -- skipped func _ktest_mask32_u8 -- skipped func _ktest_mask64_u8 -- skipped func _ktestz_mask32_u8 -- skipped func _ktestz_mask64_u8 -- skipped func _ktestc_mask32_u8 -- skipped func _ktestc_mask64_u8 -- skipped func _kortest_mask32_u8 -- skipped func _kortest_mask64_u8 -- skipped func _kortestz_mask32_u8 -- skipped func _kortestz_mask64_u8 -- skipped func _kortestc_mask32_u8 -- skipped func _kortestc_mask64_u8 -- skipped func _kadd_mask32 -- skipped func _kadd_mask64 -- skipped func _cvtmask32_u32 -- skipped func _cvtmask64_u64 -- skipped func _cvtu32_mask32 -- skipped func _cvtu64_mask64 -- skipped func _load_mask32 -- skipped func _load_mask64 -- skipped func _store_mask32 -- skipped func _store_mask64 -- skipped func _knot_mask32 -- skipped func _knot_mask64 -- skipped func _kor_mask32 -- skipped func _kor_mask64 -- skipped func _kxnor_mask32 -- skipped func _kxnor_mask64 -- skipped func _kxor_mask32 -- skipped func _kxor_mask64 -- skipped func _kand_mask32 -- skipped func _kand_mask64 -- skipped func _kandn_mask32 -- skipped func _kandn_mask64 -- skipped func _mm512_mask_mov_epi16 -- skipped func _mm512_maskz_mov_epi16 -- skipped func _mm512_mask_loadu_epi16 -- skipped func _mm512_maskz_loadu_epi16 -- skipped func _mm512_mask_storeu_epi16 -- skipped func _mm512_mask_mov_epi8 -- skipped func _mm512_maskz_mov_epi8 -- skipped func _mm512_kunpackw -- skipped func _kunpackw_mask32 -- skipped func _mm512_kunpackd -- skipped func _kunpackd_mask64 -- skipped func _mm512_mask_loadu_epi8 -- skipped func _mm512_maskz_loadu_epi8 -- skipped func _mm512_mask_storeu_epi8 -- skipped func _mm512_sad_epu8 -- skipped func _mm512_cvtepi16_epi8 -- skipped func _mm512_mask_cvtepi16_epi8 -- skipped func _mm512_maskz_cvtepi16_epi8 -- skipped func _mm512_cvtsepi16_epi8 -- skipped func _mm512_mask_cvtsepi16_epi8 -- skipped func _mm512_maskz_cvtsepi16_epi8 -- skipped func _mm512_cvtusepi16_epi8 -- skipped func _mm512_mask_cvtusepi16_epi8 -- skipped func _mm512_maskz_cvtusepi16_epi8 -- skipped func _mm512_broadcastb_epi8 -- skipped func _mm512_mask_broadcastb_epi8 -- skipped func _mm512_maskz_broadcastb_epi8 -- skipped func _mm512_mask_set1_epi8 -- skipped func _mm512_maskz_set1_epi8 -- skipped func _mm512_broadcastw_epi16 -- skipped func _mm512_mask_broadcastw_epi16 -- skipped func _mm512_maskz_broadcastw_epi16 -- skipped func _mm512_mask_set1_epi16 -- skipped func _mm512_maskz_set1_epi16 -- skipped func _mm512_mulhrs_epi16 -- skipped func _mm512_mask_mulhrs_epi16 -- skipped func _mm512_maskz_mulhrs_epi16 -- skipped func _mm512_mulhi_epi16 -- skipped func _mm512_mask_mulhi_epi16 -- skipped func _mm512_maskz_mulhi_epi16 -- skipped func _mm512_mulhi_epu16 -- skipped func _mm512_mask_mulhi_epu16 -- skipped func _mm512_maskz_mulhi_epu16 -- skipped func _mm512_mullo_epi16 -- skipped func _mm512_mask_mullo_epi16 -- skipped func _mm512_maskz_mullo_epi16 -- skipped func _mm512_cvtepi8_epi16 -- skipped func _mm512_mask_cvtepi8_epi16 -- skipped func _mm512_maskz_cvtepi8_epi16 -- skipped func _mm512_cvtepu8_epi16 -- skipped func _mm512_mask_cvtepu8_epi16 -- skipped func _mm512_maskz_cvtepu8_epi16 -- skipped func _mm512_permutexvar_epi16 -- skipped func _mm512_maskz_permutexvar_epi16 -- skipped func _mm512_mask_permutexvar_epi16 -- skipped func _mm512_permutex2var_epi16 -- idx -- skipped func _mm512_mask_permutex2var_epi16 -- idx -- skipped func _mm512_mask2_permutex2var_epi16 -- idx -- skipped func _mm512_maskz_permutex2var_epi16 -- idx -- skipped func _mm512_avg_epu8 -- skipped func _mm512_mask_avg_epu8 -- skipped func _mm512_maskz_avg_epu8 -- skipped func _mm512_add_epi8 -- skipped func _mm512_mask_add_epi8 -- skipped func _mm512_maskz_add_epi8 -- skipped func _mm512_sub_epi8 -- skipped func _mm512_mask_sub_epi8 -- skipped func _mm512_maskz_sub_epi8 -- skipped func _mm512_avg_epu16 -- skipped func _mm512_mask_avg_epu16 -- skipped func _mm512_maskz_avg_epu16 -- skipped func _mm512_subs_epi8 -- skipped func _mm512_mask_subs_epi8 -- skipped func _mm512_maskz_subs_epi8 -- skipped func _mm512_subs_epu8 -- skipped func _mm512_mask_subs_epu8 -- skipped func _mm512_maskz_subs_epu8 -- skipped func _mm512_adds_epi8 -- skipped func _mm512_mask_adds_epi8 -- skipped func _mm512_maskz_adds_epi8 -- skipped func _mm512_adds_epu8 -- skipped func _mm512_mask_adds_epu8 -- skipped func _mm512_maskz_adds_epu8 -- skipped func _mm512_sub_epi16 -- skipped func _mm512_mask_sub_epi16 -- skipped func _mm512_maskz_sub_epi16 -- skipped func _mm512_subs_epi16 -- skipped func _mm512_mask_subs_epi16 -- skipped func _mm512_maskz_subs_epi16 -- skipped func _mm512_subs_epu16 -- skipped func _mm512_mask_subs_epu16 -- skipped func _mm512_maskz_subs_epu16 -- skipped func _mm512_add_epi16 -- skipped func _mm512_mask_add_epi16 -- skipped func _mm512_maskz_add_epi16 -- skipped func _mm512_adds_epi16 -- skipped func _mm512_mask_adds_epi16 -- skipped func _mm512_maskz_adds_epi16 -- skipped func _mm512_adds_epu16 -- skipped func _mm512_mask_adds_epu16 -- skipped func _mm512_maskz_adds_epu16 -- skipped func _mm512_srl_epi16 -- skipped func _mm512_mask_srl_epi16 -- skipped func _mm512_maskz_srl_epi16 -- skipped func _mm512_packs_epi16 -- skipped func _mm512_sll_epi16 -- skipped func _mm512_mask_sll_epi16 -- skipped func _mm512_maskz_sll_epi16 -- skipped func _mm512_maddubs_epi16 -- skipped func _mm512_mask_maddubs_epi16 -- skipped func _mm512_maskz_maddubs_epi16 -- skipped func _mm512_madd_epi16 -- skipped func _mm512_mask_madd_epi16 -- skipped func _mm512_maskz_madd_epi16 -- skipped func _mm512_unpackhi_epi8 -- skipped func _mm512_mask_unpackhi_epi8 -- skipped func _mm512_maskz_unpackhi_epi8 -- skipped func _mm512_unpackhi_epi16 -- skipped func _mm512_mask_unpackhi_epi16 -- skipped func _mm512_maskz_unpackhi_epi16 -- skipped func _mm512_unpacklo_epi8 -- skipped func _mm512_mask_unpacklo_epi8 -- skipped func _mm512_maskz_unpacklo_epi8 -- skipped func _mm512_unpacklo_epi16 -- skipped func _mm512_mask_unpacklo_epi16 -- skipped func _mm512_maskz_unpacklo_epi16 -- skipped func _mm512_cmpeq_epu8_mask -- skipped func _mm512_cmpeq_epi8_mask -- skipped func _mm512_mask_cmpeq_epu8_mask -- skipped func _mm512_mask_cmpeq_epi8_mask -- skipped func _mm512_cmpeq_epu16_mask -- skipped func _mm512_cmpeq_epi16_mask -- skipped func _mm512_mask_cmpeq_epu16_mask -- skipped func _mm512_mask_cmpeq_epi16_mask -- skipped func _mm512_cmpgt_epu8_mask -- skipped func _mm512_cmpgt_epi8_mask -- skipped func _mm512_mask_cmpgt_epu8_mask -- skipped func _mm512_mask_cmpgt_epi8_mask -- skipped func _mm512_cmpgt_epu16_mask -- skipped func _mm512_cmpgt_epi16_mask -- skipped func _mm512_mask_cmpgt_epu16_mask -- skipped func _mm512_mask_cmpgt_epi16_mask -- skipped func _mm512_movepi8_mask -- skipped func _mm512_movepi16_mask -- skipped func _mm512_movm_epi8 -- skipped func _mm512_movm_epi16 -- skipped func _mm512_test_epi8_mask -- skipped func _mm512_mask_test_epi8_mask -- skipped func _mm512_test_epi16_mask -- skipped func _mm512_mask_test_epi16_mask -- skipped func _mm512_testn_epi8_mask -- skipped func _mm512_mask_testn_epi8_mask -- skipped func _mm512_testn_epi16_mask -- skipped func _mm512_mask_testn_epi16_mask -- skipped func _mm512_shuffle_epi8 -- skipped func _mm512_mask_shuffle_epi8 -- skipped func _mm512_maskz_shuffle_epi8 -- skipped func _mm512_min_epu16 -- skipped func _mm512_maskz_min_epu16 -- skipped func _mm512_mask_min_epu16 -- skipped func _mm512_min_epi16 -- skipped func _mm512_maskz_min_epi16 -- skipped func _mm512_mask_min_epi16 -- skipped func _mm512_max_epu8 -- skipped func _mm512_maskz_max_epu8 -- skipped func _mm512_mask_max_epu8 -- skipped func _mm512_max_epi8 -- skipped func _mm512_maskz_max_epi8 -- skipped func _mm512_mask_max_epi8 -- skipped func _mm512_min_epu8 -- skipped func _mm512_maskz_min_epu8 -- skipped func _mm512_mask_min_epu8 -- skipped func _mm512_min_epi8 -- skipped func _mm512_maskz_min_epi8 -- skipped func _mm512_mask_min_epi8 -- skipped func _mm512_max_epi16 -- skipped func _mm512_maskz_max_epi16 -- skipped func _mm512_mask_max_epi16 -- skipped func _mm512_max_epu16 -- skipped func _mm512_maskz_max_epu16 -- skipped func _mm512_mask_max_epu16 -- skipped func _mm512_sra_epi16 -- skipped func _mm512_mask_sra_epi16 -- skipped func _mm512_maskz_sra_epi16 -- skipped func _mm512_srav_epi16 -- skipped func _mm512_mask_srav_epi16 -- skipped func _mm512_maskz_srav_epi16 -- skipped func _mm512_srlv_epi16 -- skipped func _mm512_mask_srlv_epi16 -- skipped func _mm512_maskz_srlv_epi16 -- skipped func _mm512_sllv_epi16 -- skipped func _mm512_mask_sllv_epi16 -- skipped func _mm512_maskz_sllv_epi16 -- skipped func _mm512_mask_packs_epi16 -- skipped func _mm512_maskz_packs_epi16 -- skipped func _mm512_packus_epi16 -- skipped func _mm512_mask_packus_epi16 -- skipped func _mm512_maskz_packus_epi16 -- skipped func _mm512_abs_epi8 -- skipped func _mm512_mask_abs_epi8 -- skipped func _mm512_maskz_abs_epi8 -- skipped func _mm512_abs_epi16 -- skipped func _mm512_mask_abs_epi16 -- skipped func _mm512_maskz_abs_epi16 -- skipped func _mm512_mask_cmpneq_epu8_mask -- skipped func _mm512_mask_cmplt_epu8_mask -- skipped func _mm512_mask_cmpge_epu8_mask -- skipped func _mm512_mask_cmple_epu8_mask -- skipped func _mm512_mask_cmpneq_epu16_mask -- skipped func _mm512_mask_cmplt_epu16_mask -- skipped func _mm512_mask_cmpge_epu16_mask -- skipped func _mm512_mask_cmple_epu16_mask -- skipped func _mm512_mask_cmpneq_epi8_mask -- skipped func _mm512_mask_cmplt_epi8_mask -- skipped func _mm512_mask_cmpge_epi8_mask -- skipped func _mm512_mask_cmple_epi8_mask -- skipped func _mm512_mask_cmpneq_epi16_mask -- skipped func _mm512_mask_cmplt_epi16_mask -- skipped func _mm512_mask_cmpge_epi16_mask -- skipped func _mm512_mask_cmple_epi16_mask -- skipped func _mm512_cmpneq_epu8_mask -- skipped func _mm512_cmplt_epu8_mask -- skipped func _mm512_cmpge_epu8_mask -- skipped func _mm512_cmple_epu8_mask -- skipped func _mm512_cmpneq_epu16_mask -- skipped func _mm512_cmplt_epu16_mask -- skipped func _mm512_cmpge_epu16_mask -- skipped func _mm512_cmple_epu16_mask -- skipped func _mm512_cmpneq_epi8_mask -- skipped func _mm512_cmplt_epi8_mask -- skipped func _mm512_cmpge_epi8_mask -- skipped func _mm512_cmple_epi8_mask -- skipped func _mm512_cmpneq_epi16_mask -- skipped func _mm512_cmplt_epi16_mask -- skipped func _mm512_cmpge_epi16_mask -- skipped func _mm512_cmple_epi16_mask -- skipped func _mm512_packs_epi32 -- skipped func _mm512_maskz_packs_epi32 -- skipped func _mm512_mask_packs_epi32 -- skipped func _mm512_packus_epi32 -- skipped func _mm512_maskz_packus_epi32 -- skipped func _mm512_mask_packus_epi32 end avx512bwintrin_h;
23.077834
138
0.742137
4a3b78072939be9818fd3c37a28cdeb6a8625f4b
13,461
ads
Ada
bb-runtimes/runtimes/ravenscar-full-stm32f3x4/gnat/a-coorma.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/runtimes/ravenscar-full-stm32f3x4/gnat/a-coorma.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/runtimes/ravenscar-full-stm32f3x4/gnat/a-coorma.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT LIBRARY COMPONENTS -- -- -- -- A D A . C O N T A I N E R S . O R D E R E D _ M A P S -- -- -- -- S p e c -- -- -- -- Copyright (C) 2004-2021, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- -- -- -- -- -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- This unit was originally developed by Matthew J Heaney. -- ------------------------------------------------------------------------------ with Ada.Iterator_Interfaces; private with Ada.Containers.Red_Black_Trees; private with Ada.Finalization; private with Ada.Streams; private with Ada.Strings.Text_Buffers; generic type Key_Type is private; type Element_Type is private; with function "<" (Left, Right : Key_Type) return Boolean is <>; with function "=" (Left, Right : Element_Type) return Boolean is <>; package Ada.Containers.Ordered_Maps with SPARK_Mode => Off is pragma Annotate (CodePeer, Skip_Analysis); pragma Preelaborate; pragma Remote_Types; function Equivalent_Keys (Left, Right : Key_Type) return Boolean; type Map is tagged private with Constant_Indexing => Constant_Reference, Variable_Indexing => Reference, Default_Iterator => Iterate, Iterator_Element => Element_Type, Aggregate => (Empty => Empty, Add_Named => Insert); pragma Preelaborable_Initialization (Map); type Cursor is private; pragma Preelaborable_Initialization (Cursor); Empty_Map : constant Map; function Empty return Map; pragma Ada_2022 (Empty); No_Element : constant Cursor; function Has_Element (Position : Cursor) return Boolean; package Map_Iterator_Interfaces is new Ada.Iterator_Interfaces (Cursor, Has_Element); function "=" (Left, Right : Map) return Boolean; function Length (Container : Map) return Count_Type; function Is_Empty (Container : Map) return Boolean; procedure Clear (Container : in out Map); function Key (Position : Cursor) return Key_Type; function Element (Position : Cursor) return Element_Type; procedure Replace_Element (Container : in out Map; Position : Cursor; New_Item : Element_Type); procedure Query_Element (Position : Cursor; Process : not null access procedure (Key : Key_Type; Element : Element_Type)); procedure Update_Element (Container : in out Map; Position : Cursor; Process : not null access procedure (Key : Key_Type; Element : in out Element_Type)); type Constant_Reference_Type (Element : not null access constant Element_Type) is private with Implicit_Dereference => Element; type Reference_Type (Element : not null access Element_Type) is private with Implicit_Dereference => Element; function Constant_Reference (Container : aliased Map; Position : Cursor) return Constant_Reference_Type; pragma Inline (Constant_Reference); function Reference (Container : aliased in out Map; Position : Cursor) return Reference_Type; pragma Inline (Reference); function Constant_Reference (Container : aliased Map; Key : Key_Type) return Constant_Reference_Type; pragma Inline (Constant_Reference); function Reference (Container : aliased in out Map; Key : Key_Type) return Reference_Type; pragma Inline (Reference); procedure Assign (Target : in out Map; Source : Map); function Copy (Source : Map) return Map; procedure Move (Target : in out Map; Source : in out Map); procedure Insert (Container : in out Map; Key : Key_Type; New_Item : Element_Type; Position : out Cursor; Inserted : out Boolean); procedure Insert (Container : in out Map; Key : Key_Type; Position : out Cursor; Inserted : out Boolean); procedure Insert (Container : in out Map; Key : Key_Type; New_Item : Element_Type); procedure Include (Container : in out Map; Key : Key_Type; New_Item : Element_Type); procedure Replace (Container : in out Map; Key : Key_Type; New_Item : Element_Type); procedure Exclude (Container : in out Map; Key : Key_Type); procedure Delete (Container : in out Map; Key : Key_Type); procedure Delete (Container : in out Map; Position : in out Cursor); procedure Delete_First (Container : in out Map); procedure Delete_Last (Container : in out Map); function First (Container : Map) return Cursor; function First_Element (Container : Map) return Element_Type; function First_Key (Container : Map) return Key_Type; function Last (Container : Map) return Cursor; function Last_Element (Container : Map) return Element_Type; function Last_Key (Container : Map) return Key_Type; function Next (Position : Cursor) return Cursor; procedure Next (Position : in out Cursor); function Previous (Position : Cursor) return Cursor; procedure Previous (Position : in out Cursor); function Find (Container : Map; Key : Key_Type) return Cursor; function Element (Container : Map; Key : Key_Type) return Element_Type; function Floor (Container : Map; Key : Key_Type) return Cursor; function Ceiling (Container : Map; Key : Key_Type) return Cursor; function Contains (Container : Map; Key : Key_Type) return Boolean; function "<" (Left, Right : Cursor) return Boolean; function ">" (Left, Right : Cursor) return Boolean; function "<" (Left : Cursor; Right : Key_Type) return Boolean; function ">" (Left : Cursor; Right : Key_Type) return Boolean; function "<" (Left : Key_Type; Right : Cursor) return Boolean; function ">" (Left : Key_Type; Right : Cursor) return Boolean; procedure Iterate (Container : Map; Process : not null access procedure (Position : Cursor)); procedure Reverse_Iterate (Container : Map; Process : not null access procedure (Position : Cursor)); -- The map container supports iteration in both the forward and reverse -- directions, hence these constructor functions return an object that -- supports the Reversible_Iterator interface. function Iterate (Container : Map) return Map_Iterator_Interfaces.Reversible_Iterator'class; function Iterate (Container : Map; Start : Cursor) return Map_Iterator_Interfaces.Reversible_Iterator'class; private pragma Inline (Next); pragma Inline (Previous); type Node_Type; type Node_Access is access Node_Type; type Node_Type is limited record Parent : Node_Access; Left : Node_Access; Right : Node_Access; Color : Red_Black_Trees.Color_Type := Red_Black_Trees.Red; Key : Key_Type; Element : aliased Element_Type; end record; package Tree_Types is new Red_Black_Trees.Generic_Tree_Types (Node_Type, Node_Access); type Map is new Ada.Finalization.Controlled with record Tree : Tree_Types.Tree_Type; end record with Put_Image => Put_Image; procedure Put_Image (S : in out Ada.Strings.Text_Buffers.Root_Buffer_Type'Class; V : Map); overriding procedure Adjust (Container : in out Map); overriding procedure Finalize (Container : in out Map) renames Clear; use Red_Black_Trees; use Tree_Types, Tree_Types.Implementation; use Ada.Finalization; use Ada.Streams; procedure Write (Stream : not null access Root_Stream_Type'Class; Container : Map); for Map'Write use Write; procedure Read (Stream : not null access Root_Stream_Type'Class; Container : out Map); for Map'Read use Read; type Map_Access is access all Map; for Map_Access'Storage_Size use 0; type Cursor is record Container : Map_Access; Node : Node_Access; end record; procedure Write (Stream : not null access Root_Stream_Type'Class; Item : Cursor); for Cursor'Write use Write; procedure Read (Stream : not null access Root_Stream_Type'Class; Item : out Cursor); for Cursor'Read use Read; subtype Reference_Control_Type is Implementation.Reference_Control_Type; -- It is necessary to rename this here, so that the compiler can find it type Constant_Reference_Type (Element : not null access constant Element_Type) is record Control : Reference_Control_Type := raise Program_Error with "uninitialized reference"; -- The RM says, "The default initialization of an object of -- type Constant_Reference_Type or Reference_Type propagates -- Program_Error." end record; procedure Read (Stream : not null access Root_Stream_Type'Class; Item : out Constant_Reference_Type); for Constant_Reference_Type'Read use Read; procedure Write (Stream : not null access Root_Stream_Type'Class; Item : Constant_Reference_Type); for Constant_Reference_Type'Write use Write; type Reference_Type (Element : not null access Element_Type) is record Control : Reference_Control_Type := raise Program_Error with "uninitialized reference"; -- The RM says, "The default initialization of an object of -- type Constant_Reference_Type or Reference_Type propagates -- Program_Error." end record; procedure Read (Stream : not null access Root_Stream_Type'Class; Item : out Reference_Type); for Reference_Type'Read use Read; procedure Write (Stream : not null access Root_Stream_Type'Class; Item : Reference_Type); for Reference_Type'Write use Write; -- Three operations are used to optimize in the expansion of "for ... of" -- loops: the Next(Cursor) procedure in the visible part, and the following -- Pseudo_Reference and Get_Element_Access functions. See Sem_Ch5 for -- details. function Pseudo_Reference (Container : aliased Map'Class) return Reference_Control_Type; pragma Inline (Pseudo_Reference); -- Creates an object of type Reference_Control_Type pointing to the -- container, and increments the Lock. Finalization of this object will -- decrement the Lock. type Element_Access is access all Element_Type with Storage_Size => 0; function Get_Element_Access (Position : Cursor) return not null Element_Access; -- Returns a pointer to the element designated by Position. Empty_Map : constant Map := (Controlled with others => <>); function Empty return Map is (Empty_Map); No_Element : constant Cursor := Cursor'(null, null); type Iterator is new Limited_Controlled and Map_Iterator_Interfaces.Reversible_Iterator with record Container : Map_Access; Node : Node_Access; end record with Disable_Controlled => not T_Check; overriding procedure Finalize (Object : in out Iterator); overriding function First (Object : Iterator) return Cursor; overriding function Last (Object : Iterator) return Cursor; overriding function Next (Object : Iterator; Position : Cursor) return Cursor; overriding function Previous (Object : Iterator; Position : Cursor) return Cursor; end Ada.Containers.Ordered_Maps;
33.155172
79
0.621202
dcc7afbe0828d5920cd7b69653a39cea7be7f995
448
ads
Ada
bug-reports/const-unit-argument/src/units-navigation.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
12
2017-06-08T14:19:57.000Z
2022-03-09T02:48:59.000Z
bug-reports/const-unit-argument/src/units-navigation.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
6
2017-06-08T13:13:50.000Z
2020-05-15T09:32:43.000Z
bug-reports/const-unit-argument/src/units-navigation.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
3
2017-06-30T14:05:06.000Z
2022-02-17T12:20:45.000Z
-- Institution: Technische Universität München -- Department: Realtime Computer Systems (RCS) -- Project: StratoX -- Module: Units -- -- Authors: Emanuel Regnath (emanuel.regnath@tum.de) -- -- Description: Additional units for navigation -- with Interfaces; package Units.Navigation with SPARK_Mode is subtype Heading_Type is Angle_Type range 0.0 * Degree .. DEGREE_360; function foo return Heading_Type; end Units.Navigation;
22.4
71
0.741071
dc0262f81bc4252797dff5f14795ae892628cacf
77
adb
Ada
tests/nonsmoke/functional/CompileTests/experimental_ada_tests/procedure_declaration.adb
ucd-plse/rose
17db6454e8baba0014e30a8ec23df1a11ac55a0c
[ "BSD-3-Clause" ]
488
2015-01-09T08:54:48.000Z
2022-03-30T07:15:46.000Z
tests/nonsmoke/functional/CompileTests/experimental_ada_tests/procedure_declaration.adb
ucd-plse/rose
17db6454e8baba0014e30a8ec23df1a11ac55a0c
[ "BSD-3-Clause" ]
174
2015-01-28T18:41:32.000Z
2022-03-31T16:51:05.000Z
tests/nonsmoke/functional/CompileTests/experimental_ada_tests/tests/procedure_declaration.adb
passlab/rexompiler
5494df3766ab606e230c5f1785b438a019c9e353
[ "BSD-3-Clause" ]
146
2015-04-27T02:48:34.000Z
2022-03-04T07:32:53.000Z
procedure Procedure_Declaration is begin null; end Procedure_Declaration;
15.4
34
0.844156
cb34c2e65e363cdfb27298e5970896d40d5074fe
2,756
ads
Ada
tools/scitools/conf/understand/ada/ada12/a-cgaaso.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
1
2020-01-20T21:26:46.000Z
2020-01-20T21:26:46.000Z
tools/scitools/conf/understand/ada/ada12/a-cgaaso.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
null
null
null
tools/scitools/conf/understand/ada/ada12/a-cgaaso.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT LIBRARY COMPONENTS -- -- -- -- ADA.CONTAINERS.GENERIC_ANONYMOUS_ARRAY_SORT -- -- -- -- S p e c -- -- -- -- Copyright (C) 2004-2010, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- -- -- -- -- -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- This unit was originally developed by Matthew J Heaney. -- ------------------------------------------------------------------------------ -- Allows an anonymous array (or array-like container) to be sorted. Generic -- formal Less returns the result of comparing the elements designated by the -- indexes, and generic formal Swap exchanges the designated elements. generic type Index_Type is (<>); with function Less (Left, Right : Index_Type) return Boolean is <>; with procedure Swap (Left, Right : Index_Type) is <>; procedure Ada.Containers.Generic_Anonymous_Array_Sort (First, Last : Index_Type'Base); pragma Pure (Ada.Containers.Generic_Anonymous_Array_Sort);
65.619048
78
0.388244
d047d2ea8d7a3abdff7949e6e88994bb138cf2ea
5,294
ads
Ada
source/amf/uml/amf-standard_profile_l2-calls-collections.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/uml/amf-standard_profile_l2-calls-collections.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/uml/amf-standard_profile_l2-calls-collections.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2011-2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- This file is generated, don't edit it. ------------------------------------------------------------------------------ with AMF.Generic_Collections; package AMF.Standard_Profile_L2.Calls.Collections is pragma Preelaborate; package Standard_Profile_L2_Call_Collections is new AMF.Generic_Collections (Standard_Profile_L2_Call, Standard_Profile_L2_Call_Access); type Set_Of_Standard_Profile_L2_Call is new Standard_Profile_L2_Call_Collections.Set with null record; Empty_Set_Of_Standard_Profile_L2_Call : constant Set_Of_Standard_Profile_L2_Call; type Ordered_Set_Of_Standard_Profile_L2_Call is new Standard_Profile_L2_Call_Collections.Ordered_Set with null record; Empty_Ordered_Set_Of_Standard_Profile_L2_Call : constant Ordered_Set_Of_Standard_Profile_L2_Call; type Bag_Of_Standard_Profile_L2_Call is new Standard_Profile_L2_Call_Collections.Bag with null record; Empty_Bag_Of_Standard_Profile_L2_Call : constant Bag_Of_Standard_Profile_L2_Call; type Sequence_Of_Standard_Profile_L2_Call is new Standard_Profile_L2_Call_Collections.Sequence with null record; Empty_Sequence_Of_Standard_Profile_L2_Call : constant Sequence_Of_Standard_Profile_L2_Call; private Empty_Set_Of_Standard_Profile_L2_Call : constant Set_Of_Standard_Profile_L2_Call := (Standard_Profile_L2_Call_Collections.Set with null record); Empty_Ordered_Set_Of_Standard_Profile_L2_Call : constant Ordered_Set_Of_Standard_Profile_L2_Call := (Standard_Profile_L2_Call_Collections.Ordered_Set with null record); Empty_Bag_Of_Standard_Profile_L2_Call : constant Bag_Of_Standard_Profile_L2_Call := (Standard_Profile_L2_Call_Collections.Bag with null record); Empty_Sequence_Of_Standard_Profile_L2_Call : constant Sequence_Of_Standard_Profile_L2_Call := (Standard_Profile_L2_Call_Collections.Sequence with null record); end AMF.Standard_Profile_L2.Calls.Collections;
57.543478
100
0.543068
4a2a7c89ea261d19eae644c9668521526d1d36c7
2,350
ads
Ada
include/fterrdef.ads
docandrew/troodon
9240611708f92ffb5491fa677bffb6ecac58a51e
[ "MIT" ]
5
2021-11-03T04:34:16.000Z
2021-11-10T23:06:30.000Z
include/fterrdef.ads
docandrew/troodon
9240611708f92ffb5491fa677bffb6ecac58a51e
[ "MIT" ]
null
null
null
include/fterrdef.ads
docandrew/troodon
9240611708f92ffb5491fa677bffb6ecac58a51e
[ "MIT" ]
null
null
null
pragma Ada_2012; pragma Style_Checks (Off); with Interfaces.C; use Interfaces.C; package fterrdef is --*************************************************************************** -- * -- * fterrdef.h -- * -- * FreeType error codes (specification). -- * -- * Copyright (C) 2002-2020 by -- * David Turner, Robert Wilhelm, and Werner Lemberg. -- * -- * This file is part of the FreeType project, and may only be used, -- * modified, and distributed under the terms of the FreeType project -- * license, LICENSE.TXT. By continuing to use, modify, or distribute -- * this file you indicate that you have read the license and -- * understand and accept it fully. -- * -- --************************************************************************* -- * -- * @section: -- * error_code_values -- * -- * @title: -- * Error Code Values -- * -- * @abstract: -- * All possible error codes returned by FreeType functions. -- * -- * @description: -- * The list below is taken verbatim from the file `fterrdef.h` (loaded -- * automatically by including `FT_FREETYPE_H`). The first argument of the -- * `FT_ERROR_DEF_` macro is the error label; by default, the prefix -- * `FT_Err_` gets added so that you get error names like -- * `FT_Err_Cannot_Open_Resource`. The second argument is the error code, -- * and the last argument an error string, which is not used by FreeType. -- * -- * Within your application you should **only** use error names and -- * **never** its numeric values! The latter might (and actually do) -- * change in forthcoming FreeType versions. -- * -- * Macro `FT_NOERRORDEF_` defines `FT_Err_Ok`, which is always zero. See -- * the 'Error Enumerations' subsection how to automatically generate a -- * list of error strings. -- * -- --************************************************************************* -- * -- * @enum: -- * FT_Err_XXX -- * -- -- generic errors -- glyph/character errors -- handle errors -- driver errors -- memory errors -- stream errors -- raster errors -- cache errors -- TrueType and SFNT errors -- CFF, CID, and Type 1 errors -- BDF errors -- -- END end fterrdef;
31.333333
81
0.546383
c5b97406770c4749f3959cf73a46ec8d7d202308
143
ads
Ada
src/plugins/plugin-url.ads
gsmnv/Byron
85b4b69fce59adecc8b5285f4a3bd6a561a038f4
[ "BSD-2-Clause" ]
1
2020-02-11T14:50:02.000Z
2020-02-11T14:50:02.000Z
src/plugins/plugin-url.ads
gsmnv/Byron
85b4b69fce59adecc8b5285f4a3bd6a561a038f4
[ "BSD-2-Clause" ]
null
null
null
src/plugins/plugin-url.ads
gsmnv/Byron
85b4b69fce59adecc8b5285f4a3bd6a561a038f4
[ "BSD-2-Clause" ]
null
null
null
with IRC; -- Fetches title for url in message content package Plugin.URL is procedure URL_Title (Message : IRC.Message); end Plugin.URL;
14.3
47
0.741259
4ac07be94dad6e9a593412790142da9f5730111b
229,956
adb
Ada
HLS-MultMatriz/proj_matrix_multiply/solution1/.autopilot/db/matrix_multiply_top.bind.adb
gabriel-lando/SisDig-TrabalhoFinal
e6dcc1eb31b54bd2378a34570507796ac7649c3e
[ "MIT" ]
null
null
null
HLS-MultMatriz/proj_matrix_multiply/solution1/.autopilot/db/matrix_multiply_top.bind.adb
gabriel-lando/SisDig-TrabalhoFinal
e6dcc1eb31b54bd2378a34570507796ac7649c3e
[ "MIT" ]
null
null
null
HLS-MultMatriz/proj_matrix_multiply/solution1/.autopilot/db/matrix_multiply_top.bind.adb
gabriel-lando/SisDig-TrabalhoFinal
e6dcc1eb31b54bd2378a34570507796ac7649c3e
[ "MIT" ]
null
null
null
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="15"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName></userIPName> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>matrix_multiply_top</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>A</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>A</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>9</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>B</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>B</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>0</direction> <if_type>1</if_type> <array_size>9</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>C</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>C</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <direction>1</direction> <if_type>1</if_type> <array_size>9</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>74</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_4"> <Value> <Obj> <type>0</type> <id>8</id> <name>a_i</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>40</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>40</second> </item> </second> </item> </inlineStackInfo> <originalName>a_i</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>120</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>1</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_5"> <Value> <Obj> <type>0</type> <id>9</id> <name>b_i</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>41</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>41</second> </item> </second> </item> </inlineStackInfo> <originalName>b_i</originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>121</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>2</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>10</id> <name>C_assign</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName>RAM</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>122</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>3</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>11</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>45</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>45</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>123</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.83</m_delay> <m_topoIndex>4</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>13</id> <name>r</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>r</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>125</item> <item>126</item> <item>127</item> <item>128</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>5</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>14</id> <name>tmp</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>45</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>45</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>129</item> <item>131</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.47</m_delay> <m_topoIndex>6</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>16</id> <name>r_1</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>45</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>45</second> </item> </second> </item> </inlineStackInfo> <originalName>r</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>132</item> <item>134</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>7</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>17</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>45</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>45</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>135</item> <item>136</item> <item>137</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>8</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>21</id> <name>tmp_1_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>45</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>45</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>139</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>9</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>22</id> <name>tmp_1</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>45</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>45</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>141</item> <item>142</item> <item>143</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>10</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>23</id> <name>p_shl_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>144</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>11</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>24</id> <name>tmp_4</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>145</item> <item>146</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.96</m_delay> <m_topoIndex>12</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>25</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>46</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>46</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>147</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.83</m_delay> <m_topoIndex>13</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>27</id> <name>c</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>c</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>148</item> <item>149</item> <item>150</item> <item>151</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>15</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>28</id> <name>tmp_3</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>46</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>46</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>152</item> <item>153</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.47</m_delay> <m_topoIndex>16</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>30</id> <name>c_1</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>46</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>46</second> </item> </second> </item> </inlineStackInfo> <originalName>c</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>154</item> <item>155</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>17</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>31</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>46</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>46</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>156</item> <item>157</item> <item>158</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>18</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>34</id> <name>tmp_6_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>159</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>19</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>35</id> <name>tmp_11</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>160</item> <item>161</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.04</m_delay> <m_topoIndex>20</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>36</id> <name>tmp_18_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>162</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>21</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>37</id> <name>A_addr</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>163</item> <item>165</item> <item>166</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>22</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>38</id> <name>a_i_addr</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>167</item> <item>168</item> <item>169</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>25</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>39</id> <name>A_load</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>170</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.09</m_delay> <m_topoIndex>23</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_27"> <Value> <Obj> <type>0</type> <id>40</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>47</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>47</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>171</item> <item>172</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.09</m_delay> <m_topoIndex>26</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_28"> <Value> <Obj> <type>0</type> <id>41</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>46</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>46</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>173</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>27</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_29"> <Value> <Obj> <type>0</type> <id>44</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>45</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>45</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>174</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>24</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_30"> <Value> <Obj> <type>0</type> <id>46</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>50</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>138</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.83</m_delay> <m_topoIndex>14</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_31"> <Value> <Obj> <type>0</type> <id>48</id> <name>r1</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>r</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>175</item> <item>176</item> <item>177</item> <item>178</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>28</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_32"> <Value> <Obj> <type>0</type> <id>49</id> <name>tmp_2</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>50</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>179</item> <item>180</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.47</m_delay> <m_topoIndex>29</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_33"> <Value> <Obj> <type>0</type> <id>51</id> <name>r_2</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>50</second> </item> </second> </item> </inlineStackInfo> <originalName>r</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>181</item> <item>182</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>30</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_34"> <Value> <Obj> <type>0</type> <id>52</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>50</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>183</item> <item>184</item> <item>185</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>31</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_35"> <Value> <Obj> <type>0</type> <id>56</id> <name>tmp_4_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>50</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>186</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>32</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_36"> <Value> <Obj> <type>0</type> <id>57</id> <name>tmp_6</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>50</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>187</item> <item>188</item> <item>189</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>33</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_37"> <Value> <Obj> <type>0</type> <id>58</id> <name>p_shl1_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>52</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>52</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>190</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>34</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_38"> <Value> <Obj> <type>0</type> <id>59</id> <name>tmp_10</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>52</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>52</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>191</item> <item>192</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.96</m_delay> <m_topoIndex>35</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_39"> <Value> <Obj> <type>0</type> <id>60</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>51</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>51</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>193</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.83</m_delay> <m_topoIndex>36</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_40"> <Value> <Obj> <type>0</type> <id>62</id> <name>c2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>c</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>194</item> <item>195</item> <item>196</item> <item>197</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>38</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_41"> <Value> <Obj> <type>0</type> <id>63</id> <name>tmp_9</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>51</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>51</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>198</item> <item>199</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.47</m_delay> <m_topoIndex>39</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_42"> <Value> <Obj> <type>0</type> <id>65</id> <name>c_2</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>51</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>51</second> </item> </second> </item> </inlineStackInfo> <originalName>c</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>200</item> <item>201</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>40</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_43"> <Value> <Obj> <type>0</type> <id>66</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>51</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>51</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>202</item> <item>203</item> <item>204</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>41</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_44"> <Value> <Obj> <type>0</type> <id>69</id> <name>tmp_5_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>52</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>52</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>205</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>42</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_45"> <Value> <Obj> <type>0</type> <id>70</id> <name>tmp_15</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>52</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>52</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>206</item> <item>207</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.04</m_delay> <m_topoIndex>43</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_46"> <Value> <Obj> <type>0</type> <id>71</id> <name>tmp_21_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>52</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>52</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>208</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>44</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_47"> <Value> <Obj> <type>0</type> <id>72</id> <name>B_addr</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>52</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>52</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>209</item> <item>210</item> <item>211</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>45</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_48"> <Value> <Obj> <type>0</type> <id>73</id> <name>b_i_addr</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>52</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>52</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>212</item> <item>213</item> <item>214</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>48</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_49"> <Value> <Obj> <type>0</type> <id>74</id> <name>B_load</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>52</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>52</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>215</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.09</m_delay> <m_topoIndex>46</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_50"> <Value> <Obj> <type>0</type> <id>75</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>52</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>52</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>216</item> <item>217</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.09</m_delay> <m_topoIndex>49</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_51"> <Value> <Obj> <type>0</type> <id>76</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>51</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>51</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>218</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>50</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_52"> <Value> <Obj> <type>0</type> <id>79</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>50</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>50</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>219</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>47</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_53"> <Value> <Obj> <type>0</type> <id>81</id> <name></name> <fileName>D:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/linear_algebra/hls_matrix_multiply.h</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>511</lineNumber> <contextFuncName>matrix_multiply_top&amp;lt;hls::NoTranspose, hls::NoTranspose, 3, 3, 3, 3, 3, 3, hls::matrix_multiply_traits&amp;lt;hls::NoTranspose, hls::NoTranspose, 3, 3, 3, 3, float, float&amp;gt;, float, float&amp;gt;</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>3</count> <item_version>0</item_version> <item> <first> <first>D:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/linear_algebra/hls_matrix_multiply.h</first> <second>matrix_multiply&amp;lt;hls::NoTranspose, hls::NoTranspose, 3, 3, 3, 3, 3, 3, float, float&amp;gt;</second> </first> <second>560</second> </item> <item> <first> <first>D:/Xilinx/Vivado/2018.3/common/technology/autopilot/hls/linear_algebra/hls_matrix_multiply.h</first> <second>matrix_multiply_top&amp;lt;hls::NoTranspose, hls::NoTranspose, 3, 3, 3, 3, 3, 3, hls::matrix_multiply_traits&amp;lt;hls::NoTranspose, hls::NoTranspose, 3, 3, 3, 3, float, float&amp;gt;, float, float&amp;gt;</second> </first> <second>511</second> </item> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>57</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>221</item> <item>222</item> <item>223</item> <item>224</item> </oprand_edges> <opcode>call</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>37</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_54"> <Value> <Obj> <type>0</type> <id>82</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>60</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>225</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.83</m_delay> <m_topoIndex>51</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_55"> <Value> <Obj> <type>0</type> <id>84</id> <name>r3</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>r</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>226</item> <item>227</item> <item>228</item> <item>229</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>52</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_56"> <Value> <Obj> <type>0</type> <id>85</id> <name>tmp_8</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>60</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>230</item> <item>231</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.47</m_delay> <m_topoIndex>53</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_57"> <Value> <Obj> <type>0</type> <id>87</id> <name>r_3</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>60</second> </item> </second> </item> </inlineStackInfo> <originalName>r</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>232</item> <item>233</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>54</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_58"> <Value> <Obj> <type>0</type> <id>88</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>60</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>234</item> <item>235</item> <item>236</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>55</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_59"> <Value> <Obj> <type>0</type> <id>92</id> <name>tmp_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>60</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>237</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>56</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_60"> <Value> <Obj> <type>0</type> <id>93</id> <name>tmp_13</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>60</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>238</item> <item>239</item> <item>240</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>57</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_61"> <Value> <Obj> <type>0</type> <id>94</id> <name>p_shl2_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>62</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>62</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>241</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>58</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_62"> <Value> <Obj> <type>0</type> <id>95</id> <name>tmp_14</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>62</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>62</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>242</item> <item>243</item> </oprand_edges> <opcode>sub</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.96</m_delay> <m_topoIndex>59</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_63"> <Value> <Obj> <type>0</type> <id>96</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>61</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>244</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.83</m_delay> <m_topoIndex>60</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_64"> <Value> <Obj> <type>0</type> <id>98</id> <name>c4</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>c</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>245</item> <item>246</item> <item>247</item> <item>248</item> </oprand_edges> <opcode>phi</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>62</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_65"> <Value> <Obj> <type>0</type> <id>99</id> <name>tmp_7</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>61</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>1</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>249</item> <item>250</item> </oprand_edges> <opcode>icmp</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.47</m_delay> <m_topoIndex>63</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_66"> <Value> <Obj> <type>0</type> <id>101</id> <name>c_3</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>61</second> </item> </second> </item> </inlineStackInfo> <originalName>c</originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>251</item> <item>252</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.80</m_delay> <m_topoIndex>64</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_67"> <Value> <Obj> <type>0</type> <id>102</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>61</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>253</item> <item>254</item> <item>255</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>65</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_68"> <Value> <Obj> <type>0</type> <id>105</id> <name>tmp_10_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>62</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>62</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>256</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>66</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_69"> <Value> <Obj> <type>0</type> <id>106</id> <name>tmp_16</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>62</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>62</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>5</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>257</item> <item>258</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.04</m_delay> <m_topoIndex>67</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_70"> <Value> <Obj> <type>0</type> <id>107</id> <name>tmp_22_cast</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>62</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>62</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>259</item> </oprand_edges> <opcode>sext</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>68</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_71"> <Value> <Obj> <type>0</type> <id>108</id> <name>C_addr</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>62</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>62</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>260</item> <item>261</item> <item>262</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>72</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_72"> <Value> <Obj> <type>0</type> <id>109</id> <name>C_assign_addr</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>62</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>62</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>4</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>263</item> <item>264</item> <item>265</item> </oprand_edges> <opcode>getelementptr</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>69</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_73"> <Value> <Obj> <type>0</type> <id>110</id> <name>C_assign_load</name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>62</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>62</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>266</item> </oprand_edges> <opcode>load</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.09</m_delay> <m_topoIndex>70</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_74"> <Value> <Obj> <type>0</type> <id>111</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>62</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>62</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>267</item> <item>268</item> </oprand_edges> <opcode>store</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>1.09</m_delay> <m_topoIndex>73</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_75"> <Value> <Obj> <type>0</type> <id>112</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>61</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>61</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>269</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>74</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_76"> <Value> <Obj> <type>0</type> <id>115</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>60</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>60</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>270</item> </oprand_edges> <opcode>br</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>71</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> <item class_id_reference="9" object_id="_77"> <Value> <Obj> <type>0</type> <id>117</id> <name></name> <fileName>matrix_multiply.cpp</fileName> <fileDirectory>D:\Gabriel\Documents\Xilinx\matrix_multiply</fileDirectory> <lineNumber>66</lineNumber> <contextFuncName>matrix_multiply_top</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>D:\Gabriel\Documents\Xilinx\matrix_multiply</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>matrix_multiply.cpp</first> <second>matrix_multiply_top</second> </first> <second>66</second> </item> </second> </item> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> <m_isOnCriticalPath>0</m_isOnCriticalPath> <m_isLCDNode>0</m_isLCDNode> <m_isStartOfPath>0</m_isStartOfPath> <m_delay>0.00</m_delay> <m_topoIndex>61</m_topoIndex> <m_clusterGroupNumber>-1</m_clusterGroupNumber> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>6</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_78"> <Value> <Obj> <type>2</type> <id>119</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_79"> <Value> <Obj> <type>2</type> <id>124</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_80"> <Value> <Obj> <type>2</type> <id>130</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_81"> <Value> <Obj> <type>2</type> <id>133</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_82"> <Value> <Obj> <type>2</type> <id>164</id> <name>empty</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_83"> <Value> <Obj> <type>2</type> <id>220</id> <name>matrix_multiply_alt2</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <bitwidth>0</bitwidth> </Value> <const_type>6</const_type> <content>&lt;constant:matrix_multiply_alt2&gt;</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>19</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_84"> <Obj> <type>3</type> <id>12</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>8</item> <item>9</item> <item>10</item> <item>11</item> </node_objs> </item> <item class_id_reference="18" object_id="_85"> <Obj> <type>3</type> <id>18</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>13</item> <item>14</item> <item>16</item> <item>17</item> </node_objs> </item> <item class_id_reference="18" object_id="_86"> <Obj> <type>3</type> <id>26</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> </node_objs> </item> <item class_id_reference="18" object_id="_87"> <Obj> <type>3</type> <id>32</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>27</item> <item>28</item> <item>30</item> <item>31</item> </node_objs> </item> <item class_id_reference="18" object_id="_88"> <Obj> <type>3</type> <id>42</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>8</count> <item_version>0</item_version> <item>34</item> <item>35</item> <item>36</item> <item>37</item> <item>38</item> <item>39</item> <item>40</item> <item>41</item> </node_objs> </item> <item class_id_reference="18" object_id="_89"> <Obj> <type>3</type> <id>45</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>44</item> </node_objs> </item> <item class_id_reference="18" object_id="_90"> <Obj> <type>3</type> <id>47</id> <name>.preheader.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>46</item> </node_objs> </item> <item class_id_reference="18" object_id="_91"> <Obj> <type>3</type> <id>53</id> <name>.preheader</name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>48</item> <item>49</item> <item>51</item> <item>52</item> </node_objs> </item> <item class_id_reference="18" object_id="_92"> <Obj> <type>3</type> <id>61</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>56</item> <item>57</item> <item>58</item> <item>59</item> <item>60</item> </node_objs> </item> <item class_id_reference="18" object_id="_93"> <Obj> <type>3</type> <id>67</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>62</item> <item>63</item> <item>65</item> <item>66</item> </node_objs> </item> <item class_id_reference="18" object_id="_94"> <Obj> <type>3</type> <id>77</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>8</count> <item_version>0</item_version> <item>69</item> <item>70</item> <item>71</item> <item>72</item> <item>73</item> <item>74</item> <item>75</item> <item>76</item> </node_objs> </item> <item class_id_reference="18" object_id="_95"> <Obj> <type>3</type> <id>80</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>79</item> </node_objs> </item> <item class_id_reference="18" object_id="_96"> <Obj> <type>3</type> <id>83</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>2</count> <item_version>0</item_version> <item>81</item> <item>82</item> </node_objs> </item> <item class_id_reference="18" object_id="_97"> <Obj> <type>3</type> <id>89</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>84</item> <item>85</item> <item>87</item> <item>88</item> </node_objs> </item> <item class_id_reference="18" object_id="_98"> <Obj> <type>3</type> <id>97</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>5</count> <item_version>0</item_version> <item>92</item> <item>93</item> <item>94</item> <item>95</item> <item>96</item> </node_objs> </item> <item class_id_reference="18" object_id="_99"> <Obj> <type>3</type> <id>103</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>4</count> <item_version>0</item_version> <item>98</item> <item>99</item> <item>101</item> <item>102</item> </node_objs> </item> <item class_id_reference="18" object_id="_100"> <Obj> <type>3</type> <id>113</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>8</count> <item_version>0</item_version> <item>105</item> <item>106</item> <item>107</item> <item>108</item> <item>109</item> <item>110</item> <item>111</item> <item>112</item> </node_objs> </item> <item class_id_reference="18" object_id="_101"> <Obj> <type>3</type> <id>116</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>115</item> </node_objs> </item> <item class_id_reference="18" object_id="_102"> <Obj> <type>3</type> <id>118</id> <name></name> <fileName></fileName> <fileDirectory></fileDirectory> <lineNumber>0</lineNumber> <contextFuncName></contextFuncName> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName></originalName> <rtlName></rtlName> <coreName></coreName> </Obj> <node_objs> <count>1</count> <item_version>0</item_version> <item>117</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>166</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_103"> <id>120</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>8</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_104"> <id>121</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>9</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_105"> <id>122</id> <edge_type>1</edge_type> <source_obj>119</source_obj> <sink_obj>10</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_106"> <id>123</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>11</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_107"> <id>125</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>13</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_108"> <id>126</id> <edge_type>2</edge_type> <source_obj>12</source_obj> <sink_obj>13</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_109"> <id>127</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>13</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_110"> <id>128</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>13</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_111"> <id>129</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_112"> <id>131</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>14</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_113"> <id>132</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_114"> <id>134</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>16</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_115"> <id>135</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_116"> <id>136</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_117"> <id>137</id> <edge_type>2</edge_type> <source_obj>47</source_obj> <sink_obj>17</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_118"> <id>138</id> <edge_type>2</edge_type> <source_obj>53</source_obj> <sink_obj>46</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_119"> <id>139</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>21</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_120"> <id>142</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_121"> <id>143</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>22</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_122"> <id>144</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>23</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_123"> <id>145</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_124"> <id>146</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>24</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_125"> <id>147</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>25</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_126"> <id>148</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_127"> <id>149</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>27</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_128"> <id>150</id> <edge_type>1</edge_type> <source_obj>30</source_obj> <sink_obj>27</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_129"> <id>151</id> <edge_type>2</edge_type> <source_obj>42</source_obj> <sink_obj>27</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_130"> <id>152</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_131"> <id>153</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>28</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_132"> <id>154</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_133"> <id>155</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>30</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_134"> <id>156</id> <edge_type>1</edge_type> <source_obj>28</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_135"> <id>157</id> <edge_type>2</edge_type> <source_obj>42</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_136"> <id>158</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>31</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_137"> <id>159</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>34</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_138"> <id>160</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_139"> <id>161</id> <edge_type>1</edge_type> <source_obj>34</source_obj> <sink_obj>35</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_140"> <id>162</id> <edge_type>1</edge_type> <source_obj>35</source_obj> <sink_obj>36</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_141"> <id>163</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_142"> <id>165</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_143"> <id>166</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>37</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_144"> <id>167</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_145"> <id>168</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_146"> <id>169</id> <edge_type>1</edge_type> <source_obj>36</source_obj> <sink_obj>38</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_147"> <id>170</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>39</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_148"> <id>171</id> <edge_type>1</edge_type> <source_obj>39</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_149"> <id>172</id> <edge_type>1</edge_type> <source_obj>38</source_obj> <sink_obj>40</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_150"> <id>173</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>41</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_151"> <id>174</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>44</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_152"> <id>175</id> <edge_type>1</edge_type> <source_obj>51</source_obj> <sink_obj>48</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_153"> <id>176</id> <edge_type>2</edge_type> <source_obj>80</source_obj> <sink_obj>48</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_154"> <id>177</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_155"> <id>178</id> <edge_type>2</edge_type> <source_obj>47</source_obj> <sink_obj>48</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_156"> <id>179</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_157"> <id>180</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>49</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_158"> <id>181</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_159"> <id>182</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>51</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_160"> <id>183</id> <edge_type>1</edge_type> <source_obj>49</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_161"> <id>184</id> <edge_type>2</edge_type> <source_obj>61</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_162"> <id>185</id> <edge_type>2</edge_type> <source_obj>83</source_obj> <sink_obj>52</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_163"> <id>186</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>56</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_164"> <id>188</id> <edge_type>1</edge_type> <source_obj>48</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_165"> <id>189</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>57</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_166"> <id>190</id> <edge_type>1</edge_type> <source_obj>57</source_obj> <sink_obj>58</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_167"> <id>191</id> <edge_type>1</edge_type> <source_obj>58</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_168"> <id>192</id> <edge_type>1</edge_type> <source_obj>56</source_obj> <sink_obj>59</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_169"> <id>193</id> <edge_type>2</edge_type> <source_obj>67</source_obj> <sink_obj>60</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_170"> <id>194</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_171"> <id>195</id> <edge_type>2</edge_type> <source_obj>61</source_obj> <sink_obj>62</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_172"> <id>196</id> <edge_type>1</edge_type> <source_obj>65</source_obj> <sink_obj>62</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_173"> <id>197</id> <edge_type>2</edge_type> <source_obj>77</source_obj> <sink_obj>62</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_174"> <id>198</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_175"> <id>199</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>63</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_176"> <id>200</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_177"> <id>201</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>65</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_178"> <id>202</id> <edge_type>1</edge_type> <source_obj>63</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_179"> <id>203</id> <edge_type>2</edge_type> <source_obj>77</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_180"> <id>204</id> <edge_type>2</edge_type> <source_obj>80</source_obj> <sink_obj>66</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_181"> <id>205</id> <edge_type>1</edge_type> <source_obj>62</source_obj> <sink_obj>69</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_182"> <id>206</id> <edge_type>1</edge_type> <source_obj>59</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_183"> <id>207</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>70</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_184"> <id>208</id> <edge_type>1</edge_type> <source_obj>70</source_obj> <sink_obj>71</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_185"> <id>209</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_186"> <id>210</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_187"> <id>211</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>72</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_188"> <id>212</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_189"> <id>213</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_190"> <id>214</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>73</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_191"> <id>215</id> <edge_type>1</edge_type> <source_obj>72</source_obj> <sink_obj>74</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_192"> <id>216</id> <edge_type>1</edge_type> <source_obj>74</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_193"> <id>217</id> <edge_type>1</edge_type> <source_obj>73</source_obj> <sink_obj>75</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_194"> <id>218</id> <edge_type>2</edge_type> <source_obj>67</source_obj> <sink_obj>76</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_195"> <id>219</id> <edge_type>2</edge_type> <source_obj>53</source_obj> <sink_obj>79</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_196"> <id>221</id> <edge_type>1</edge_type> <source_obj>220</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_197"> <id>222</id> <edge_type>1</edge_type> <source_obj>8</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_198"> <id>223</id> <edge_type>1</edge_type> <source_obj>9</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_199"> <id>224</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>81</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_200"> <id>225</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>82</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_201"> <id>226</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_202"> <id>227</id> <edge_type>2</edge_type> <source_obj>83</source_obj> <sink_obj>84</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_203"> <id>228</id> <edge_type>1</edge_type> <source_obj>87</source_obj> <sink_obj>84</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_204"> <id>229</id> <edge_type>2</edge_type> <source_obj>116</source_obj> <sink_obj>84</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_205"> <id>230</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_206"> <id>231</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>85</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_207"> <id>232</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_208"> <id>233</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>87</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_209"> <id>234</id> <edge_type>1</edge_type> <source_obj>85</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_210"> <id>235</id> <edge_type>2</edge_type> <source_obj>97</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_211"> <id>236</id> <edge_type>2</edge_type> <source_obj>118</source_obj> <sink_obj>88</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_212"> <id>237</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>92</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_213"> <id>239</id> <edge_type>1</edge_type> <source_obj>84</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_214"> <id>240</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>93</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_215"> <id>241</id> <edge_type>1</edge_type> <source_obj>93</source_obj> <sink_obj>94</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_216"> <id>242</id> <edge_type>1</edge_type> <source_obj>94</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_217"> <id>243</id> <edge_type>1</edge_type> <source_obj>92</source_obj> <sink_obj>95</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_218"> <id>244</id> <edge_type>2</edge_type> <source_obj>103</source_obj> <sink_obj>96</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_219"> <id>245</id> <edge_type>1</edge_type> <source_obj>124</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_220"> <id>246</id> <edge_type>2</edge_type> <source_obj>97</source_obj> <sink_obj>98</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_221"> <id>247</id> <edge_type>1</edge_type> <source_obj>101</source_obj> <sink_obj>98</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_222"> <id>248</id> <edge_type>2</edge_type> <source_obj>113</source_obj> <sink_obj>98</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_223"> <id>249</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_224"> <id>250</id> <edge_type>1</edge_type> <source_obj>130</source_obj> <sink_obj>99</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_225"> <id>251</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_226"> <id>252</id> <edge_type>1</edge_type> <source_obj>133</source_obj> <sink_obj>101</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_227"> <id>253</id> <edge_type>1</edge_type> <source_obj>99</source_obj> <sink_obj>102</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_228"> <id>254</id> <edge_type>2</edge_type> <source_obj>113</source_obj> <sink_obj>102</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_229"> <id>255</id> <edge_type>2</edge_type> <source_obj>116</source_obj> <sink_obj>102</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_230"> <id>256</id> <edge_type>1</edge_type> <source_obj>98</source_obj> <sink_obj>105</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_231"> <id>257</id> <edge_type>1</edge_type> <source_obj>95</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_232"> <id>258</id> <edge_type>1</edge_type> <source_obj>105</source_obj> <sink_obj>106</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_233"> <id>259</id> <edge_type>1</edge_type> <source_obj>106</source_obj> <sink_obj>107</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_234"> <id>260</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_235"> <id>261</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_236"> <id>262</id> <edge_type>1</edge_type> <source_obj>107</source_obj> <sink_obj>108</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_237"> <id>263</id> <edge_type>1</edge_type> <source_obj>10</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_238"> <id>264</id> <edge_type>1</edge_type> <source_obj>164</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_239"> <id>265</id> <edge_type>1</edge_type> <source_obj>107</source_obj> <sink_obj>109</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_240"> <id>266</id> <edge_type>1</edge_type> <source_obj>109</source_obj> <sink_obj>110</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_241"> <id>267</id> <edge_type>1</edge_type> <source_obj>110</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_242"> <id>268</id> <edge_type>1</edge_type> <source_obj>108</source_obj> <sink_obj>111</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_243"> <id>269</id> <edge_type>2</edge_type> <source_obj>103</source_obj> <sink_obj>112</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_244"> <id>270</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>115</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_245"> <id>344</id> <edge_type>2</edge_type> <source_obj>12</source_obj> <sink_obj>18</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_246"> <id>345</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>47</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_247"> <id>346</id> <edge_type>2</edge_type> <source_obj>18</source_obj> <sink_obj>26</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_248"> <id>347</id> <edge_type>2</edge_type> <source_obj>26</source_obj> <sink_obj>32</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_249"> <id>348</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>45</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_250"> <id>349</id> <edge_type>2</edge_type> <source_obj>32</source_obj> <sink_obj>42</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_251"> <id>350</id> <edge_type>2</edge_type> <source_obj>42</source_obj> <sink_obj>32</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_252"> <id>351</id> <edge_type>2</edge_type> <source_obj>45</source_obj> <sink_obj>18</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_253"> <id>352</id> <edge_type>2</edge_type> <source_obj>47</source_obj> <sink_obj>53</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_254"> <id>353</id> <edge_type>2</edge_type> <source_obj>53</source_obj> <sink_obj>83</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_255"> <id>354</id> <edge_type>2</edge_type> <source_obj>53</source_obj> <sink_obj>61</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_256"> <id>355</id> <edge_type>2</edge_type> <source_obj>61</source_obj> <sink_obj>67</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_257"> <id>356</id> <edge_type>2</edge_type> <source_obj>67</source_obj> <sink_obj>80</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_258"> <id>357</id> <edge_type>2</edge_type> <source_obj>67</source_obj> <sink_obj>77</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_259"> <id>358</id> <edge_type>2</edge_type> <source_obj>77</source_obj> <sink_obj>67</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_260"> <id>359</id> <edge_type>2</edge_type> <source_obj>80</source_obj> <sink_obj>53</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_261"> <id>360</id> <edge_type>2</edge_type> <source_obj>83</source_obj> <sink_obj>89</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_262"> <id>361</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>118</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_263"> <id>362</id> <edge_type>2</edge_type> <source_obj>89</source_obj> <sink_obj>97</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_264"> <id>363</id> <edge_type>2</edge_type> <source_obj>97</source_obj> <sink_obj>103</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_265"> <id>364</id> <edge_type>2</edge_type> <source_obj>103</source_obj> <sink_obj>116</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_266"> <id>365</id> <edge_type>2</edge_type> <source_obj>103</source_obj> <sink_obj>113</sink_obj> <is_back_edge>0</is_back_edge> </item> <item class_id_reference="20" object_id="_267"> <id>366</id> <edge_type>2</edge_type> <source_obj>113</source_obj> <sink_obj>103</sink_obj> <is_back_edge>1</is_back_edge> </item> <item class_id_reference="20" object_id="_268"> <id>367</id> <edge_type>2</edge_type> <source_obj>116</source_obj> <sink_obj>89</sink_obj> <is_back_edge>1</is_back_edge> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>17</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_269"> <mId>1</mId> <mTag>matrix_multiply_top</mTag> <mType>0</mType> <sub_regions> <count>7</count> <item_version>0</item_version> <item>2</item> <item>3</item> <item>7</item> <item>8</item> <item>12</item> <item>13</item> <item>17</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>120</mMinLatency> <mMaxLatency>120</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_270"> <mId>2</mId> <mTag>Entry</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>12</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_271"> <mId>3</mId> <mTag>a_row_loop</mTag> <mType>1</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>4</item> <item>5</item> <item>6</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>3</mMinTripCount> <mMaxTripCount>3</mMaxTripCount> <mMinLatency>24</mMinLatency> <mMaxLatency>24</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_272"> <mId>4</mId> <mTag>Region 1</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>18</item> <item>26</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_273"> <mId>5</mId> <mTag>a_col_loop</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>32</item> <item>42</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>3</mMinTripCount> <mMaxTripCount>3</mMaxTripCount> <mMinLatency>6</mMinLatency> <mMaxLatency>6</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_274"> <mId>6</mId> <mTag>Region 2</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>45</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_275"> <mId>7</mId> <mTag>Region 3</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>47</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_276"> <mId>8</mId> <mTag>b_row_loop</mTag> <mType>1</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>9</item> <item>10</item> <item>11</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>3</mMinTripCount> <mMaxTripCount>3</mMaxTripCount> <mMinLatency>24</mMinLatency> <mMaxLatency>24</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_277"> <mId>9</mId> <mTag>Region 4</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>53</item> <item>61</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_278"> <mId>10</mId> <mTag>b_col_loop</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>67</item> <item>77</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>3</mMinTripCount> <mMaxTripCount>3</mMaxTripCount> <mMinLatency>6</mMinLatency> <mMaxLatency>6</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_279"> <mId>11</mId> <mTag>Region 5</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>80</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_280"> <mId>12</mId> <mTag>Region 6</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>83</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>45</mMinLatency> <mMaxLatency>45</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_281"> <mId>13</mId> <mTag>c_row_loop</mTag> <mType>1</mType> <sub_regions> <count>3</count> <item_version>0</item_version> <item>14</item> <item>15</item> <item>16</item> </sub_regions> <basic_blocks> <count>0</count> <item_version>0</item_version> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>3</mMinTripCount> <mMaxTripCount>3</mMaxTripCount> <mMinLatency>24</mMinLatency> <mMaxLatency>24</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_282"> <mId>14</mId> <mTag>Region 7</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>89</item> <item>97</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_283"> <mId>15</mId> <mTag>c_col_loop</mTag> <mType>1</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>2</count> <item_version>0</item_version> <item>103</item> <item>113</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>3</mMinTripCount> <mMaxTripCount>3</mMaxTripCount> <mMinLatency>6</mMinLatency> <mMaxLatency>6</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_284"> <mId>16</mId> <mTag>Region 8</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>116</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> <item class_id_reference="22" object_id="_285"> <mId>17</mId> <mTag>Return</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>118</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>0</mMinLatency> <mMaxLatency>0</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"></mDfPipe> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_286"> <states class_id="25" tracking_level="0" version="0"> <count>11</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_287"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>8</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_288"> <id>4</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_289"> <id>5</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_290"> <id>6</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_291"> <id>7</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_292"> <id>8</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_293"> <id>9</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_294"> <id>10</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_295"> <id>11</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_296"> <id>2</id> <operations> <count>13</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_297"> <id>13</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_298"> <id>14</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_299"> <id>15</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_300"> <id>16</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_301"> <id>17</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_302"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_303"> <id>20</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_304"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_305"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_306"> <id>23</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_307"> <id>24</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_308"> <id>25</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_309"> <id>46</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_310"> <id>3</id> <operations> <count>12</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_311"> <id>27</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_312"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_313"> <id>29</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_314"> <id>30</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_315"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_316"> <id>34</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_317"> <id>35</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_318"> <id>36</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_319"> <id>37</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_320"> <id>39</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_321"> <id>43</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_322"> <id>44</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_323"> <id>4</id> <operations> <count>5</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_324"> <id>33</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_325"> <id>38</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_326"> <id>39</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_327"> <id>40</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_328"> <id>41</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_329"> <id>5</id> <operations> <count>13</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_330"> <id>48</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_331"> <id>49</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_332"> <id>50</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_333"> <id>51</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_334"> <id>52</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_335"> <id>54</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_336"> <id>55</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_337"> <id>56</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_338"> <id>57</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_339"> <id>58</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_340"> <id>59</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_341"> <id>60</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_342"> <id>81</id> <stage>2</stage> <latency>2</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_343"> <id>6</id> <operations> <count>12</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_344"> <id>62</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_345"> <id>63</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_346"> <id>64</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_347"> <id>65</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_348"> <id>66</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_349"> <id>69</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_350"> <id>70</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_351"> <id>71</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_352"> <id>72</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_353"> <id>74</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_354"> <id>78</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_355"> <id>79</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_356"> <id>7</id> <operations> <count>5</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_357"> <id>68</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_358"> <id>73</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_359"> <id>74</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_360"> <id>75</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_361"> <id>76</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_362"> <id>8</id> <operations> <count>2</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_363"> <id>81</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_364"> <id>82</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_365"> <id>9</id> <operations> <count>13</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_366"> <id>84</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_367"> <id>85</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_368"> <id>86</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_369"> <id>87</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_370"> <id>88</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_371"> <id>90</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_372"> <id>91</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_373"> <id>92</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_374"> <id>93</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_375"> <id>94</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_376"> <id>95</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_377"> <id>96</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_378"> <id>117</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_379"> <id>10</id> <operations> <count>12</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_380"> <id>98</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_381"> <id>99</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_382"> <id>100</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_383"> <id>101</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_384"> <id>102</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_385"> <id>105</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_386"> <id>106</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_387"> <id>107</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_388"> <id>109</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_389"> <id>110</id> <stage>2</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_390"> <id>114</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_391"> <id>115</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_392"> <id>11</id> <operations> <count>5</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_393"> <id>104</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_394"> <id>108</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_395"> <id>110</id> <stage>1</stage> <latency>2</latency> </item> <item class_id_reference="28" object_id="_396"> <id>111</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_397"> <id>112</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>16</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_398"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>-1</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_399"> <inState>2</inState> <outState>3</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item class_id="34" tracking_level="0" version="0"> <first class_id="35" tracking_level="0" version="0"> <first>14</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_400"> <inState>3</inState> <outState>4</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>28</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_401"> <inState>4</inState> <outState>3</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_402"> <inState>3</inState> <outState>2</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>28</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_403"> <inState>2</inState> <outState>5</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>14</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_404"> <inState>5</inState> <outState>8</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>49</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_405"> <inState>5</inState> <outState>6</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>49</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_406"> <inState>6</inState> <outState>7</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>63</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_407"> <inState>7</inState> <outState>6</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_408"> <inState>6</inState> <outState>5</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>63</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_409"> <inState>8</inState> <outState>9</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_410"> <inState>9</inState> <outState>10</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>85</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_411"> <inState>10</inState> <outState>11</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>99</first> <second>0</second> </first> <second>1</second> </item> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_412"> <inState>11</inState> <outState>10</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_413"> <inState>10</inState> <outState>9</outState> <condition> <id>-1</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>1</count> <item_version>0</item_version> <item> <first> <first>99</first> <second>0</second> </first> <second>0</second> </item> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="-1"></res> <node_label_latency class_id="37" tracking_level="0" version="0"> <count>74</count> <item_version>0</item_version> <item class_id="38" tracking_level="0" version="0"> <first>8</first> <second class_id="39" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>9</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>10</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>11</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>27</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>30</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>34</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>36</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>37</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>38</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>39</first> <second> <first>2</first> <second>1</second> </second> </item> <item> <first>40</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>41</first> <second> <first>3</first> <second>0</second> </second> </item> <item> <first>44</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>46</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>48</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>49</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>51</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>52</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>56</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>57</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>58</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>59</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>60</first> <second> <first>4</first> <second>0</second> </second> </item> <item> <first>62</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>63</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>65</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>66</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>69</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>70</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>71</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>72</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>73</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>74</first> <second> <first>5</first> <second>1</second> </second> </item> <item> <first>75</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>76</first> <second> <first>6</first> <second>0</second> </second> </item> <item> <first>79</first> <second> <first>5</first> <second>0</second> </second> </item> <item> <first>81</first> <second> <first>4</first> <second>1</second> </second> </item> <item> <first>82</first> <second> <first>7</first> <second>0</second> </second> </item> <item> <first>84</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>85</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>87</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>88</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>92</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>93</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>94</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>95</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>96</first> <second> <first>8</first> <second>0</second> </second> </item> <item> <first>98</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>99</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>101</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>102</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>105</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>106</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>107</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>108</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>109</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>110</first> <second> <first>9</first> <second>1</second> </second> </item> <item> <first>111</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>112</first> <second> <first>10</first> <second>0</second> </second> </item> <item> <first>115</first> <second> <first>9</first> <second>0</second> </second> </item> <item> <first>117</first> <second> <first>8</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="40" tracking_level="0" version="0"> <count>19</count> <item_version>0</item_version> <item class_id="41" tracking_level="0" version="0"> <first>12</first> <second class_id="42" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>26</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>32</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>42</first> <second> <first>2</first> <second>3</second> </second> </item> <item> <first>45</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>47</first> <second> <first>1</first> <second>1</second> </second> </item> <item> <first>53</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>61</first> <second> <first>2</first> <second>2</second> </second> </item> <item> <first>67</first> <second> <first>3</first> <second>3</second> </second> </item> <item> <first>77</first> <second> <first>3</first> <second>4</second> </second> </item> <item> <first>80</first> <second> <first>3</first> <second>3</second> </second> </item> <item> <first>83</first> <second> <first>2</first> <second>3</second> </second> </item> <item> <first>89</first> <second> <first>4</first> <second>4</second> </second> </item> <item> <first>97</first> <second> <first>4</first> <second>4</second> </second> </item> <item> <first>103</first> <second> <first>5</first> <second>5</second> </second> </item> <item> <first>113</first> <second> <first>5</first> <second>6</second> </second> </item> <item> <first>116</first> <second> <first>5</first> <second>5</second> </second> </item> <item> <first>118</first> <second> <first>4</first> <second>4</second> </second> </item> </bblk_ent_exit> <regions class_id="43" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </regions> <dp_fu_nodes class_id="44" tracking_level="0" version="0"> <count>55</count> <item_version>0</item_version> <item class_id="45" tracking_level="0" version="0"> <first>48</first> <second> <count>1</count> <item_version>0</item_version> <item>8</item> </second> </item> <item> <first>52</first> <second> <count>1</count> <item_version>0</item_version> <item>9</item> </second> </item> <item> <first>56</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>60</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>67</first> <second> <count>2</count> <item_version>0</item_version> <item>39</item> <item>39</item> </second> </item> <item> <first>73</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>79</first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first>86</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>93</first> <second> <count>2</count> <item_version>0</item_version> <item>74</item> <item>74</item> </second> </item> <item> <first>99</first> <second> <count>1</count> <item_version>0</item_version> <item>73</item> </second> </item> <item> <first>105</first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first>112</first> <second> <count>1</count> <item_version>0</item_version> <item>109</item> </second> </item> <item> <first>118</first> <second> <count>2</count> <item_version>0</item_version> <item>110</item> <item>110</item> </second> </item> <item> <first>124</first> <second> <count>1</count> <item_version>0</item_version> <item>108</item> </second> </item> <item> <first>131</first> <second> <count>1</count> <item_version>0</item_version> <item>111</item> </second> </item> <item> <first>142</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>153</first> <second> <count>1</count> <item_version>0</item_version> <item>27</item> </second> </item> <item> <first>164</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>175</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>186</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>197</first> <second> <count>1</count> <item_version>0</item_version> <item>98</item> </second> </item> <item> <first>204</first> <second> <count>2</count> <item_version>0</item_version> <item>81</item> <item>81</item> </second> </item> <item> <first>211</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>217</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>223</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>227</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>235</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>239</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>245</first> <second> <count>1</count> <item_version>0</item_version> <item>28</item> </second> </item> <item> <first>251</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>257</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>261</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>266</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>271</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> <item> <first>277</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>283</first> <second> <count>1</count> <item_version>0</item_version> <item>56</item> </second> </item> <item> <first>287</first> <second> <count>1</count> <item_version>0</item_version> <item>57</item> </second> </item> <item> <first>295</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>299</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>305</first> <second> <count>1</count> <item_version>0</item_version> <item>63</item> </second> </item> <item> <first>311</first> <second> <count>1</count> <item_version>0</item_version> <item>65</item> </second> </item> <item> <first>317</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>321</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> <item> <first>326</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>331</first> <second> <count>1</count> <item_version>0</item_version> <item>85</item> </second> </item> <item> <first>337</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>343</first> <second> <count>1</count> <item_version>0</item_version> <item>92</item> </second> </item> <item> <first>347</first> <second> <count>1</count> <item_version>0</item_version> <item>93</item> </second> </item> <item> <first>355</first> <second> <count>1</count> <item_version>0</item_version> <item>94</item> </second> </item> <item> <first>359</first> <second> <count>1</count> <item_version>0</item_version> <item>95</item> </second> </item> <item> <first>365</first> <second> <count>1</count> <item_version>0</item_version> <item>99</item> </second> </item> <item> <first>371</first> <second> <count>1</count> <item_version>0</item_version> <item>101</item> </second> </item> <item> <first>377</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>381</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> <item> <first>386</first> <second> <count>1</count> <item_version>0</item_version> <item>107</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="47" tracking_level="0" version="0"> <count>48</count> <item_version>0</item_version> <item class_id="48" tracking_level="0" version="0"> <first>A_addr_gep_fu_60</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>B_addr_gep_fu_86</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>C_addr_gep_fu_124</first> <second> <count>1</count> <item_version>0</item_version> <item>108</item> </second> </item> <item> <first>C_assign_addr_gep_fu_112</first> <second> <count>1</count> <item_version>0</item_version> <item>109</item> </second> </item> <item> <first>C_assign_alloca_fu_56</first> <second> <count>1</count> <item_version>0</item_version> <item>10</item> </second> </item> <item> <first>a_i_addr_gep_fu_73</first> <second> <count>1</count> <item_version>0</item_version> <item>38</item> </second> </item> <item> <first>a_i_alloca_fu_48</first> <second> <count>1</count> <item_version>0</item_version> <item>8</item> </second> </item> <item> <first>b_i_addr_gep_fu_99</first> <second> <count>1</count> <item_version>0</item_version> <item>73</item> </second> </item> <item> <first>b_i_alloca_fu_52</first> <second> <count>1</count> <item_version>0</item_version> <item>9</item> </second> </item> <item> <first>c2_phi_fu_175</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>c4_phi_fu_197</first> <second> <count>1</count> <item_version>0</item_version> <item>98</item> </second> </item> <item> <first>c_1_fu_251</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>c_2_fu_311</first> <second> <count>1</count> <item_version>0</item_version> <item>65</item> </second> </item> <item> <first>c_3_fu_371</first> <second> <count>1</count> <item_version>0</item_version> <item>101</item> </second> </item> <item> <first>c_phi_fu_153</first> <second> <count>1</count> <item_version>0</item_version> <item>27</item> </second> </item> <item> <first>p_shl1_cast_fu_295</first> <second> <count>1</count> <item_version>0</item_version> <item>58</item> </second> </item> <item> <first>p_shl2_cast_fu_355</first> <second> <count>1</count> <item_version>0</item_version> <item>94</item> </second> </item> <item> <first>p_shl_cast_fu_235</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>r1_phi_fu_164</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>r3_phi_fu_186</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>r_1_fu_217</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>r_2_fu_277</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>r_3_fu_337</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>r_phi_fu_142</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>tmp_10_cast_fu_377</first> <second> <count>1</count> <item_version>0</item_version> <item>105</item> </second> </item> <item> <first>tmp_10_fu_299</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>tmp_11_fu_261</first> <second> <count>1</count> <item_version>0</item_version> <item>35</item> </second> </item> <item> <first>tmp_13_fu_347</first> <second> <count>1</count> <item_version>0</item_version> <item>93</item> </second> </item> <item> <first>tmp_14_fu_359</first> <second> <count>1</count> <item_version>0</item_version> <item>95</item> </second> </item> <item> <first>tmp_15_fu_321</first> <second> <count>1</count> <item_version>0</item_version> <item>70</item> </second> </item> <item> <first>tmp_16_fu_381</first> <second> <count>1</count> <item_version>0</item_version> <item>106</item> </second> </item> <item> <first>tmp_18_cast_fu_266</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>tmp_1_cast_fu_223</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>tmp_1_fu_227</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>tmp_21_cast_fu_326</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>tmp_22_cast_fu_386</first> <second> <count>1</count> <item_version>0</item_version> <item>107</item> </second> </item> <item> <first>tmp_2_fu_271</first> <second> <count>1</count> <item_version>0</item_version> <item>49</item> </second> </item> <item> <first>tmp_3_fu_245</first> <second> <count>1</count> <item_version>0</item_version> <item>28</item> </second> </item> <item> <first>tmp_4_cast_fu_283</first> <second> <count>1</count> <item_version>0</item_version> <item>56</item> </second> </item> <item> <first>tmp_4_fu_239</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>tmp_5_cast_fu_317</first> <second> <count>1</count> <item_version>0</item_version> <item>69</item> </second> </item> <item> <first>tmp_6_cast_fu_257</first> <second> <count>1</count> <item_version>0</item_version> <item>34</item> </second> </item> <item> <first>tmp_6_fu_287</first> <second> <count>1</count> <item_version>0</item_version> <item>57</item> </second> </item> <item> <first>tmp_7_fu_365</first> <second> <count>1</count> <item_version>0</item_version> <item>99</item> </second> </item> <item> <first>tmp_8_fu_331</first> <second> <count>1</count> <item_version>0</item_version> <item>85</item> </second> </item> <item> <first>tmp_9_fu_305</first> <second> <count>1</count> <item_version>0</item_version> <item>63</item> </second> </item> <item> <first>tmp_cast_fu_343</first> <second> <count>1</count> <item_version>0</item_version> <item>92</item> </second> </item> <item> <first>tmp_fu_211</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>1</count> <item_version>0</item_version> <item> <first>grp_matrix_multiply_alt2_fu_204</first> <second> <count>2</count> <item_version>0</item_version> <item>81</item> <item>81</item> </second> </item> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="49" tracking_level="0" version="0"> <count>9</count> <item_version>0</item_version> <item class_id="50" tracking_level="0" version="0"> <first class_id="51" tracking_level="0" version="0"> <first>A</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>39</item> <item>39</item> </second> </item> <item> <first> <first>B</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>74</item> <item>74</item> </second> </item> <item> <first> <first>C</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>111</item> </second> </item> <item> <first> <first>C_assign</first> <second>0</second> </first> <second> <count>2</count> <item_version>0</item_version> <item>110</item> <item>110</item> </second> </item> <item> <first> <first>C_assign</first> <second>100</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>81</item> </second> </item> <item> <first> <first>a_i</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>40</item> </second> </item> <item> <first> <first>a_i</first> <second>100</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>81</item> </second> </item> <item> <first> <first>b_i</first> <second>0</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>75</item> </second> </item> <item> <first> <first>b_i</first> <second>100</second> </first> <second> <count>1</count> <item_version>0</item_version> <item>81</item> </second> </item> </dp_mem_port_nodes> <dp_reg_nodes> <count>21</count> <item_version>0</item_version> <item> <first>138</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>149</first> <second> <count>1</count> <item_version>0</item_version> <item>27</item> </second> </item> <item> <first>160</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>171</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>182</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>193</first> <second> <count>1</count> <item_version>0</item_version> <item>98</item> </second> </item> <item> <first>394</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>399</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>407</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>412</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>417</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>425</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>430</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>438</first> <second> <count>1</count> <item_version>0</item_version> <item>65</item> </second> </item> <item> <first>443</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>448</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>456</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>461</first> <second> <count>1</count> <item_version>0</item_version> <item>95</item> </second> </item> <item> <first>469</first> <second> <count>1</count> <item_version>0</item_version> <item>101</item> </second> </item> <item> <first>474</first> <second> <count>1</count> <item_version>0</item_version> <item>107</item> </second> </item> <item> <first>479</first> <second> <count>1</count> <item_version>0</item_version> <item>109</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>21</count> <item_version>0</item_version> <item> <first>A_addr_reg_417</first> <second> <count>1</count> <item_version>0</item_version> <item>37</item> </second> </item> <item> <first>B_addr_reg_448</first> <second> <count>1</count> <item_version>0</item_version> <item>72</item> </second> </item> <item> <first>C_assign_addr_reg_479</first> <second> <count>1</count> <item_version>0</item_version> <item>109</item> </second> </item> <item> <first>c2_reg_171</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>c4_reg_193</first> <second> <count>1</count> <item_version>0</item_version> <item>98</item> </second> </item> <item> <first>c_1_reg_407</first> <second> <count>1</count> <item_version>0</item_version> <item>30</item> </second> </item> <item> <first>c_2_reg_438</first> <second> <count>1</count> <item_version>0</item_version> <item>65</item> </second> </item> <item> <first>c_3_reg_469</first> <second> <count>1</count> <item_version>0</item_version> <item>101</item> </second> </item> <item> <first>c_reg_149</first> <second> <count>1</count> <item_version>0</item_version> <item>27</item> </second> </item> <item> <first>r1_reg_160</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>r3_reg_182</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>r_1_reg_394</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>r_2_reg_425</first> <second> <count>1</count> <item_version>0</item_version> <item>51</item> </second> </item> <item> <first>r_3_reg_456</first> <second> <count>1</count> <item_version>0</item_version> <item>87</item> </second> </item> <item> <first>r_reg_138</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>tmp_10_reg_430</first> <second> <count>1</count> <item_version>0</item_version> <item>59</item> </second> </item> <item> <first>tmp_14_reg_461</first> <second> <count>1</count> <item_version>0</item_version> <item>95</item> </second> </item> <item> <first>tmp_18_cast_reg_412</first> <second> <count>1</count> <item_version>0</item_version> <item>36</item> </second> </item> <item> <first>tmp_21_cast_reg_443</first> <second> <count>1</count> <item_version>0</item_version> <item>71</item> </second> </item> <item> <first>tmp_22_cast_reg_474</first> <second> <count>1</count> <item_version>0</item_version> <item>107</item> </second> </item> <item> <first>tmp_4_reg_399</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>6</count> <item_version>0</item_version> <item> <first>138</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>149</first> <second> <count>1</count> <item_version>0</item_version> <item>27</item> </second> </item> <item> <first>160</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>171</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>182</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>193</first> <second> <count>1</count> <item_version>0</item_version> <item>98</item> </second> </item> </dp_reg_phi> <dp_regname_phi> <count>6</count> <item_version>0</item_version> <item> <first>c2_reg_171</first> <second> <count>1</count> <item_version>0</item_version> <item>62</item> </second> </item> <item> <first>c4_reg_193</first> <second> <count>1</count> <item_version>0</item_version> <item>98</item> </second> </item> <item> <first>c_reg_149</first> <second> <count>1</count> <item_version>0</item_version> <item>27</item> </second> </item> <item> <first>r1_reg_160</first> <second> <count>1</count> <item_version>0</item_version> <item>48</item> </second> </item> <item> <first>r3_reg_182</first> <second> <count>1</count> <item_version>0</item_version> <item>84</item> </second> </item> <item> <first>r_reg_138</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> </dp_regname_phi> <dp_port_io_nodes class_id="52" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="53" tracking_level="0" version="0"> <first>A(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>39</item> <item>39</item> </second> </item> </second> </item> <item> <first>B(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>load</first> <second> <count>2</count> <item_version>0</item_version> <item>74</item> <item>74</item> </second> </item> </second> </item> <item> <first>C(p0)</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>store</first> <second> <count>1</count> <item_version>0</item_version> <item>111</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="54" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="55" tracking_level="0" version="0"> <first>1</first> <second>RAM</second> </item> <item> <first>2</first> <second>RAM</second> </item> <item> <first>3</first> <second>RAM</second> </item> </port2core> <node2core> <count>3</count> <item_version>0</item_version> <item> <first>8</first> <second>RAM</second> </item> <item> <first>9</first> <second>RAM</second> </item> <item> <first>10</first> <second>RAM</second> </item> </node2core> </syndb> </boost_serialization>
25.817447
247
0.599515
1836311e76342f7ce950471b5f5d57881a40de42
734
ads
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/pack13.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/pack13.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/gnat.dg/pack13.ads
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
with Pack13_Pkg; package Pack13 is package Four_Bits is new Pack13_Pkg (4); package Thirty_Two_Bits is new Pack13_Pkg (32); type Object is private; type Object_Ptr is access all Object; procedure Set (Myself : Object_Ptr; The_Data : Thirty_Two_Bits.Object); private type Some_Record is record Data_1 : Thirty_Two_Bits.Object; Data_2 : Thirty_Two_Bits.Object; Small_Data : Four_Bits.Object; end record; for Some_Record use record Data_1 at 0 range 0 .. 31; Data_2 at 4 range 0 .. 31; Small_Data at 8 range 0 .. 3; end record; type Object is record Something : Some_Record; end record; for Object use record Something at 0 range 0 .. 67; end record; end Pack13;
21.588235
73
0.700272
dc7a88275f84abc45c2dac262fb92c2f1abac235
27,120
adb
Ada
source/compiler/compiler-field_descriptors.adb
yannickmoy/protobuf
aebbc88cdcea147eb110b70e04eb6936e523ca95
[ "MIT" ]
12
2020-05-04T09:30:21.000Z
2022-02-08T21:47:32.000Z
source/compiler/compiler-field_descriptors.adb
yannickmoy/protobuf
aebbc88cdcea147eb110b70e04eb6936e523ca95
[ "MIT" ]
6
2021-03-16T15:17:33.000Z
2022-03-31T21:32:47.000Z
source/compiler/compiler-field_descriptors.adb
yannickmoy/protobuf
aebbc88cdcea147eb110b70e04eb6936e523ca95
[ "MIT" ]
1
2021-03-16T15:09:27.000Z
2021-03-16T15:09:27.000Z
-- MIT License -- -- Copyright (c) 2020 Max Reznik -- -- Permission is hereby granted, free of charge, to any person obtaining a -- copy of this software and associated documentation files (the "Software"), -- to deal in the Software without restriction, including without limitation -- the rights to use, copy, modify, merge, publish, distribute, sublicense, -- and/or sell copies of the Software, and to permit persons to whom the -- Software is furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL -- THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER -- DEALINGS IN THE SOFTWARE. package body Compiler.Field_Descriptors is use all type Google.Protobuf.Descriptor.Label; F : Ada_Pretty.Factory renames Compiler.Context.Factory; function "+" (Text : Wide_Wide_String) return League.Strings.Universal_String renames League.Strings.To_Universal_String; function Type_Name (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Is_Option : Boolean; Is_Repeated : Boolean) return Compiler.Context.Ada_Type_Name; function Default (X : Google.Protobuf.Descriptor.PB_Type) return League.Strings.Universal_String; -- Default value for a predefined type function Default (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Is_Option : Boolean; Pkg : League.Strings.Universal_String; Tipe : League.Strings.Universal_String; Fake : Compiler.Context.String_Sets.Set) return Ada_Pretty.Node_Access; -- Default value for a field function Map (X : Google.Protobuf.Descriptor.PB_Type) return Compiler.Context.Ada_Type_Name; function Is_Enum (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return Boolean; function Is_Repeated (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Pkg : League.Strings.Universal_String; Tipe : League.Strings.Universal_String; Fake : Compiler.Context.String_Sets.Set) return Boolean; function Is_Packed (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return Boolean; function Is_Optional (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return Boolean; function Is_Message (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return Boolean; function Read_Name (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return League.Strings.Universal_String; function Write_Name (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Is_Option : Boolean) return League.Strings.Universal_String; --------------- -- Case_Path -- --------------- function Case_Path (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Pkg : League.Strings.Universal_String; Tipe : League.Strings.Universal_String; Fake : Compiler.Context.String_Sets.Set) return Ada_Pretty.Node_Access is use type League.Strings.Universal_String; My_Name : constant League.Strings.Universal_String := Compiler.Context.To_Ada_Name (Self.Name.Value); Result : Ada_Pretty.Node_Access; begin Result := F.New_Case_Path (F.New_Name (My_Name & "_Kind"), Write_Call (Self, Pkg, Tipe, Fake)); return Result; end Case_Path; --------------- -- Component -- --------------- function Component (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Pkg : League.Strings.Universal_String; Tipe : League.Strings.Universal_String; Fake : Compiler.Context.String_Sets.Set) return Ada_Pretty.Node_Access is use type Compiler.Context.Ada_Type_Name; Result : Ada_Pretty.Node_Access; Name : constant League.Strings.Universal_String := Compiler.Context.To_Ada_Name (Self.Name.Value); Is_Vector : constant Boolean := Is_Repeated (Self, Pkg, Tipe, Fake); Is_Option : constant Boolean := Is_Optional (Self) and not Is_Vector and not Self.Oneof_Index.Is_Set; My_Type : constant League.Strings.Universal_String := Compiler.Context.Relative_Name (+Type_Name (Self, Is_Option, Is_Vector), Pkg); begin Result := F.New_Variable (Name => F.New_Name (Name), Type_Definition => F.New_Selected_Name (My_Type), Initialization => Default (Self, Is_Option, Pkg, Tipe, Fake)); return Result; end Component; ------------- -- Default -- ------------- function Default (X : Google.Protobuf.Descriptor.PB_Type) return League.Strings.Universal_String is use all type Google.Protobuf.Descriptor.PB_Type; begin case X is when TYPE_DOUBLE => return +"0.0"; when TYPE_FLOAT => return +"0.0"; when TYPE_INT64 => return +"0"; when TYPE_UINT64 => return +"0"; when TYPE_INT32 => return +"0"; when TYPE_FIXED64 => return +"0"; when TYPE_FIXED32 => return +"0"; when TYPE_BOOL => return +"False"; when TYPE_STRING => return League.Strings.Empty_Universal_String; when TYPE_GROUP => return League.Strings.Empty_Universal_String; when TYPE_MESSAGE => return League.Strings.Empty_Universal_String; when TYPE_BYTES => return League.Strings.Empty_Universal_String; when TYPE_UINT32 => return +"0"; when TYPE_ENUM => return League.Strings.Empty_Universal_String; when TYPE_SFIXED32 => return +"0"; when TYPE_SFIXED64 => return +"0"; when TYPE_SINT32 => return +"0"; when TYPE_SINT64 => return +"0"; end case; end Default; ------------- -- Default -- ------------- function Default (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Is_Option : Boolean; Pkg : League.Strings.Universal_String; Tipe : League.Strings.Universal_String; Fake : Compiler.Context.String_Sets.Set) return Ada_Pretty.Node_Access is Result : Ada_Pretty.Node_Access; begin if Is_Repeated (Self, Pkg, Tipe, Fake) then null; elsif Is_Option and Compiler.Context.Is_Proto_2 then null; elsif Self.Type_Name.Is_Set then declare Value : constant League.Strings.Universal_String := Self.Type_Name.Value; begin if Compiler.Context.Named_Types.Contains (Value) then declare Full : League.Strings.Universal_String; Element : constant Compiler.Context.Named_Type := Compiler.Context.Named_Types (Value); begin if Element.Is_Enumeration then Full := Element.Ada_Type.Package_Name; Full.Append ("."); Full.Append (Element.Enum.Default); Full := Compiler.Context.Relative_Name (Full, Pkg); Result := F.New_Selected_Name (Full); end if; end; else raise Constraint_Error with "Type not found: " & Value.To_UTF_8_String; end if; end; elsif Self.PB_Type.Is_Set then declare Value : constant League.Strings.Universal_String := Default (Self.PB_Type.Value); begin if not Value.Is_Empty then Result := F.New_Name (Value); end if; end; end if; return Result; end Default; ---------------- -- Dependency -- ---------------- procedure Dependency (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Result : in out Compiler.Context.String_Sets.Set) is Is_Vector : constant Boolean := Is_Repeated (Self, +"", +"", Compiler.Context.String_Sets.Empty_Set); Is_Option : constant Boolean := Is_Optional (Self) and not Is_Vector and not Self.Oneof_Index.Is_Set; My_Pkg : constant League.Strings.Universal_String := Type_Name (Self, Is_Option, Is_Vector).Package_Name; begin if not My_Pkg.Is_Empty then Result.Include (My_Pkg); end if; if Is_Enum (Self) then Result.Include (+"PB_Support.Vectors"); end if; end Dependency; -------------------- -- Get_Used_Types -- -------------------- procedure Get_Used_Types (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Result : in out Compiler.Context.String_Sets.Set) is begin if Self.Type_Name.Is_Set then Result.Include (Self.Type_Name.Value); end if; end Get_Used_Types; ------------- -- Is_Enum -- ------------- function Is_Enum (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return Boolean is begin if Self.Type_Name.Is_Set and then Compiler.Context.Named_Types.Contains (Self.Type_Name.Value) then return Compiler.Context.Named_Types (Self.Type_Name.Value).Is_Enumeration; else return False; end if; end Is_Enum; ---------------- -- Is_Message -- ---------------- function Is_Message (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return Boolean is begin if Self.Type_Name.Is_Set and then Compiler.Context.Named_Types.Contains (Self.Type_Name.Value) then return not Compiler.Context.Named_Types (Self.Type_Name.Value).Is_Enumeration; else return False; end if; end Is_Message; ----------------- -- Is_Optional -- ----------------- function Is_Optional (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return Boolean is begin return not Self.Label.Is_Set or else Self.Label.Value = LABEL_OPTIONAL; end Is_Optional; --------------- -- Is_Packed -- --------------- function Is_Packed (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return Boolean is use all type Google.Protobuf.Descriptor.PB_Type; Is_Primitive_Numeric_Vector : constant Boolean := Self.Label.Is_Set and then Self.Label.Value = LABEL_REPEATED and then Self.PB_Type.Is_Set and then Self.PB_Type.Value not in TYPE_BYTES | TYPE_STRING; Packed : constant Boolean := (Self.Options.Is_Set and then Self.Options.Value.Packed.Is_Set and then Self.Options.Value.Packed.Value) -- set explicitly or else -- default in proto3 (Is_Primitive_Numeric_Vector and not Self.Options.Is_Set and not Compiler.Context.Is_Proto_2) or else -- default in proto3 (Is_Primitive_Numeric_Vector and then Self.Options.Is_Set and then not Self.Options.Value.Packed.Is_Set and then not Compiler.Context.Is_Proto_2); begin return Packed; end Is_Packed; ----------------- -- Is_Repeated -- ----------------- function Is_Repeated (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Pkg : League.Strings.Universal_String; Tipe : League.Strings.Universal_String; Fake : Compiler.Context.String_Sets.Set) return Boolean is begin return (Self.Label.Is_Set and then Self.Label.Value = LABEL_REPEATED) or else Fake.Contains (Unique_Id (Self, Pkg, Tipe)); end Is_Repeated; --------- -- Map -- --------- function Map (X : Google.Protobuf.Descriptor.PB_Type) return Compiler.Context.Ada_Type_Name is use all type Google.Protobuf.Descriptor.PB_Type; begin case X is when TYPE_DOUBLE => return (+"Interfaces", +"IEEE_Float_64"); when TYPE_FLOAT => return (+"Interfaces", +"IEEE_Float_32"); when TYPE_INT64 => return (+"Interfaces", +"Integer_64"); when TYPE_UINT64 => return (+"Interfaces", +"Unsigned_64"); when TYPE_INT32 => return (+"Interfaces", +"Integer_32"); when TYPE_FIXED64 => return (+"Interfaces", +"Unsigned_64"); when TYPE_FIXED32 => return (+"Interfaces", +"Unsigned_32"); when TYPE_BOOL => return (+"", +"Boolean"); when TYPE_STRING => return (+"League.Strings", +"Universal_String"); when TYPE_BYTES => return (+"League.Stream_Element_Vectors", +"Stream_Element_Vector"); when TYPE_UINT32 => return (+"Interfaces", +"Unsigned_32"); when TYPE_SFIXED32 => return (+"Interfaces", +"Integer_32"); when TYPE_SFIXED64 => return (+"Interfaces", +"Integer_64"); when TYPE_SINT32 => return (+"Interfaces", +"Integer_32"); when TYPE_SINT64 => return (+"Interfaces", +"Integer_64"); when TYPE_GROUP | TYPE_MESSAGE | TYPE_ENUM => raise Program_Error; end case; end Map; --------------- -- Read_Case -- --------------- function Read_Case (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Pkg : League.Strings.Universal_String; Tipe : League.Strings.Universal_String; Fake : Compiler.Context.String_Sets.Set; Oneof : League.Strings.Universal_String) return Ada_Pretty.Node_Access is use type League.Strings.Universal_String; Is_Vector : constant Boolean := Is_Repeated (Self, Pkg, Tipe, Fake); My_Name : League.Strings.Universal_String := Compiler.Context.To_Ada_Name (Self.Name.Value); Result : Ada_Pretty.Node_Access; Field : Integer; begin Field := Integer (Self.Number.Value); if Self.Oneof_Index.Is_Set then Result := F.New_If (Condition => F.New_List (F.New_Selected_Name ("V.Variant." & Oneof), F.New_Infix (+"/=", F.New_Name (My_Name & "_Kind"))), Then_Path => F.New_Assignment (F.New_Selected_Name (+"V.Variant"), F.New_Parentheses (F.New_List (F.New_Component_Association (F.New_Name (My_Name & "_Kind")), F.New_Component_Association (Choices => F.New_Name (+"others"), Value => F.New_Name (+"<>")))))); My_Name.Prepend ("V.Variant."); elsif not Is_Vector and Is_Optional (Self) and (Is_Message (Self) or Compiler.Context.Is_Proto_2) then My_Name.Prepend ("V."); Result := F.New_If (Condition => F.New_Infix (Operator => +"not", Left => F.New_Selected_Name (My_Name & ".Is_Set")), Then_Path => F.New_Assignment (Left => F.New_Selected_Name (My_Name), Right => F.New_Parentheses (F.New_List (F.New_Component_Association (F.New_Name (+"True")), F.New_Component_Association (Choices => F.New_Name (+"others"), Value => F.New_Name (+"<>")))))); My_Name.Append (".Value"); else My_Name.Prepend ("V."); end if; if Fake.Contains (Unique_Id (Self, Pkg, Tipe)) then Result := F.New_List (Result, F.New_If (Condition => F.New_List (F.New_Selected_Name (My_Name & ".Length"), F.New_Infix (+"=", F.New_Literal (0))), Then_Path => F.New_Statement (F.New_Apply (F.New_Selected_Name (My_Name & ".Append"), F.New_Parentheses (F.New_Name (+"others => <>")))))); My_Name.Append (" (1)"); end if; Result := F.New_List (Result, F.New_Statement (F.New_Apply (Prefix => F.New_Selected_Name (Read_Name (Self)), Arguments => F.New_List ((F.New_Argument_Association (F.New_Name (+"Stream")), F.New_Argument_Association (F.New_Selected_Name (+"Key.Encoding")), F.New_Argument_Association (F.New_Selected_Name (My_Name))))))); Result := F.New_Case_Path (Choice => F.New_Literal (Field), List => Result); return Result; end Read_Case; --------------- -- Read_Name -- --------------- function Read_Name (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto) return League.Strings.Universal_String is use all type Google.Protobuf.Descriptor.PB_Type; use type League.Strings.Universal_String; Result : League.Strings.Universal_String := +"PB_Support.IO.Read"; Is_Vector : constant Boolean := Self.Label.Is_Set and then Self.Label.Value = LABEL_REPEATED; begin if Self.Type_Name.Is_Set and then Compiler.Context.Named_Types.Contains (Self.Type_Name.Value) then Result := Compiler.Context.Named_Types (Self.Type_Name.Value).Ada_Type.Type_Name; Result.Append ("_IO.Read"); elsif Self.PB_Type.Is_Set and then Self.PB_Type.Value in TYPE_INT64 | TYPE_UINT64 | TYPE_INT32 | TYPE_UINT32 then Result.Append ("_Varint"); elsif Self.PB_Type.Is_Set and then Self.PB_Type.Value in TYPE_FIXED64 | TYPE_FIXED32 | TYPE_SFIXED32 | TYPE_SFIXED64 then Result.Append ("_Fixed"); elsif Self.PB_Type.Is_Set and then Self.PB_Type.Value in TYPE_SINT32 | TYPE_SINT64 then Result.Append ("_Zigzag"); end if; if Is_Vector then Result.Append ("_Vector"); end if; return Result; end Read_Name; --------------- -- Type_Name -- --------------- function Type_Name (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Is_Option : Boolean; Is_Repeated : Boolean) return Compiler.Context.Ada_Type_Name is use type League.Strings.Universal_String; use all type Google.Protobuf.Descriptor.PB_Type; Result : Compiler.Context.Ada_Type_Name; begin if Self.Type_Name.Is_Set then -- Message or enum declare Value : constant League.Strings.Universal_String := Self.Type_Name.Value; begin if Compiler.Context.Named_Types.Contains (Value) then declare Element : constant Compiler.Context.Named_Type := Compiler.Context.Named_Types (Value); begin Result := Element.Ada_Type; if Element.Is_Enumeration then if Is_Repeated then Result.Type_Name.Append ("_Vectors.Vector"); elsif Is_Option and Compiler.Context.Is_Proto_2 then Result.Type_Name.Append ("_Vectors.Option"); end if; elsif Is_Repeated then Result.Type_Name.Append ("_Vector"); elsif Is_Option and not Self.Oneof_Index.Is_Set then Result.Type_Name.Prepend ("Optional_"); end if; end; else raise Constraint_Error with "Type not found: " & Value.To_UTF_8_String; end if; end; elsif Is_Option and Compiler.Context.Is_Proto_2 then Result := Map (Self.PB_Type.Value); Result.Package_Name := "PB_Support." & Result.Type_Name & "_Vectors"; Result.Type_Name := +"Option"; elsif not Is_Repeated then Result := Map (Self.PB_Type.Value); elsif Self.PB_Type.Value = TYPE_STRING then Result := (+"League.String_Vectors", +"Universal_String_Vector"); else Result := Map (Self.PB_Type.Value); Result.Package_Name := "PB_Support." & Result.Type_Name & "_Vectors"; Result.Type_Name := +"Vector"; end if; return Result; end Type_Name; --------------- -- Unique_Id -- --------------- function Unique_Id (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Pkg : League.Strings.Universal_String; Tipe : League.Strings.Universal_String) return League.Strings.Universal_String is use type League.Strings.Universal_String; begin return Pkg & "." & Tipe & "." & Self.Name.Value; end Unique_Id; ---------------- -- Write_Call -- ---------------- function Write_Call (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Pkg : League.Strings.Universal_String; Tipe : League.Strings.Universal_String; Fake : Compiler.Context.String_Sets.Set) return Ada_Pretty.Node_Access is use type Compiler.Context.Ada_Type_Name; use type League.Strings.Universal_String; Is_Enum : constant Boolean := Field_Descriptors.Is_Enum (Self); Is_Vector : constant Boolean := Is_Repeated (Self, Pkg, Tipe, Fake); Is_Option : constant Boolean := Is_Optional (Self) and not Is_Vector and not Self.Oneof_Index.Is_Set; My_Name : constant League.Strings.Universal_String := Compiler.Context.To_Ada_Name (Self.Name.Value); Result : Ada_Pretty.Node_Access; Get : League.Strings.Universal_String; Full : League.Strings.Universal_String; Initial : League.Strings.Universal_String; Value : League.Strings.Universal_String := "V." & My_Name; begin if Self.Oneof_Index.Is_Set then Value := "V.Variant." & My_Name; elsif Is_Message (Self) then if Is_Vector then Value.Append (+" (J)"); elsif Is_Option then Value.Append (+".Value"); end if; elsif Is_Option and Compiler.Context.Is_Proto_2 then Value.Append (+".Value"); end if; if Is_Message (Self) then Full := Compiler.Context.Relative_Name (+Type_Name (Self, False, False), Pkg); Result := F.New_List (F.New_Statement (F.New_Apply (F.New_Selected_Name (+"WS.Write_Key"), F.New_Argument_Association (F.New_Parentheses (F.New_List (F.New_Argument_Association (F.New_Literal (Integer (Self.Number.Value))), F.New_Argument_Association (F.New_Selected_Name (+"PB_Support.Length_Delimited"))))))), F.New_Statement (F.New_Apply (F.New_Selected_Name (Full & "'Write"), F.New_List (F.New_Name (+"Stream"), F.New_Selected_Name (Value))))); if Is_Vector then Result := F.New_For (F.New_Name (+"J"), F.New_Name (+"1 .. V." & My_Name & ".Length"), Result); end if; elsif Is_Enum then Get := Compiler.Context.Named_Types (Self.Type_Name.Value).Ada_Type.Type_Name; Result := F.New_List ((F.New_Argument_Association (F.New_Name (+"WS")), F.New_Argument_Association (F.New_Literal (Integer (Self.Number.Value))), F.New_Argument_Association (F.New_Selected_Name (Value)))); if Is_Option and not Compiler.Context.Is_Proto_2 then Result := F.New_Apply (F.New_Selected_Name (Get & "_IO.Write_Option"), F.New_List (Result, Default (Self, False, Pkg, Tipe, Fake))); elsif Is_Packed (Self) then Result := F.New_Apply (F.New_Selected_Name (Get & "_IO.Write_Packed"), Result); else Result := F.New_Apply (F.New_Selected_Name (Get & "_IO.Write"), Result); end if; Result := F.New_Statement (Result); else Result := F.New_List (F.New_Argument_Association (F.New_Literal (Integer (Self.Number.Value))), F.New_Argument_Association (F.New_Selected_Name (Value))); if Is_Option and not Compiler.Context.Is_Proto_2 then Initial := Default (Self.PB_Type.Value); if Initial.Is_Empty then Result := F.New_Apply (F.New_Selected_Name ("WS." & Write_Name (Self, Is_Option)), Result); else Result := F.New_Apply (F.New_Selected_Name ("WS." & Write_Name (Self, Is_Option)), F.New_List (Result, F.New_Name (Initial))); end if; else Result := F.New_Apply (F.New_Selected_Name ("WS." & Write_Name (Self, Is_Option)), Result); end if; Result := F.New_Statement (Result); end if; if Is_Option and (Is_Message (Self) or Compiler.Context.Is_Proto_2) and not Self.Oneof_Index.Is_Set then Result := F.New_If (F.New_Selected_Name ("V." & My_Name & ".Is_Set"), Result); end if; return Result; end Write_Call; ---------------- -- Write_Name -- ---------------- function Write_Name (Self : Google.Protobuf.Descriptor.Field_Descriptor_Proto; Is_Option : Boolean) return League.Strings.Universal_String is use all type Google.Protobuf.Descriptor.PB_Type; Result : League.Strings.Universal_String := +"Write"; Packed : constant Boolean := Is_Packed (Self); begin if Self.PB_Type.Is_Set and then Self.PB_Type.Value in TYPE_INT64 | TYPE_UINT64 | TYPE_INT32 | TYPE_UINT32 then Result.Append ("_Varint"); elsif Self.PB_Type.Is_Set and then Self.PB_Type.Value in TYPE_FIXED64 | TYPE_FIXED32 | TYPE_SFIXED32 | TYPE_SFIXED64 then Result.Append ("_Fixed"); elsif Self.PB_Type.Is_Set and then Self.PB_Type.Value in TYPE_SINT32 | TYPE_SINT64 then Result.Append ("_Zigzag"); end if; if Is_Option and not Compiler.Context.Is_Proto_2 then Result.Append ("_Option"); elsif Packed then Result.Append ("_Packed"); end if; return Result; end Write_Name; end Compiler.Field_Descriptors;
34.724712
79
0.593473
cb85a328eab61ca652b9fce22cbee04d03011eff
1,363
ads
Ada
ada-numerics-float_random.ads
mgrojo/adalib
dc1355a5b65c2843e702ac76252addb2caf3c56b
[ "BSD-3-Clause" ]
15
2018-07-08T07:09:19.000Z
2021-11-21T09:58:55.000Z
ada-numerics-float_random.ads
mgrojo/adalib
dc1355a5b65c2843e702ac76252addb2caf3c56b
[ "BSD-3-Clause" ]
4
2019-11-17T20:04:33.000Z
2021-08-29T21:24:55.000Z
ada-numerics-float_random.ads
mgrojo/adalib
dc1355a5b65c2843e702ac76252addb2caf3c56b
[ "BSD-3-Clause" ]
3
2020-04-23T11:17:11.000Z
2021-08-29T19:31:09.000Z
-- Standard Ada library specification -- Copyright (c) 2003-2018 Maxim Reznik <reznikmm@gmail.com> -- Copyright (c) 2004-2016 AXE Consultants -- Copyright (c) 2004, 2005, 2006 Ada-Europe -- Copyright (c) 2000 The MITRE Corporation, Inc. -- Copyright (c) 1992, 1993, 1994, 1995 Intermetrics, Inc. -- SPDX-License-Identifier: BSD-3-Clause and LicenseRef-AdaReferenceManual --------------------------------------------------------------------------- package Ada.Numerics.Float_Random is -- Basic facilities type Generator is limited private; subtype Uniformly_Distributed is Float range 0.0 .. 1.0; function Random (Gen : Generator) return Uniformly_Distributed; procedure Reset (Gen : in Generator; Initiator : in Integer); procedure Reset (Gen : in Generator); -- Advanced facilities type State is private; procedure Save (Gen : in Generator; To_State : out State); procedure Reset (Gen : in Generator; From_State : in State); Max_Image_Width : constant := implementation_defined; function Image (Of_State : State) return String; function Value (Coded_State : String) return State; private pragma Import (Ada, State); pragma Import (Ada, Generator); end Ada.Numerics.Float_Random;
29
75
0.623624
d0fe1e153ee7e1a59ae70e109ce92372fc7faee3
6,649
adb
Ada
llvm-gcc-4.2-2.9/gcc/ada/a-tiflau.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
1
2016-04-09T02:58:13.000Z
2016-04-09T02:58:13.000Z
llvm-gcc-4.2-2.9/gcc/ada/a-tiflau.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
llvm-gcc-4.2-2.9/gcc/ada/a-tiflau.adb
vidkidz/crossbridge
ba0bf94aee0ce6cf7eb5be882382e52bc57ba396
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . T E X T _ I O . F L O A T _ A U X -- -- -- -- B o d y -- -- -- -- Copyright (C) 1992-2006, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Ada.Text_IO.Generic_Aux; use Ada.Text_IO.Generic_Aux; with System.Img_Real; use System.Img_Real; with System.Val_Real; use System.Val_Real; package body Ada.Text_IO.Float_Aux is --------- -- Get -- --------- procedure Get (File : File_Type; Item : out Long_Long_Float; Width : Field) is Buf : String (1 .. Field'Last); Stop : Integer := 0; Ptr : aliased Integer := 1; begin if Width /= 0 then Load_Width (File, Width, Buf, Stop); String_Skip (Buf, Ptr); else Load_Real (File, Buf, Stop); end if; Item := Scan_Real (Buf, Ptr'Access, Stop); Check_End_Of_Field (Buf, Stop, Ptr, Width); end Get; ---------- -- Gets -- ---------- procedure Gets (From : String; Item : out Long_Long_Float; Last : out Positive) is Pos : aliased Integer; begin String_Skip (From, Pos); Item := Scan_Real (From, Pos'Access, From'Last); Last := Pos - 1; exception when Constraint_Error => raise Data_Error; end Gets; --------------- -- Load_Real -- --------------- procedure Load_Real (File : File_Type; Buf : out String; Ptr : in out Natural) is Loaded : Boolean; begin -- Skip initial blanks, and load possible sign Load_Skip (File); Load (File, Buf, Ptr, '+', '-'); -- Case of .nnnn Load (File, Buf, Ptr, '.', Loaded); if Loaded then Load_Digits (File, Buf, Ptr, Loaded); -- Hopeless junk if no digits loaded if not Loaded then return; end if; -- Otherwise must have digits to start else Load_Digits (File, Buf, Ptr, Loaded); -- Hopeless junk if no digits loaded if not Loaded then return; end if; -- Based cases Load (File, Buf, Ptr, '#', ':', Loaded); if Loaded then -- Case of nnn#.xxx# Load (File, Buf, Ptr, '.', Loaded); if Loaded then Load_Extended_Digits (File, Buf, Ptr); -- Case of nnn#xxx.[xxx]# or nnn#xxx# else Load_Extended_Digits (File, Buf, Ptr); Load (File, Buf, Ptr, '.', Loaded); if Loaded then Load_Extended_Digits (File, Buf, Ptr); end if; -- As usual, it seems strange to allow mixed base characters, -- but that is what ACVC tests expect, see CE3804M, case (3). Load (File, Buf, Ptr, '#', ':'); end if; -- Case of nnn.[nnn] or nnn else Load (File, Buf, Ptr, '.', Loaded); if Loaded then Load_Digits (File, Buf, Ptr); end if; end if; end if; -- Deal with exponent Load (File, Buf, Ptr, 'E', 'e', Loaded); if Loaded then Load (File, Buf, Ptr, '+', '-'); Load_Digits (File, Buf, Ptr); end if; end Load_Real; --------- -- Put -- --------- procedure Put (File : File_Type; Item : Long_Long_Float; Fore : Field; Aft : Field; Exp : Field) is Buf : String (1 .. 3 * Field'Last + 2); Ptr : Natural := 0; begin Set_Image_Real (Item, Buf, Ptr, Fore, Aft, Exp); Put_Item (File, Buf (1 .. Ptr)); end Put; ---------- -- Puts -- ---------- procedure Puts (To : out String; Item : Long_Long_Float; Aft : Field; Exp : Field) is Buf : String (1 .. 3 * Field'Last + 2); Ptr : Natural := 0; begin Set_Image_Real (Item, Buf, Ptr, Fore => 1, Aft => Aft, Exp => Exp); if Ptr > To'Length then raise Layout_Error; else for J in 1 .. Ptr loop To (To'Last - Ptr + J) := Buf (J); end loop; for J in To'First .. To'Last - Ptr loop To (J) := ' '; end loop; end if; end Puts; end Ada.Text_IO.Float_Aux;
29.034934
78
0.457362
cbddd71cd801803538ba6804450ee96aebdab5aa
7,886
ads
Ada
tools-src/gnu/gcc/gcc/ada/s-tasdeb.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
80
2015-01-02T10:14:04.000Z
2021-06-07T06:29:49.000Z
tools-src/gnu/gcc/gcc/ada/s-tasdeb.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
9
2015-05-14T11:03:12.000Z
2018-01-04T07:12:58.000Z
tools-src/gnu/gcc/gcc/ada/s-tasdeb.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
69
2015-01-02T10:45:56.000Z
2021-09-06T07:52:13.000Z
------------------------------------------------------------------------------ -- -- -- GNU ADA RUN-TIME LIBRARY (GNARL) COMPONENTS -- -- -- -- S Y S T E M . T A S K I N G . D E B U G -- -- -- -- S p e c -- -- -- -- $Revision$ -- -- -- Copyright (C) 1997-2001, Free Software Foundation, Inc. -- -- -- -- GNARL is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNARL is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNARL; see file COPYING. If not, write -- -- to the Free Software Foundation, 59 Temple Place - Suite 330, Boston, -- -- MA 02111-1307, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNARL was developed by the GNARL team at Florida State University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package encapsulates all direct interfaces to task debugging services -- that are needed by gdb with gnat mode (1.17 and higher) with Interfaces.C; with System.Tasking; with System.OS_Interface; package System.Tasking.Debug is subtype int is Interfaces.C.int; subtype unsigned_long is Interfaces.C.unsigned_long; package ST renames System.Tasking; Known_Tasks : array (0 .. 999) of Task_ID; -- Global array of tasks read by gdb, and updated by -- Create_Task and Finalize_TCB procedure Task_Creation_Hook (Thread : OS_Interface.Thread_Id); -- This procedure is used to notify VxGdb of task's creation. -- It must be called by the task's creator. procedure Task_Termination_Hook; -- This procedure is used to notify VxGdb of task's termination. function Self return Task_ID; -- return system ID of current task procedure List_Tasks; -- Print a list of all the known Ada tasks with abbreviated state -- information, one-per-line, to the standard output file procedure Print_Current_Task; procedure Print_Task_Info_Header; procedure Print_Task_Info (T : Task_ID); -- Write TASK_ID of current task, in hexadecimal, as one line, to -- the standard output file -- -- Beware that Print_Current_Task may print garbage during an early -- stage of activation. There is a small window where a task is just -- initializing itself and has not yet recorded its own task Id. -- -- Beware that Print_Current_Task will either not work at all or print -- garbage if it has interrupted a thread of control that does not -- correspond to any Ada task. For example, this is could happen if -- the debugger interrupts a signal handler that is using an alternate -- stack, or interrupts the dispatcher in the underlying thread -- implementation. procedure Set_User_State (Value : Integer); procedure Print_Accept_Info (T : Task_ID); procedure Trace (Self_ID : Task_ID; Msg : String; Other_ID : Task_ID; Flag : Character); procedure Trace (Self_ID : Task_ID; Msg : String; Flag : Character); procedure Trace (Msg : String; Flag : Character); procedure Trace (Msg : String; Other_ID : Task_ID; Flag : Character); procedure Set_Trace (Flag : Character; Value : Boolean := True); function Image (T : Task_ID) return String; procedure Suspend_All_Tasks (Thread_Self : OS_Interface.Thread_Id); -- Suspend all the tasks except the one whose associated thread is -- Thread_Self by traversing All_Tasks_Lists and calling -- System.Task_Primitives.Operations.Suspend_Task -- Such functionality is needed by gdb on some targets (e.g VxWorks) -- Warning: for efficiency purposes, there is no locking. procedure Resume_All_Tasks (Thread_Self : OS_Interface.Thread_Id); -- Resume all the tasks except the one whose associated thread is -- Thread_Self by traversing All_Tasks_Lists and calling -- System.Task_Primitives.Operations.Continue_Task -- Such functionality is needed by gdb on some targets (e.g VxWorks) -- Warning: for efficiency purposes, there is no locking. end System.Tasking.Debug; ----------------------------- -- Use of These Functions -- ----------------------------- -- Calling complicated functions from the debugger is generally pretty -- risky, especially in a multithreaded program. -- The debugger may interrupt something that is not an Ada task, -- within the thread implementation, and which is not async-safe. -- For example, under Solaris, it can interrupt code in "_dynamiclwps", -- which seems to serve as dispatcher when all the user threads are -- suspended. By experience, we have found that one cannot safely -- do certain things, apparently including calls to thread primitives -- from the debugger if the debugger has interrupted at one of these -- unsafe points. In general, if you interrupt a running program -- asynchronously (e.g. via control-C), it will not be safe to -- call the subprograms in this package. ----------------- -- Future work -- ----------------- -- It would be nice to be able to tell whether execution has been -- interrupted in an Ada task. A heuristic way of checking this would -- be if we added to the Ada TCB a component that always contains a -- constant value that is unlikely to occur accidentally in code or -- data. We could then check this in the debugger-callable subprograms, -- and simply return an error code if it looks unsafe to proceed. -- ??? -- Recently we have added such a marker as a local variable of the -- task-wrapper routine. This allows Self to generate a fake ATCB for -- non-Ada threads of control. Given this capability, it is probably -- time to revisit the issue above. -- DEADLOCK -- We follow a simple rule here to avoid deadlock: -- We do not use any locks in functions called by gdb, and we do not -- traverse linked lists. -- -- The use of an array (Known_Tasks) has many advantages: -- - Easy and fast to examine; -- - No risk of dangling references (to the next element) when traversing -- the array.
44.055866
78
0.601446
c5d180c7d7babc1cf5f7013f12fe6589646359ed
9,286
adb
Ada
source/amf/mof/cmof/amf-internals-cmof_constraints.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/amf/mof/cmof/amf-internals-cmof_constraints.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/amf/mof/cmof/amf-internals-cmof_constraints.adb
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Ada Modeling Framework -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2011-2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ with AMF.Internals.Element_Collections; with AMF.Internals.Helpers; with AMF.Internals.Tables.CMOF_Attributes; with AMF.Visitors.CMOF_Iterators; with AMF.Visitors.CMOF_Visitors; package body AMF.Internals.CMOF_Constraints is use AMF.Internals.Tables.CMOF_Attributes; ------------------- -- Enter_Element -- ------------------- overriding procedure Enter_Element (Self : not null access constant CMOF_Constraint_Proxy; Visitor : in out AMF.Visitors.Abstract_Visitor'Class; Control : in out AMF.Visitors.Traverse_Control) is begin if Visitor in AMF.Visitors.CMOF_Visitors.CMOF_Visitor'Class then AMF.Visitors.CMOF_Visitors.CMOF_Visitor'Class (Visitor).Enter_Constraint (AMF.CMOF.Constraints.CMOF_Constraint_Access (Self), Control); end if; end Enter_Element; ----------------------------- -- Get_Constrained_Element -- ----------------------------- overriding function Get_Constrained_Element (Self : not null access constant CMOF_Constraint_Proxy) return AMF.CMOF.Elements.Collections.Ordered_Set_Of_CMOF_Element is begin return AMF.CMOF.Elements.Collections.Wrap (AMF.Internals.Element_Collections.Wrap (Internal_Get_Constrained_Element (Self.Element))); end Get_Constrained_Element; ----------------- -- Get_Context -- ----------------- overriding function Get_Context (Self : not null access constant CMOF_Constraint_Proxy) return AMF.CMOF.Namespaces.CMOF_Namespace_Access is begin return AMF.CMOF.Namespaces.CMOF_Namespace_Access (AMF.Internals.Helpers.To_Element (Internal_Get_Context (Self.Element))); end Get_Context; ----------------------- -- Get_Specification -- ----------------------- overriding function Get_Specification (Self : not null access constant CMOF_Constraint_Proxy) return AMF.CMOF.Value_Specifications.CMOF_Value_Specification_Access is begin return AMF.CMOF.Value_Specifications.CMOF_Value_Specification_Access (AMF.Internals.Helpers.To_Element (Internal_Get_Specification (Self.Element))); end Get_Specification; ------------------- -- Leave_Element -- ------------------- overriding procedure Leave_Element (Self : not null access constant CMOF_Constraint_Proxy; Visitor : in out AMF.Visitors.Abstract_Visitor'Class; Control : in out AMF.Visitors.Traverse_Control) is begin if Visitor in AMF.Visitors.CMOF_Visitors.CMOF_Visitor'Class then AMF.Visitors.CMOF_Visitors.CMOF_Visitor'Class (Visitor).Leave_Constraint (AMF.CMOF.Constraints.CMOF_Constraint_Access (Self), Control); end if; end Leave_Element; ------------------- -- Visit_Element -- ------------------- overriding procedure Visit_Element (Self : not null access constant CMOF_Constraint_Proxy; Iterator : in out AMF.Visitors.Abstract_Iterator'Class; Visitor : in out AMF.Visitors.Abstract_Visitor'Class; Control : in out AMF.Visitors.Traverse_Control) is begin if Iterator in AMF.Visitors.CMOF_Iterators.CMOF_Iterator'Class then AMF.Visitors.CMOF_Iterators.CMOF_Iterator'Class (Iterator).Visit_Constraint (Visitor, AMF.CMOF.Constraints.CMOF_Constraint_Access (Self), Control); end if; end Visit_Element; ------------------------ -- All_Owned_Elements -- ------------------------ overriding function All_Owned_Elements (Self : not null access constant CMOF_Constraint_Proxy) return AMF.CMOF.Elements.Collections.Set_Of_CMOF_Element is begin -- Generated stub: replace with real body! pragma Compile_Time_Warning (Standard.True, "All_Owned_Elements unimplemented"); raise Program_Error; return All_Owned_Elements (Self); end All_Owned_Elements; ------------------------ -- Get_Qualified_Name -- ------------------------ overriding function Get_Qualified_Name (Self : not null access constant CMOF_Constraint_Proxy) return Optional_String is begin -- Generated stub: replace with real body! pragma Compile_Time_Warning (Standard.True, "Get_Qualified_Name unimplemented"); raise Program_Error; return Get_Qualified_Name (Self); end Get_Qualified_Name; ----------------------------- -- Is_Distinguishable_From -- ----------------------------- overriding function Is_Distinguishable_From (Self : not null access constant CMOF_Constraint_Proxy; N : AMF.CMOF.Named_Elements.CMOF_Named_Element_Access; Ns : AMF.CMOF.Namespaces.CMOF_Namespace_Access) return Boolean is begin -- Generated stub: replace with real body! pragma Compile_Time_Warning (Standard.True, "Is_Distinguishable_From unimplemented"); raise Program_Error; return Is_Distinguishable_From (Self, N, Ns); end Is_Distinguishable_From; ----------------------- -- Set_Specification -- ----------------------- overriding procedure Set_Specification (Self : not null access CMOF_Constraint_Proxy; To : AMF.CMOF.Value_Specifications.CMOF_Value_Specification_Access) is begin -- Generated stub: replace with real body! pragma Compile_Time_Warning (Standard.True, "Set_Specification unimplemented"); raise Program_Error; end Set_Specification; ----------------- -- Set_Context -- ----------------- overriding procedure Set_Context (Self : not null access CMOF_Constraint_Proxy; To : AMF.CMOF.Namespaces.CMOF_Namespace_Access) is begin -- Generated stub: replace with real body! pragma Compile_Time_Warning (Standard.True, "Set_Context unimplemented"); raise Program_Error; end Set_Context; end AMF.Internals.CMOF_Constraints;
41.455357
91
0.559983
dc28d5a64a1bdb41e3e3bcbc07c92deb3e663426
6,777
ads
Ada
tools-src/gnu/gcc/gcc/ada/output.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
80
2015-01-02T10:14:04.000Z
2021-06-07T06:29:49.000Z
tools-src/gnu/gcc/gcc/ada/output.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
9
2015-05-14T11:03:12.000Z
2018-01-04T07:12:58.000Z
tools-src/gnu/gcc/gcc/ada/output.ads
modern-tomato/tomato
96f09fab4929c6ddde5c9113f1b2476ad37133c4
[ "FSFAP" ]
69
2015-01-02T10:45:56.000Z
2021-09-06T07:52:13.000Z
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- O U T P U T -- -- -- -- S p e c -- -- -- -- $Revision$ -- -- -- Copyright (C) 1992-2001 Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 59 Temple Place - Suite 330, Boston, -- -- MA 02111-1307, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package contains low level output routines used by the compiler -- for writing error messages and informational output. It is also used -- by the debug source file output routines (see Sprintf.Print_Eol). with Types; use Types; package Output is pragma Elaborate_Body (Output); ------------------------- -- Line Buffer Control -- ------------------------- -- Note: the following buffer and column position are maintained by -- the subprograms defined in this package, and are not normally -- directly modified or accessed by a client. However, a client is -- permitted to modify these values, using the knowledge that only -- Write_Eol actually generates any output. Buffer_Max : constant := 8192; Buffer : String (1 .. Buffer_Max + 1); -- Buffer used to build output line. We do line buffering because it -- is needed for the support of the debug-generated-code option (-gnatD). -- Historically it was first added because on VMS, line buffering is -- needed with certain file formats. So in any case line buffering must -- be retained for this purpose, even if other reasons disappear. Note -- any attempt to write more output to a line than can fit in the buffer -- will be silently ignored. Column : Pos range 1 .. Buffer'Length + 1 := 1; -- Column about to be written. ----------------- -- Subprograms -- ----------------- procedure Set_Standard_Error; -- Sets subsequent output to appear on the standard error file (whatever -- that might mean for the host operating system, if anything). procedure Set_Standard_Output; -- Sets subsequent output to appear on the standard output file (whatever -- that might mean for the host operating system, if anything). This is -- the default mode before any call to either of the Set procedures. procedure Write_Char (C : Character); -- Write one character to the standard output file. Note that the -- character should not be LF or CR (use Write_Eol for end of line) procedure Write_Eol; -- Write an end of line (whatever is required by the system in use, -- e.g. CR/LF for DOS, or LF for Unix) to the standard output file. -- This routine also empties the line buffer, actually writing it -- to the file. Note that Write_Eol is the only routine that causes -- any actual output to be written. procedure Write_Int (Val : Int); -- Write an integer value with no leading blanks or zeroes. Negative -- values are preceded by a minus sign). procedure Write_Str (S : String); -- Write a string of characters to the standard output file. Note that -- end of line is handled separately using WRITE_EOL, so the string -- should not contain either of the characters LF or CR, but it may -- contain horizontal tab characters. procedure Write_Line (S : String); -- Equivalent to Write_Str (S) followed by Write_Eol; -------------------------- -- Debugging Procedures -- -------------------------- -- The following procedures are intended only for debugging purposes, -- for temporary insertion into the text in environments where a debugger -- is not available. They all have non-standard very short lower case -- names, precisely to make sure that they are only used for debugging! procedure w (C : Character); -- Dump quote, character quote, followed by line return procedure w (S : String); -- Dump string followed by line return procedure w (V : Int); -- Dump integer followed by line return procedure w (B : Boolean); -- Dump Boolean followed by line return procedure w (L : String; C : Character); -- Dump contents of string followed by blank, quote, character, quote procedure w (L : String; S : String); -- Dump two strings separated by blanks, followed by line return procedure w (L : String; V : Int); -- Dump contents of string followed by blank, integer, line return procedure w (L : String; B : Boolean); -- Dump contents of string followed by blank, Boolean, line return end Output;
48.755396
78
0.564409
d0a06e95406077b4d5219ff8f2af4df7ecc76ab3
756
ads
Ada
src/actions-init.ads
psyomn/agen
f1770307887e5b073f5d4c3bcce126fdc12b9625
[ "Apache-2.0" ]
1
2017-10-07T06:13:11.000Z
2017-10-07T06:13:11.000Z
src/actions-init.ads
psyomn/gnatgen
f1770307887e5b073f5d4c3bcce126fdc12b9625
[ "Apache-2.0" ]
9
2019-04-17T15:42:25.000Z
2019-04-24T05:37:13.000Z
src/actions-init.ads
psyomn/gnatgen
f1770307887e5b073f5d4c3bcce126fdc12b9625
[ "Apache-2.0" ]
1
2019-04-18T14:57:22.000Z
2019-04-18T14:57:22.000Z
-- Copyright 2019 Patrick Kelly (entomy) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- This is actually "new", but that's a reserved word in Ada package Actions.Init is procedure Help; function Try_Act return Boolean; end Actions.Init;
34.363636
75
0.747354
4a6c82785cf506bcbe94fc9be6c1182e3a2ca10e
13,730
adb
Ada
include/sf-network-ftp.adb
Fabien-Chouteau/ASFML
52a013554bcfb6150e0d6391871356c1443a6b93
[ "Zlib" ]
null
null
null
include/sf-network-ftp.adb
Fabien-Chouteau/ASFML
52a013554bcfb6150e0d6391871356c1443a6b93
[ "Zlib" ]
null
null
null
include/sf-network-ftp.adb
Fabien-Chouteau/ASFML
52a013554bcfb6150e0d6391871356c1443a6b93
[ "Zlib" ]
null
null
null
--////////////////////////////////////////////////////////// -- // -- // SFML - Simple and Fast Multimedia Library -- // Copyright (C) 2007-2009 Laurent Gomila (laurent.gom@gmail.com) -- // -- // This software is provided 'as-is', without any express or implied warranty. -- // In no event will the authors be held liable for any damages arising from the use of this software. -- // -- // Permission is granted to anyone to use this software for any purpose, -- // including commercial applications, and to alter it and redistribute it freely, -- // subject to the following restrictions: -- // -- // 1. The origin of this software must not be misrepresented; -- // you must not claim that you wrote the original software. -- // If you use this software in a product, an acknowledgment -- // in the product documentation would be appreciated but is not required. -- // -- // 2. Altered source versions must be plainly marked as such, -- // and must not be misrepresented as being the original software. -- // -- // 3. This notice may not be removed or altered from any source distribution. -- // --////////////////////////////////////////////////////////// --////////////////////////////////////////////////////////// --////////////////////////////////////////////////////////// with Interfaces.C.Strings; package body Sf.Network.Ftp is use Interfaces.C.Strings; package body ListingResponse is --////////////////////////////////////////////////////////// --/ Get the full message contained in the response --/ --/ @param FtpListingResponse Ftp listing response --/ --/ @return The response message --/ --////////////////////////////////////////////////////////// function GetMessage (FtpListingResponse : sfFtpListingResponse_Ptr) return String is function Internal (FtpListingResponse : sfFtpListingResponse_Ptr) return chars_ptr; pragma Import (C, Internal, "sfFtpListingResponse_getMessage"); Temp : chars_ptr := Internal (FtpListingResponse); R : String := Value (Temp); begin Free (Temp); return R; end GetMessage; --////////////////////////////////////////////////////////// --/ @brief Return a directory/file name contained in a FTP listing response --/ --/ @param ftpListingResponse Ftp listing response --/ @param index Index of the name to get (in range [0 .. getCount]) --/ --/ @return The requested name --/ --////////////////////////////////////////////////////////// function GetName (FtpListingResponse : sfFtpListingResponse_Ptr; Index : sfSize_t) return String is function Internal (FtpListingResponse : sfFtpListingResponse_Ptr; Index : sfSize_t) return chars_ptr; pragma Import (C, Internal, "sfFtpListingResponse_getName"); Temp : chars_ptr := Internal (FtpListingResponse, Index); R : String := Value (Temp); begin Free (Temp); return R; end GetName; end ListingResponse; package body DirectoryResponse is --////////////////////////////////////////////////////////// --/ Get the full message contained in the response --/ --/ @param FtpDirectoryResponse Ftp directory response --/ --/ @return The response message --/ --////////////////////////////////////////////////////////// function GetMessage (FtpDirectoryResponse : sfFtpDirectoryResponse_Ptr) return String is function Internal (FtpDirectoryResponse : sfFtpDirectoryResponse_Ptr) return chars_ptr; pragma Import (C, Internal, "sfFtpDirectoryResponse_getMessage"); Temp : chars_ptr := Internal (FtpDirectoryResponse); R : String := Value (Temp); begin Free (Temp); return R; end GetMessage; --////////////////////////////////////////////////////////// --/ Get the directory returned in the response --/ --/ @param FtpDirectoryResponse Ftp directory response --/ --/ @return Directory name --/ --////////////////////////////////////////////////////////// function GetDirectory (FtpDirectoryResponse : sfFtpDirectoryResponse_Ptr) return String is function Internal (FtpDirectoryResponse : sfFtpDirectoryResponse_Ptr) return chars_ptr; pragma Import (C, Internal, "sfFtpDirectoryResponse_getDirectory"); Temp : chars_ptr := Internal (FtpDirectoryResponse); R : String := Value (Temp); begin Free (Temp); return R; end GetDirectory; end DirectoryResponse; package body Response is --////////////////////////////////////////////////////////// --/ Get the full message contained in the response --/ --/ @param FtpResponse Ftp response --/ --/ @return The response message --/ --////////////////////////////////////////////////////////// function GetMessage (FtpResponse : sfFtpResponse_Ptr) return String is function Internal (FtpResponse : sfFtpResponse_Ptr) return chars_ptr; pragma Import (C, Internal, "sfFtpResponse_getMessage"); Temp : chars_ptr := Internal (FtpResponse); R : String := Value (Temp); begin Free (Temp); return R; end GetMessage; end Response; function Login (ftp : sfFtp_Ptr; name : String; password : String) return sfFtpResponse_Ptr is function Internal (Ftp : sfFtp_Ptr; UserName : chars_ptr; Password : chars_ptr) return sfFtpResponse_Ptr; pragma Import (C, Internal, "sfFtp_login"); Temp1 : chars_ptr := New_String (name); Temp2 : chars_ptr := New_String (password); R : sfFtpResponse_Ptr := Internal (Ftp, Temp1, Temp2); begin Free (Temp1); Free (Temp2); return R; end Login; --////////////////////////////////////////////////////////// --/ Get the contents of the given directory --/ (subdirectories and files) --/ --/ @param Ftp Ftp instance --/ @param Directory Directory to list ("" by default, the current one) --/ --/ @return Server response to the request --/ --////////////////////////////////////////////////////////// function GetDirectoryListing (Ftp : sfFtp_Ptr; Directory : String) return sfFtpListingResponse_Ptr is function Internal (Ftp : sfFtp_Ptr; Directory : chars_ptr) return sfFtpListingResponse_Ptr; pragma Import (C, Internal, "sfFtp_getDirectoryListing"); Temp : chars_ptr := New_String (Directory); R : sfFtpListingResponse_Ptr := Internal (Ftp, Temp); begin Free (Temp); return R; end GetDirectoryListing; --////////////////////////////////////////////////////////// --/ Change the current working directory --/ --/ @param Ftp Ftp instance --/ @param Directory New directory, relative to the current one --/ --/ @return Server response to the request --/ --////////////////////////////////////////////////////////// function ChangeDirectory (Ftp : sfFtp_Ptr; Directory : String) return sfFtpResponse_Ptr is function Internal (Ftp : sfFtp_Ptr; Directory : chars_ptr) return sfFtpResponse_Ptr; pragma Import (C, Internal, "sfFtp_changeDirectory"); Temp : chars_ptr := New_String (Directory); R : sfFtpResponse_Ptr := Internal (Ftp, Temp); begin Free (Temp); return R; end ChangeDirectory; --////////////////////////////////////////////////////////// --/ @brief Create a new directory --/ --/ The new directory is created as a child of the current --/ working directory. --/ --/ @param ftp Ftp object --/ @param name Name of the directory to create --/ --/ @return Server response to the request --/ --////////////////////////////////////////////////////////// function CreateDirectory (Ftp : sfFtp_Ptr; Name : String) return sfFtpResponse_Ptr is function Internal (Ftp : sfFtp_Ptr; Name : chars_ptr) return sfFtpResponse_Ptr; pragma Import (C, Internal, "sfFtp_createDirectory"); Temp : chars_ptr := New_String (Name); R : sfFtpResponse_Ptr := Internal (Ftp, Temp); begin Free (Temp); return R; end CreateDirectory; --////////////////////////////////////////////////////////// --/ Remove an existing directory --/ --/ @param Ftp Ftp instance --/ @param Name Name of the directory to remove --/ --/ @return Server response to the request --/ --////////////////////////////////////////////////////////// function DeleteDirectory (Ftp : sfFtp_Ptr; Name : String) return sfFtpResponse_Ptr is function Internal (Ftp : sfFtp_Ptr; Name : chars_ptr) return sfFtpResponse_Ptr; pragma Import (C, Internal, "sfFtp_deleteDirectory"); Temp : chars_ptr := New_String (Name); R : sfFtpResponse_Ptr := Internal (Ftp, Temp); begin Free (Temp); return R; end DeleteDirectory; --////////////////////////////////////////////////////////// --/ Rename a file --/ --/ @param Ftp Ftp instance --/ @param File File to rename --/ @param NewName New name --/ --/ @return Server response to the request --/ --////////////////////////////////////////////////////////// function RenameFile (Ftp : sfFtp_Ptr; File : String; NewName : String) return sfFtpResponse_Ptr is function Internal (Ftp : sfFtp_Ptr; File : chars_ptr; NewName : chars_ptr) return sfFtpResponse_Ptr; pragma Import (C, Internal, "sfFtp_renameFile"); Temp1 : chars_ptr := New_String (File); Temp2 : chars_ptr := New_String (NewName); R : sfFtpResponse_Ptr := Internal (Ftp, Temp1, Temp2); begin Free (Temp1); Free (Temp2); return R; end RenameFile; --////////////////////////////////////////////////////////// --/ Remove an existing file --/ --/ @param Ftp Ftp instance --/ @param Name File to remove --/ --/ @return Server response to the request --/ --////////////////////////////////////////////////////////// function DeleteFile (Ftp : sfFtp_Ptr; Name : String) return sfFtpResponse_Ptr is function Internal (Ftp : sfFtp_Ptr; Name : chars_ptr) return sfFtpResponse_Ptr; pragma Import (C, Internal, "sfFtp_deleteFile"); Temp : chars_ptr := New_String (Name); R : sfFtpResponse_Ptr := Internal (Ftp, Temp); begin Free (Temp); return R; end DeleteFile; function download (ftp : sfFtp_Ptr; remoteFile : String; localPath : String; mode : sfFtpTransferMode) return sfFtpResponse_Ptr is function Internal (ftp : sfFtp_Ptr; remoteFile : chars_ptr; localPath : chars_ptr; mode : sfFtpTransferMode) return sfFtpResponse_Ptr; pragma Import (C, Internal, "sfFtp_download"); Temp1 : chars_ptr := New_String (remoteFile); Temp2 : chars_ptr := New_String (localPath); R : sfFtpResponse_Ptr := Internal (ftp, Temp1, Temp2, Mode); begin Free (Temp1); Free (Temp2); return R; end Download; function upload (ftp : sfFtp_Ptr; localFile : String; remotePath : String; mode : sfFtpTransferMode; append : sfBool) return sfFtpResponse_Ptr is function Internal (ftp : sfFtp_Ptr; localFile : chars_ptr; remotePath : chars_ptr; mode : sfFtpTransferMode; append : sfBool) return sfFtpResponse_Ptr; pragma Import (C, Internal, "sfFtp_upload"); Temp1 : chars_ptr := New_String (LocalFile); Temp2 : chars_ptr := New_String (remotePath); R : sfFtpResponse_Ptr := Internal (Ftp, Temp1, Temp2, Mode, append); begin Free (Temp1); Free (Temp2); return R; end Upload; --////////////////////////////////////////////////////////// --/ @brief Send a command to the FTP server --/ --/ While the most often used commands are provided as --/ specific functions, this function can be used to send --/ any FTP command to the server. If the command requires --/ one or more parameters, they can be specified in --/ @a parameter. Otherwise you should pass NULL. --/ If the server returns information, you can extract it --/ from the response using sfResponse_getMessage(). --/ --/ @param ftp Ftp object --/ @param command Command to send --/ @param parameter Command parameter --/ --/ @return Server response to the request --/ --////////////////////////////////////////////////////////// function sendCommand (ftp : sfFtp_Ptr; command : String; parameter : String) return sfFtpResponse_Ptr is function Internal (Ftp : sfFtp_Ptr; command : chars_ptr; parameter : chars_ptr) return sfFtpResponse_Ptr; pragma Import (C, Internal, "sfFtp_sendCommand"); Temp1 : chars_ptr := New_String (command); Temp2 : chars_ptr := New_String (parameter); R : sfFtpResponse_Ptr := Internal (Ftp, Temp1, Temp2); begin Free (Temp1); Free (Temp2); return R; end sendCommand; end Sf.Network.Ftp;
36.809651
110
0.539476
c560817295b0cd95e9e786029499487c072c3567
11,056
ads
Ada
arch/ARM/STM32/driversL4/stm32-gpio.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
2
2018-05-16T03:56:39.000Z
2019-07-31T13:53:56.000Z
arch/ARM/STM32/driversL4/stm32-gpio.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
null
null
null
arch/ARM/STM32/driversL4/stm32-gpio.ads
morbos/Ada_Drivers_Library
a4ab26799be60997c38735f4056160c4af597ef7
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2015-2017, AdaCore -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of STMicroelectronics nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- -- -- -- This file is based on: -- -- -- -- @file stm32f4xx_hal_gpio.h -- -- @author MCD Application Team -- -- @version V1.1.0 -- -- @date 19-June-2014 -- -- @brief Header file of GPIO HAL module. -- -- -- -- COPYRIGHT(c) 2014 STMicroelectronics -- ------------------------------------------------------------------------------ -- This file provides definitions for the GPIO ports on the STM32F4 (ARM -- Cortex M4F) microcontrollers from ST Microelectronics. private with STM32_SVD.GPIO; with STM32.EXTI; with HAL.GPIO; package STM32.GPIO is type GPIO_Port is limited private; type GPIO_Pin is (Pin_0, Pin_1, Pin_2, Pin_3, Pin_4, Pin_5, Pin_6, Pin_7, Pin_8, Pin_9, Pin_10, Pin_11, Pin_12, Pin_13, Pin_14, Pin_15); for GPIO_Pin use (Pin_0 => 16#0001#, Pin_1 => 16#0002#, Pin_2 => 16#0004#, Pin_3 => 16#0008#, Pin_4 => 16#0010#, Pin_5 => 16#0020#, Pin_6 => 16#0040#, Pin_7 => 16#0080#, Pin_8 => 16#0100#, Pin_9 => 16#0200#, Pin_10 => 16#0400#, Pin_11 => 16#0800#, Pin_12 => 16#1000#, Pin_13 => 16#2000#, Pin_14 => 16#4000#, Pin_15 => 16#8000#); for GPIO_Pin'Size use 16; -- for compatibility with hardware registers type GPIO_Pins is array (Positive range <>) of GPIO_Pin; -- Note that, in addition to aggregates, the language-defined catenation -- operator "&" is available for types GPIO_Pin and GPIO_Pins, allowing one -- to construct GPIO_Pins values conveniently All_Pins : constant GPIO_Pins := (Pin_0, Pin_1, Pin_2, Pin_3, Pin_4, Pin_5, Pin_6, Pin_7, Pin_8, Pin_9, Pin_10, Pin_11, Pin_12, Pin_13, Pin_14, Pin_15); type Pin_IO_Modes is (Mode_In, Mode_Out, Mode_AF, Mode_Analog) with Size => 2; for Pin_IO_Modes use (Mode_In => 0, Mode_Out => 1, Mode_AF => 2, Mode_Analog => 3); type Pin_Output_Types is (Push_Pull, Open_Drain) with Size => 1; for Pin_Output_Types use (Push_Pull => 0, Open_Drain => 1); type Pin_Output_Speeds is (Speed_2MHz, Speed_25MHz, Speed_50MHz, Speed_100MHz) with Size => 2; for Pin_Output_Speeds use (Speed_2MHz => 0, -- low Speed_25MHz => 1, -- medium Speed_50MHz => 2, -- high Speed_100MHz => 3); -- very high Speed_Low : Pin_Output_Speeds renames Speed_2MHz; Speed_Medium : Pin_Output_Speeds renames Speed_25MHz; Speed_High : Pin_Output_Speeds renames Speed_50MHz; Speed_Very_High : Pin_Output_Speeds renames Speed_100MHz; type Internal_Pin_Resistors is (Floating, Pull_Up, Pull_Down) with Size => 2; for Internal_Pin_Resistors use (Floating => 0, Pull_Up => 1, Pull_Down => 2); type GPIO_Port_Configuration is record Mode : Pin_IO_Modes; Output_Type : Pin_Output_Types; Speed : Pin_Output_Speeds; Resistors : Internal_Pin_Resistors; end record; type GPIO_Point is new HAL.GPIO.GPIO_Point with record Periph : access GPIO_Port; -- Port should be a not null access, but this raises an exception -- during elaboration. Pin : GPIO_Pin; end record; overriding function Mode (This : GPIO_Point) return HAL.GPIO.GPIO_Mode; overriding function Set_Mode (This : in out GPIO_Point; Mode : HAL.GPIO.GPIO_Config_Mode) return Boolean; overriding function Pull_Resistor (This : GPIO_Point) return HAL.GPIO.GPIO_Pull_Resistor; overriding function Set_Pull_Resistor (This : in out GPIO_Point; Pull : HAL.GPIO.GPIO_Pull_Resistor) return Boolean; overriding function Set (This : GPIO_Point) return Boolean with Inline; -- Returns True if the bit specified by This.Pin is set (not zero) in the -- input data register of This.Port.all; returns False otherwise. overriding procedure Set (This : in out GPIO_Point) with Inline; -- For This.Port.all, sets the output data register bit specified by -- This.Pin to one. Other pins are unaffected. overriding procedure Clear (This : in out GPIO_Point) with Inline; -- For This.Port.all, sets the output data register bit specified by -- This.Pin to zero. Other pins are unaffected. overriding procedure Toggle (This : in out GPIO_Point) with Inline; -- For This.Port.all, negates the output data register bit specified by -- This.Pin (one becomes zero and vice versa). Other pins are unaffected. procedure Lock (This : GPIO_Point) with Pre => not Locked (This), Post => Locked (This); -- For the given GPIO port, locks the current configuration of Pin until -- the MCU is reset. function Locked (This : GPIO_Point) return Boolean with Inline; procedure Configure_IO (This : GPIO_Point; Config : GPIO_Port_Configuration); -- For Point.Pin on the Point.Port.all, configures the -- characteristics specified by Config function Interrupt_Line_Number (This : GPIO_Point) return EXTI.External_Line_Number; -- Returns the external interrupt line number that corresponds to the -- GPIO point. -- procedure Configure_Trigger -- (This : GPIO_Point; -- Trigger : EXTI.External_Triggers); -- For Point.Pin on Point.Port.all, connects the external line and enables -- the external Trigger. Enables the SYSCFG clock. procedure Configure_Alternate_Function (This : GPIO_Point; AF : GPIO_Alternate_Function); -- For Point.Pin on Point.Port.all, sets the alternate function -- specified by AF type GPIO_Points is array (Positive range <>) of GPIO_Point; function Any_Set (Pins : GPIO_Points) return Boolean with Inline; -- Returns True if any one of the bits specified by Pins is set (not zero) -- in the Port input data register; returns False otherwise. function Set (Pins : GPIO_Points) return Boolean renames Any_Set; -- Defined for readability when only one pin is specified in GPIO_Pins function All_Set (Pins : GPIO_Points) return Boolean with Inline; -- Returns True iff all of the bits specified by Pins are set (not zero) in -- the Port input data register; returns False otherwise. procedure Set (Pins : in out GPIO_Points) with Inline; -- For the given GPIO port, sets all of the output data register bits -- specified by Pins to one. Other pins are unaffected. procedure Clear (Pins : in out GPIO_Points) with Inline; -- For the given GPIO port, sets of all of the output data register bits -- specified by Pins to zero. Other pins are unaffected. procedure Toggle (Points : in out GPIO_Points) with Inline; -- For the given GPIO ports, negates all of the output data register bis -- specified by Pins (ones become zeros and vice versa). Other pins are -- unaffected. procedure Lock (Points : GPIO_Points); -- For the given GPIO port, locks the current configuration of Pin until -- the MCU is reset. procedure Configure_IO (Points : GPIO_Points; Config : GPIO_Port_Configuration); -- For Point.Pin on the Point.Port.all, configures the -- characteristics specified by Config -- procedure Configure_Trigger -- (Points : GPIO_Points; -- Trigger : EXTI.External_Triggers); -- For Point.Pin on Point.Port.all, configures the -- characteristics specified by Trigger procedure Configure_Alternate_Function (Points : GPIO_Points; AF : GPIO_Alternate_Function); -- For Point.Pin on Point.Port.all, sets the alternate function -- specified by AF private type GPIO_Port is new STM32_SVD.GPIO.GPIO_Peripheral; LCCK : constant UInt32 := 16#0001_0000#; -- As per the Reference Manual (RM0090; Doc ID 018909 Rev 6) pg 282, -- this is the "Lock Key" used to control the locking of port/pin -- configurations. It is bit 16 in the lock register (LCKR) of any -- given port, thus the first bit of the upper 16 bits of the word. end STM32.GPIO;
40.647059
82
0.595604
cb29d72ba742e2beb4a16a100d1100e7354fc1b6
9,938
ads
Ada
runtime/ravenscar-sfp-stm32f427/bsp/i-stm32-flash.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
12
2017-06-08T14:19:57.000Z
2022-03-09T02:48:59.000Z
runtime/ravenscar-sfp-stm32f427/bsp/i-stm32-flash.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
6
2017-06-08T13:13:50.000Z
2020-05-15T09:32:43.000Z
runtime/ravenscar-sfp-stm32f427/bsp/i-stm32-flash.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
3
2017-06-30T14:05:06.000Z
2022-02-17T12:20:45.000Z
-- -- Copyright (C) 2016, AdaCore -- -- This spec has been automatically generated from STM32F429x.svd pragma Ada_2012; with Interfaces.Bit_Types; with System; package Interfaces.STM32.FLASH with SPARK_Mode => On is pragma Preelaborate; pragma No_Elaboration_Code_All; --------------- -- Registers -- --------------- ------------------ -- ACR_Register -- ------------------ subtype ACR_LATENCY_Field is Interfaces.Bit_Types.UInt3; subtype ACR_PRFTEN_Field is Interfaces.Bit_Types.Bit; subtype ACR_ICEN_Field is Interfaces.Bit_Types.Bit; subtype ACR_DCEN_Field is Interfaces.Bit_Types.Bit; subtype ACR_ICRST_Field is Interfaces.Bit_Types.Bit; subtype ACR_DCRST_Field is Interfaces.Bit_Types.Bit; -- Flash access control register type ACR_Register is record -- Latency LATENCY : ACR_LATENCY_Field := 16#0#; -- unspecified Reserved_3_7 : Interfaces.Bit_Types.UInt5 := 16#0#; -- Prefetch enable PRFTEN : ACR_PRFTEN_Field := 16#0#; -- Instruction cache enable ICEN : ACR_ICEN_Field := 16#0#; -- Data cache enable DCEN : ACR_DCEN_Field := 16#0#; -- Write-only. Instruction cache reset ICRST : ACR_ICRST_Field := 16#0#; -- Data cache reset DCRST : ACR_DCRST_Field := 16#0#; -- unspecified Reserved_13_31 : Interfaces.Bit_Types.UInt19 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for ACR_Register use record LATENCY at 0 range 0 .. 2; Reserved_3_7 at 0 range 3 .. 7; PRFTEN at 0 range 8 .. 8; ICEN at 0 range 9 .. 9; DCEN at 0 range 10 .. 10; ICRST at 0 range 11 .. 11; DCRST at 0 range 12 .. 12; Reserved_13_31 at 0 range 13 .. 31; end record; ----------------- -- SR_Register -- ----------------- subtype SR_EOP_Field is Interfaces.Bit_Types.Bit; subtype SR_OPERR_Field is Interfaces.Bit_Types.Bit; subtype SR_WRPERR_Field is Interfaces.Bit_Types.Bit; subtype SR_PGAERR_Field is Interfaces.Bit_Types.Bit; subtype SR_PGPERR_Field is Interfaces.Bit_Types.Bit; subtype SR_PGSERR_Field is Interfaces.Bit_Types.Bit; subtype SR_BSY_Field is Interfaces.Bit_Types.Bit; -- Status register type SR_Register is record -- End of operation EOP : SR_EOP_Field := 16#0#; -- Operation error OPERR : SR_OPERR_Field := 16#0#; -- unspecified Reserved_2_3 : Interfaces.Bit_Types.UInt2 := 16#0#; -- Write protection error WRPERR : SR_WRPERR_Field := 16#0#; -- Programming alignment error PGAERR : SR_PGAERR_Field := 16#0#; -- Programming parallelism error PGPERR : SR_PGPERR_Field := 16#0#; -- Programming sequence error PGSERR : SR_PGSERR_Field := 16#0#; -- unspecified Reserved_8_15 : Interfaces.Bit_Types.Byte := 16#0#; -- Read-only. Busy BSY : SR_BSY_Field := 16#0#; -- unspecified Reserved_17_31 : Interfaces.Bit_Types.UInt15 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for SR_Register use record EOP at 0 range 0 .. 0; OPERR at 0 range 1 .. 1; Reserved_2_3 at 0 range 2 .. 3; WRPERR at 0 range 4 .. 4; PGAERR at 0 range 5 .. 5; PGPERR at 0 range 6 .. 6; PGSERR at 0 range 7 .. 7; Reserved_8_15 at 0 range 8 .. 15; BSY at 0 range 16 .. 16; Reserved_17_31 at 0 range 17 .. 31; end record; ----------------- -- CR_Register -- ----------------- subtype CR_PG_Field is Interfaces.Bit_Types.Bit; subtype CR_SER_Field is Interfaces.Bit_Types.Bit; subtype CR_MER_Field is Interfaces.Bit_Types.Bit; subtype CR_SNB_Field is Interfaces.Bit_Types.UInt5; subtype CR_PSIZE_Field is Interfaces.Bit_Types.UInt2; subtype CR_MER1_Field is Interfaces.Bit_Types.Bit; subtype CR_STRT_Field is Interfaces.Bit_Types.Bit; subtype CR_EOPIE_Field is Interfaces.Bit_Types.Bit; subtype CR_ERRIE_Field is Interfaces.Bit_Types.Bit; subtype CR_LOCK_Field is Interfaces.Bit_Types.Bit; -- Control register type CR_Register is record -- Programming PG : CR_PG_Field := 16#0#; -- Sector Erase SER : CR_SER_Field := 16#0#; -- Mass Erase of sectors 0 to 11 MER : CR_MER_Field := 16#0#; -- Sector number SNB : CR_SNB_Field := 16#0#; -- Program size PSIZE : CR_PSIZE_Field := 16#0#; -- unspecified Reserved_10_14 : Interfaces.Bit_Types.UInt5 := 16#0#; -- Mass Erase of sectors 12 to 23 MER1 : CR_MER1_Field := 16#0#; -- Start STRT : CR_STRT_Field := 16#0#; -- unspecified Reserved_17_23 : Interfaces.Bit_Types.UInt7 := 16#0#; -- End of operation interrupt enable EOPIE : CR_EOPIE_Field := 16#0#; -- Error interrupt enable ERRIE : CR_ERRIE_Field := 16#0#; -- unspecified Reserved_26_30 : Interfaces.Bit_Types.UInt5 := 16#0#; -- Lock LOCK : CR_LOCK_Field := 16#1#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CR_Register use record PG at 0 range 0 .. 0; SER at 0 range 1 .. 1; MER at 0 range 2 .. 2; SNB at 0 range 3 .. 7; PSIZE at 0 range 8 .. 9; Reserved_10_14 at 0 range 10 .. 14; MER1 at 0 range 15 .. 15; STRT at 0 range 16 .. 16; Reserved_17_23 at 0 range 17 .. 23; EOPIE at 0 range 24 .. 24; ERRIE at 0 range 25 .. 25; Reserved_26_30 at 0 range 26 .. 30; LOCK at 0 range 31 .. 31; end record; -------------------- -- OPTCR_Register -- -------------------- subtype OPTCR_OPTLOCK_Field is Interfaces.Bit_Types.Bit; subtype OPTCR_OPTSTRT_Field is Interfaces.Bit_Types.Bit; subtype OPTCR_BOR_LEV_Field is Interfaces.Bit_Types.UInt2; subtype OPTCR_WDG_SW_Field is Interfaces.Bit_Types.Bit; subtype OPTCR_nRST_STOP_Field is Interfaces.Bit_Types.Bit; subtype OPTCR_nRST_STDBY_Field is Interfaces.Bit_Types.Bit; subtype OPTCR_RDP_Field is Interfaces.Bit_Types.Byte; subtype OPTCR_nWRP_Field is Interfaces.Bit_Types.UInt12; -- Flash option control register type OPTCR_Register is record -- Option lock OPTLOCK : OPTCR_OPTLOCK_Field := 16#1#; -- Option start OPTSTRT : OPTCR_OPTSTRT_Field := 16#0#; -- BOR reset Level BOR_LEV : OPTCR_BOR_LEV_Field := 16#3#; -- unspecified Reserved_4_4 : Interfaces.Bit_Types.Bit := 16#0#; -- WDG_SW User option bytes WDG_SW : OPTCR_WDG_SW_Field := 16#1#; -- nRST_STOP User option bytes nRST_STOP : OPTCR_nRST_STOP_Field := 16#1#; -- nRST_STDBY User option bytes nRST_STDBY : OPTCR_nRST_STDBY_Field := 16#1#; -- Read protect RDP : OPTCR_RDP_Field := 16#AA#; -- Not write protect nWRP : OPTCR_nWRP_Field := 16#FFF#; -- unspecified Reserved_28_31 : Interfaces.Bit_Types.UInt4 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for OPTCR_Register use record OPTLOCK at 0 range 0 .. 0; OPTSTRT at 0 range 1 .. 1; BOR_LEV at 0 range 2 .. 3; Reserved_4_4 at 0 range 4 .. 4; WDG_SW at 0 range 5 .. 5; nRST_STOP at 0 range 6 .. 6; nRST_STDBY at 0 range 7 .. 7; RDP at 0 range 8 .. 15; nWRP at 0 range 16 .. 27; Reserved_28_31 at 0 range 28 .. 31; end record; --------------------- -- OPTCR1_Register -- --------------------- subtype OPTCR1_nWRP_Field is Interfaces.Bit_Types.UInt12; -- Flash option control register 1 type OPTCR1_Register is record -- unspecified Reserved_0_15 : Interfaces.Bit_Types.Short := 16#0#; -- Not write protect nWRP : OPTCR1_nWRP_Field := 16#FFF#; -- unspecified Reserved_28_31 : Interfaces.Bit_Types.UInt4 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for OPTCR1_Register use record Reserved_0_15 at 0 range 0 .. 15; nWRP at 0 range 16 .. 27; Reserved_28_31 at 0 range 28 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- FLASH type FLASH_Peripheral is record -- Flash access control register ACR : ACR_Register; -- Flash key register KEYR : Interfaces.Bit_Types.Word; -- Flash option key register OPTKEYR : Interfaces.Bit_Types.Word; -- Status register SR : SR_Register; -- Control register CR : CR_Register; -- Flash option control register OPTCR : OPTCR_Register; -- Flash option control register 1 OPTCR1 : OPTCR1_Register; end record with Volatile; for FLASH_Peripheral use record ACR at 0 range 0 .. 31; KEYR at 4 range 0 .. 31; OPTKEYR at 8 range 0 .. 31; SR at 12 range 0 .. 31; CR at 16 range 0 .. 31; OPTCR at 20 range 0 .. 31; OPTCR1 at 24 range 0 .. 31; end record; -- FLASH FLASH_Periph : aliased FLASH_Peripheral with Import, Address => FLASH_Base; end Interfaces.STM32.FLASH;
33.918089
66
0.583518
cb37d17129eff8a9bdd59dff7598cdad956b11dd
325
ads
Ada
http.ads
zorodc/ada-http1
7ff6ccd5add273fc27edc128c5a2447c64687a96
[ "0BSD" ]
null
null
null
http.ads
zorodc/ada-http1
7ff6ccd5add273fc27edc128c5a2447c64687a96
[ "0BSD" ]
null
null
null
http.ads
zorodc/ada-http1
7ff6ccd5add273fc27edc128c5a2447c64687a96
[ "0BSD" ]
null
null
null
-- -- Nice little HTTP 1.x request parser. Uses a state machine. -- Operates by cutting up the incoming request string into sections. -- package HTTP with SPARK_Mode => On is type Version is delta 0.1 range 1.0 .. 9.9; type Indexes is record First : Natural := 1; Last : Natural := 0; end record; end HTTP;
21.666667
68
0.673846
cb14c5de433e78ee2b4507c9ca086e1d789f511d
5,720
ads
Ada
src/asf-servlets-faces.ads
jquorning/ada-asf
ddc697c5dfa4e22c57c6958f4cff27e14d02ce98
[ "Apache-2.0" ]
12
2015-01-18T23:02:20.000Z
2022-03-25T15:30:30.000Z
src/asf-servlets-faces.ads
jquorning/ada-asf
ddc697c5dfa4e22c57c6958f4cff27e14d02ce98
[ "Apache-2.0" ]
3
2021-01-06T09:44:02.000Z
2022-02-04T20:20:53.000Z
src/asf-servlets-faces.ads
jquorning/ada-asf
ddc697c5dfa4e22c57c6958f4cff27e14d02ce98
[ "Apache-2.0" ]
4
2016-04-12T05:29:00.000Z
2022-01-24T23:53:59.000Z
----------------------------------------------------------------------- -- asf.servlets.faces -- Faces servlet -- Copyright (C) 2010, 2018 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Servlet.Core; with ASF.Requests; with ASF.Responses; with ASF.Applications.Main; package ASF.Servlets.Faces is -- The <b>Servlet</b> represents the component that will handle -- an HTTP request received by the server. type Faces_Servlet is new Servlet.Core.Servlet with private; -- Called by the servlet container to indicate to a servlet that the servlet -- is being placed into service. overriding procedure Initialize (Server : in out Faces_Servlet; Context : in Servlet_Registry'Class); -- Called by the server (via the service method) to allow a servlet to handle -- a GET request. -- -- Overriding this method to support a GET request also automatically supports -- an HTTP HEAD request. A HEAD request is a GET request that returns no body -- in the response, only the request header fields. -- -- When overriding this method, read the request data, write the response headers, -- get the response's writer or output stream object, and finally, write the -- response data. It's best to include content type and encoding. -- When using a PrintWriter object to return the response, set the content type -- before accessing the PrintWriter object. -- -- The servlet container must write the headers before committing the response, -- because in HTTP the headers must be sent before the response body. -- -- Where possible, set the Content-Length header (with the -- Response.Set_Content_Length method), to allow the servlet container -- to use a persistent connection to return its response to the client, -- improving performance. The content length is automatically set if the entire -- response fits inside the response buffer. -- -- When using HTTP 1.1 chunked encoding (which means that the response has a -- Transfer-Encoding header), do not set the Content-Length header. -- -- The GET method should be safe, that is, without any side effects for which -- users are held responsible. For example, most form queries have no side effects. -- If a client request is intended to change stored data, the request should use -- some other HTTP method. -- -- The GET method should also be idempotent, meaning that it can be safely repeated. -- Sometimes making a method safe also makes it idempotent. For example, repeating -- queries is both safe and idempotent, but buying a product online or modifying -- data is neither safe nor idempotent. -- -- If the request is incorrectly formatted, Do_Get returns an HTTP "Bad Request" procedure Do_Get (Server : in Faces_Servlet; Request : in out Requests.Request'Class; Response : in out Responses.Response'Class); -- Called by the server (via the service method) to allow a servlet to handle -- a POST request. The HTTP POST method allows the client to send data of unlimited -- length to the Web server a single time and is useful when posting information -- such as credit card numbers. -- -- When overriding this method, read the request data, write the response headers, -- get the response's writer or output stream object, and finally, write the -- response data. It's best to include content type and encoding. When using -- a PrintWriter object to return the response, set the content type before -- accessing the PrintWriter object. -- -- The servlet container must write the headers before committing the response, -- because in HTTP the headers must be sent before the response body. -- -- Where possible, set the Content-Length header (with the -- Response.Set_Content_Length method), to allow the servlet container to use -- a persistent connection to return its response to the client, improving -- performance. The content length is automatically set if the entire response -- fits inside the response buffer. -- -- When using HTTP 1.1 chunked encoding (which means that the response has a -- Transfer-Encoding header), do not set the Content-Length header. -- -- This method does not need to be either safe or idempotent. Operations -- requested through POST can have side effects for which the user can be held -- accountable, for example, updating stored data or buying items online. -- -- If the HTTP POST request is incorrectly formatted, doPost returns -- an HTTP "Bad Request" message. procedure Do_Post (Server : in Faces_Servlet; Request : in out Requests.Request'Class; Response : in out Responses.Response'Class); private type Faces_Servlet is new Servlet.Core.Servlet with record App : ASF.Applications.Main.Application_Access; end record; end ASF.Servlets.Faces;
49.310345
88
0.692657
d0e4d6ff33e3d4de487ddf69e9fd8c17631ddd97
4,833
adb
Ada
bb-runtimes/examples/tms570ls31-hello/hello.adb
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/examples/tms570ls31-hello/hello.adb
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/examples/tms570ls31-hello/hello.adb
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT EXAMPLE -- -- -- -- Copyright (C) 2014, AdaCore -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 2, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- -- for more details. You should have received a copy of the GNU General -- -- Public License distributed with GNAT; see file COPYING. If not, write -- -- to the Free Software Foundation, 51 Franklin Street, Fifth Floor, -- -- Boston, MA 02110-1301, USA. -- -- -- -- As a special exception, if other files instantiate generics from this -- -- unit, or you link this unit with other files to produce an executable, -- -- this unit does not by itself cause the resulting executable to be -- -- covered by the GNU General Public License. This exception does not -- -- however invalidate any other reasons why the executable file might be -- -- covered by the GNU Public License. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ with Interfaces; use Interfaces; with System; with Ada.Real_Time; use Ada.Real_Time; procedure Hello is SCI_BASE : constant := 16#fff7_e400#; SCIGCR0 : Unsigned_32; for SCIGCR0'Address use System'To_Address (SCI_BASE + 16#00#); pragma Volatile (SCIGCR0); pragma Import (Ada, SCIGCR0); SCIGCR1 : Unsigned_32; for SCIGCR1'Address use System'To_Address (SCI_BASE + 16#04#); pragma Volatile (SCIGCR1); pragma Import (Ada, SCIGCR1); BRS : Unsigned_32; for BRS'Address use System'To_Address (SCI_BASE + 16#2c#); pragma Volatile (BRS); pragma Import (Ada, BRS); SCIFORMAT : Unsigned_32; for SCIFORMAT'Address use System'To_Address (SCI_BASE + 16#28#); pragma Volatile (SCIFORMAT); pragma Import (Ada, SCIFORMAT); SCIPIO0 : Unsigned_32; for SCIPIO0'Address use System'To_Address (SCI_BASE + 16#3c#); pragma Volatile (SCIPIO0); pragma Import (Ada, SCIPIO0); SCIPIO8 : Unsigned_32; for SCIPIO8'Address use System'To_Address (SCI_BASE + 16#5c#); pragma Volatile (SCIPIO8); pragma Import (Ada, SCIPIO8); SCITD : Unsigned_32; for SCITD'Address use System'To_Address (SCI_BASE + 16#38#); pragma Volatile (SCITD); pragma Import (Ada, SCITD); SCIFLR : Unsigned_32; for SCIFLR'Address use System'To_Address (SCI_BASE + 16#1c#); pragma Volatile (SCIFLR); pragma Import (Ada, SCIFLR); procedure Init is begin -- Bring out of reset SCIGCR0 := 1; -- 8n1, enable RX and TX, async, idle-line mode, SWnRST, internal clk SCIGCR1 := 16#03_00_00_22#; -- Baud rate. PLLCLK=180Mhz, VCLK = PLLCLK / 2 declare Baud : constant := 115200; VCLK : constant := 90_000_000; P : constant := VCLK / (16 * Baud) - 1; M : constant := (VCLK / Baud) rem 16; begin BRS := P + M * 2**24; end; -- 8 bits SCIFORMAT := 7; -- Enable Tx and Rx pins, pull-up SCIPIO0 := 2#110#; SCIPIO8 := 2#110#; -- Enable SCI SCIGCR1 := SCIGCR1 or 16#80#; end Init; procedure Put (C : Character) is begin SCITD := Character'Pos (C); while (SCIFLR and 16#100#) = 0 loop null; end loop; end Put; procedure Put_Line (S : String) is begin for I in S'Range loop Put (S (I)); end loop; Put (ASCII.CR); Put (ASCII.LF); end Put_Line; Timeout : Time := Clock; Cycle : constant Time_Span := Seconds (1); begin Init; loop delay until Timeout; Timeout := Timeout + Cycle; Put_Line ("Hello world"); end loop; end Hello;
36.067164
78
0.53714
c5dbdd738edacbfc6cb9f264f408ee2c423c7fb8
25,767
adb
Ada
src/gen-artifacts-distribs.adb
Letractively/ada-gen
d06d03821057f9177f2350e32dd09e467df08612
[ "Apache-2.0" ]
null
null
null
src/gen-artifacts-distribs.adb
Letractively/ada-gen
d06d03821057f9177f2350e32dd09e467df08612
[ "Apache-2.0" ]
null
null
null
src/gen-artifacts-distribs.adb
Letractively/ada-gen
d06d03821057f9177f2350e32dd09e467df08612
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- gen-artifacts-distribs -- Artifact for distributions -- Copyright (C) 2012, 2013 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Directories; with Ada.Exceptions; with Util.Files; with Util.Strings; with Util.Log.Loggers; with Gen.Utils; with Gen.Artifacts.Distribs.Copies; with Gen.Artifacts.Distribs.Exec; with Gen.Artifacts.Distribs.Concat; with Gen.Artifacts.Distribs.Libs; with Gen.Artifacts.Distribs.Bundles; -- The <b>Gen.Artifacts.Distribs</b> package is an artifact for the generation of -- application distributions. -- -- 1/ A package.xml file describes a set of distribution rules which indicate -- how package body Gen.Artifacts.Distribs is use Util.Log; Log : constant Loggers.Logger := Loggers.Create ("Gen.Artifacts.Distribs"); -- ------------------------------ -- Create a distribution rule identified by <b>Kind</b>. -- The distribution rule is configured according to the DOM tree whose node is <b>Node</b>. -- ------------------------------ function Create_Rule (Kind : in String; Node : in DOM.Core.Node) return Distrib_Rule_Access is begin Log.Debug ("Creating distribution rule {0}", Kind); if Kind = "copy" or Kind = "" then return Gen.Artifacts.Distribs.Copies.Create_Rule (Node, False); elsif Kind = "copy-first" then return Gen.Artifacts.Distribs.Copies.Create_Rule (Node, True); elsif Kind = "exec" then return Gen.Artifacts.Distribs.Exec.Create_Rule (Node, False); elsif Kind = "copy-exec" then return Gen.Artifacts.Distribs.Exec.Create_Rule (Node, True); elsif Kind = "concat" then return Gen.Artifacts.Distribs.Concat.Create_Rule (Node); elsif Kind = "bundle" then return Gen.Artifacts.Distribs.Bundles.Create_Rule (Node); elsif Kind = "libs" then return Gen.Artifacts.Distribs.Libs.Create_Rule (Node); else return null; end if; end Create_Rule; -- ------------------------------ -- After the configuration file is read, processes the node whose root -- is passed in <b>Node</b> and initializes the <b>Model</b> with the information. -- ------------------------------ overriding procedure Initialize (Handler : in out Artifact; Path : in String; Node : in DOM.Core.Node; Model : in out Gen.Model.Packages.Model_Definition'Class; Context : in out Generator'Class) is procedure Register_Rule (O : in out Gen.Model.Packages.Model_Definition; Node : in DOM.Core.Node); procedure Register_Mappings (Model : in out Gen.Model.Packages.Model_Definition; Node : in DOM.Core.Node); procedure Register_Install (Model : in out Gen.Model.Packages.Model_Definition; Node : in DOM.Core.Node); -- ------------------------------ -- Register a new type mapping. -- ------------------------------ procedure Register_Rule (O : in out Gen.Model.Packages.Model_Definition; Node : in DOM.Core.Node) is pragma Unreferenced (O); -- Collect the include definitions for the distribution rule. procedure Collect_Includes (Rule : in out Distrib_Rule_Access; Node : in DOM.Core.Node); -- Collect the fileset definitions for the distribution rule. procedure Collect_Filesets (Rule : in out Distrib_Rule_Access; Node : in DOM.Core.Node); use Ada.Strings.Unbounded; Dir : constant String := To_String (Gen.Utils.Get_Attribute (Node, "dir")); Mode : constant String := To_String (Gen.Utils.Get_Attribute (Node, "mode")); Rule : Distrib_Rule_Access := Create_Rule (Kind => Mode, Node => Node); Match : Match_Rule; -- ------------------------------ -- Collect the include definitions for the distribution rule. -- ------------------------------ procedure Collect_Includes (Rule : in out Distrib_Rule_Access; Node : in DOM.Core.Node) is Name : constant String := To_String (Gen.Utils.Get_Attribute (Node, "name")); begin if Name = "" then Context.Error ("Invalid include name {0}", Name); return; end if; Match.Match := To_Unbounded_String (Name); Rule.Matches.Append (Match); end Collect_Includes; procedure Iterate is new Gen.Utils.Iterate_Nodes (T => Distrib_Rule_Access, Process => Collect_Includes); -- ------------------------------ -- Collect the include definitions for the distribution rule. -- ------------------------------ procedure Collect_Filesets (Rule : in out Distrib_Rule_Access; Node : in DOM.Core.Node) is Dir : constant String := To_String (Gen.Utils.Get_Attribute (Node, "dir")); begin if Dir = "" then Context.Error ("Invalid fileset directory {0}", Dir); return; end if; Match.Base_Dir := To_Unbounded_String (Dir); Iterate (Rule, Node, "include"); end Collect_Filesets; procedure Iterate_Filesets is new Gen.Utils.Iterate_Nodes (T => Distrib_Rule_Access, Process => Collect_Filesets); begin Log.Debug ("Install {0}", Dir); if Rule /= null then Rule.Dir := To_Unbounded_String (Dir); Handler.Rules.Append (Rule); Iterate (Rule, Node, "include", False); Iterate_Filesets (Rule, Node, "fileset"); end if; end Register_Rule; -- ------------------------------ -- Register the installation rules. -- ------------------------------ procedure Register_Install (Model : in out Gen.Model.Packages.Model_Definition; Node : in DOM.Core.Node) is procedure Iterate is new Gen.Utils.Iterate_Nodes (T => Gen.Model.Packages.Model_Definition, Process => Register_Rule); begin Iterate (Model, Node, "install"); end Register_Install; -- ------------------------------ -- Register a model mapping -- ------------------------------ procedure Register_Mappings (Model : in out Gen.Model.Packages.Model_Definition; Node : in DOM.Core.Node) is procedure Iterate is new Gen.Utils.Iterate_Nodes (T => Gen.Model.Packages.Model_Definition, Process => Register_Install); begin Iterate (Model, Node, "dist"); end Register_Mappings; procedure Iterate is new Gen.Utils.Iterate_Nodes (T => Gen.Model.Packages.Model_Definition, Process => Register_Mappings); begin Log.Debug ("Initializing distrib artifact for the configuration"); Gen.Artifacts.Artifact (Handler).Initialize (Path, Node, Model, Context); Iterate (Gen.Model.Packages.Model_Definition (Model), Node, "package"); end Initialize; -- ------------------------------ -- Prepare the model after all the configuration files have been read and before -- actually invoking the generation. -- ------------------------------ overriding procedure Prepare (Handler : in out Artifact; Model : in out Gen.Model.Packages.Model_Definition'Class; Context : in out Generator'Class) is pragma Unreferenced (Model); procedure Scan_Rule (Pos : in Distrib_Rule_Vectors.Cursor); procedure Scan_Directory (Dir : in String); procedure Execute_Rule (Pos : in Distrib_Rule_Vectors.Cursor); -- ------------------------------ -- Process the rule by scaning the directory tree and detecting files that are concerned. -- ------------------------------ procedure Scan_Rule (Pos : in Distrib_Rule_Vectors.Cursor) is Rule : constant Distrib_Rule_Access := Distrib_Rule_Vectors.Element (Pos); Iter : Directory_List_Vector.Cursor := Handler.Trees.First; begin Log.Debug ("Scanning rule"); while Directory_List_Vector.Has_Element (Iter) loop Rule.Scan (Directory_List_Vector.Element (Iter).all); Directory_List_Vector.Next (Iter); end loop; end Scan_Rule; -- ------------------------------ -- Execute the rules. -- ------------------------------ procedure Execute_Rule (Pos : in Distrib_Rule_Vectors.Cursor) is Rule : constant Distrib_Rule_Access := Distrib_Rule_Vectors.Element (Pos); Path : constant String := Context.Get_Result_Directory; begin Log.Debug ("Process rule"); Rule.Execute (Path, Context); end Execute_Rule; -- ------------------------------ -- Scan the directory collecting the files that must be taken into account and -- processed by the distribution rules. -- ------------------------------ procedure Scan_Directory (Dir : in String) is Tree : constant Directory_List_Access := new Directory_List '(Length => 1, Name => ".", Rel_Pos => Dir'Length + 2, Path_Length => Dir'Length, Path => Dir, others => <>); begin Log.Info ("Scanning directory: {0}", Dir); Handler.Trees.Append (Tree); Scan (Dir, ".", Tree); end Scan_Directory; begin -- Scan each directory used by the dynamo project. Context.Scan_Directories (Scan_Directory'Access); -- Apply the rules on the directory tree. Handler.Rules.Iterate (Process => Scan_Rule'Access); -- Apply the rules on the directory tree. Handler.Rules.Iterate (Process => Execute_Rule'Access); end Prepare; -- ------------------------------ -- Get the relative path of the directory. -- ------------------------------ function Get_Relative_Path (Dir : in Directory_List) return String is begin return Dir.Path (Dir.Rel_Pos .. Dir.Path'Last); end Get_Relative_Path; -- ------------------------------ -- Get the first source path from the list. -- ------------------------------ function Get_Source_Path (From : in File_Vector; Use_First_File : in Boolean := False) return String is use type Ada.Containers.Count_Type; begin if From.Length = 0 then return ""; elsif Use_First_File then declare File : constant File_Record := From.Element (1); begin return Util.Files.Compose (File.Dir.Path, File.Name); end; else declare File : constant File_Record := From.Element (From.Last_Index); begin return Util.Files.Compose (File.Dir.Path, File.Name); end; end if; end Get_Source_Path; -- ------------------------------ -- Build a regular expression pattern from a pattern string. -- ------------------------------ function Make_Regexp (Pattern : in String) return String is Result : String (1 .. Pattern'Length * 2 + 2); Pos : Natural := 1; begin Result (1) := '^'; for I in Pattern'Range loop if Pattern (I) = '*' then Pos := Pos + 1; Result (Pos) := '.'; elsif Pattern (I) = '.' or Pattern (I) = '$' or Pattern (I) = '^' then Pos := Pos + 1; Result (Pos) := '\'; end if; Pos := Pos + 1; Result (Pos) := Pattern (I); end loop; Pos := Pos + 1; Result (Pos) := '$'; return Result (1 .. Pos); end Make_Regexp; -- ------------------------------ -- Build a regular expression pattern from a pattern string. -- ------------------------------ function Make_Regexp (Pattern : in String) return GNAT.Regpat.Pattern_Matcher is Expr : constant String := Make_Regexp (Pattern); begin return GNAT.Regpat.Compile (Expr); end Make_Regexp; -- ------------------------------ -- Scan the directory whose root path is <b>Path</b> and with the relative path -- <b>Rel_Path</b> and build in <b>Dir</b> the list of files and directories. -- ------------------------------ procedure Scan (Path : in String; Rel_Path : in String; Dir : in Directory_List_Access) is use Ada.Directories; Full_Path : constant String := Util.Files.Compose (Path, Rel_Path); Filter : constant Filter_Type := (Ordinary_File => True, Directory => True, others => False); Ent : Ada.Directories.Directory_Entry_Type; Search : Search_Type; begin Log.Debug ("Scanning {0}", Full_Path); Start_Search (Search, Directory => Full_Path, Pattern => "*", Filter => Filter); while More_Entries (Search) loop Get_Next_Entry (Search, Ent); declare Name : constant String := Simple_Name (Ent); File_Path : constant String := Util.Files.Compose (Rel_Path, Name); Full_Path : constant String := Ada.Directories.Full_Name (Ent); begin Log.Debug ("Collect {0}", File_Path); if Gen.Utils.Is_File_Ignored (Name) then Log.Debug ("Ignoring {0}", Name); -- If this is a directory, recursively scan it and collect its files. elsif Ada.Directories.Kind (Full_Path) = Ada.Directories.Directory then declare Sub_Dir : constant Directory_List_Access := new Directory_List '(Length => Name'Length, Path_Length => Full_Path'Length, Rel_Pos => Full_Path'Length - File_Path'Length, Name => Name, Path => Full_Path, others => <>); begin Dir.Directories.Append (Sub_Dir); Scan (Path, File_Path, Sub_Dir); end; else Dir.Files.Append (File_Record '(Length => Name'Length, Name => Name, Dir => Dir)); end if; end; end loop; end Scan; procedure Execute (Rule : in out Distrib_Rule; Path : in String; Context : in out Generator'Class) is use Ada.Containers; procedure Process (Key : in String; Files : in out File_Vector); procedure Process (Key : in String; Files : in out File_Vector) is Name : constant String := Util.Files.Compose (Path, Key); begin Distrib_Rule'Class (Rule).Install (Name, Files, Context); exception when Ex : others => Context.Error ("Install of {0} failed: {1}", Name, Ada.Exceptions.Exception_Message (Ex)); end Process; Iter : File_Tree.Cursor := Rule.Files.First; Count : constant Count_Type := Rule.Files.Length; Name : constant String := Distrib_Rule'Class (Rule).Get_Install_Name; begin if Count = 0 then return; elsif Count = 1 then Log.Info ("Installing 1 file with {0}", Name); else Log.Info ("Installing{0} files with {1}", Count_Type'Image (Count), Name); end if; while File_Tree.Has_Element (Iter) loop Rule.Files.Update_Element (Iter, Process'Access); File_Tree.Next (Iter); end loop; end Execute; -- ------------------------------ -- Strip the base part of the path -- ------------------------------ function Get_Strip_Path (Base : in String; Path : in String) return String is begin if Base /= "." and then Path (Path'First) = '/' and then Path (Path'First + 1 .. Path'First + Base'Length) = Base then return Path (Path'First + Base'Length + 1 .. Path'Last); else return Path; end if; end Get_Strip_Path; -- ------------------------------ -- Get the target path associate with the given source file for the distribution rule. -- ------------------------------ function Get_Target_Path (Rule : in Distrib_Rule; Base : in String; File : in File_Record) return String is Rel_Path : constant String := Get_Relative_Path (File.Dir.all); Path : constant String := Get_Strip_Path (Base, Rel_Path); begin return Util.Files.Compose (Ada.Strings.Unbounded.To_String (Rule.Dir), Util.Files.Compose (Path, File.Name)); end Get_Target_Path; -- ------------------------------ -- Get the source path of the file. -- ------------------------------ function Get_Source_Path (Rule : in Distrib_Rule; File : in File_Record) return String is pragma Unreferenced (Rule); begin return Util.Files.Compose (File.Dir.Path, File.Name); end Get_Source_Path; -- ------------------------------ -- Add the file to be processed by the distribution rule. The file has a relative -- path represented by <b>Path</b>. The path is relative from the base directory -- specified in <b>Base_Dir</b>. -- ------------------------------ procedure Add_Source_File (Rule : in out Distrib_Rule; Path : in String; File : in File_Record) is procedure Add_File (Key : in String; Info : in out File_Vector); procedure Add_File (Key : in String; Info : in out File_Vector) is pragma Unreferenced (Key); begin Info.Append (File); end Add_File; Target_Path : constant String := Distrib_Rule'Class (Rule).Get_Target_Path (Path, File); Pos : constant File_Tree.Cursor := Rule.Files.Find (Target_Path); begin Log.Debug ("Adding {0} - {1}", Path, Target_Path); if File_Tree.Has_Element (Pos) then Rule.Files.Update_Element (Pos, Add_File'Access); else declare Info : File_Vector; begin Info.Append (File); Rule.Files.Insert (Target_Path, Info); end; end if; end Add_Source_File; -- ------------------------------ -- Scan the directory tree whose root is defined by <b>Dir</b> and find the files -- that match the current rule. -- ------------------------------ procedure Scan (Rule : in out Distrib_Rule; Dir : in Directory_List) is procedure Scan_Pattern (Pos : in Match_Rule_Vector.Cursor); procedure Scan_Pattern (Pos : in Match_Rule_Vector.Cursor) is Match : constant Match_Rule := Match_Rule_Vector.Element (Pos); Base : constant String := Ada.Strings.Unbounded.To_String (Match.Base_Dir); Pattern : constant String := Ada.Strings.Unbounded.To_String (Match.Match); begin Log.Debug ("Scan pattern base {0} - pat {1}", Base, Pattern); if Base = "" then Rule.Scan (Dir, ".", Pattern); return; end if; declare Iter : Directory_List_Vector.Cursor := Dir.Directories.First; D : Directory_List_Access; P : Natural := Base'First; N : Natural; begin while P < Base'Last loop N := Util.Strings.Index (Base, '/', P); if N = 0 then N := Base'Last; else N := N - 1; end if; while Directory_List_Vector.Has_Element (Iter) loop D := Directory_List_Vector.Element (Iter); if D.Name = Base (P .. N) then if N = Base'Last then Log.Debug ("Scanning from sub directory at {0}", Base); Rule.Scan (D.all, Base, Pattern); return; end if; Iter := D.Directories.First; exit; end if; Directory_List_Vector.Next (Iter); end loop; P := N + 2; end loop; end; end Scan_Pattern; begin Rule.Matches.Iterate (Scan_Pattern'Access); end Scan; procedure Scan (Rule : in out Distrib_Rule; Dir : in Directory_List; Base_Dir : in String; Pattern : in String) is procedure Collect_Subdirs (Name_Pattern : in String); procedure Collect_Files (Name_Pattern : in String); -- **/*.xhtml -- bin/** -- bin/**/test.bin N : constant Natural := Util.Strings.Index (Pattern, '/'); Pos : Natural := Pattern'First; procedure Collect_Files (Name_Pattern : in String) is use GNAT.Regpat; procedure Collect_File (File : in File_Record); Matcher : constant Pattern_Matcher := Make_Regexp (Name_Pattern); procedure Collect_File (File : in File_Record) is begin Log.Debug ("Check {0} - {1}", Base_Dir, File.Name); if Match (Matcher, File.Name) then Rule.Add_Source_File (Base_Dir, File); end if; end Collect_File; Iter : File_Record_Vectors.Cursor := Dir.Files.First; begin while File_Record_Vectors.Has_Element (Iter) loop File_Record_Vectors.Query_Element (Iter, Collect_File'Access); File_Record_Vectors.Next (Iter); end loop; end Collect_Files; procedure Collect_Subdirs (Name_Pattern : in String) is procedure Collect_Dir (Sub_Dir : in Directory_List_Access); procedure Collect_Dir (Sub_Dir : in Directory_List_Access) is begin if Name_Pattern = Sub_Dir.Name or else Name_Pattern = "*" then Rule.Scan (Sub_Dir.all, Base_Dir, Pattern (Pos .. Pattern'Last)); end if; end Collect_Dir; Iter : Directory_List_Vector.Cursor := Dir.Directories.First; begin while Directory_List_Vector.Has_Element (Iter) loop Directory_List_Vector.Query_Element (Iter, Collect_Dir'Access); Directory_List_Vector.Next (Iter); end loop; end Collect_Subdirs; Next : Natural; begin Log.Debug ("Scan {0}/{1} for pattern {2}", Base_Dir, Dir.Name, Pattern); if N > 0 then if Pattern = "**" then Collect_Subdirs (Name_Pattern => "**"); Collect_Files (Name_Pattern => "*"); return; elsif Pattern (Pattern'First .. N) = "*/" then Pos := N + 1; Collect_Subdirs (Name_Pattern => "*"); elsif Pattern (Pattern'First .. N) = "**/" then Collect_Subdirs (Name_Pattern => "*"); else Pos := N + 1; Collect_Subdirs (Name_Pattern => Pattern (Pattern'First .. N - 1)); return; end if; Next := Util.Strings.Index (Pattern, '/', N + 1); if Next = 0 then Collect_Files (Name_Pattern => Pattern (N + 1 .. Pattern'Last)); end if; end if; if N = 0 then -- No more directory Collect_Files (Name_Pattern => Pattern); end if; end Scan; end Gen.Artifacts.Distribs;
40.323944
98
0.518027
d0eee58a30aa4b2b4765e3a8e2e6557958eefea3
9,293
ads
Ada
ADL/drivers/stm32f334/stm32-opamp.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
ADL/drivers/stm32f334/stm32-opamp.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
ADL/drivers/stm32f334/stm32-opamp.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
private with STM32_SVD.SYSCFG; package STM32.OPAMP is type Operational_Amplifier is limited private; procedure Enable (This : in out Operational_Amplifier) with Post => Enabled (This); procedure Disable (This : in out Operational_Amplifier) with Post => not Enabled (This); function Enabled (This : Operational_Amplifier) return Boolean; type NI_Input_Mode is (Normal_Mode, Calibration_Mode); procedure Set_NI_Input_Mode (This : in out Operational_Amplifier; Input : NI_Input_Mode) with Post => Get_NI_Input_Mode (This) = Input; -- Select a calibration reference voltage on non-inverting input and -- disables external connections. function Get_NI_Input_Mode (This : Operational_Amplifier) return NI_Input_Mode; -- Return the source connected to the non-inverting input of the -- operational amplifier. type NI_Input_Port is (PB14, PB0, PA7); for NI_Input_Port use (PB14 => 2#01#, PB0 => 2#10#, PA7 => 2#11#); procedure Set_NI_Input_Port (This : in out Operational_Amplifier; Input : NI_Input_Port) with Post => Get_NI_Input_Port (This) = Input; -- Select the source connected to the non-inverting input of the -- operational amplifier. function Get_NI_Input_Port (This : Operational_Amplifier) return NI_Input_Port; -- Return the source connected to the non-inverting input of the -- operational amplifier. type NI_Sec_Input_Port is (PB14, PB0, PA7); for NI_Sec_Input_Port use (PB14 => 2#01#, PB0 => 2#10#, PA7 => 2#11#); procedure Set_NI_Sec_Input_Port (This : in out Operational_Amplifier; Input : NI_Sec_Input_Port) with Post => Get_NI_Sec_Input_Port (This) = Input; -- Select the secondary source connected to the non-inverting input -- of the operational amplifier. function Get_NI_Sec_Input_Port (This : Operational_Amplifier) return NI_Sec_Input_Port; -- Return the secondary source connected to the non-inverting input -- of the operational amplifier. type I_Input_Port is (PC5_VM0, PA5_VM1, Feedback_Resistor_PGA_Mode, Follower_Mode); procedure Set_I_Input_Port (This : in out Operational_Amplifier; Input : I_Input_Port) with Post => Get_I_Input_Port (This) = Input; -- Select the source connected to the inverting input of the -- operational amplifier. function Get_I_Input_Port (This : Operational_Amplifier) return I_Input_Port; -- Return the source connected to the inverting input of the -- operational amplifier. type I_Sec_Input_Port is (PC5_VM0, PA5_VM1); procedure Set_I_Sec_Input_Port (This : in out Operational_Amplifier; Input : I_Sec_Input_Port) with Post => Get_I_Sec_Input_Port (This) = Input; -- Select the secondary source connected to the inverting input of the -- operational amplifier. function Get_I_Sec_Input_Port (This : Operational_Amplifier) return I_Sec_Input_Port; -- Return the secondary source connected to the inverting input of the -- operational amplifier. type Input_Mux_Mode is (Manual, Automatic); -- Timer controlled mux mode. procedure Set_Input_Mux_Mode (This : in out Operational_Amplifier; Input : Input_Mux_Mode) with Post => Get_Input_Mux_Mode (This) = Input; -- Select automatically the switch between the default selection -- (VP_SEL and VM_SEL) and the secondary selection (VPS_SEL and VMS_SEL) -- of the inverting and non inverting inputs of the operational amplifier. function Get_Input_Mux_Mode (This : Operational_Amplifier) return Input_Mux_Mode; -- Return the selection of the selection between the default and the -- secondary inputs of the inverting and non inverting inputs of the -- operational amplifier. type PGA_Mode_Gain is (NI_Gain_2, NI_Gain_4, NI_Gain_8, NI_Gain_16, NI_Gain_2_Internal_Feedback_VM0, NI_Gain_4_Internal_Feedback_VM0, NI_Gain_8_Internal_Feedback_VM0, NI_Gain_16_Internal_Feedback_VM0, NI_Gain_2_Internal_Feedback_VM1, NI_Gain_4_Internal_Feedback_VM1, NI_Gain_8_Internal_Feedback_VM1, NI_Gain_16_Internal_Feedback_VM1); -- Gain in PGA mode. for PGA_Mode_Gain use (NI_Gain_2 => 2#0000#, NI_Gain_4 => 2#0001#, NI_Gain_8 => 2#0010#, NI_Gain_16 => 2#0011#, NI_Gain_2_Internal_Feedback_VM0 => 2#1000#, NI_Gain_4_Internal_Feedback_VM0 => 2#1001#, NI_Gain_8_Internal_Feedback_VM0 => 2#1010#, NI_Gain_16_Internal_Feedback_VM0 => 2#1011#, NI_Gain_2_Internal_Feedback_VM1 => 2#1100#, NI_Gain_4_Internal_Feedback_VM1 => 2#1101#, NI_Gain_8_Internal_Feedback_VM1 => 2#1110#, NI_Gain_16_Internal_Feedback_VM1 => 2#1111#); procedure Set_PGA_Mode_Gain (This : in out Operational_Amplifier; Input : PGA_Mode_Gain) with Post => Get_PGA_Mode_Gain (This) = Input; -- Select the gain in PGA mode. function Get_PGA_Mode_Gain (This : Operational_Amplifier) return PGA_Mode_Gain; -- Return the gain in PGA mode. procedure Set_User_Trimming (This : in out Operational_Amplifier; Enabled : Boolean) with Post => Get_User_Trimming (This) = Enabled; -- Enable/disable user trimming. function Get_User_Trimming (This : Operational_Amplifier) return Boolean; -- Return the state of user trimming. type Differential_Pair is (NMOS, PMOS); procedure Set_Offset_Trimming (This : in out Operational_Amplifier; Pair : Differential_Pair; Input : UInt5) with Post => Get_Offset_Trimming (This, Pair) = Input; -- Select the offset trimming value for NMOS or PMOS. function Get_Offset_Trimming (This : Operational_Amplifier; Pair : Differential_Pair) return UInt5; -- Return the offset trimming value for NMOS or PMOS. type Init_Parameters is record Input_Minus : I_Input_Port; Input_Sec_Minus : I_Sec_Input_Port; Input_Plus : NI_Input_Port; Input_Sec_Plus : NI_Sec_Input_Port; Mux_Mode : Input_Mux_Mode; PGA_Mode : PGA_Mode_Gain; end record; procedure Configure_Opamp (This : in out Operational_Amplifier; Param : Init_Parameters); procedure Set_Calibration_Mode (This : in out Operational_Amplifier; Enabled : Boolean) with Post => Get_Calibration_Mode (This) = Enabled; -- Select the calibration mode connecting VM and VP to the OPAMP -- internal reference voltage. function Get_Calibration_Mode (This : Operational_Amplifier) return Boolean; -- Return the calibration mode. type Calibration_Value is (VREFOPAMP_Is_3_3_VDDA, -- 3.3% VREFOPAMP_Is_10_VDDA, -- 10% VREFOPAMP_Is_50_VDDA, -- 50% VREFOPAMP_Is_90_VDDA -- 90% ); -- Offset calibration bus to generate the internal reference voltage. procedure Set_Calibration_Value (This : in out Operational_Amplifier; Input : Calibration_Value) with Post => Get_Calibration_Value (This) = Input; -- Select the offset calibration bus used to generate the internal -- reference voltage when CALON = 1 or FORCE_VP = 1. function Get_Calibration_Value (This : Operational_Amplifier) return Calibration_Value; -- Return the offset calibration bus voltage. procedure Calibrate (This : in out Operational_Amplifier); -- Calibrate the NMOS and PMOS differential pair. This routine -- is described in the RM0364 pg. 355. The offset trim time, -- during calibration, must respect the minimum time needed -- between two steps to have 1 mV accuracy. type Internal_VRef_Output is (VRef_Is_Output, VRef_Is_Not_Output); procedure Set_Internal_VRef_Output (This : in out Operational_Amplifier; Input : Internal_VRef_Output) with Post => Get_Internal_VRef_Output (This) = Input; -- Output the internal reference voltage (VREFOPAMPx). function Get_Internal_VRef_Output (This : Operational_Amplifier) return Internal_VRef_Output; -- Return the internal output reference voltage state. type Output_Status_Flag is (NI_Lesser_Then_I, NI_Greater_Then_I); function Get_Output_Status_Flag (This : Operational_Amplifier) return Output_Status_Flag; -- Return the output status flag when the OPAMP is used as comparator -- during calibration. procedure Set_Lock_OpAmp (This : in out Operational_Amplifier) with Post => Get_Lock_OpAmp (This) = True; -- Allows to have OPAMPx_CSR register as read-only. It can only be cleared -- by a system reset. function Get_Lock_OpAmp (This : Operational_Amplifier) return Boolean; -- Return the OPAMP lock bit state. private -- representation for the whole Operationa Amplifier type ----------------- type Operational_Amplifier is limited record CSR : STM32_SVD.SYSCFG.OPAMP2_CSR_Register; end record with Volatile, Size => 1 * 32; for Operational_Amplifier use record CSR at 16#00# range 0 .. 31; end record; end STM32.OPAMP;
34.165441
80
0.700635
d0fd68e29f8e260be4d8a77aad19b8e3279d75fb
1,601
ads
Ada
src/ada/src/utils/bounded_stack.ads
VVCAS-Sean/OpenUxAS
dcd7be29d182d278a5387908f568d6f8a06b79ee
[ "NASA-1.3" ]
88
2017-08-24T07:02:01.000Z
2022-03-18T04:34:17.000Z
src/ada/src/utils/bounded_stack.ads
VVCAS-Sean/OpenUxAS
dcd7be29d182d278a5387908f568d6f8a06b79ee
[ "NASA-1.3" ]
46
2017-06-08T18:18:08.000Z
2022-03-15T18:24:43.000Z
src/ada/src/utils/bounded_stack.ads
VVCAS-Sean/OpenUxAS
dcd7be29d182d278a5387908f568d6f8a06b79ee
[ "NASA-1.3" ]
53
2017-06-22T14:48:05.000Z
2022-02-15T16:59:38.000Z
with Ada.Containers.Functional_Maps; with Ada.Containers.Functional_Vectors; with Common; use Common; with Ada.Containers; use Ada.Containers; generic type Element_Type is private; package Bounded_Stack with SPARK_Mode is Capacity : constant Integer := 250; Empty : constant Integer := 0; subtype Extent is Integer range Empty .. Capacity; subtype Index is Extent range 1 .. Capacity; type Stack is private; function Size (S : Stack) return Extent; function Element (S : Stack; I : Index) return Element_Type with Ghost, Pre => I <= Size (S); procedure Push (S : in out Stack; E : Element_Type) with Pre => Size (S) < Capacity, Post => Size (S) = Size (S'Old) + 1 and then (for all I in 1 .. Size (S'Old) => Element (S, I) = Element (S'Old, I)) and then Element (S, Size (S)) = E; procedure Pop (S : in out Stack; E : out Element_Type) with Pre => Size (S) > Empty, Post => Size (S) = Size (S'Old) - 1 and then (for all I in 1 .. Size (S) => Element (S, I) = Element (S'Old, I)) and then E = Element (S'Old, Size (S'Old)); private type Content_Array is array (Index) of Element_Type with Relaxed_Initialization; type Stack is record Top : Extent := 0; Content : Content_Array; end record with Predicate => (for all I in 1 .. Top => Content (I)'Initialized); function Size (S : Stack) return Extent is (S.Top); function Element (S : Stack; I : Index) return Element_Type is (S.Content (I)); end Bounded_Stack;
28.589286
83
0.618364
d08bbe0eb4db82d3fc2d15a13a3cfae186dc5b07
1,891
ads
Ada
src/ewok-syscalls.ads
PThierry/ewok-kernel
e9c23cb3fd0afd8378bc27418778e1117d5e16cc
[ "Apache-2.0" ]
null
null
null
src/ewok-syscalls.ads
PThierry/ewok-kernel
e9c23cb3fd0afd8378bc27418778e1117d5e16cc
[ "Apache-2.0" ]
null
null
null
src/ewok-syscalls.ads
PThierry/ewok-kernel
e9c23cb3fd0afd8378bc27418778e1117d5e16cc
[ "Apache-2.0" ]
null
null
null
-- -- Copyright 2018 The wookey project team <wookey@ssi.gouv.fr> -- - Ryad Benadjila -- - Arnauld Michelizza -- - Mathieu Renard -- - Philippe Thierry -- - Philippe Trebuchet -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- -- with ada.unchecked_conversion; package ewok.syscalls with spark_mode => off is subtype t_syscall_ret is unsigned_32; SYS_E_DONE : constant t_syscall_ret := 0; -- Syscall succesful SYS_E_INVAL : constant t_syscall_ret := 1; -- Invalid input data SYS_E_DENIED : constant t_syscall_ret := 2; -- Permission is denied SYS_E_BUSY : constant t_syscall_ret := 3; -- Target is busy OR not enough ressources OR ressource is already used type t_svc is (SVC_EXIT, SVC_YIELD, SVC_GET_TIME, SVC_RESET, SVC_SLEEP, SVC_GET_RANDOM, SVC_LOG, SVC_REGISTER_DEVICE, SVC_REGISTER_DMA, SVC_REGISTER_DMA_SHM, SVC_GET_TASKID, SVC_INIT_DONE, SVC_IPC_RECV_SYNC, SVC_IPC_SEND_SYNC, SVC_IPC_RECV_ASYNC, SVC_IPC_SEND_ASYNC, SVC_GPIO_SET, SVC_GPIO_GET, SVC_GPIO_UNLOCK_EXTI, SVC_DMA_RECONF, SVC_DMA_RELOAD, SVC_DMA_DISABLE, SVC_DEV_MAP, SVC_DEV_UNMAP, SVC_DEV_RELEASE, SVC_LOCK_ENTER, SVC_LOCK_EXIT) with size => 8; end ewok.syscalls;
27.405797
79
0.678477
dc6f12be9f81b50ec3bf0bc358329d12da2ef71c
7,786
adb
Ada
sorting_exercise.adb
thieryw/sorting_algo
c371b53bbd781750b74c84c5856ddb81d4dd0043
[ "MIT" ]
null
null
null
sorting_exercise.adb
thieryw/sorting_algo
c371b53bbd781750b74c84c5856ddb81d4dd0043
[ "MIT" ]
null
null
null
sorting_exercise.adb
thieryw/sorting_algo
c371b53bbd781750b74c84c5856ddb81d4dd0043
[ "MIT" ]
null
null
null
with ada.text_io,ada.integer_text_io,ada.numerics.discrete_random ; use ada.text_io ; procedure sorting_exercise is type t_tableau is array(integer range <>) of integer ; t : t_tableau(1..50) ; function init_tab return t_tableau is subtype intervall is integer range 1..100 ; package aleatoire is new ada.numerics.discrete_random(intervall) ; hasard : aleatoire.generator ; tab : t_tableau(1..50) ; begin aleatoire.reset(hasard) ; for i in tab'range loop tab(i) := aleatoire.random(hasard) ; end loop ; return tab ; end init_tab; procedure render_tab(t : t_tableau) is begin for i in t'range loop ada.integer_text_io.put(t(i)) ; new_line ; end loop ; end render_tab ; procedure exchange(a : in out integer ; b : in out integer) is temp : integer ; begin temp := b ; b := a ; a := temp ; end exchange ; function rang_min(t : t_tableau ; first : integer ; last : integer) return integer is min : integer := t(first) ; rank : integer ; begin for i in first..last loop if min > t(i) then rank := i ; min := t(i) ; end if ; end loop ; return rank ; end rang_min ; function sort(t : t_tableau) return t_tableau is tab : t_tableau := t ; begin for i in tab'range loop exchange(tab(i),tab(rang_min(tab,i,tab'last))) ; end loop ; return tab ; end sort ; function insert_sort(t : t_tableau) return t_tableau is tab : t_tableau := t ; begin for i in tab'first+1..tab'last loop for j in reverse tab'first+1..i loop exit when tab(j-1) <= tab(j) ; exchange(tab(j-1),tab(j)) ; end loop ; end loop ; return tab ; end insert_sort ; function bubble_sort(t : t_tableau) return t_tableau is tab : t_tableau := t ; permut : boolean := true ; begin while permut loop permut := false ; for i in tab'first..tab'last-1 loop if tab(i) > tab(i+1) then exchange(tab(i),tab(i+1)) ; permut := true ; end if ; end loop ; end loop ; return tab ; end bubble_sort ; function quik_sort(t : t_tableau) return t_tableau is procedure sort(t : in out t_tableau ; first,last : integer) is pivot : integer := (first + last) / 2 ; j : integer := first + 1 ; begin if first < last then exchange(t(first),t(pivot)) ; pivot := first ; for i in first + 1..last loop if t(i) < t(pivot) then exchange(t(i),t(j)) ; j := j + 1 ; end if ; end loop ; exchange(t(pivot),t(j - 1)) ; pivot := j - 1 ; sort(t,pivot+1,last) ; sort(t,first,pivot-1) ; end if ; end sort ; tab : t_tableau := t ; begin sort(tab,tab'first,tab'last) ; return tab ; end quik_sort ; function fusion(t1,t2 : t_tableau) return t_tableau is t : t_tableau(1..t1'length+t2'length) ; i : integer := t1'first ; j : integer := t2'first ; begin if t1'length = 0 then return t2 ; elsif t2'length = 0 then return t1 ; end if ; for k in t'range loop if i > t1'last and j > t2'last then exit ; elsif i > t1'last then t(k..t'last) := t2(j..t2'last) ; exit ; elsif j > t2'last then t(k..t'last) := t1(i..t1'last) ; exit ; end if ; if t1(i) <= t2(j) then t(k) := t1(i) ; i := i + 1 ; else t(k) := t2(j) ; j := j + 1 ; end if ; end loop ; return t ; end fusion ; function tri_fusion(t : t_tableau) return t_tableau is lg : constant integer := t'length ; begin if lg <= 1 then return t ; else declare t1 : t_tableau(t'first..t'first-1+lg/2) ; t2 : t_tableau(t'first+lg/2..t'last) ; begin t1 := tri_fusion(t(t'first..t'first-1+lg/2)) ; t2 := tri_fusion(t(t'first+ lg/2..t'last)) ; return fusion(t1,t2) ; end ; end if ; end tri_fusion ; procedure tamiser(t : in out t_tableau ; noeud : integer ; max : integer) is racine : integer := noeud ; feuille : integer := noeud * 2 ; begin while feuille <= max loop if feuille+1 < max and then t(feuille) < t(feuille+1) then feuille := feuille + 1 ; end if ; if t(racine) < t(feuille) then exchange(t(racine),t(feuille)) ; end if ; racine := feuille ; feuille:= 2 * racine ; end loop ; end tamiser ; function tri_arbre(t : t_tableau) return t_tableau is arbre : t_tableau(1..t'length) := t ; begin for i in reverse arbre'first..arbre'last/2 loop tamiser(arbre,i,arbre'last) ; end loop ; for i in reverse arbre'first+1..arbre'last loop exchange(arbre(arbre'first),arbre(i)) ; tamiser(arbre,arbre'first,i-1) ; end loop ; return arbre ; end tri_arbre ; begin t := init_tab ; render_tab(t) ; t := tri_arbre(t) ; put_line("tableau ranger") ; render_tab(t) ; end sorting_exercise ;
31.01992
93
0.369124
c5724d1187054e79568471cc5d6eb6ceeee9956e
3,998
adb
Ada
release/src-rt-6.x.4708/router/libncurses/Ada95/src/terminal_interface-curses-putwin.adb
ghsecuritylab/ATTOMATO
4d48bb79f8d147f89a568cf18da9e0edc41f93fb
[ "FSFAP" ]
11
2019-01-02T17:00:32.000Z
2020-06-29T21:27:54.000Z
release/src-rt-6.x.4708/router/libncurses/Ada95/src/terminal_interface-curses-putwin.adb
ghsecuritylab/ATTOMATO
4d48bb79f8d147f89a568cf18da9e0edc41f93fb
[ "FSFAP" ]
4
2019-01-02T13:48:36.000Z
2019-02-18T21:17:41.000Z
release/src-rt-6.x.4708/router/libncurses/Ada95/src/terminal_interface-curses-putwin.adb
ghsecuritylab/ATTOMATO
4d48bb79f8d147f89a568cf18da9e0edc41f93fb
[ "FSFAP" ]
6
2019-02-15T20:11:02.000Z
2020-01-11T00:48:53.000Z
------------------------------------------------------------------------------ -- -- -- GNAT ncurses Binding -- -- -- -- Terminal_Interface.Curses.PutWin -- -- -- -- B O D Y -- -- -- ------------------------------------------------------------------------------ -- Copyright (c) 2000,2003 Free Software Foundation, Inc. -- -- -- -- Permission is hereby granted, free of charge, to any person obtaining a -- -- copy of this software and associated documentation files (the -- -- "Software"), to deal in the Software without restriction, including -- -- without limitation the rights to use, copy, modify, merge, publish, -- -- distribute, distribute with modifications, sublicense, and/or sell -- -- copies of the Software, and to permit persons to whom the Software is -- -- furnished to do so, subject to the following conditions: -- -- -- -- The above copyright notice and this permission notice shall be included -- -- in all copies or substantial portions of the Software. -- -- -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS -- -- OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- -- IN NO EVENT SHALL THE ABOVE COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, -- -- DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR -- -- THE USE OR OTHER DEALINGS IN THE SOFTWARE. -- -- -- -- Except as contained in this notice, the name(s) of the above copyright -- -- holders shall not be used in advertising or otherwise to promote the -- -- sale, use or other dealings in this Software without prior written -- -- authorization. -- ------------------------------------------------------------------------------ -- Author: Juergen Pfeifer, 1996 -- Version Control: -- $Revision: 1.4 $ -- Binding Version 01.00 with Ada.Streams.Stream_IO.C_Streams; with Interfaces.C_Streams; with Terminal_Interface.Curses.Aux; use Terminal_Interface.Curses.Aux; package body Terminal_Interface.Curses.PutWin is package ICS renames Interfaces.C_Streams; package ACS renames Ada.Streams.Stream_IO.C_Streams; use type C_Int; procedure Put_Window (Win : Window; File : Ada.Streams.Stream_IO.File_Type) is function putwin (Win : Window; f : ICS.FILEs) return C_Int; pragma Import (C, putwin, "putwin"); R : constant C_Int := putwin (Win, ACS.C_Stream (File)); begin if R /= Curses_Ok then raise Curses_Exception; end if; end Put_Window; function Get_Window (File : Ada.Streams.Stream_IO.File_Type) return Window is function getwin (f : ICS.FILEs) return Window; pragma Import (C, getwin, "getwin"); W : constant Window := getwin (ACS.C_Stream (File)); begin if W = Null_Window then raise Curses_Exception; else return W; end if; end Get_Window; end Terminal_Interface.Curses.PutWin;
51.25641
78
0.484492
cb4a72b74ef401a424c79001931c317da729dc48
440
ads
Ada
3-mid/opengl/source/lean/buffer/opengl-buffer-short_indices.ads
charlie5/lace
e9b7dc751d500ff3f559617a6fc3089ace9dc134
[ "0BSD" ]
20
2015-11-04T09:23:59.000Z
2022-01-14T10:21:42.000Z
3-mid/opengl/source/lean/buffer/opengl-buffer-short_indices.ads
charlie5/lace-alire
9ace9682cf4daac7adb9f980c2868d6225b8111c
[ "0BSD" ]
2
2015-11-04T17:05:56.000Z
2015-12-08T03:16:13.000Z
3-mid/opengl/source/lean/buffer/opengl-buffer-short_indices.ads
charlie5/lace-alire
9ace9682cf4daac7adb9f980c2868d6225b8111c
[ "0BSD" ]
1
2015-12-07T12:53:52.000Z
2015-12-07T12:53:52.000Z
with openGL.Buffer.general; package openGL.Buffer.short_indices is new openGL.Buffer.general (base_Object => Buffer.element_array_Object, Index => long_Index_t, Element => short_Index_t, Element_Array => short_Indices);
55
111
0.406818
180c2a2fe2e25a306ed2407f05fd9e7b901175bd
6,164
adb
Ada
thirdparty/adasdl/thin/adasdl/AdaSDL_framebuff/sdl_framebuffer.adb
Lucretia/old_nehe_ada95
d0378c3bfce202eb01bf00b57c128735dbe8582d
[ "BSD-3-Clause" ]
null
null
null
thirdparty/adasdl/thin/adasdl/AdaSDL_framebuff/sdl_framebuffer.adb
Lucretia/old_nehe_ada95
d0378c3bfce202eb01bf00b57c128735dbe8582d
[ "BSD-3-Clause" ]
null
null
null
thirdparty/adasdl/thin/adasdl/AdaSDL_framebuff/sdl_framebuffer.adb
Lucretia/old_nehe_ada95
d0378c3bfce202eb01bf00b57c128735dbe8582d
[ "BSD-3-Clause" ]
null
null
null
-- ----------------------------------------------------------------- -- -- AdaSDL_Framebuffer -- -- Copyright (C) 2001 A.M.F.Vargas -- -- Antonio M. F. Vargas -- -- Ponta Delgada - Azores - Portugal -- -- http://www.adapower.net/~avargas -- -- E-mail: avargas@adapower.net -- -- ----------------------------------------------------------------- -- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU General Public -- -- License as published by the Free Software Foundation; either -- -- version 2 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- General Public License for more details. -- -- -- -- You should have received a copy of the GNU General Public -- -- License along with this library; if not, write to the -- -- Free Software Foundation, Inc., 59 Temple Place - Suite 330, -- -- Boston, MA 02111-1307, USA. -- -- -- -- As a special exception, if other files instantiate generics from -- -- this unit, or you link this unit with other files to produce an -- -- executable, this unit does not by itself cause the resulting -- -- executable to be covered by the GNU General Public License. This -- -- exception does not however invalidate any other reasons why the -- -- executable file might be covered by the GNU Public License. -- -- ----------------------------------------------------------------- -- -- ########################################################################## -- ### These are new extensions to the SDL API in order to improve the -- ### Ada code and to isolate the pointer arithmetic inside the library. -- ########################################################################## with Interfaces.C; with Lib_C; package body SDL_Framebuffer is use type C.int; -- =========================================================== function Go_XY_24b_Unchecked ( Surface : Vd.Surface_ptr; X : Natural; Y : Natural) return Framebuffer_8bPointer is begin return Go_XY_Unchecked (Surface, Natural (3 * X), Natural (Y)); end Go_XY_24b_Unchecked; -- =========================================================== procedure Set_24b_Value_Unchecked ( Surface : Vd.Surface_ptr; Location : Framebuffer_8bPointer; Value : Uint32) is use Uint8_Ptrs; use Uint8_PtrOps; use Interfaces; Shift : C.int; Pix : Framebuffer_8bPointer := Location; begin Shift := C.int (Surface.format.Rshift); Uint8_Ptrs.Object_Pointer ( Uint8_PtrOps.Pointer (Pix) + C.ptrdiff_t (Shift / 8) ).all := Uint8 (Shift_Right (Value, Integer (Shift))); Shift := C.int (Surface.format.Gshift); Uint8_Ptrs.Object_Pointer ( Uint8_PtrOps.Pointer (Pix) + C.ptrdiff_t (Shift / 8) ).all := Uint8 (Shift_Right (Value, Integer (Shift))); Shift := C.int (Surface.format.Bshift); Uint8_Ptrs.Object_Pointer ( Uint8_PtrOps.Pointer (Pix) + C.ptrdiff_t (Shift / 8) ).all := Uint8 (Shift_Right (Value, Integer (Shift))); end Set_24b_Value_Unchecked; -- ======================================= procedure Copy_Colors ( Source : Surface_ptr; Dest : Color_PtrOps.Pointer; Num_Colors : Natural) is begin Color_PtrOps.Copy_Array ( Color_PtrOps.Pointer (Source.format.palette.colors), Dest, C.ptrdiff_t (Num_Colors)); end Copy_Colors; -- ======================================= function Copy_Colors ( Surface : Surface_ptr; Num_Colors : Natural) return Colors_Array is begin return Color_PtrOps.Value ( Color_PtrOps.Pointer (Surface.format.palette.colors), C.ptrdiff_t (Num_Colors)); end Copy_Colors; -- =================================================================== function Pitch_Gap (Surface : Surface_ptr) return Uint16 is begin return Surface.pitch - Uint16 (Surface.w)* Uint16 (Surface.format.BytesPerPixel); end Pitch_Gap; -- ================================================================== function Get_Palette_Red ( Surface : Surface_ptr; Color_Index : Uint8) return Uint8 is use Color_PtrOps; begin return Color_ptr ( Color_PtrOps.Pointer (Surface.format.palette.colors) + C.ptrdiff_t (Color_Index) ).all.r; end Get_Palette_Red; -- ============================================= function Get_Palette_Green ( Surface : Surface_ptr; Color_Index : Uint8) return Uint8 is use Color_PtrOps; begin return Color_ptr ( Color_PtrOps.Pointer (Surface.format.palette.colors) + C.ptrdiff_t (Color_Index) ).all.g; end Get_Palette_Green; -- ============================================= function Get_Palette_Blue ( Surface : Surface_ptr; Color_Index : Uint8) return Uint8 is use Color_PtrOps; begin return Color_ptr ( Color_PtrOps.Pointer (Surface.format.palette.colors) + C.ptrdiff_t (Color_Index) ).all.b; end Get_Palette_Blue; -- ####################################################################### end SDL_Framebuffer;
38.525
78
0.486372
4a8c2490bc2f41ea0e4c338f94867ecad0f705da
2,047
ads
Ada
tests/kat/duplex_runner.ads
damaki/libkeccak
d06217e525f7927380690d6c37b485bdbe8aa96e
[ "BSD-3-Clause" ]
26
2015-09-20T17:52:38.000Z
2021-07-29T21:47:04.000Z
tests/kat/duplex_runner.ads
damaki/libkeccak
d06217e525f7927380690d6c37b485bdbe8aa96e
[ "BSD-3-Clause" ]
3
2019-03-12T16:01:36.000Z
2020-05-23T13:06:43.000Z
tests/kat/duplex_runner.ads
damaki/libkeccak
d06217e525f7927380690d6c37b485bdbe8aa96e
[ "BSD-3-Clause" ]
2
2019-04-15T18:02:19.000Z
2020-11-22T11:22:18.000Z
------------------------------------------------------------------------------- -- Copyright (c) 2019, Daniel King -- All rights reserved. -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions are met: -- * Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- * Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- * The name of the copyright holder may not be used to endorse or promote -- Products derived from this software without specific prior written -- permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER BE LIABLE FOR ANY -- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF -- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. ------------------------------------------------------------------------------- with Keccak.Generic_Duplex; generic with package Duplex is new Keccak.Generic_Duplex(<>); package Duplex_Runner is procedure Run_Tests (File_Name : in String; Capacity : in Positive; Num_Passed : out Natural; Num_Failed : out Natural); end Duplex_Runner;
52.487179
79
0.667318
c5c99a12bfbfcdcb6e07aa16d84f7de61014a8b8
5,021
ads
Ada
arch/RISC-V/SiFive/drivers/fe310-performance_monitor.ads
shakram02/Ada_Drivers_Library
a407ca7ddbc2d9756647016c2f8fd8ef24a239ff
[ "BSD-3-Clause" ]
192
2016-06-01T18:32:04.000Z
2022-03-26T22:52:31.000Z
arch/RISC-V/SiFive/drivers/fe310-performance_monitor.ads
shakram02/Ada_Drivers_Library
a407ca7ddbc2d9756647016c2f8fd8ef24a239ff
[ "BSD-3-Clause" ]
239
2016-05-26T20:02:01.000Z
2022-03-31T09:46:56.000Z
arch/RISC-V/SiFive/drivers/fe310-performance_monitor.ads
shakram02/Ada_Drivers_Library
a407ca7ddbc2d9756647016c2f8fd8ef24a239ff
[ "BSD-3-Clause" ]
142
2016-06-05T08:12:20.000Z
2022-03-24T17:37:17.000Z
------------------------------------------------------------------------------ -- -- -- Copyright (C) 2020, AdaCore -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- 1. Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- 3. Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ package FE310.Performance_Monitor is ----------------------------------- -- See FE310 Manual section 3.8 -- ----------------------------------- -- FE310 supports counters mhpmcounter3 and mhpmcounter4 only type Counter_Id is range 3 .. 4; -- Instruction Commit Events -- Note: position and order matter here. type Commit_Events is (Exception_Taken, Integer_Load, Integer_Store, Atomic_Operation, System_Instruction, Integer_Arithmetic, Conditional_Branch, JAL_Instruction, JALR_Instruction, Integer_Multiplication, Integer_Division); type Set_Of_Commit_Events is array (Commit_Events) of Boolean; No_Commit_Events : constant Set_Of_Commit_Events := (others => False); procedure Set_Commit_Events (C : in Counter_Id; S : in Set_Of_Commit_Events); -- Micro Architecture Events -- Note: position and order matter here. type Micro_Arch_Events is (Load_Use_Interlock, Long_Latency_Interlock, CSR_Read_Interlock, Instruction_Cache_Busy, Data_Cache_Busy, Branch_Direction_Misprediction, Branch_Target_Misprediction, Pipeline_Flush_From_CSR_Write, Pipeline_Flish_From_Other, Integer_Multiplication_Interlock); type Set_Of_Micro_Arch_Events is array (Micro_Arch_Events) of Boolean; No_Micro_Arch_Events : constant Set_Of_Micro_Arch_Events := (others => False); procedure Set_Micro_Arch_Events (C : in Counter_Id; S : in Set_Of_Micro_Arch_Events); -- Memory System Events type Memory_System_Events is (Instruction_Cache_Miss, Memory_Mapped_IO_Access); type Set_Of_Memory_System_Events is array (Memory_System_Events) of Boolean; No_Memory_System_Events : constant Set_Of_Memory_System_Events := (others => False); procedure Set_Memory_System_Events (C : in Counter_Id; S : in Set_Of_Memory_System_Events); -- Counter reading and reset procedure Zero_Counter (C : in Counter_Id); function Read_Counter (C : in Counter_Id) return UInt64; end FE310.Performance_Monitor;
46.925234
78
0.533758
dc5c45d832fa82be8b4f043336c092c6167a19ff
97,058
adb
Ada
apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b/conv2d_b2b/hls_target/.autopilot/db/Block_preheader39_p.adb
dillonhuff/Halide-HLS
e9f4c3ac7915e5a52f211ce65004ae17890515a0
[ "MIT" ]
1
2020-06-18T16:51:39.000Z
2020-06-18T16:51:39.000Z
apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b/conv2d_b2b/hls_target/.autopilot/db/Block_preheader39_p.adb
dillonhuff/Halide-HLS
e9f4c3ac7915e5a52f211ce65004ae17890515a0
[ "MIT" ]
null
null
null
apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b/conv2d_b2b/hls_target/.autopilot/db/Block_preheader39_p.adb
dillonhuff/Halide-HLS
e9f4c3ac7915e5a52f211ce65004ae17890515a0
[ "MIT" ]
1
2020-03-18T00:43:22.000Z
2020-03-18T00:43:22.000Z
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?> <!DOCTYPE boost_serialization> <boost_serialization signature="serialization::archive" version="14"> <syndb class_id="0" tracking_level="0" version="0"> <userIPLatency>-1</userIPLatency> <userIPName/> <cdfg class_id="1" tracking_level="1" version="0" object_id="_0"> <name>Block_preheader39_p</name> <ret_bitwidth>0</ret_bitwidth> <ports class_id="2" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="3" tracking_level="1" version="0" object_id="_1"> <Value class_id="4" tracking_level="0" version="0"> <Obj class_id="5" tracking_level="0" version="0"> <type>1</type> <id>1</id> <name>p_mul_stencil_stream_V_value_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo class_id="6" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>_mul_stencil_stream_to_p2_mul1.V.value.V</originalName> <rtlName/> <coreName>FIFO_SRL</coreName> </Obj> <bitwidth>128</bitwidth> </Value> <direction>0</direction> <if_type>3</if_type> <array_size>0</array_size> <bit_vecs class_id="7" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_2"> <Value> <Obj> <type>1</type> <id>2</id> <name>hw_output_V_value_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>stream&amp;lt;AxiPackedStencil&amp;lt;unsigned char, 1, 1, 1, 1&amp;gt; &amp;gt;.V.value.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> <item class_id_reference="3" object_id="_3"> <Value> <Obj> <type>1</type> <id>3</id> <name>hw_output_V_last_V</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName>stream&amp;lt;AxiPackedStencil&amp;lt;unsigned char, 1, 1, 1, 1&amp;gt; &amp;gt;.V.last.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <direction>1</direction> <if_type>0</if_type> <array_size>0</array_size> <bit_vecs> <count>0</count> <item_version>0</item_version> </bit_vecs> </item> </ports> <nodes class_id="8" tracking_level="0" version="0"> <count>23</count> <item_version>0</item_version> <item class_id="9" tracking_level="1" version="0" object_id="_4"> <Value> <Obj> <type>0</type> <id>6</id> <name>p_p2_mul1_stencil_str</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>173</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item class_id="10" tracking_level="0" version="0"> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second class_id="11" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="12" tracking_level="0" version="0"> <first class_id="13" tracking_level="0" version="0"> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>173</second> </item> </second> </item> </inlineStackInfo> <originalName>_p2_mul1_stencil_stream_to_hw_output.V.value.V</originalName> <rtlName>p_p2_mul1_stencil_str_fifo_U</rtlName> <coreName>FIFO_SRL</coreName> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>38</item> </oprand_edges> <opcode>alloca</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_5"> <Value> <Obj> <type>0</type> <id>11</id> <name>tmp_value_V</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>186</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>186</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>128</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>40</item> <item>41</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_6"> <Value> <Obj> <type>0</type> <id>12</id> <name>p_s</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>197</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>197</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_s_fu_112_p4</rtlName> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>43</item> <item>44</item> <item>46</item> <item>48</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_7"> <Value> <Obj> <type>0</type> <id>13</id> <name>p_315_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>205</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>205</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_315_cast_fu_122_p1</rtlName> <coreName/> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>49</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_8"> <Value> <Obj> <type>0</type> <id>14</id> <name>tmp_6</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>186</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>186</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_6_fu_126_p4</rtlName> <coreName/> </Obj> <bitwidth>6</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>51</item> <item>52</item> <item>54</item> <item>56</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_9"> <Value> <Obj> <type>0</type> <id>15</id> <name>p_8</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>206</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>206</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_8_fu_136_p3</rtlName> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>58</item> <item>59</item> <item>61</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_10"> <Value> <Obj> <type>0</type> <id>16</id> <name>p_323_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>208</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>208</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_323_cast_fu_144_p1</rtlName> <coreName/> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>62</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_11"> <Value> <Obj> <type>0</type> <id>17</id> <name>p_9</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>208</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>208</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_9_fu_148_p2</rtlName> <coreName/> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>63</item> <item>64</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_12"> <Value> <Obj> <type>0</type> <id>18</id> <name>p_324_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>208</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>208</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_324_cast_fu_196_p1</rtlName> <coreName/> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>65</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_13"> <Value> <Obj> <type>0</type> <id>19</id> <name>tmp_7</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>186</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>186</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_7_fu_154_p4</rtlName> <coreName/> </Obj> <bitwidth>7</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>67</item> <item>68</item> <item>70</item> <item>72</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_14"> <Value> <Obj> <type>0</type> <id>20</id> <name>p_10</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>215</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>215</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_10_fu_164_p3</rtlName> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>74</item> <item>75</item> <item>77</item> </oprand_edges> <opcode>bitconcatenate</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_15"> <Value> <Obj> <type>0</type> <id>21</id> <name>p_331_cast_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>221</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>221</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_331_cast_cast_fu_172_p1</rtlName> <coreName/> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>78</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_16"> <Value> <Obj> <type>0</type> <id>22</id> <name>p_11</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>224</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>224</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_11_fu_176_p4</rtlName> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>79</item> <item>80</item> <item>82</item> <item>84</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_17"> <Value> <Obj> <type>0</type> <id>23</id> <name>p_339_cast_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>226</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>226</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>p_339_cast_cast_fu_186_p1</rtlName> <coreName/> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>85</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_18"> <Value> <Obj> <type>0</type> <id>24</id> <name>tmp</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>226</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>226</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_fu_190_p2</rtlName> <coreName/> </Obj> <bitwidth>9</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>86</item> <item>87</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_19"> <Value> <Obj> <type>0</type> <id>25</id> <name>tmp_cast</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>226</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>226</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName>tmp_cast_fu_199_p1</rtlName> <coreName/> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>88</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_20"> <Value> <Obj> <type>0</type> <id>26</id> <name>tmp_value_V_6</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>226</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>226</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName>tmp_value_V_6_fu_202_p2</rtlName> <coreName/> </Obj> <bitwidth>10</bitwidth> </Value> <oprand_edges> <count>2</count> <item_version>0</item_version> <item>89</item> <item>90</item> </oprand_edges> <opcode>add</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_21"> <Value> <Obj> <type>0</type> <id>27</id> <name>tmp_value_V_7</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>226</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>226</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName>p_p2_mul1_stencil_str_din</rtlName> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>1</count> <item_version>0</item_version> <item>91</item> </oprand_edges> <opcode>zext</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_22"> <Value> <Obj> <type>0</type> <id>28</id> <name/> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>228</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>228</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>93</item> <item>94</item> <item>95</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_23"> <Value> <Obj> <type>0</type> <id>31</id> <name>tmp_value_V_8</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>245</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>245</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <oprand_edges> <count>3</count> <item_version>0</item_version> <item>97</item> <item>98</item> <item>207</item> </oprand_edges> <opcode>read</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_24"> <Value> <Obj> <type>0</type> <id>32</id> <name>tmp_value_V_9</name> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>252</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>252</second> </item> </second> </item> </inlineStackInfo> <originalName>tmp.value.V</originalName> <rtlName>hw_output_V_value_V</rtlName> <coreName/> </Obj> <bitwidth>8</bitwidth> </Value> <oprand_edges> <count>4</count> <item_version>0</item_version> <item>100</item> <item>101</item> <item>103</item> <item>105</item> </oprand_edges> <opcode>partselect</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_25"> <Value> <Obj> <type>0</type> <id>33</id> <name/> <fileName>hls_target.cpp</fileName> <fileDirectory>..</fileDirectory> <lineNumber>260</lineNumber> <contextFuncName>hls_target</contextFuncName> <inlineStackInfo> <count>1</count> <item_version>0</item_version> <item> <first>/home/dhuff/Halide-HLS/apps/hls_examples/camera_ready_synthesis/app_files/paper_apps_8_shifts/conv2d_b2b</first> <second> <count>1</count> <item_version>0</item_version> <item> <first> <first>hls_target.cpp</first> <second>hls_target</second> </first> <second>260</second> </item> </second> </item> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>5</count> <item_version>0</item_version> <item>107</item> <item>108</item> <item>109</item> <item>110</item> <item>112</item> </oprand_edges> <opcode>write</opcode> <m_Display>0</m_Display> </item> <item class_id_reference="9" object_id="_26"> <Value> <Obj> <type>0</type> <id>35</id> <name/> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>0</bitwidth> </Value> <oprand_edges> <count>0</count> <item_version>0</item_version> </oprand_edges> <opcode>ret</opcode> <m_Display>0</m_Display> </item> </nodes> <consts class_id="15" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="16" tracking_level="1" version="0" object_id="_27"> <Value> <Obj> <type>2</type> <id>37</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>64</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> <item class_id_reference="16" object_id="_28"> <Value> <Obj> <type>2</type> <id>45</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>4</content> </item> <item class_id_reference="16" object_id="_29"> <Value> <Obj> <type>2</type> <id>47</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>11</content> </item> <item class_id_reference="16" object_id="_30"> <Value> <Obj> <type>2</type> <id>53</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>36</content> </item> <item class_id_reference="16" object_id="_31"> <Value> <Obj> <type>2</type> <id>55</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>41</content> </item> <item class_id_reference="16" object_id="_32"> <Value> <Obj> <type>2</type> <id>60</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>2</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_33"> <Value> <Obj> <type>2</type> <id>69</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>68</content> </item> <item class_id_reference="16" object_id="_34"> <Value> <Obj> <type>2</type> <id>71</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>74</content> </item> <item class_id_reference="16" object_id="_35"> <Value> <Obj> <type>2</type> <id>76</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <const_type>0</const_type> <content>0</content> </item> <item class_id_reference="16" object_id="_36"> <Value> <Obj> <type>2</type> <id>81</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>100</content> </item> <item class_id_reference="16" object_id="_37"> <Value> <Obj> <type>2</type> <id>83</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>107</content> </item> <item class_id_reference="16" object_id="_38"> <Value> <Obj> <type>2</type> <id>102</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>3</content> </item> <item class_id_reference="16" object_id="_39"> <Value> <Obj> <type>2</type> <id>104</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>32</bitwidth> </Value> <const_type>0</const_type> <content>10</content> </item> <item class_id_reference="16" object_id="_40"> <Value> <Obj> <type>2</type> <id>111</id> <name>empty</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <bitwidth>1</bitwidth> </Value> <const_type>0</const_type> <content>1</content> </item> </consts> <blocks class_id="17" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="18" tracking_level="1" version="0" object_id="_41"> <Obj> <type>3</type> <id>36</id> <name>Block_.preheader39.p</name> <fileName/> <fileDirectory/> <lineNumber>0</lineNumber> <contextFuncName/> <inlineStackInfo> <count>0</count> <item_version>0</item_version> </inlineStackInfo> <originalName/> <rtlName/> <coreName/> </Obj> <node_objs> <count>23</count> <item_version>0</item_version> <item>6</item> <item>11</item> <item>12</item> <item>13</item> <item>14</item> <item>15</item> <item>16</item> <item>17</item> <item>18</item> <item>19</item> <item>20</item> <item>21</item> <item>22</item> <item>23</item> <item>24</item> <item>25</item> <item>26</item> <item>27</item> <item>28</item> <item>31</item> <item>32</item> <item>33</item> <item>35</item> </node_objs> </item> </blocks> <edges class_id="19" tracking_level="0" version="0"> <count>42</count> <item_version>0</item_version> <item class_id="20" tracking_level="1" version="0" object_id="_42"> <id>38</id> <edge_type>1</edge_type> <source_obj>37</source_obj> <sink_obj>6</sink_obj> </item> <item class_id_reference="20" object_id="_43"> <id>41</id> <edge_type>1</edge_type> <source_obj>1</source_obj> <sink_obj>11</sink_obj> </item> <item class_id_reference="20" object_id="_44"> <id>44</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_45"> <id>46</id> <edge_type>1</edge_type> <source_obj>45</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_46"> <id>48</id> <edge_type>1</edge_type> <source_obj>47</source_obj> <sink_obj>12</sink_obj> </item> <item class_id_reference="20" object_id="_47"> <id>49</id> <edge_type>1</edge_type> <source_obj>12</source_obj> <sink_obj>13</sink_obj> </item> <item class_id_reference="20" object_id="_48"> <id>52</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_49"> <id>54</id> <edge_type>1</edge_type> <source_obj>53</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_50"> <id>56</id> <edge_type>1</edge_type> <source_obj>55</source_obj> <sink_obj>14</sink_obj> </item> <item class_id_reference="20" object_id="_51"> <id>59</id> <edge_type>1</edge_type> <source_obj>14</source_obj> <sink_obj>15</sink_obj> </item> <item class_id_reference="20" object_id="_52"> <id>61</id> <edge_type>1</edge_type> <source_obj>60</source_obj> <sink_obj>15</sink_obj> </item> <item class_id_reference="20" object_id="_53"> <id>62</id> <edge_type>1</edge_type> <source_obj>15</source_obj> <sink_obj>16</sink_obj> </item> <item class_id_reference="20" object_id="_54"> <id>63</id> <edge_type>1</edge_type> <source_obj>16</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_55"> <id>64</id> <edge_type>1</edge_type> <source_obj>13</source_obj> <sink_obj>17</sink_obj> </item> <item class_id_reference="20" object_id="_56"> <id>65</id> <edge_type>1</edge_type> <source_obj>17</source_obj> <sink_obj>18</sink_obj> </item> <item class_id_reference="20" object_id="_57"> <id>68</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_58"> <id>70</id> <edge_type>1</edge_type> <source_obj>69</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_59"> <id>72</id> <edge_type>1</edge_type> <source_obj>71</source_obj> <sink_obj>19</sink_obj> </item> <item class_id_reference="20" object_id="_60"> <id>75</id> <edge_type>1</edge_type> <source_obj>19</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_61"> <id>77</id> <edge_type>1</edge_type> <source_obj>76</source_obj> <sink_obj>20</sink_obj> </item> <item class_id_reference="20" object_id="_62"> <id>78</id> <edge_type>1</edge_type> <source_obj>20</source_obj> <sink_obj>21</sink_obj> </item> <item class_id_reference="20" object_id="_63"> <id>80</id> <edge_type>1</edge_type> <source_obj>11</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_64"> <id>82</id> <edge_type>1</edge_type> <source_obj>81</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_65"> <id>84</id> <edge_type>1</edge_type> <source_obj>83</source_obj> <sink_obj>22</sink_obj> </item> <item class_id_reference="20" object_id="_66"> <id>85</id> <edge_type>1</edge_type> <source_obj>22</source_obj> <sink_obj>23</sink_obj> </item> <item class_id_reference="20" object_id="_67"> <id>86</id> <edge_type>1</edge_type> <source_obj>23</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_68"> <id>87</id> <edge_type>1</edge_type> <source_obj>21</source_obj> <sink_obj>24</sink_obj> </item> <item class_id_reference="20" object_id="_69"> <id>88</id> <edge_type>1</edge_type> <source_obj>24</source_obj> <sink_obj>25</sink_obj> </item> <item class_id_reference="20" object_id="_70"> <id>89</id> <edge_type>1</edge_type> <source_obj>18</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_71"> <id>90</id> <edge_type>1</edge_type> <source_obj>25</source_obj> <sink_obj>26</sink_obj> </item> <item class_id_reference="20" object_id="_72"> <id>91</id> <edge_type>1</edge_type> <source_obj>26</source_obj> <sink_obj>27</sink_obj> </item> <item class_id_reference="20" object_id="_73"> <id>94</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>28</sink_obj> </item> <item class_id_reference="20" object_id="_74"> <id>95</id> <edge_type>1</edge_type> <source_obj>27</source_obj> <sink_obj>28</sink_obj> </item> <item class_id_reference="20" object_id="_75"> <id>98</id> <edge_type>1</edge_type> <source_obj>6</source_obj> <sink_obj>31</sink_obj> </item> <item class_id_reference="20" object_id="_76"> <id>101</id> <edge_type>1</edge_type> <source_obj>31</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_77"> <id>103</id> <edge_type>1</edge_type> <source_obj>102</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_78"> <id>105</id> <edge_type>1</edge_type> <source_obj>104</source_obj> <sink_obj>32</sink_obj> </item> <item class_id_reference="20" object_id="_79"> <id>108</id> <edge_type>1</edge_type> <source_obj>2</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_80"> <id>109</id> <edge_type>1</edge_type> <source_obj>3</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_81"> <id>110</id> <edge_type>1</edge_type> <source_obj>32</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_82"> <id>112</id> <edge_type>1</edge_type> <source_obj>111</source_obj> <sink_obj>33</sink_obj> </item> <item class_id_reference="20" object_id="_83"> <id>207</id> <edge_type>4</edge_type> <source_obj>28</source_obj> <sink_obj>31</sink_obj> </item> </edges> </cdfg> <cdfg_regions class_id="21" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="22" tracking_level="1" version="0" object_id="_84"> <mId>1</mId> <mTag>Block_.preheader39.p</mTag> <mType>0</mType> <sub_regions> <count>0</count> <item_version>0</item_version> </sub_regions> <basic_blocks> <count>1</count> <item_version>0</item_version> <item>36</item> </basic_blocks> <mII>-1</mII> <mDepth>-1</mDepth> <mMinTripCount>-1</mMinTripCount> <mMaxTripCount>-1</mMaxTripCount> <mMinLatency>2</mMinLatency> <mMaxLatency>-1</mMaxLatency> <mIsDfPipe>0</mIsDfPipe> <mDfPipe class_id="-1"/> </item> </cdfg_regions> <fsm class_id="24" tracking_level="1" version="0" object_id="_85"> <states class_id="25" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="26" tracking_level="1" version="0" object_id="_86"> <id>1</id> <operations class_id="27" tracking_level="0" version="0"> <count>14</count> <item_version>0</item_version> <item class_id="28" tracking_level="1" version="0" object_id="_87"> <id>6</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_88"> <id>11</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_89"> <id>12</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_90"> <id>13</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_91"> <id>14</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_92"> <id>15</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_93"> <id>16</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_94"> <id>17</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_95"> <id>19</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_96"> <id>20</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_97"> <id>21</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_98"> <id>22</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_99"> <id>23</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_100"> <id>24</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_101"> <id>2</id> <operations> <count>5</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_102"> <id>18</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_103"> <id>25</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_104"> <id>26</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_105"> <id>27</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_106"> <id>28</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> <item class_id_reference="26" object_id="_107"> <id>3</id> <operations> <count>13</count> <item_version>0</item_version> <item class_id_reference="28" object_id="_108"> <id>4</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_109"> <id>5</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_110"> <id>7</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_111"> <id>8</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_112"> <id>9</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_113"> <id>10</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_114"> <id>29</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_115"> <id>30</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_116"> <id>31</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_117"> <id>32</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_118"> <id>33</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_119"> <id>34</id> <stage>1</stage> <latency>1</latency> </item> <item class_id_reference="28" object_id="_120"> <id>35</id> <stage>1</stage> <latency>1</latency> </item> </operations> </item> </states> <transitions class_id="29" tracking_level="0" version="0"> <count>2</count> <item_version>0</item_version> <item class_id="30" tracking_level="1" version="0" object_id="_121"> <inState>1</inState> <outState>2</outState> <condition class_id="31" tracking_level="0" version="0"> <id>3</id> <sop class_id="32" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="33" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> <item class_id_reference="30" object_id="_122"> <inState>2</inState> <outState>3</outState> <condition> <id>4</id> <sop> <count>1</count> <item_version>0</item_version> <item> <count>0</count> <item_version>0</item_version> </item> </sop> </condition> </item> </transitions> </fsm> <res class_id="34" tracking_level="1" version="0" object_id="_123"> <dp_component_resource class_id="35" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_component_resource> <dp_expression_resource> <count>4</count> <item_version>0</item_version> <item class_id="36" tracking_level="0" version="0"> <first>ap_block_state1 ( or ) </first> <second class_id="37" tracking_level="0" version="0"> <count>4</count> <item_version>0</item_version> <item class_id="38" tracking_level="0" version="0"> <first>(0P0)</first> <second>1</second> </item> <item> <first>(1P1)</first> <second>1</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>2</second> </item> </second> </item> <item> <first>p_9_fu_148_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>9</second> </item> <item> <first>(1P1)</first> <second>9</second> </item> <item> <first>FF</first> <second>32</second> </item> <item> <first>LUT</first> <second>14</second> </item> </second> </item> <item> <first>tmp_fu_190_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>9</second> </item> <item> <first>(1P1)</first> <second>9</second> </item> <item> <first>FF</first> <second>32</second> </item> <item> <first>LUT</first> <second>14</second> </item> </second> </item> <item> <first>tmp_value_V_6_fu_202_p2 ( + ) </first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0P0)</first> <second>10</second> </item> <item> <first>(1P1)</first> <second>10</second> </item> <item> <first>FF</first> <second>35</second> </item> <item> <first>LUT</first> <second>15</second> </item> </second> </item> </dp_expression_resource> <dp_fifo_resource> <count>1</count> <item_version>0</item_version> <item> <first>p_p2_mul1_stencil_str_fifo_U</first> <second> <count>5</count> <item_version>0</item_version> <item> <first>(0Depth)</first> <second>1</second> </item> <item> <first>(1Bits)</first> <second>32</second> </item> <item> <first>(2Size:D*B)</first> <second>32</second> </item> <item> <first>FF</first> <second>0</second> </item> <item> <first>LUT</first> <second>1</second> </item> </second> </item> </dp_fifo_resource> <dp_memory_resource> <count>0</count> <item_version>0</item_version> </dp_memory_resource> <dp_multiplexer_resource> <count>6</count> <item_version>0</item_version> <item> <first>ap_NS_fsm</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>4</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>4</second> </item> <item> <first>LUT</first> <second>21</second> </item> </second> </item> <item> <first>ap_done</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>ap_sig_ioackin_hw_output_V_value_V_ap_ack</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>hw_output_V_last_V_blk_n</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>hw_output_V_value_V_blk_n</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> <item> <first>p_mul_stencil_stream_V_value_V_blk_n</first> <second> <count>4</count> <item_version>0</item_version> <item> <first>(0Size)</first> <second>2</second> </item> <item> <first>(1Bits)</first> <second>1</second> </item> <item> <first>(2Count)</first> <second>2</second> </item> <item> <first>LUT</first> <second>9</second> </item> </second> </item> </dp_multiplexer_resource> <dp_register_resource> <count>6</count> <item_version>0</item_version> <item> <first>ap_CS_fsm</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>3</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>3</second> </item> </second> </item> <item> <first>ap_done_reg</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>ap_reg_ioackin_hw_output_V_last_V_ap_ack</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>ap_reg_ioackin_hw_output_V_value_V_ap_ack</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>1</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>1</second> </item> </second> </item> <item> <first>p_9_reg_230</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>9</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>9</second> </item> </second> </item> <item> <first>tmp_reg_235</first> <second> <count>3</count> <item_version>0</item_version> <item> <first>(Bits)</first> <second>9</second> </item> <item> <first>(Consts)</first> <second>0</second> </item> <item> <first>FF</first> <second>9</second> </item> </second> </item> </dp_register_resource> <dp_component_map class_id="39" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_component_map> <dp_expression_map> <count>3</count> <item_version>0</item_version> <item class_id="40" tracking_level="0" version="0"> <first>p_9_fu_148_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>17</item> </second> </item> <item> <first>tmp_fu_190_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>tmp_value_V_6_fu_202_p2 ( + ) </first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> </dp_expression_map> <dp_fifo_map> <count>1</count> <item_version>0</item_version> <item> <first>p_p2_mul1_stencil_str_fifo_U</first> <second> <count>1</count> <item_version>0</item_version> <item>186</item> </second> </item> </dp_fifo_map> <dp_memory_map> <count>0</count> <item_version>0</item_version> </dp_memory_map> </res> <node_label_latency class_id="41" tracking_level="0" version="0"> <count>23</count> <item_version>0</item_version> <item class_id="42" tracking_level="0" version="0"> <first>6</first> <second class_id="43" tracking_level="0" version="0"> <first>0</first> <second>0</second> </second> </item> <item> <first>11</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>12</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>13</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>14</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>15</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>16</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>17</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>18</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>19</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>20</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>21</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>22</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>23</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>24</first> <second> <first>0</first> <second>0</second> </second> </item> <item> <first>25</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>26</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>27</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>28</first> <second> <first>1</first> <second>0</second> </second> </item> <item> <first>31</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>32</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>33</first> <second> <first>2</first> <second>0</second> </second> </item> <item> <first>35</first> <second> <first>2</first> <second>0</second> </second> </item> </node_label_latency> <bblk_ent_exit class_id="44" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="45" tracking_level="0" version="0"> <first>36</first> <second class_id="46" tracking_level="0" version="0"> <first>0</first> <second>2</second> </second> </item> </bblk_ent_exit> <regions class_id="47" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </regions> <dp_fu_nodes class_id="48" tracking_level="0" version="0"> <count>22</count> <item_version>0</item_version> <item class_id="49" tracking_level="0" version="0"> <first>80</first> <second> <count>1</count> <item_version>0</item_version> <item>6</item> </second> </item> <item> <first>84</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> <item> <first>90</first> <second> <count>1</count> <item_version>0</item_version> <item>28</item> </second> </item> <item> <first>96</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>101</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>112</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>122</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>126</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>136</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>144</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>148</first> <second> <count>1</count> <item_version>0</item_version> <item>17</item> </second> </item> <item> <first>154</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>164</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>172</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>176</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>186</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>190</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>196</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>199</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>202</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>208</first> <second> <count>1</count> <item_version>0</item_version> <item>27</item> </second> </item> <item> <first>213</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> </dp_fu_nodes> <dp_fu_nodes_expression class_id="51" tracking_level="0" version="0"> <count>18</count> <item_version>0</item_version> <item class_id="52" tracking_level="0" version="0"> <first>p_10_fu_164</first> <second> <count>1</count> <item_version>0</item_version> <item>20</item> </second> </item> <item> <first>p_11_fu_176</first> <second> <count>1</count> <item_version>0</item_version> <item>22</item> </second> </item> <item> <first>p_315_cast_fu_122</first> <second> <count>1</count> <item_version>0</item_version> <item>13</item> </second> </item> <item> <first>p_323_cast_fu_144</first> <second> <count>1</count> <item_version>0</item_version> <item>16</item> </second> </item> <item> <first>p_324_cast_fu_196</first> <second> <count>1</count> <item_version>0</item_version> <item>18</item> </second> </item> <item> <first>p_331_cast_cast_fu_172</first> <second> <count>1</count> <item_version>0</item_version> <item>21</item> </second> </item> <item> <first>p_339_cast_cast_fu_186</first> <second> <count>1</count> <item_version>0</item_version> <item>23</item> </second> </item> <item> <first>p_8_fu_136</first> <second> <count>1</count> <item_version>0</item_version> <item>15</item> </second> </item> <item> <first>p_9_fu_148</first> <second> <count>1</count> <item_version>0</item_version> <item>17</item> </second> </item> <item> <first>p_p2_mul1_stencil_str_fu_80</first> <second> <count>1</count> <item_version>0</item_version> <item>6</item> </second> </item> <item> <first>p_s_fu_112</first> <second> <count>1</count> <item_version>0</item_version> <item>12</item> </second> </item> <item> <first>tmp_6_fu_126</first> <second> <count>1</count> <item_version>0</item_version> <item>14</item> </second> </item> <item> <first>tmp_7_fu_154</first> <second> <count>1</count> <item_version>0</item_version> <item>19</item> </second> </item> <item> <first>tmp_cast_fu_199</first> <second> <count>1</count> <item_version>0</item_version> <item>25</item> </second> </item> <item> <first>tmp_fu_190</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> <item> <first>tmp_value_V_6_fu_202</first> <second> <count>1</count> <item_version>0</item_version> <item>26</item> </second> </item> <item> <first>tmp_value_V_7_fu_208</first> <second> <count>1</count> <item_version>0</item_version> <item>27</item> </second> </item> <item> <first>tmp_value_V_9_fu_213</first> <second> <count>1</count> <item_version>0</item_version> <item>32</item> </second> </item> </dp_fu_nodes_expression> <dp_fu_nodes_module> <count>0</count> <item_version>0</item_version> </dp_fu_nodes_module> <dp_fu_nodes_io> <count>4</count> <item_version>0</item_version> <item> <first>StgValue_22_write_fu_90</first> <second> <count>1</count> <item_version>0</item_version> <item>28</item> </second> </item> <item> <first>StgValue_33_write_fu_101</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> <item> <first>tmp_value_V_8_read_fu_96</first> <second> <count>1</count> <item_version>0</item_version> <item>31</item> </second> </item> <item> <first>tmp_value_V_read_fu_84</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> </dp_fu_nodes_io> <return_ports> <count>0</count> <item_version>0</item_version> </return_ports> <dp_mem_port_nodes class_id="53" tracking_level="0" version="0"> <count>0</count> <item_version>0</item_version> </dp_mem_port_nodes> <dp_reg_nodes> <count>3</count> <item_version>0</item_version> <item> <first>224</first> <second> <count>1</count> <item_version>0</item_version> <item>6</item> </second> </item> <item> <first>230</first> <second> <count>1</count> <item_version>0</item_version> <item>17</item> </second> </item> <item> <first>235</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> </dp_reg_nodes> <dp_regname_nodes> <count>3</count> <item_version>0</item_version> <item> <first>p_9_reg_230</first> <second> <count>1</count> <item_version>0</item_version> <item>17</item> </second> </item> <item> <first>p_p2_mul1_stencil_str_reg_224</first> <second> <count>1</count> <item_version>0</item_version> <item>6</item> </second> </item> <item> <first>tmp_reg_235</first> <second> <count>1</count> <item_version>0</item_version> <item>24</item> </second> </item> </dp_regname_nodes> <dp_reg_phi> <count>0</count> <item_version>0</item_version> </dp_reg_phi> <dp_regname_phi> <count>0</count> <item_version>0</item_version> </dp_regname_phi> <dp_port_io_nodes class_id="54" tracking_level="0" version="0"> <count>3</count> <item_version>0</item_version> <item class_id="55" tracking_level="0" version="0"> <first>hw_output_V_last_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>write</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> </second> </item> <item> <first>hw_output_V_value_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>write</first> <second> <count>1</count> <item_version>0</item_version> <item>33</item> </second> </item> </second> </item> <item> <first>p_mul_stencil_stream_V_value_V</first> <second> <count>1</count> <item_version>0</item_version> <item> <first>read</first> <second> <count>1</count> <item_version>0</item_version> <item>11</item> </second> </item> </second> </item> </dp_port_io_nodes> <port2core class_id="56" tracking_level="0" version="0"> <count>1</count> <item_version>0</item_version> <item class_id="57" tracking_level="0" version="0"> <first>1</first> <second>FIFO_SRL</second> </item> </port2core> <node2core> <count>1</count> <item_version>0</item_version> <item> <first>6</first> <second>FIFO_SRL</second> </item> </node2core> </syndb> </boost_serialization>
31.359612
137
0.451472
dc729dee664a7242073a9c7e5f1094bcdb2ae909
1,744
ads
Ada
src/ui/waitmenu.ads
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
80
2017-04-08T23:14:07.000Z
2022-02-10T22:30:51.000Z
src/ui/waitmenu.ads
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
89
2017-06-24T08:18:26.000Z
2021-11-12T04:37:36.000Z
src/ui/waitmenu.ads
thindil/steamsky
d5d7fea622f7994c91017c4cd7ba5e188153556c
[ "TCL", "MIT" ]
9
2018-04-14T16:37:25.000Z
2020-03-21T14:33:49.000Z
-- Copyright (c) 2020-2021 Bartek thindil Jasicki <thindil@laeran.pl> -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with Interfaces.C; with CArgv; with Tcl; use Tcl; -- ****h* WaitMenu/WaitMenu -- FUNCTION -- Provide code for wait orders -- SOURCE package WaitMenu is -- **** -- ****o* WaitMenu/WaitMenu.Show_Wait_Command -- FUNCTION -- Show available wait orders to the player -- PARAMETERS -- ClientData - Custom data send to the command. Unused -- Interp - Tcl interpreter in which command was executed. -- Argc - Number of arguments passed to the command. Unused -- Argv - Values of arguments passed to the command. Unused -- RESULT -- This function always return TCL_OK -- COMMANDS -- ShowWait -- SOURCE function Show_Wait_Command (ClientData: Integer; Interp: Tcl.Tcl_Interp; Argc: Interfaces.C.int; Argv: CArgv.Chars_Ptr_Ptr) return Interfaces.C.int with Convention => C; -- **** -- ****f* WaitMenu/WaitMenu.AddCommands -- FUNCTION -- Add Tcl commands related to the waiting -- SOURCE procedure AddCommands; -- **** end WaitMenu;
32.296296
74
0.694381
c599d900dfa514bb0579cfb26f8aee0b2171fd5e
1,316
ads
Ada
examples/SPARK2005/packages/polypaver-long_floats.ads
michalkonecny/polypaver
7a1541a85523f5ecbef44b7f5e09680d9a5c1ca6
[ "BSD-3-Clause" ]
1
2015-07-01T14:50:00.000Z
2015-07-01T14:50:00.000Z
examples/SPARK2005/packages/polypaver-long_floats.ads
michalkonecny/polypaver
7a1541a85523f5ecbef44b7f5e09680d9a5c1ca6
[ "BSD-3-Clause" ]
null
null
null
examples/SPARK2005/packages/polypaver-long_floats.ads
michalkonecny/polypaver
7a1541a85523f5ecbef44b7f5e09680d9a5c1ca6
[ "BSD-3-Clause" ]
null
null
null
--# inherit PolyPaver.Exact; package PolyPaver.Long_Floats is --# function Is_Range(Variable : Long_Float; Min : Long_Float; Max : Long_Float) return Boolean; function Eps_Abs return Long_Float; function Eps_Rel return Long_Float; --# function Plus_Minus_Eps_Abs return Long_Float; --# function Plus_Minus_Eps_Rel return Long_Float; function Pi return Long_Float; function Add (X,Y : Long_Float) return Long_Float; --# pre Add(X,Y) in Long_Float; function Subtract (X,Y : Long_Float) return Long_Float; --# pre Subtract(X,Y) in Long_Float; function Multiply (X,Y : Long_Float) return Long_Float; --# pre Multiply(X,Y) in Long_Float; function Divide (X,Y : Long_Float) return Long_Float; --# pre Y /= 0.0 and --# Divide(X,Y) in Long_Float; function Square (X : Long_Float) return Long_Float; --# pre Square(X) in Long_Float; function Sqrt (X : Long_Float) return Long_Float; --# pre X >= 0.0 and --# Sqrt(X) in Long_Float; function Exp (X : Long_Float) return Long_Float; --# pre Exp(X) in Long_Float; function Sin (X : Long_Float) return Long_Float; --# pre Sin(X) in Long_Float; function Cos (X : Long_Float) return Long_Float; --# pre Cos(X) in Long_Float; end PolyPaver.Long_Floats;
28.608696
100
0.672492
d0426206d0395608a244e01249adf341342b4a39
1,011
adb
Ada
contrib/gnu/gdb/dist/gdb/testsuite/gdb.ada/array_bounds/bar.adb
TheSledgeHammer/2.11BSD
fe61f0b9aaa273783cd027c7b5ec77e95ead2153
[ "BSD-3-Clause" ]
3
2021-05-04T17:09:06.000Z
2021-10-04T07:19:26.000Z
contrib/gnu/gdb/dist/gdb/testsuite/gdb.ada/array_bounds/bar.adb
TheSledgeHammer/2.11BSD
fe61f0b9aaa273783cd027c7b5ec77e95ead2153
[ "BSD-3-Clause" ]
null
null
null
contrib/gnu/gdb/dist/gdb/testsuite/gdb.ada/array_bounds/bar.adb
TheSledgeHammer/2.11BSD
fe61f0b9aaa273783cd027c7b5ec77e95ead2153
[ "BSD-3-Clause" ]
null
null
null
-- Copyright 2008-2020 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. procedure Bar is type Index is (Zero, One, Two); type Vector is array (Index) of Integer; type IVector is array (Integer range 2 .. 5) of Integer; Table : Vector := (0, 1, 2); ITable : IVector := (2, 3, 4, 5); begin Table (Zero) := 5; -- START ITable (3) := 10; end Bar;
36.107143
73
0.693373
4a6b62454ee2dc06814c364471a5806c7bb1895c
3,753
ads
Ada
host_operations.ads
annexi-strayline/AURA
fbbc4bc963ee82872a67e088b68f0565ba5b50a7
[ "BSD-3-Clause" ]
13
2021-09-28T18:14:32.000Z
2022-02-09T17:48:53.000Z
host_operations.ads
annexi-strayline/AURA
fbbc4bc963ee82872a67e088b68f0565ba5b50a7
[ "BSD-3-Clause" ]
9
2021-09-28T19:18:25.000Z
2022-01-14T22:54:06.000Z
host_operations.ads
annexi-strayline/AURA
fbbc4bc963ee82872a67e088b68f0565ba5b50a7
[ "BSD-3-Clause" ]
1
2021-10-21T21:19:08.000Z
2021-10-21T21:19:08.000Z
------------------------------------------------------------------------------ -- -- -- Ada User Repository Annex (AURA) -- -- Reference Implementation -- -- -- -- ------------------------------------------------------------------------ -- -- -- -- Copyright (C) 2020, ANNEXI-STRAYLINE Trans-Human Ltd. -- -- All rights reserved. -- -- -- -- Original Contributors: -- -- * Richard Wai (ANNEXI-STRAYLINE) -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions are -- -- met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in -- -- the documentation and/or other materials provided with the -- -- distribution. -- -- -- -- * Neither the name of the copyright holder nor the names of its -- -- contributors may be used to endorse or promote products derived -- -- from this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, -- -- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY -- -- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- This package contains a serries of generalized host OS operations package Host_Operations is Host_Operation_Failed: exception; procedure Symbolic_Link (Target_Path, Source_Path: String); -- Creates a symbolic link at Source_Path that points to Target_Path procedure Set_Read_Only (Target_Path: String); -- Attempts to set the targeted file as read-only for all users and groups end Host_Operations;
65.842105
78
0.439648
4a0207b71b2b288b16627cadf621d24efc4e0992
300
ads
Ada
preface.ads
ddugovic/words
13c2fc67d5b75259318cb4c9d23d3abcb7e5efbe
[ "MIT" ]
4
2016-10-30T13:30:55.000Z
2021-09-25T21:29:17.000Z
preface.ads
ddugovic/words
13c2fc67d5b75259318cb4c9d23d3abcb7e5efbe
[ "MIT" ]
1
2020-09-29T02:56:42.000Z
2020-10-06T18:50:42.000Z
preface.ads
ddugovic/words
13c2fc67d5b75259318cb4c9d23d3abcb7e5efbe
[ "MIT" ]
7
2016-07-07T20:40:08.000Z
2021-10-18T21:11:06.000Z
with TEXT_IO; package PREFACE is procedure PUT(S: STRING); procedure SET_COL(PC : TEXT_IO.POSITIVE_COUNT); procedure PUT_LINE(S : STRING); procedure NEW_LINE(SPACING : TEXT_IO.POSITIVE_COUNT := 1); procedure PUT(N : INTEGER; WIDTH : TEXT_IO.FIELD := INTEGER'WIDTH); end PREFACE;
30
70
0.713333
184e7ed4b623b344cb5b23204bbfaa9d5bf83f33
532
ads
Ada
source/directories/machine-apple-darwin/s-ndfina.ads
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
33
2015-04-04T09:19:36.000Z
2021-11-10T05:33:34.000Z
source/directories/machine-apple-darwin/s-ndfina.ads
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
8
2017-11-14T13:05:07.000Z
2018-08-09T15:28:49.000Z
source/directories/machine-apple-darwin/s-ndfina.ads
ytomino/drake
4e4bdcd8b8e23a11a29b31d3a8861fdf60090ea2
[ "MIT" ]
9
2015-02-03T17:09:53.000Z
2021-11-12T01:16:05.000Z
pragma License (Unrestricted); -- implementation unit specialized for Darwin with Ada.Directories.Volumes; package System.Native_Directories.File_Names is -- compare file names with normalization and case-insensitive, if HFS+ function Equal_File_Names ( FS : Ada.Directories.Volumes.File_System; Left, Right : String) return Boolean; function Less_File_Names ( FS : Ada.Directories.Volumes.File_System; Left, Right : String) return Boolean; end System.Native_Directories.File_Names;
31.294118
74
0.742481
cbfdf5b4abf4e423d085c0db5559967cdd9476f7
20,501
ads
Ada
src/gen/gstreamer-gst_low_level-gstreamer_0_10_gst_gstplugin_h.ads
persan/A-gst
7a39693d105617adea52680424c862a1a08f7368
[ "Apache-2.0" ]
1
2018-01-18T00:51:00.000Z
2018-01-18T00:51:00.000Z
src/gen/gstreamer-gst_low_level-gstreamer_0_10_gst_gstplugin_h.ads
persan/A-gst
7a39693d105617adea52680424c862a1a08f7368
[ "Apache-2.0" ]
null
null
null
src/gen/gstreamer-gst_low_level-gstreamer_0_10_gst_gstplugin_h.ads
persan/A-gst
7a39693d105617adea52680424c862a1a08f7368
[ "Apache-2.0" ]
null
null
null
pragma Ada_2005; pragma Style_Checks (Off); pragma Warnings (Off); with Interfaces.C; use Interfaces.C; with glib; with glib.Values; with System; -- with GStreamer.GST_Low_Level.glib_2_0_glib_gquark_h; with GStreamer.GST_Low_Level.gstreamer_0_10_gst_gstobject_h; with System; -- with GStreamer.GST_Low_Level.sys_types_h; -- with GStreamer.GST_Low_Level.bits_types_time_t_h; with glib; limited with GStreamer.GST_Low_Level.gstreamer_0_10_gst_gststructure_h; -- limited with GStreamer.GST_Low_Level.glib_2_0_glib_glist_h; package GStreamer.GST_Low_Level.gstreamer_0_10_gst_gstplugin_h is -- unsupported macro: GST_PLUGIN_ERROR gst_plugin_error_quark () -- unsupported macro: GST_TYPE_PLUGIN (gst_plugin_get_type()) -- arg-macro: function GST_IS_PLUGIN (obj) -- return G_TYPE_CHECK_INSTANCE_TYPE ((obj), GST_TYPE_PLUGIN); -- arg-macro: function GST_IS_PLUGIN_CLASS (klass) -- return G_TYPE_CHECK_CLASS_TYPE ((klass), GST_TYPE_PLUGIN); -- arg-macro: function GST_PLUGIN_GET_CLASS (obj) -- return G_TYPE_INSTANCE_GET_CLASS ((obj), GST_TYPE_PLUGIN, GstPluginClass); -- arg-macro: function GST_PLUGIN (obj) -- return G_TYPE_CHECK_INSTANCE_CAST ((obj), GST_TYPE_PLUGIN, GstPlugin); -- arg-macro: function GST_PLUGIN_CLASS (klass) -- return G_TYPE_CHECK_CLASS_CAST ((klass), GST_TYPE_PLUGIN, GstPluginClass); -- arg-macro: function GST_PLUGIN_CAST (obj) -- return (GstPlugin*)(obj); -- arg-macro: procedure GST_PLUGIN_DEFINE (major, minor, name, description, init, version, license, package, origin) -- G_BEGIN_DECLS GST_PLUGIN_EXPORT GstPluginDesc gst_plugin_desc := { major, minor, name, (gchar *) description, init, version, license, PACKAGE, package, origin, __GST_PACKAGE_RELEASE_DATETIME, GST_PADDING_INIT }; G_END_DECLS -- unsupported macro: GST_PLUGIN_DEFINE_STATIC(major,minor,name,description,init,version,license,package,origin) static void GST_GNUC_CONSTRUCTOR _gst_plugin_static_init__ ##init (void) { static GstPluginDesc plugin_desc_ = { major, minor, name, (gchar *) description, init, version, license, PACKAGE, package, origin, NULL, GST_PADDING_INIT }; _gst_plugin_register_static (&plugin_desc_); } GST_LICENSE_UNKNOWN : aliased constant String := "unknown" & ASCII.NUL; -- gst/gstplugin.h:328 -- GStreamer -- * Copyright (C) 1999,2000 Erik Walthinsen <omega@cse.ogi.edu> -- * 2000 Wim Taymans <wtay@chello.be> -- * -- * gstplugin.h: Header for plugin subsystem -- * -- * This library is free software; you can redistribute it and/or -- * modify it under the terms of the GNU Library General Public -- * License as published by the Free Software Foundation; either -- * version 2 of the License, or (at your option) any later version. -- * -- * This library is distributed in the hope that it will be useful, -- * but WITHOUT ANY WARRANTY; without even the implied warranty of -- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- * Library General Public License for more details. -- * -- * You should have received a copy of the GNU Library General Public -- * License along with this library; if not, write to the -- * Free Software Foundation, Inc., 59 Temple Place - Suite 330, -- * Boston, MA 02111-1307, USA. -- -- time_t -- off_t -- off_t type GstPlugin; type u_GstPlugin_u_gst_reserved_array is array (0 .. 2) of System.Address; --subtype GstPlugin is u_GstPlugin; -- gst/gstplugin.h:39 type GstPluginClass; type u_GstPluginClass_u_gst_reserved_array is array (0 .. 3) of System.Address; --subtype GstPluginClass is u_GstPluginClass; -- gst/gstplugin.h:40 -- skipped empty struct u_GstPluginPrivate -- skipped empty struct GstPluginPrivate type GstPluginDesc; type u_GstPluginDesc_u_gst_reserved_array is array (0 .. 2) of System.Address; --subtype GstPluginDesc is u_GstPluginDesc; -- gst/gstplugin.h:42 --* -- * gst_plugin_error_quark: -- * -- * Get the error quark. -- * -- * Returns: The error quark used in GError messages -- function gst_plugin_error_quark return Glib.GQuark; -- gst/gstplugin.h:51 pragma Import (C, gst_plugin_error_quark, "gst_plugin_error_quark"); --* -- * GST_PLUGIN_ERROR: -- * -- * The error message category quark -- --* -- * GstPluginError: -- * @GST_PLUGIN_ERROR_MODULE: The plugin could not be loaded -- * @GST_PLUGIN_ERROR_DEPENDENCIES: The plugin has unresolved dependencies -- * @GST_PLUGIN_ERROR_NAME_MISMATCH: The plugin has already be loaded from a different file -- * -- * The plugin loading errors -- type GstPluginError is (GST_PLUGIN_ERROR_MODULE, GST_PLUGIN_ERROR_DEPENDENCIES, GST_PLUGIN_ERROR_NAME_MISMATCH); pragma Convention (C, GstPluginError); -- gst/gstplugin.h:72 --* -- * GstPluginFlags: -- * @GST_PLUGIN_FLAG_CACHED: Temporarily loaded plugins -- * @GST_PLUGIN_FLAG_BLACKLISTED: The plugin won't be scanned (again) -- * -- * The plugin loading state -- subtype GstPluginFlags is unsigned; GST_PLUGIN_FLAG_CACHED : constant GstPluginFlags := 1; GST_PLUGIN_FLAG_BLACKLISTED : constant GstPluginFlags := 2; -- gst/gstplugin.h:85 --* -- * GstPluginDependencyFlags: -- * @GST_PLUGIN_DEPENDENCY_FLAG_NONE : no special flags -- * @GST_PLUGIN_DEPENDENCY_FLAG_RECURSE : recurse into subdirectories -- * @GST_PLUGIN_DEPENDENCY_FLAG_PATHS_ARE_DEFAULT_ONLY : use paths -- * argument only if none of the environment variables is set -- * @GST_PLUGIN_DEPENDENCY_FLAG_FILE_NAME_IS_SUFFIX : interpret -- * filename argument as filter suffix and check all matching files in -- * the directory -- * -- * Flags used in connection with gst_plugin_add_dependency(). -- * -- * Since: 0.10.22 -- subtype GstPluginDependencyFlags is unsigned; GST_PLUGIN_DEPENDENCY_FLAG_NONE : constant GstPluginDependencyFlags := 0; GST_PLUGIN_DEPENDENCY_FLAG_RECURSE : constant GstPluginDependencyFlags := 1; GST_PLUGIN_DEPENDENCY_FLAG_PATHS_ARE_DEFAULT_ONLY : constant GstPluginDependencyFlags := 2; GST_PLUGIN_DEPENDENCY_FLAG_FILE_NAME_IS_SUFFIX : constant GstPluginDependencyFlags := 4; -- gst/gstplugin.h:106 --* -- * GstPluginInitFunc: -- * @plugin: The plugin object -- * -- * A plugin should provide a pointer to a function of this type in the -- * plugin_desc struct. -- * This function will be called by the loader at startup. One would then -- * register each #GstPluginFeature. -- * -- * Returns: %TRUE if plugin initialised successfully -- -- FIXME 0.11: Make return void type GstPluginInitFunc is access function (arg1 : access GstPlugin) return GLIB.gboolean; pragma Convention (C, GstPluginInitFunc); -- gst/gstplugin.h:120 --* -- * GstPluginInitFullFunc: -- * @plugin: The plugin object -- * @user_data: extra data -- * -- * A plugin should provide a pointer to a function of either #GstPluginInitFunc -- * or this type in the plugin_desc struct. -- * The function will be called by the loader at startup. One would then -- * register each #GstPluginFeature. This version allows -- * user data to be passed to init function (useful for bindings). -- * -- * Returns: %TRUE if plugin initialised successfully -- * -- * Since: 0.10.24 -- * -- -- FIXME 0.11: Merge with GstPluginInitFunc type GstPluginInitFullFunc is access function (arg1 : access GstPlugin; arg2 : System.Address) return GLIB.gboolean; pragma Convention (C, GstPluginInitFullFunc); -- gst/gstplugin.h:139 --* -- * GstPluginDesc: -- * @major_version: the major version number of core that plugin was compiled for -- * @minor_version: the minor version number of core that plugin was compiled for -- * @name: a unique name of the plugin -- * @description: description of plugin -- * @plugin_init: pointer to the init function of this plugin. -- * @version: version of the plugin -- * @license: effective license of plugin -- * @source: source module plugin belongs to -- * @package: shipped package plugin belongs to -- * @origin: URL to provider of plugin -- * @release_datetime: date time string in ISO 8601 format (or rather, a -- * subset thereof), or NULL. Allowed are the following formats: -- * "YYYY-MM-DD" and "YYY-MM-DDTHH:MMZ" (with 'T' a separator and 'Z' -- * indicating UTC/Zulu time). This field should be set via the -- * GST_PACKAGE_RELEASE_DATETIME preprocessor macro (Since: 0.10.31) -- * -- * A plugin should export a variable of this type called plugin_desc. The plugin -- * loader will use the data provided there to initialize the plugin. -- * -- * The @licence parameter must be one of: LGPL, GPL, QPL, GPL/QPL, MPL, -- * BSD, MIT/X11, Proprietary, unknown. -- type GstPluginDesc is record major_version : aliased GLIB.gint; -- gst/gstplugin.h:166 minor_version : aliased GLIB.gint; -- gst/gstplugin.h:167 name : access GLIB.gchar; -- gst/gstplugin.h:168 description : access GLIB.gchar; -- gst/gstplugin.h:169 plugin_init : GstPluginInitFunc; -- gst/gstplugin.h:170 version : access GLIB.gchar; -- gst/gstplugin.h:171 license : access GLIB.gchar; -- gst/gstplugin.h:172 source : access GLIB.gchar; -- gst/gstplugin.h:173 c_package : access GLIB.gchar; -- gst/gstplugin.h:174 origin : access GLIB.gchar; -- gst/gstplugin.h:175 release_datetime : access GLIB.gchar; -- gst/gstplugin.h:176 u_gst_reserved : u_GstPluginDesc_u_gst_reserved_array; -- gst/gstplugin.h:178 end record; pragma Convention (C_Pass_By_Copy, GstPluginDesc); -- gst/gstplugin.h:165 --< private > --* -- * GstPlugin: -- * -- * The plugin object -- type GstPlugin is record object : aliased GStreamer.GST_Low_Level.gstreamer_0_10_gst_gstobject_h.GstObject; -- gst/gstplugin.h:196 desc : aliased GstPluginDesc; -- gst/gstplugin.h:199 orig_desc : access GstPluginDesc; -- gst/gstplugin.h:201 flags : aliased unsigned; -- gst/gstplugin.h:203 filename : access GLIB.gchar; -- gst/gstplugin.h:205 basename : access GLIB.gchar; -- gst/gstplugin.h:206 module : System.Address; -- gst/gstplugin.h:208 file_size : aliased GStreamer.GST_Low_Level.sys_types_h.off_t; -- gst/gstplugin.h:210 file_mtime : aliased GStreamer.GST_Low_Level.bits_types_time_t_h.time_t; -- gst/gstplugin.h:211 registered : aliased GLIB.gboolean; -- gst/gstplugin.h:212 priv : System.Address; -- gst/gstplugin.h:215 u_gst_reserved : u_GstPlugin_u_gst_reserved_array; -- gst/gstplugin.h:216 end record; pragma Convention (C_Pass_By_Copy, GstPlugin); -- gst/gstplugin.h:195 --< private > -- base name (non-dir part) of plugin path -- contains the module if plugin is loaded -- TRUE when the registry has seen a filename -- * that matches the plugin's basename type GstPluginClass is record object_class : aliased GStreamer.GST_Low_Level.gstreamer_0_10_gst_gstobject_h.GstObjectClass; -- gst/gstplugin.h:220 u_gst_reserved : u_GstPluginClass_u_gst_reserved_array; -- gst/gstplugin.h:223 end record; pragma Convention (C_Pass_By_Copy, GstPluginClass); -- gst/gstplugin.h:219 --< private > --* -- * GST_PLUGIN_DEFINE: -- * @major: major version number of the gstreamer-core that plugin was compiled for -- * @minor: minor version number of the gstreamer-core that plugin was compiled for -- * @name: short, but unique name of the plugin -- * @description: information about the purpose of the plugin -- * @init: function pointer to the plugin_init method with the signature of <code>static gboolean plugin_init (GstPlugin * plugin)</code>. -- * @version: full version string (e.g. VERSION from config.h) -- * @license: under which licence the package has been released, e.g. GPL, LGPL. -- * @package: the package-name (e.g. PACKAGE_NAME from config.h) -- * @origin: a description from where the package comes from (e.g. the homepage URL) -- * -- * This macro needs to be used to define the entry point and meta data of a -- * plugin. One would use this macro to export a plugin, so that it can be used -- * by other applications. -- * -- * The macro uses a define named PACKAGE for the #GstPluginDesc,source field. -- * When using autoconf, this is usually set automatically via the AC_INIT -- * macro, and set in config.h. If you are not using autoconf, you will need to -- * define PACKAGE yourself and set it to a short mnemonic string identifying -- * your application/package, e.g. 'someapp' or 'my-plugins-foo. -- * -- * If defined, the GST_PACKAGE_RELEASE_DATETIME will also be used for the -- * #GstPluginDesc,release_datetime field. -- --* -- * GST_PLUGIN_DEFINE_STATIC: -- * @major: major version number of the gstreamer-core that plugin was compiled for -- * @minor: minor version number of the gstreamer-core that plugin was compiled for -- * @name: short, but unique name of the plugin -- * @description: information about the purpose of the plugin -- * @init: function pointer to the plugin_init method with the signature of <code>static gboolean plugin_init (GstPlugin * plugin)</code>. -- * @version: full version string (e.g. VERSION from config.h) -- * @license: under which licence the package has been released, e.g. GPL, LGPL. -- * @package: the package-name (e.g. PACKAGE_NAME from config.h) -- * @origin: a description from where the package comes from (e.g. the homepage URL) -- * -- * This macro needs to be used to define the entry point and meta data of a -- * local plugin. One would use this macro to define a local plugin that can only -- * be used by the own application. -- * -- * The macro uses a define named PACKAGE for the #GstPluginDesc.source field. -- * -- * Deprecated: Use gst_plugin_register_static() instead. This macro was -- * deprecated because it uses constructors, which is a compiler feature not -- * available on all compilers. -- * -- -- We don't have deprecation guards here on purpose, it's enough to have -- * deprecation guards around _gst_plugin_register_static(), and will result in -- * much better error messages when compiling with -DGST_DISABLE_DEPRECATED --* -- * GST_LICENSE_UNKNOWN: -- * -- * To be used in GST_PLUGIN_DEFINE or GST_PLUGIN_DEFINE_STATIC if usure about -- * the licence. -- -- function for filters --* -- * GstPluginFilter: -- * @plugin: the plugin to check -- * @user_data: the user_data that has been passed on e.g. gst_registry_plugin_filter() -- * -- * A function that can be used with e.g. gst_registry_plugin_filter() -- * to get a list of plugins that match certain criteria. -- * -- * Returns: TRUE for a positive match, FALSE otherwise -- type GstPluginFilter is access function (arg1 : access GstPlugin; arg2 : System.Address) return GLIB.gboolean; pragma Convention (C, GstPluginFilter); -- gst/gstplugin.h:342 function gst_plugin_get_type return GLIB.GType; -- gst/gstplugin.h:345 pragma Import (C, gst_plugin_get_type, "gst_plugin_get_type"); -- skipped func _gst_plugin_register_static function gst_plugin_register_static (major_version : GLIB.gint; minor_version : GLIB.gint; name : access GLIB.gchar; description : access GLIB.gchar; init_func : GstPluginInitFunc; version : access GLIB.gchar; license : access GLIB.gchar; source : access GLIB.gchar; c_package : access GLIB.gchar; origin : access GLIB.gchar) return GLIB.gboolean; -- gst/gstplugin.h:351 pragma Import (C, gst_plugin_register_static, "gst_plugin_register_static"); function gst_plugin_register_static_full (major_version : GLIB.gint; minor_version : GLIB.gint; name : access GLIB.gchar; description : access GLIB.gchar; init_full_func : GstPluginInitFullFunc; version : access GLIB.gchar; license : access GLIB.gchar; source : access GLIB.gchar; c_package : access GLIB.gchar; origin : access GLIB.gchar; user_data : System.Address) return GLIB.gboolean; -- gst/gstplugin.h:362 pragma Import (C, gst_plugin_register_static_full, "gst_plugin_register_static_full"); function gst_plugin_get_name (plugin : access GstPlugin) return access GLIB.gchar; -- gst/gstplugin.h:374 pragma Import (C, gst_plugin_get_name, "gst_plugin_get_name"); function gst_plugin_get_description (plugin : access GstPlugin) return access GLIB.gchar; -- gst/gstplugin.h:375 pragma Import (C, gst_plugin_get_description, "gst_plugin_get_description"); function gst_plugin_get_filename (plugin : access GstPlugin) return access GLIB.gchar; -- gst/gstplugin.h:376 pragma Import (C, gst_plugin_get_filename, "gst_plugin_get_filename"); function gst_plugin_get_version (plugin : access GstPlugin) return access GLIB.gchar; -- gst/gstplugin.h:377 pragma Import (C, gst_plugin_get_version, "gst_plugin_get_version"); function gst_plugin_get_license (plugin : access GstPlugin) return access GLIB.gchar; -- gst/gstplugin.h:378 pragma Import (C, gst_plugin_get_license, "gst_plugin_get_license"); function gst_plugin_get_source (plugin : access GstPlugin) return access GLIB.gchar; -- gst/gstplugin.h:379 pragma Import (C, gst_plugin_get_source, "gst_plugin_get_source"); function gst_plugin_get_package (plugin : access GstPlugin) return access GLIB.gchar; -- gst/gstplugin.h:380 pragma Import (C, gst_plugin_get_package, "gst_plugin_get_package"); function gst_plugin_get_origin (plugin : access GstPlugin) return access GLIB.gchar; -- gst/gstplugin.h:381 pragma Import (C, gst_plugin_get_origin, "gst_plugin_get_origin"); function gst_plugin_get_cache_data (plugin : access GstPlugin) return access constant GStreamer.GST_Low_Level.gstreamer_0_10_gst_gststructure_h.GstStructure; -- gst/gstplugin.h:382 pragma Import (C, gst_plugin_get_cache_data, "gst_plugin_get_cache_data"); procedure gst_plugin_set_cache_data (plugin : access GstPlugin; cache_data : access GStreamer.GST_Low_Level.gstreamer_0_10_gst_gststructure_h.GstStructure); -- gst/gstplugin.h:383 pragma Import (C, gst_plugin_set_cache_data, "gst_plugin_set_cache_data"); function gst_plugin_get_module (plugin : access GstPlugin) return System.Address; -- gst/gstplugin.h:385 pragma Import (C, gst_plugin_get_module, "gst_plugin_get_module"); function gst_plugin_is_loaded (plugin : access GstPlugin) return GLIB.gboolean; -- gst/gstplugin.h:386 pragma Import (C, gst_plugin_is_loaded, "gst_plugin_is_loaded"); function gst_plugin_name_filter (plugin : access GstPlugin; name : access GLIB.gchar) return GLIB.gboolean; -- gst/gstplugin.h:388 pragma Import (C, gst_plugin_name_filter, "gst_plugin_name_filter"); function gst_plugin_load_file (filename : access GLIB.gchar; error : System.Address) return access GstPlugin; -- gst/gstplugin.h:390 pragma Import (C, gst_plugin_load_file, "gst_plugin_load_file"); function gst_plugin_load (plugin : access GstPlugin) return access GstPlugin; -- gst/gstplugin.h:392 pragma Import (C, gst_plugin_load, "gst_plugin_load"); function gst_plugin_load_by_name (name : access GLIB.gchar) return access GstPlugin; -- gst/gstplugin.h:393 pragma Import (C, gst_plugin_load_by_name, "gst_plugin_load_by_name"); procedure gst_plugin_add_dependency (plugin : access GstPlugin; env_vars : System.Address; paths : System.Address; names : System.Address; flags : GstPluginDependencyFlags); -- gst/gstplugin.h:395 pragma Import (C, gst_plugin_add_dependency, "gst_plugin_add_dependency"); procedure gst_plugin_add_dependency_simple (plugin : access GstPlugin; env_vars : access GLIB.gchar; paths : access GLIB.gchar; names : access GLIB.gchar; flags : GstPluginDependencyFlags); -- gst/gstplugin.h:401 pragma Import (C, gst_plugin_add_dependency_simple, "gst_plugin_add_dependency_simple"); procedure gst_plugin_list_free (list : access GStreamer.GST_Low_Level.glib_2_0_glib_glist_h.GList); -- gst/gstplugin.h:407 pragma Import (C, gst_plugin_list_free, "gst_plugin_list_free"); end GStreamer.GST_Low_Level.gstreamer_0_10_gst_gstplugin_h;
47.128736
395
0.71938
cbc7bd40f0424370881f5c5973f9cecf0eeded60
1,933
adb
Ada
orka_simd/src/x86/generic/orka-simd-sse4_1-integers-logical.adb
onox/orka
9edf99559a16ffa96dfdb208322f4d18efbcbac6
[ "Apache-2.0" ]
52
2016-07-30T23:00:28.000Z
2022-02-05T11:54:55.000Z
orka_simd/src/x86/generic/orka-simd-sse4_1-integers-logical.adb
onox/orka
9edf99559a16ffa96dfdb208322f4d18efbcbac6
[ "Apache-2.0" ]
79
2016-08-01T18:36:48.000Z
2022-02-27T12:14:20.000Z
orka_simd/src/x86/generic/orka-simd-sse4_1-integers-logical.adb
onox/orka
9edf99559a16ffa96dfdb208322f4d18efbcbac6
[ "Apache-2.0" ]
4
2018-04-28T22:36:26.000Z
2020-11-14T23:00:29.000Z
-- SPDX-License-Identifier: Apache-2.0 -- -- Copyright (c) 2021 onox <denkpadje@gmail.com> -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. with Ada.Unchecked_Conversion; package body Orka.SIMD.SSE4_1.Integers.Logical is type m128l is array (Index_2D) of Integer_64 with Alignment => 16; pragma Machine_Attribute (m128l, "vector_type"); function Test_All_Zero (Elements, Mask : m128l) return Integer with Import, Convention => Intrinsic, External_Name => "__builtin_ia32_ptestz128"; function Test_All_Ones (Elements, Mask : m128l) return Integer with Import, Convention => Intrinsic, External_Name => "__builtin_ia32_ptestc128"; function Test_Mix_Ones_Zeros (Elements, Mask : m128l) return Integer with Import, Convention => Intrinsic, External_Name => "__builtin_ia32_ptestnzc128"; ---------------------------------------------------------------------------- function Convert is new Ada.Unchecked_Conversion (m128i, m128l); function Test_All_Zero (Elements, Mask : m128i) return Integer is (Test_All_Zero (Convert (Elements), Convert (Mask))); function Test_All_Ones (Elements, Mask : m128i) return Integer is (Test_All_Ones (Convert (Elements), Convert (Mask))); function Test_Mix_Ones_Zeros (Elements, Mask : m128i) return Integer is (Test_Mix_Ones_Zeros (Convert (Elements), Convert (Mask))); end Orka.SIMD.SSE4_1.Integers.Logical;
40.270833
89
0.70926
4a28db99ea932b4f1305ef2d50f95b3172896ab8
7,406
adb
Ada
microbit_v20/read_temperature/src/lsm303agr.adb
KLOC-Karsten/ada_projects
7a307da75a9cd97099479d4e4cd4bc6fcf48eddd
[ "BSD-3-Clause" ]
null
null
null
microbit_v20/read_temperature/src/lsm303agr.adb
KLOC-Karsten/ada_projects
7a307da75a9cd97099479d4e4cd4bc6fcf48eddd
[ "BSD-3-Clause" ]
null
null
null
microbit_v20/read_temperature/src/lsm303agr.adb
KLOC-Karsten/ada_projects
7a307da75a9cd97099479d4e4cd4bc6fcf48eddd
[ "BSD-3-Clause" ]
null
null
null
-- Copyright (c) 2021, Karsten Lueth (kl@kloc-consulting.de) -- All rights reserved. -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- -- 3. Neither the name of the copyright holder nor the names of its -- contributors may be used to endorse or promote products derived from -- this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; -- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE -- USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- Initial contribution by: -- AdaCore -- Ada Drivers Library (https://github.com/AdaCore/Ada_Drivers_Library) -- Package: MMA8653 with Ada.Unchecked_Conversion; package body LSM303AGR is function Read_Register (This : LSM303AGR_Accelerometer'Class; Addr : Register_Addresss) return UInt8; procedure Write_Register (This : LSM303AGR_Accelerometer'Class; Addr : Register_Addresss; Val : UInt8); ------------------- -- Read_Register -- ------------------- function Read_Register (This : LSM303AGR_Accelerometer'Class; Addr : Register_Addresss) return UInt8 is Data : I2C_Data (1 .. 1); Status : I2C_Status; begin This.Port.Mem_Read (Addr => Device_Address, Mem_Addr => UInt16 (Addr), Mem_Addr_Size => Memory_Size_8b, Data => Data, Status => Status); if Status /= Ok then -- No error handling... raise Program_Error; end if; return Data (Data'First); end Read_Register; -------------------- -- Write_Register -- -------------------- procedure Write_Register (This : LSM303AGR_Accelerometer'Class; Addr : Register_Addresss; Val : UInt8) is Status : I2C_Status; begin This.Port.Mem_Write (Addr => Device_Address, Mem_Addr => UInt16 (Addr), Mem_Addr_Size => Memory_Size_8b, Data => (1 => Val), Status => Status); if Status /= Ok then -- No error handling... raise Program_Error; end if; end Write_Register; procedure Configure (This : in out LSM303AGR_Accelerometer; Dyna_Range : Dynamic_Range; Rate : Data_Rate) is begin -- Place the device into normal (10 bit) mode, with all axes enabled at -- the nearest supported data rate to that requested. Write_Register (This, CTRL_REG1_A, Rate'Enum_Rep + 16#07#); -- Enable the DRDY1 interrupt on INT1 pin. Write_Register (This, CTRL_REG3_A, 16#10#); -- Select the g range to that requested, using little endian data format -- and disable self-test and high rate functions. Write_Register (This, CTRL_REG4_A, 16#80# + Dyna_Range'Enum_Rep); end Configure; --------------------- -- Check_Device_Id -- --------------------- function Check_Device_Id (This : LSM303AGR_Accelerometer) return Boolean is begin return Read_Register (This, Who_Am_I) = Device_Id; exception when Program_Error => return False; end Check_Device_Id; --------------------------------- -- Enable_Temperature_Sensor -- --------------------------------- procedure Enable_Temperature_Sensor (This : LSM303AGR_Accelerometer; Enabled : Boolean) is Val : UInt8 := 0; begin if Enabled then Val := 2#1100_0000#; end if; Write_Register (This, TEMP_CFG_REG_A, Val); end Enable_Temperature_Sensor; function Get_Temp_Config (This : LSM303AGR_Accelerometer) return Uint8 is begin return Read_Register (This, TEMP_CFG_REG_A); end Get_Temp_Config; function Get_Ctrl_Reg_4 (This : LSM303AGR_Accelerometer) return Uint8 is begin return Read_Register (This, CTRL_REG4_A); end Get_Ctrl_Reg_4; ------------------------ -- Read_Temperature -- ------------------------ function To_Temperature is new Ada.Unchecked_Conversion (UInt8, Integer_8); function Read_Temperature (This : LSM303AGR_Accelerometer) return Temp_Celsius is Status : I2C_Status; Data : I2C_Data (1 .. 2); begin This.Port.Mem_Read (Addr => Device_Address, Mem_Addr => UInt16 (OUT_TEMP_L_A + 16#80#), Mem_Addr_Size => Memory_Size_8b, Data => Data, Status => Status); if Status /= Ok then -- No error handling... raise Program_Error; end if; return Temp_Celsius (25 + To_Temperature (Data (2))); end Read_Temperature; --------------- -- Read_Data -- --------------- function To_Axis_Data is new Ada.Unchecked_Conversion (UInt10, Axis_Data); function Read_Data (This : LSM303AGR_Accelerometer) return All_Axes_Data is function Convert (MSB, LSB : UInt8) return Axis_Data; ------------- -- Convert -- ------------- function Convert (MSB, LSB : UInt8) return Axis_Data is Tmp : UInt10; begin Tmp := UInt10 (Shift_Right (LSB, 6)); Tmp := Tmp or UInt10 (MSB) * 2**2; return To_Axis_Data (Tmp); end Convert; Status : I2C_Status; Data : I2C_Data (1 .. 7); Ret : All_Axes_Data; begin This.Port.Mem_Read (Addr => Device_Address, Mem_Addr => UInt16 (DATA_STATUS + 16#80#), Mem_Addr_Size => Memory_Size_8b, Data => Data, Status => Status); if Status /= Ok then -- No error handling... raise Program_Error; end if; Ret.X := Convert (Data (3), Data (2)); Ret.Y := Convert (Data (5), Data (4)); Ret.Z := Convert (Data (7), Data (6)); return Ret; end Read_Data; end LSM303AGR;
33.817352
80
0.57764
c5a1c05df1f22af1ae3f2f03b97e14942fecf407
864
adb
Ada
test.adb
BKambic/Chatbox
681295d300b8d7c22a776e87bd7d589a7adae56c
[ "MIT" ]
null
null
null
test.adb
BKambic/Chatbox
681295d300b8d7c22a776e87bd7d589a7adae56c
[ "MIT" ]
null
null
null
test.adb
BKambic/Chatbox
681295d300b8d7c22a776e87bd7d589a7adae56c
[ "MIT" ]
1
2022-02-04T15:58:32.000Z
2022-02-04T15:58:32.000Z
with Ada.Text_IO; with Ada.Strings.Unbounded; with ada.Text_IO.Unbounded_IO; procedure test is User_Input : Ada.Strings.Unbounded.Unbounded_String; Line : Ada.Strings.Unbounded.Unbounded_String; use Ada.Text_IO; F : File_Type; G: File_type; begin Put_Line ("Please enter your report: "); User_Input := ada.Text_IO.Unbounded_IO.Get_Line; Create (F, Out_file, "Report.txt"); Put_Line (F, Ada.Strings.Unbounded.To_String(User_Input)); Close (F); Ada.Text_IO.Open (File => G, Name => "Input.txt", Mode => Ada.Text_IO.Append_File); Line := ada.Text_IO.Unbounded_IO.get_Line(F); Put_Line (G, Ada.Strings.Unbounded.To_String(Line)); close (G); Put_Line ("Thank you for your report!"); end test;
21.073171
64
0.601852
c5a562c82f7afed613bd6f4a1ef370b1719ccbb9
519
ads
Ada
g-decstr.ads
ytomino/gnat4drake
945795d8705ba4024b07a41b7efe0a9513b441c1
[ "MIT" ]
null
null
null
g-decstr.ads
ytomino/gnat4drake
945795d8705ba4024b07a41b7efe0a9513b441c1
[ "MIT" ]
null
null
null
g-decstr.ads
ytomino/gnat4drake
945795d8705ba4024b07a41b7efe0a9513b441c1
[ "MIT" ]
null
null
null
pragma License (Unrestricted); with System.WCh_Con; generic Encoding_Method : System.WCh_Con.WC_Encoding_Method; package GNAT.Decode_String is pragma Pure; procedure Decode_Wide_Character ( Input : String; Ptr : in out Natural; Result : out Wide_Character); procedure Decode_Wide_Wide_Character ( Input : String; Ptr : in out Natural; Result : out Wide_Wide_Character); procedure Next_Wide_Character (Input : String; Ptr : in out Natural); end GNAT.Decode_String;
24.714286
72
0.71869
4ac1a20cfb6a9a1f93fa3e43f6de3b14e8056eb0
3,532
ads
Ada
source/league/matreshka-internals-unicode-cldr.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
source/league/matreshka-internals-unicode-cldr.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
source/league/matreshka-internals-unicode-cldr.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Localization, Internationalization, Globalization for Ada -- -- -- -- Runtime Library Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2009, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- Root package for pregenerated data from the Unicode Common Locale Data -- Repository. package Matreshka.Internals.Unicode.Cldr is pragma Pure; end Matreshka.Internals.Unicode.Cldr;
67.923077
78
0.417894
c5241ee80ad2e03adda0f9c115bd9bc353da8ce3
3,322
ada
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c3/c35003d.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c3/c35003d.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c3/c35003d.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- C35003D.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- OBJECTIVE: -- CHECK THAT CONSTRAINT_ERROR IS RAISED FOR A FLOATING-POINT -- SUBTYPE INDICATION WHEN THE LOWER OR UPPER BOUND OF A NON-NULL -- RANGE LIES OUTSIDE THE RANGE OF THE TYPE MARK. -- HISTORY: -- JET 07/11/88 CREATED ORIGINAL TEST. WITH REPORT; USE REPORT; PROCEDURE C35003D IS SUBTYPE FLT1 IS FLOAT RANGE -100.0 .. 100.0; BEGIN TEST ("C35003D", "CHECK THAT CONSTRAINT_ERROR IS RAISED FOR A " & "FLOATING-POINT SUBTYPE INDICATION WHEN THE " & "LOWER OR UPPER BOUND OF A NON-NULL RANGE LIES " & "OUTSIDE THE RANGE OF THE TYPE MARK"); BEGIN DECLARE SUBTYPE F IS FLT1 RANGE 0.0..101.0+FLT1(IDENT_INT(0)); BEGIN FAILED ("NO EXCEPTION RAISED (F1)"); DECLARE Z : F := 1.0; BEGIN IF NOT EQUAL(INTEGER(Z),INTEGER(Z)) THEN COMMENT ("DON'T OPTIMIZE Z"); END IF; END; EXCEPTION WHEN OTHERS => FAILED ("EXCEPTION RAISED IN WRONG PLACE (F1)"); END; EXCEPTION WHEN CONSTRAINT_ERROR => NULL; WHEN OTHERS => FAILED ("WRONG EXCEPTION RAISED (F1)"); END; BEGIN DECLARE SUBTYPE F IS FLT1 RANGE -101.0..0.0; BEGIN FAILED ("NO EXCEPTION RAISED (F2)"); DECLARE Z : F := -1.0; BEGIN IF NOT EQUAL(INTEGER(Z),INTEGER(Z)) THEN COMMENT ("DON'T OPTIMIZE Z"); END IF; END; EXCEPTION WHEN OTHERS => FAILED ("EXCEPTION RAISED IN WRONG PLACE (F2)"); END; EXCEPTION WHEN CONSTRAINT_ERROR => NULL; WHEN OTHERS => FAILED ("WRONG EXCEPTION RAISED (F2)"); END; RESULT; END C35003D;
35.72043
79
0.551174
dc24682bd32066b3321af6fd2501ce13e2d4886e
3,699
ads
Ada
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/a-ztflau.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/a-ztflau.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
Validation/pyFrame3DD-master/gcc-master/gcc/ada/libgnat/a-ztflau.ads
djamal2727/Main-Bearing-Analytical-Model
2f00c2219c71be0175c6f4f8f1d4cca231d97096
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- A D A . W I D E _ W I D E _ T E X T _ I O . F L O A T _ A U X -- -- -- -- S p e c -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package contains the routines for Ada.Wide_Wide_Text_IO.Float_IO that -- are shared among separate instantiations of this package. The routines -- in this package are identical semantically to those in Float_IO itself, -- except that generic parameter Num has been replaced by Long_Long_Float, -- and the default parameters have been removed because they are supplied -- explicitly by the calls from within the generic template. Also used by -- Ada.Wide_Wide_Text_IO.Fixed_IO, and by Ada.Wide_Wide_Text_IO.Decimal_IO. private package Ada.Wide_Wide_Text_IO.Float_Aux is procedure Load_Real (File : File_Type; Buf : out String; Ptr : in out Natural); -- This is an auxiliary routine that is used to load a possibly signed -- real literal value from the input file into Buf, starting at Ptr + 1. procedure Get (File : File_Type; Item : out Long_Long_Float; Width : Field); procedure Gets (From : String; Item : out Long_Long_Float; Last : out Positive); procedure Put (File : File_Type; Item : Long_Long_Float; Fore : Field; Aft : Field; Exp : Field); procedure Puts (To : out String; Item : Long_Long_Float; Aft : Field; Exp : Field); end Ada.Wide_Wide_Text_IO.Float_Aux;
50.671233
78
0.485266
dccdea01adc14a2c33e7e31262715637d11f06cc
6,128
adb
Ada
src/tests/neuralnettests.adb
sebsgit/textproc
2f12d6a030425e937ee0c6a67dcff6828fc1331f
[ "MIT" ]
null
null
null
src/tests/neuralnettests.adb
sebsgit/textproc
2f12d6a030425e937ee0c6a67dcff6828fc1331f
[ "MIT" ]
null
null
null
src/tests/neuralnettests.adb
sebsgit/textproc
2f12d6a030425e937ee0c6a67dcff6828fc1331f
[ "MIT" ]
null
null
null
with AUnit.Assertions; use AUnit.Assertions; with Ada.Text_IO; with Ada.Containers; use Ada.Containers; with Ada.Numerics.Float_Random; with NeuralNet; with MathUtils; use MathUtils.Float_Vec; package body NeuralNetTests is procedure Register_Tests (T: in out TestCase) is use AUnit.Test_Cases.Registration; begin Register_Routine (T, testBasicNet'Access, "basic net"); Register_Routine (T, testForwardPropagation'Access, "forward propagate"); Register_Routine (T, testTrain'Access, "train basic nn"); Register_Routine (T, testTrainComplex'Access, "train complex nn"); end Register_Tests; function Name(T: TestCase) return Test_String is begin return Format("Neural Net Tests"); end Name; procedure testBasicNet(T : in out Test_Cases.Test_Case'Class) is config: NeuralNet.Config(3); net: NeuralNet.Net(config.size); begin config.act := NeuralNet.RELU; config.lr := 0.05; config.inputSize := 4; config.sizes := (2, 3, 2); net := NeuralNet.create(conf => config); Assert(net.layers.Length = 3, "net should have 3 layers"); Assert(net.layers(1).Length = 2, "2 neurons in layer 1"); Assert(net.layers(2).Length = 3, "3 neurons in layer 2"); Assert(net.layers(3).Length = 2, "2 neurons in layer 3"); -- assertions for weights in layer 1 Assert(net.layers(1)(1).w'Length = config.inputSize, "expected weights in layer 1 n 1"); Assert(net.layers(1)(2).w'Length = config.inputSize, "expected weights in layer 1 n 2"); -- assertions for weights in layer 2 Assert(net.layers(2)(1).w'Length = 2, "expected weights in layer 2 n 1"); Assert(net.layers(2)(2).w'Length = 2, "expected weights in layer 2 n 2"); Assert(net.layers(2)(3).w'Length = 2, "expected weights in layer 2 n 3"); -- assertions for weights in layer 3 Assert(net.layers(3)(1).w'Length = 3, "expected weights in layer 3 n 1"); Assert(net.layers(3)(2).w'Length = 3, "expected weights in layer 3 n 2"); end testBasicNet; procedure testForwardPropagation(T : in out Test_Cases.Test_Case'Class) is config: NeuralNet.Config(2); net: NeuralNet.Net(config.size); value: Float := 0.0; input: MathUtils.Vector; result: MathUtils.Vector; begin config.inputSize := 1; config.act := NeuralNet.RELU; config.sizes := (2, 1); net := NeuralNet.create(config); Assert(net.layers.Length = 2, "layer count"); Assert(net.layers(1).Length = 2, "neurons in layer 1"); Assert(net.layers(2).Length = 1, "neurons in output layer"); -- hardcode biases and weights net.layers(1)(1).bias := 0.3; net.layers(1)(1).w := (1 => 0.5); net.layers(1)(2).bias := 0.7; net.layers(1)(2).w := (1 => 0.1); net.layers(2)(1).bias := 0.1; net.layers(2)(1).w := (0.4, 0.6); input.Append(2.0); value := NeuralNet.forward(net.layers(1)(1), input); Assert(value = 0.3 + 0.5 * 2.0, "forward 1: " & value'Image); value := NeuralNet.forward(net.layers(1)(2), input); Assert(value = 0.7 + 0.1 * 2.0, "forward 2: " & value'Image); input.Clear; input.Append(1.0); input.Append(2.0); value := NeuralNet.forward(net.layers(2)(1), input); Assert(value = 1.0 * 0.4 + 2.0 * 0.6 + 0.1, "forward 3: " & value'Image); input.Clear; input.Append(2.0); result := net.forward(input); Assert(result.Length = 1, "Final net output size: " & result.Length'Image); value := (0.3 + 0.5 * 2.0) * 0.4 + (0.7 + 0.1 * 2.0) * 0.6 + 0.1; Assert(abs(result(1) - value) < Float'Epsilon, "Final net result: " & value'Image); end testForwardPropagation; procedure testTrain(T : in out Test_Cases.Test_Case'Class) is config: NeuralNet.Config(1); net: NeuralNet.Net(config.size); previousLoss, currentLoss: Float := 0.0; steps: constant Positive := 10; input: MathUtils.Vector; result: MathUtils.Vector; begin config.act := NeuralNet.RELU; config.lr := 0.07; config.inputSize := 1; config.sizes := (1 => 1); net := NeuralNet.create(config); net.layers(1)(1).bias := 0.5; net.layers(1)(1).w := (1 => 0.1); input.Append(0.1); result.Append(0.99); net.train(input, result); previousLoss := MathUtils.mse(result, net.forward(input)); for i in 1 .. steps loop net.train(input, result); currentLoss := MathUtils.mse(result, net.forward(input)); Assert(currentLoss < previousLoss, "Learning failed: " & currentLoss'Image & " > " & previousLoss'Image); previousLoss := currentLoss; end loop; end testTrain; procedure testTrainComplex(T : in out Test_Cases.Test_Case'Class) is config: NeuralNet.Config(2); net: NeuralNet.Net(config.size); input: MathUtils.Vector; target: MathUtils.Vector; steps: constant Positive := 1000; begin config.act := NeuralNet.LOGISTIC; config.lr := 0.1; config.inputSize := 3; config.sizes := (40, 3); net := NeuralNet.create(config); for i in 0 .. steps loop input := 0.01 & 0.03 & (0.5 + MathUtils.rand01); target := 1.0 & 0.0 & 0.0; net.train(input, target); input := (0.5 + MathUtils.rand01) & 0.03 & 0.07; target := 0.0 & 1.0 & 0.0; net.train(input, target); input := 0.01 & (0.5 + MathUtils.rand01) & 0.0; target := 0.0 & 0.0 & 1.0; net.train(input, target); end loop; input := 0.0 & 0.0 & 0.5; target := net.forward(input); Assert(target(1) > target(2), ""); Assert(target(1) > target(3), ""); input := 0.5 & 0.0 & 0.0; target := net.forward(input); Assert(target(2) > target(1), ""); Assert(target(2) > target(3), ""); input := 0.0 & 0.5 & 0.0; target := net.forward(input); Assert(target(3) > target(1), ""); Assert(target(3) > target(2), ""); end testTrainComplex; end NeuralNetTests;
32.595745
114
0.596443
cb98e1ebd11bdc6b1e6f2e353a46ca14366845e7
19,086
ads
Ada
bb-runtimes/arm/nordic/nrf52/nrf52832/svd/i-nrf52-temp.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/arm/nordic/nrf52/nrf52832/svd/i-nrf52-temp.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/arm/nordic/nrf52/nrf52832/svd/i-nrf52-temp.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
-- -- Copyright (C) 2019, AdaCore -- -- Copyright (c) 2010 - 2018, Nordic Semiconductor ASA -- -- All rights reserved. -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form, except as embedded into a Nordic -- Semiconductor ASA integrated circuit in a product or a software update for -- such product, must reproduce the above copyright notice, this list of -- conditions and the following disclaimer in the documentation and/or other -- materials provided with the distribution. -- -- 3. Neither the name of Nordic Semiconductor ASA nor the names of its -- contributors may be used to endorse or promote products derived from this -- software without specific prior written permission. -- -- 4. This software, with or without modification, must only be used with a -- Nordic Semiconductor ASA integrated circuit. -- -- 5. Any software provided in binary form under this license must not be -- reverse engineered, decompiled, modified and/or disassembled. -- -- THIS SOFTWARE IS PROVIDED BY NORDIC SEMICONDUCTOR ASA "AS IS" AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED -- WARRANTIES OF MERCHANTABILITY, NONINFRINGEMENT, AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL NORDIC SEMICONDUCTOR -- ASA OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- This spec has been automatically generated from nrf52.svd pragma Ada_2012; pragma Style_Checks (Off); with System; package Interfaces.NRF52.TEMP is pragma Preelaborate; pragma No_Elaboration_Code_All; --------------- -- Registers -- --------------- subtype TASKS_START_TASKS_START_Field is Interfaces.NRF52.Bit; -- Start temperature measurement type TASKS_START_Register is record -- Write-only. TASKS_START : TASKS_START_TASKS_START_Field := 16#0#; -- unspecified Reserved_1_31 : Interfaces.NRF52.UInt31 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for TASKS_START_Register use record TASKS_START at 0 range 0 .. 0; Reserved_1_31 at 0 range 1 .. 31; end record; subtype TASKS_STOP_TASKS_STOP_Field is Interfaces.NRF52.Bit; -- Stop temperature measurement type TASKS_STOP_Register is record -- Write-only. TASKS_STOP : TASKS_STOP_TASKS_STOP_Field := 16#0#; -- unspecified Reserved_1_31 : Interfaces.NRF52.UInt31 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for TASKS_STOP_Register use record TASKS_STOP at 0 range 0 .. 0; Reserved_1_31 at 0 range 1 .. 31; end record; subtype EVENTS_DATARDY_EVENTS_DATARDY_Field is Interfaces.NRF52.Bit; -- Temperature measurement complete, data ready type EVENTS_DATARDY_Register is record EVENTS_DATARDY : EVENTS_DATARDY_EVENTS_DATARDY_Field := 16#0#; -- unspecified Reserved_1_31 : Interfaces.NRF52.UInt31 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for EVENTS_DATARDY_Register use record EVENTS_DATARDY at 0 range 0 .. 0; Reserved_1_31 at 0 range 1 .. 31; end record; -- Write '1' to Enable interrupt for DATARDY event type INTENSET_DATARDY_Field is (-- Read: Disabled Disabled, -- Read: Enabled Enabled) with Size => 1; for INTENSET_DATARDY_Field use (Disabled => 0, Enabled => 1); -- Write '1' to Enable interrupt for DATARDY event type INTENSET_DATARDY_Field_1 is (-- Reset value for the field Intenset_Datardy_Field_Reset, -- Enable Set) with Size => 1; for INTENSET_DATARDY_Field_1 use (Intenset_Datardy_Field_Reset => 0, Set => 1); -- Enable interrupt type INTENSET_Register is record -- Write '1' to Enable interrupt for DATARDY event DATARDY : INTENSET_DATARDY_Field_1 := Intenset_Datardy_Field_Reset; -- unspecified Reserved_1_31 : Interfaces.NRF52.UInt31 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for INTENSET_Register use record DATARDY at 0 range 0 .. 0; Reserved_1_31 at 0 range 1 .. 31; end record; -- Write '1' to Disable interrupt for DATARDY event type INTENCLR_DATARDY_Field is (-- Read: Disabled Disabled, -- Read: Enabled Enabled) with Size => 1; for INTENCLR_DATARDY_Field use (Disabled => 0, Enabled => 1); -- Write '1' to Disable interrupt for DATARDY event type INTENCLR_DATARDY_Field_1 is (-- Reset value for the field Intenclr_Datardy_Field_Reset, -- Disable Clear) with Size => 1; for INTENCLR_DATARDY_Field_1 use (Intenclr_Datardy_Field_Reset => 0, Clear => 1); -- Disable interrupt type INTENCLR_Register is record -- Write '1' to Disable interrupt for DATARDY event DATARDY : INTENCLR_DATARDY_Field_1 := Intenclr_Datardy_Field_Reset; -- unspecified Reserved_1_31 : Interfaces.NRF52.UInt31 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for INTENCLR_Register use record DATARDY at 0 range 0 .. 0; Reserved_1_31 at 0 range 1 .. 31; end record; subtype A0_A0_Field is Interfaces.NRF52.UInt12; -- Slope of 1st piece wise linear function type A0_Register is record -- Slope of 1st piece wise linear function A0 : A0_A0_Field := 16#320#; -- unspecified Reserved_12_31 : Interfaces.NRF52.UInt20 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for A0_Register use record A0 at 0 range 0 .. 11; Reserved_12_31 at 0 range 12 .. 31; end record; subtype A1_A1_Field is Interfaces.NRF52.UInt12; -- Slope of 2nd piece wise linear function type A1_Register is record -- Slope of 2nd piece wise linear function A1 : A1_A1_Field := 16#343#; -- unspecified Reserved_12_31 : Interfaces.NRF52.UInt20 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for A1_Register use record A1 at 0 range 0 .. 11; Reserved_12_31 at 0 range 12 .. 31; end record; subtype A2_A2_Field is Interfaces.NRF52.UInt12; -- Slope of 3rd piece wise linear function type A2_Register is record -- Slope of 3rd piece wise linear function A2 : A2_A2_Field := 16#35D#; -- unspecified Reserved_12_31 : Interfaces.NRF52.UInt20 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for A2_Register use record A2 at 0 range 0 .. 11; Reserved_12_31 at 0 range 12 .. 31; end record; subtype A3_A3_Field is Interfaces.NRF52.UInt12; -- Slope of 4th piece wise linear function type A3_Register is record -- Slope of 4th piece wise linear function A3 : A3_A3_Field := 16#400#; -- unspecified Reserved_12_31 : Interfaces.NRF52.UInt20 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for A3_Register use record A3 at 0 range 0 .. 11; Reserved_12_31 at 0 range 12 .. 31; end record; subtype A4_A4_Field is Interfaces.NRF52.UInt12; -- Slope of 5th piece wise linear function type A4_Register is record -- Slope of 5th piece wise linear function A4 : A4_A4_Field := 16#47F#; -- unspecified Reserved_12_31 : Interfaces.NRF52.UInt20 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for A4_Register use record A4 at 0 range 0 .. 11; Reserved_12_31 at 0 range 12 .. 31; end record; subtype A5_A5_Field is Interfaces.NRF52.UInt12; -- Slope of 6th piece wise linear function type A5_Register is record -- Slope of 6th piece wise linear function A5 : A5_A5_Field := 16#37B#; -- unspecified Reserved_12_31 : Interfaces.NRF52.UInt20 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for A5_Register use record A5 at 0 range 0 .. 11; Reserved_12_31 at 0 range 12 .. 31; end record; subtype B0_B0_Field is Interfaces.NRF52.UInt14; -- y-intercept of 1st piece wise linear function type B0_Register is record -- y-intercept of 1st piece wise linear function B0 : B0_B0_Field := 16#3FCC#; -- unspecified Reserved_14_31 : Interfaces.NRF52.UInt18 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for B0_Register use record B0 at 0 range 0 .. 13; Reserved_14_31 at 0 range 14 .. 31; end record; subtype B1_B1_Field is Interfaces.NRF52.UInt14; -- y-intercept of 2nd piece wise linear function type B1_Register is record -- y-intercept of 2nd piece wise linear function B1 : B1_B1_Field := 16#3F98#; -- unspecified Reserved_14_31 : Interfaces.NRF52.UInt18 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for B1_Register use record B1 at 0 range 0 .. 13; Reserved_14_31 at 0 range 14 .. 31; end record; subtype B2_B2_Field is Interfaces.NRF52.UInt14; -- y-intercept of 3rd piece wise linear function type B2_Register is record -- y-intercept of 3rd piece wise linear function B2 : B2_B2_Field := 16#3F98#; -- unspecified Reserved_14_31 : Interfaces.NRF52.UInt18 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for B2_Register use record B2 at 0 range 0 .. 13; Reserved_14_31 at 0 range 14 .. 31; end record; subtype B3_B3_Field is Interfaces.NRF52.UInt14; -- y-intercept of 4th piece wise linear function type B3_Register is record -- y-intercept of 4th piece wise linear function B3 : B3_B3_Field := 16#12#; -- unspecified Reserved_14_31 : Interfaces.NRF52.UInt18 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for B3_Register use record B3 at 0 range 0 .. 13; Reserved_14_31 at 0 range 14 .. 31; end record; subtype B4_B4_Field is Interfaces.NRF52.UInt14; -- y-intercept of 5th piece wise linear function type B4_Register is record -- y-intercept of 5th piece wise linear function B4 : B4_B4_Field := 16#6A#; -- unspecified Reserved_14_31 : Interfaces.NRF52.UInt18 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for B4_Register use record B4 at 0 range 0 .. 13; Reserved_14_31 at 0 range 14 .. 31; end record; subtype B5_B5_Field is Interfaces.NRF52.UInt14; -- y-intercept of 6th piece wise linear function type B5_Register is record -- y-intercept of 6th piece wise linear function B5 : B5_B5_Field := 16#3DD0#; -- unspecified Reserved_14_31 : Interfaces.NRF52.UInt18 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for B5_Register use record B5 at 0 range 0 .. 13; Reserved_14_31 at 0 range 14 .. 31; end record; subtype T0_T0_Field is Interfaces.NRF52.Byte; -- End point of 1st piece wise linear function type T0_Register is record -- End point of 1st piece wise linear function T0 : T0_T0_Field := 16#E2#; -- unspecified Reserved_8_31 : Interfaces.NRF52.UInt24 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for T0_Register use record T0 at 0 range 0 .. 7; Reserved_8_31 at 0 range 8 .. 31; end record; subtype T1_T1_Field is Interfaces.NRF52.Byte; -- End point of 2nd piece wise linear function type T1_Register is record -- End point of 2nd piece wise linear function T1 : T1_T1_Field := 16#0#; -- unspecified Reserved_8_31 : Interfaces.NRF52.UInt24 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for T1_Register use record T1 at 0 range 0 .. 7; Reserved_8_31 at 0 range 8 .. 31; end record; subtype T2_T2_Field is Interfaces.NRF52.Byte; -- End point of 3rd piece wise linear function type T2_Register is record -- End point of 3rd piece wise linear function T2 : T2_T2_Field := 16#14#; -- unspecified Reserved_8_31 : Interfaces.NRF52.UInt24 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for T2_Register use record T2 at 0 range 0 .. 7; Reserved_8_31 at 0 range 8 .. 31; end record; subtype T3_T3_Field is Interfaces.NRF52.Byte; -- End point of 4th piece wise linear function type T3_Register is record -- End point of 4th piece wise linear function T3 : T3_T3_Field := 16#19#; -- unspecified Reserved_8_31 : Interfaces.NRF52.UInt24 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for T3_Register use record T3 at 0 range 0 .. 7; Reserved_8_31 at 0 range 8 .. 31; end record; subtype T4_T4_Field is Interfaces.NRF52.Byte; -- End point of 5th piece wise linear function type T4_Register is record -- End point of 5th piece wise linear function T4 : T4_T4_Field := 16#50#; -- unspecified Reserved_8_31 : Interfaces.NRF52.UInt24 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for T4_Register use record T4 at 0 range 0 .. 7; Reserved_8_31 at 0 range 8 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- Temperature Sensor type TEMP_Peripheral is record -- Start temperature measurement TASKS_START : aliased TASKS_START_Register; -- Stop temperature measurement TASKS_STOP : aliased TASKS_STOP_Register; -- Temperature measurement complete, data ready EVENTS_DATARDY : aliased EVENTS_DATARDY_Register; -- Enable interrupt INTENSET : aliased INTENSET_Register; -- Disable interrupt INTENCLR : aliased INTENCLR_Register; -- Temperature in degC (0.25deg steps) TEMP : aliased Interfaces.NRF52.UInt32; -- Slope of 1st piece wise linear function A0 : aliased A0_Register; -- Slope of 2nd piece wise linear function A1 : aliased A1_Register; -- Slope of 3rd piece wise linear function A2 : aliased A2_Register; -- Slope of 4th piece wise linear function A3 : aliased A3_Register; -- Slope of 5th piece wise linear function A4 : aliased A4_Register; -- Slope of 6th piece wise linear function A5 : aliased A5_Register; -- y-intercept of 1st piece wise linear function B0 : aliased B0_Register; -- y-intercept of 2nd piece wise linear function B1 : aliased B1_Register; -- y-intercept of 3rd piece wise linear function B2 : aliased B2_Register; -- y-intercept of 4th piece wise linear function B3 : aliased B3_Register; -- y-intercept of 5th piece wise linear function B4 : aliased B4_Register; -- y-intercept of 6th piece wise linear function B5 : aliased B5_Register; -- End point of 1st piece wise linear function T0 : aliased T0_Register; -- End point of 2nd piece wise linear function T1 : aliased T1_Register; -- End point of 3rd piece wise linear function T2 : aliased T2_Register; -- End point of 4th piece wise linear function T3 : aliased T3_Register; -- End point of 5th piece wise linear function T4 : aliased T4_Register; end record with Volatile; for TEMP_Peripheral use record TASKS_START at 16#0# range 0 .. 31; TASKS_STOP at 16#4# range 0 .. 31; EVENTS_DATARDY at 16#100# range 0 .. 31; INTENSET at 16#304# range 0 .. 31; INTENCLR at 16#308# range 0 .. 31; TEMP at 16#508# range 0 .. 31; A0 at 16#520# range 0 .. 31; A1 at 16#524# range 0 .. 31; A2 at 16#528# range 0 .. 31; A3 at 16#52C# range 0 .. 31; A4 at 16#530# range 0 .. 31; A5 at 16#534# range 0 .. 31; B0 at 16#540# range 0 .. 31; B1 at 16#544# range 0 .. 31; B2 at 16#548# range 0 .. 31; B3 at 16#54C# range 0 .. 31; B4 at 16#550# range 0 .. 31; B5 at 16#554# range 0 .. 31; T0 at 16#560# range 0 .. 31; T1 at 16#564# range 0 .. 31; T2 at 16#568# range 0 .. 31; T3 at 16#56C# range 0 .. 31; T4 at 16#570# range 0 .. 31; end record; -- Temperature Sensor TEMP_Periph : aliased TEMP_Peripheral with Import, Address => TEMP_Base; end Interfaces.NRF52.TEMP;
34.082143
79
0.628838
dcf6086bd2a1547bcabefbb8920d4374bd73e484
4,927
ads
Ada
tools/scitools/conf/understand/ada/ada12/s-addope.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
1
2020-01-20T21:26:46.000Z
2020-01-20T21:26:46.000Z
tools/scitools/conf/understand/ada/ada12/s-addope.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
null
null
null
tools/scitools/conf/understand/ada/ada12/s-addope.ads
brucegua/moocos
575c161cfa35e220f10d042e2e5ca18773691695
[ "Apache-2.0" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT COMPILER COMPONENTS -- -- -- -- S Y S T E M . A D D R E S S _ O P E R A T I O N S -- -- -- -- S p e c -- -- -- -- Copyright (C) 2004-2009, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- -- -- -- -- -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ -- This package provides arithmetic and logical operations on type Address. -- It is intended for use by other packages in the System hierarchy. For -- applications requiring this capability, see System.Storage_Elements or -- the operations introduced in System.Aux_DEC; -- The reason we need this package is that arithmetic operations may not -- be available in the case where type Address is non-private and the -- operations have been made abstract in the spec of System (to avoid -- inappropriate use by applications programs). In addition, the logical -- operations may not be available if type Address is a signed integer. pragma Compiler_Unit; package System.Address_Operations is pragma Pure; -- The semantics of the arithmetic operations are those that apply to -- a modular type with the same length as Address, i.e. they provide -- twos complement wrap around arithmetic treating the address value -- as an unsigned value, with no overflow checking. -- Note that we do not use the infix names for these operations to -- avoid problems with ambiguities coming from declarations in package -- Standard (which may or may not be visible depending on the exact -- form of the declaration of type System.Address). -- For addition, subtraction, and multiplication, the effect of overflow -- is 2's complement wrapping (as though the type Address were unsigned). -- For division and modulus operations, the caller is responsible for -- ensuring that the Right argument is non-zero, and the effect of the -- call is not specified if a zero argument is passed. function AddA (Left, Right : Address) return Address; function SubA (Left, Right : Address) return Address; function MulA (Left, Right : Address) return Address; function DivA (Left, Right : Address) return Address; function ModA (Left, Right : Address) return Address; -- The semantics of the logical operations are those that apply to -- a modular type with the same length as Address, i.e. they provide -- bit-wise operations on all bits of the value (including the sign -- bit if Address is a signed integer type). function AndA (Left, Right : Address) return Address; function OrA (Left, Right : Address) return Address; pragma Inline_Always (AddA); pragma Inline_Always (SubA); pragma Inline_Always (MulA); pragma Inline_Always (DivA); pragma Inline_Always (ModA); pragma Inline_Always (AndA); pragma Inline_Always (OrA); end System.Address_Operations;
55.988636
78
0.527704
4a659caf515fbb49185dab97f7aeb9145f5993b8
1,095
ads
Ada
src/ewok-isr.ads
PThierry/ewok-kernel
e9c23cb3fd0afd8378bc27418778e1117d5e16cc
[ "Apache-2.0" ]
65
2018-09-26T09:10:11.000Z
2022-01-30T21:17:37.000Z
src/ewok-isr.ads
PThierry/ewok-kernel
e9c23cb3fd0afd8378bc27418778e1117d5e16cc
[ "Apache-2.0" ]
22
2019-04-07T15:15:54.000Z
2020-10-15T12:45:54.000Z
src/ewok-isr.ads
PThierry/ewok-kernel
e9c23cb3fd0afd8378bc27418778e1117d5e16cc
[ "Apache-2.0" ]
10
2018-09-27T09:43:08.000Z
2021-01-29T22:50:17.000Z
-- -- Copyright 2018 The wookey project team <wookey@ssi.gouv.fr> -- - Ryad Benadjila -- - Arnauld Michelizza -- - Mathieu Renard -- - Philippe Thierry -- - Philippe Trebuchet -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- -- with ewok.tasks_shared; with ewok.interrupts; with soc.interrupts; package ewok.isr with spark_mode => off is procedure postpone_isr (intr : in soc.interrupts.t_interrupt; handler : in ewok.interrupts.t_interrupt_handler_access; task_id : in ewok.tasks_shared.t_task_id); end ewok.isr;
29.594595
79
0.70411
cb05ad564beefa6721bf9ca7a074e01e3a4d7931
33,982
adb
Ada
bb-runtimes/runtimes/ravenscar-full-stm32f3x4/gnat/a-rbtgbo.adb
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/runtimes/ravenscar-full-stm32f3x4/gnat/a-rbtgbo.adb
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/runtimes/ravenscar-full-stm32f3x4/gnat/a-rbtgbo.adb
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT LIBRARY COMPONENTS -- -- -- -- ADA.CONTAINERS.RED_BLACK_TREES.GENERIC_BOUNDED_OPERATIONS -- -- -- -- B o d y -- -- -- -- Copyright (C) 2004-2021, Free Software Foundation, Inc. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- -- -- -- -- -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- This unit was originally developed by Matthew J Heaney. -- ------------------------------------------------------------------------------ -- The references in this file to "CLR" refer to the following book, from -- which several of the algorithms here were adapted: -- Introduction to Algorithms -- by Thomas H. Cormen, Charles E. Leiserson, Ronald L. Rivest -- Publisher: The MIT Press (June 18, 1990) -- ISBN: 0262031418 with System; use type System.Address; package body Ada.Containers.Red_Black_Trees.Generic_Bounded_Operations is pragma Warnings (Off, "variable ""Busy*"" is not referenced"); pragma Warnings (Off, "variable ""Lock*"" is not referenced"); -- See comment in Ada.Containers.Helpers ----------------------- -- Local Subprograms -- ----------------------- procedure Delete_Fixup (Tree : in out Tree_Type'Class; Node : Count_Type); procedure Delete_Swap (Tree : in out Tree_Type'Class; Z, Y : Count_Type); procedure Left_Rotate (Tree : in out Tree_Type'Class; X : Count_Type); procedure Right_Rotate (Tree : in out Tree_Type'Class; Y : Count_Type); ---------------- -- Clear_Tree -- ---------------- procedure Clear_Tree (Tree : in out Tree_Type'Class) is begin TC_Check (Tree.TC); Tree.First := 0; Tree.Last := 0; Tree.Root := 0; Tree.Length := 0; Tree.Free := -1; end Clear_Tree; ------------------ -- Delete_Fixup -- ------------------ procedure Delete_Fixup (Tree : in out Tree_Type'Class; Node : Count_Type) is -- CLR p. 274 X : Count_Type; W : Count_Type; N : Nodes_Type renames Tree.Nodes; begin X := Node; while X /= Tree.Root and then Color (N (X)) = Black loop if X = Left (N (Parent (N (X)))) then W := Right (N (Parent (N (X)))); if Color (N (W)) = Red then Set_Color (N (W), Black); Set_Color (N (Parent (N (X))), Red); Left_Rotate (Tree, Parent (N (X))); W := Right (N (Parent (N (X)))); end if; if (Left (N (W)) = 0 or else Color (N (Left (N (W)))) = Black) and then (Right (N (W)) = 0 or else Color (N (Right (N (W)))) = Black) then Set_Color (N (W), Red); X := Parent (N (X)); else if Right (N (W)) = 0 or else Color (N (Right (N (W)))) = Black then -- As a condition for setting the color of the left child to -- black, the left child access value must be non-null. A -- truth table analysis shows that if we arrive here, that -- condition holds, so there's no need for an explicit test. -- The assertion is here to document what we know is true. pragma Assert (Left (N (W)) /= 0); Set_Color (N (Left (N (W))), Black); Set_Color (N (W), Red); Right_Rotate (Tree, W); W := Right (N (Parent (N (X)))); end if; Set_Color (N (W), Color (N (Parent (N (X))))); Set_Color (N (Parent (N (X))), Black); Set_Color (N (Right (N (W))), Black); Left_Rotate (Tree, Parent (N (X))); X := Tree.Root; end if; else pragma Assert (X = Right (N (Parent (N (X))))); W := Left (N (Parent (N (X)))); if Color (N (W)) = Red then Set_Color (N (W), Black); Set_Color (N (Parent (N (X))), Red); Right_Rotate (Tree, Parent (N (X))); W := Left (N (Parent (N (X)))); end if; if (Left (N (W)) = 0 or else Color (N (Left (N (W)))) = Black) and then (Right (N (W)) = 0 or else Color (N (Right (N (W)))) = Black) then Set_Color (N (W), Red); X := Parent (N (X)); else if Left (N (W)) = 0 or else Color (N (Left (N (W)))) = Black then -- As a condition for setting the color of the right child -- to black, the right child access value must be non-null. -- A truth table analysis shows that if we arrive here, that -- condition holds, so there's no need for an explicit test. -- The assertion is here to document what we know is true. pragma Assert (Right (N (W)) /= 0); Set_Color (N (Right (N (W))), Black); Set_Color (N (W), Red); Left_Rotate (Tree, W); W := Left (N (Parent (N (X)))); end if; Set_Color (N (W), Color (N (Parent (N (X))))); Set_Color (N (Parent (N (X))), Black); Set_Color (N (Left (N (W))), Black); Right_Rotate (Tree, Parent (N (X))); X := Tree.Root; end if; end if; end loop; Set_Color (N (X), Black); end Delete_Fixup; --------------------------- -- Delete_Node_Sans_Free -- --------------------------- procedure Delete_Node_Sans_Free (Tree : in out Tree_Type'Class; Node : Count_Type) is -- CLR p. 273 X, Y : Count_Type; Z : constant Count_Type := Node; N : Nodes_Type renames Tree.Nodes; begin TC_Check (Tree.TC); -- If node is not present, return (exception will be raised in caller) if Z = 0 then return; end if; pragma Assert (Tree.Length > 0); pragma Assert (Tree.Root /= 0); pragma Assert (Tree.First /= 0); pragma Assert (Tree.Last /= 0); pragma Assert (Parent (N (Tree.Root)) = 0); pragma Assert ((Tree.Length > 1) or else (Tree.First = Tree.Last and then Tree.First = Tree.Root)); pragma Assert ((Left (N (Node)) = 0) or else (Parent (N (Left (N (Node)))) = Node)); pragma Assert ((Right (N (Node)) = 0) or else (Parent (N (Right (N (Node)))) = Node)); pragma Assert (((Parent (N (Node)) = 0) and then (Tree.Root = Node)) or else ((Parent (N (Node)) /= 0) and then ((Left (N (Parent (N (Node)))) = Node) or else (Right (N (Parent (N (Node)))) = Node)))); if Left (N (Z)) = 0 then if Right (N (Z)) = 0 then if Z = Tree.First then Tree.First := Parent (N (Z)); end if; if Z = Tree.Last then Tree.Last := Parent (N (Z)); end if; if Color (N (Z)) = Black then Delete_Fixup (Tree, Z); end if; pragma Assert (Left (N (Z)) = 0); pragma Assert (Right (N (Z)) = 0); if Z = Tree.Root then pragma Assert (Tree.Length = 1); pragma Assert (Parent (N (Z)) = 0); Tree.Root := 0; elsif Z = Left (N (Parent (N (Z)))) then Set_Left (N (Parent (N (Z))), 0); else pragma Assert (Z = Right (N (Parent (N (Z))))); Set_Right (N (Parent (N (Z))), 0); end if; else pragma Assert (Z /= Tree.Last); X := Right (N (Z)); if Z = Tree.First then Tree.First := Min (Tree, X); end if; if Z = Tree.Root then Tree.Root := X; elsif Z = Left (N (Parent (N (Z)))) then Set_Left (N (Parent (N (Z))), X); else pragma Assert (Z = Right (N (Parent (N (Z))))); Set_Right (N (Parent (N (Z))), X); end if; Set_Parent (N (X), Parent (N (Z))); if Color (N (Z)) = Black then Delete_Fixup (Tree, X); end if; end if; elsif Right (N (Z)) = 0 then pragma Assert (Z /= Tree.First); X := Left (N (Z)); if Z = Tree.Last then Tree.Last := Max (Tree, X); end if; if Z = Tree.Root then Tree.Root := X; elsif Z = Left (N (Parent (N (Z)))) then Set_Left (N (Parent (N (Z))), X); else pragma Assert (Z = Right (N (Parent (N (Z))))); Set_Right (N (Parent (N (Z))), X); end if; Set_Parent (N (X), Parent (N (Z))); if Color (N (Z)) = Black then Delete_Fixup (Tree, X); end if; else pragma Assert (Z /= Tree.First); pragma Assert (Z /= Tree.Last); Y := Next (Tree, Z); pragma Assert (Left (N (Y)) = 0); X := Right (N (Y)); if X = 0 then if Y = Left (N (Parent (N (Y)))) then pragma Assert (Parent (N (Y)) /= Z); Delete_Swap (Tree, Z, Y); Set_Left (N (Parent (N (Z))), Z); else pragma Assert (Y = Right (N (Parent (N (Y))))); pragma Assert (Parent (N (Y)) = Z); Set_Parent (N (Y), Parent (N (Z))); if Z = Tree.Root then Tree.Root := Y; elsif Z = Left (N (Parent (N (Z)))) then Set_Left (N (Parent (N (Z))), Y); else pragma Assert (Z = Right (N (Parent (N (Z))))); Set_Right (N (Parent (N (Z))), Y); end if; Set_Left (N (Y), Left (N (Z))); Set_Parent (N (Left (N (Y))), Y); Set_Right (N (Y), Z); Set_Parent (N (Z), Y); Set_Left (N (Z), 0); Set_Right (N (Z), 0); declare Y_Color : constant Color_Type := Color (N (Y)); begin Set_Color (N (Y), Color (N (Z))); Set_Color (N (Z), Y_Color); end; end if; if Color (N (Z)) = Black then Delete_Fixup (Tree, Z); end if; pragma Assert (Left (N (Z)) = 0); pragma Assert (Right (N (Z)) = 0); if Z = Right (N (Parent (N (Z)))) then Set_Right (N (Parent (N (Z))), 0); else pragma Assert (Z = Left (N (Parent (N (Z))))); Set_Left (N (Parent (N (Z))), 0); end if; else if Y = Left (N (Parent (N (Y)))) then pragma Assert (Parent (N (Y)) /= Z); Delete_Swap (Tree, Z, Y); Set_Left (N (Parent (N (Z))), X); Set_Parent (N (X), Parent (N (Z))); else pragma Assert (Y = Right (N (Parent (N (Y))))); pragma Assert (Parent (N (Y)) = Z); Set_Parent (N (Y), Parent (N (Z))); if Z = Tree.Root then Tree.Root := Y; elsif Z = Left (N (Parent (N (Z)))) then Set_Left (N (Parent (N (Z))), Y); else pragma Assert (Z = Right (N (Parent (N (Z))))); Set_Right (N (Parent (N (Z))), Y); end if; Set_Left (N (Y), Left (N (Z))); Set_Parent (N (Left (N (Y))), Y); declare Y_Color : constant Color_Type := Color (N (Y)); begin Set_Color (N (Y), Color (N (Z))); Set_Color (N (Z), Y_Color); end; end if; if Color (N (Z)) = Black then Delete_Fixup (Tree, X); end if; end if; end if; Tree.Length := Tree.Length - 1; end Delete_Node_Sans_Free; ----------------- -- Delete_Swap -- ----------------- procedure Delete_Swap (Tree : in out Tree_Type'Class; Z, Y : Count_Type) is N : Nodes_Type renames Tree.Nodes; pragma Assert (Z /= Y); pragma Assert (Parent (N (Y)) /= Z); Y_Parent : constant Count_Type := Parent (N (Y)); Y_Color : constant Color_Type := Color (N (Y)); begin Set_Parent (N (Y), Parent (N (Z))); Set_Left (N (Y), Left (N (Z))); Set_Right (N (Y), Right (N (Z))); Set_Color (N (Y), Color (N (Z))); if Tree.Root = Z then Tree.Root := Y; elsif Right (N (Parent (N (Y)))) = Z then Set_Right (N (Parent (N (Y))), Y); else pragma Assert (Left (N (Parent (N (Y)))) = Z); Set_Left (N (Parent (N (Y))), Y); end if; if Right (N (Y)) /= 0 then Set_Parent (N (Right (N (Y))), Y); end if; if Left (N (Y)) /= 0 then Set_Parent (N (Left (N (Y))), Y); end if; Set_Parent (N (Z), Y_Parent); Set_Color (N (Z), Y_Color); Set_Left (N (Z), 0); Set_Right (N (Z), 0); end Delete_Swap; ---------- -- Free -- ---------- procedure Free (Tree : in out Tree_Type'Class; X : Count_Type) is pragma Assert (X > 0); pragma Assert (X <= Tree.Capacity); N : Nodes_Type renames Tree.Nodes; -- pragma Assert (N (X).Prev >= 0); -- node is active -- Find a way to mark a node as active vs. inactive; we could -- use a special value in Color_Type for this. ??? begin -- The set container actually contains two data structures: a list for -- the "active" nodes that contain elements that have been inserted -- onto the tree, and another for the "inactive" nodes of the free -- store. -- -- We desire that merely declaring an object should have only minimal -- cost; specially, we want to avoid having to initialize the free -- store (to fill in the links), especially if the capacity is large. -- -- The head of the free list is indicated by Container.Free. If its -- value is non-negative, then the free store has been initialized -- in the "normal" way: Container.Free points to the head of the list -- of free (inactive) nodes, and the value 0 means the free list is -- empty. Each node on the free list has been initialized to point -- to the next free node (via its Parent component), and the value 0 -- means that this is the last free node. -- -- If Container.Free is negative, then the links on the free store -- have not been initialized. In this case the link values are -- implied: the free store comprises the components of the node array -- started with the absolute value of Container.Free, and continuing -- until the end of the array (Nodes'Last). -- -- ??? -- It might be possible to perform an optimization here. Suppose that -- the free store can be represented as having two parts: one -- comprising the non-contiguous inactive nodes linked together -- in the normal way, and the other comprising the contiguous -- inactive nodes (that are not linked together, at the end of the -- nodes array). This would allow us to never have to initialize -- the free store, except in a lazy way as nodes become inactive. -- When an element is deleted from the list container, its node -- becomes inactive, and so we set its Prev component to a negative -- value, to indicate that it is now inactive. This provides a useful -- way to detect a dangling cursor reference. -- The comment above is incorrect; we need some other way to -- indicate a node is inactive, for example by using a special -- Color_Type value. ??? -- N (X).Prev := -1; -- Node is deallocated (not on active list) if Tree.Free >= 0 then -- The free store has previously been initialized. All we need to -- do here is link the newly-free'd node onto the free list. Set_Parent (N (X), Tree.Free); Tree.Free := X; elsif X + 1 = abs Tree.Free then -- The free store has not been initialized, and the node becoming -- inactive immediately precedes the start of the free store. All -- we need to do is move the start of the free store back by one. Tree.Free := Tree.Free + 1; else -- The free store has not been initialized, and the node becoming -- inactive does not immediately precede the free store. Here we -- first initialize the free store (meaning the links are given -- values in the traditional way), and then link the newly-free'd -- node onto the head of the free store. -- ??? -- See the comments above for an optimization opportunity. If the -- next link for a node on the free store is negative, then this -- means the remaining nodes on the free store are physically -- contiguous, starting as the absolute value of that index value. Tree.Free := abs Tree.Free; if Tree.Free > Tree.Capacity then Tree.Free := 0; else for I in Tree.Free .. Tree.Capacity - 1 loop Set_Parent (N (I), I + 1); end loop; Set_Parent (N (Tree.Capacity), 0); end if; Set_Parent (N (X), Tree.Free); Tree.Free := X; end if; end Free; ----------------------- -- Generic_Allocate -- ----------------------- procedure Generic_Allocate (Tree : in out Tree_Type'Class; Node : out Count_Type) is N : Nodes_Type renames Tree.Nodes; begin if Tree.Free >= 0 then Node := Tree.Free; -- We always perform the assignment first, before we -- change container state, in order to defend against -- exceptions duration assignment. Set_Element (N (Node)); Tree.Free := Parent (N (Node)); else -- A negative free store value means that the links of the nodes -- in the free store have not been initialized. In this case, the -- nodes are physically contiguous in the array, starting at the -- index that is the absolute value of the Container.Free, and -- continuing until the end of the array (Nodes'Last). Node := abs Tree.Free; -- As above, we perform this assignment first, before modifying -- any container state. Set_Element (N (Node)); Tree.Free := Tree.Free - 1; end if; -- When a node is allocated from the free store, its pointer components -- (the links to other nodes in the tree) must also be initialized (to -- 0, the equivalent of null). This simplifies the post-allocation -- handling of nodes inserted into terminal positions. Set_Parent (N (Node), Parent => 0); Set_Left (N (Node), Left => 0); Set_Right (N (Node), Right => 0); end Generic_Allocate; ------------------- -- Generic_Equal -- ------------------- function Generic_Equal (Left, Right : Tree_Type'Class) return Boolean is -- Per AI05-0022, the container implementation is required to detect -- element tampering by a generic actual subprogram. Lock_Left : With_Lock (Left.TC'Unrestricted_Access); Lock_Right : With_Lock (Right.TC'Unrestricted_Access); L_Node : Count_Type; R_Node : Count_Type; begin if Left'Address = Right'Address then return True; end if; if Left.Length /= Right.Length then return False; end if; -- If the containers are empty, return a result immediately, so as to -- not manipulate the tamper bits unnecessarily. if Left.Length = 0 then return True; end if; L_Node := Left.First; R_Node := Right.First; while L_Node /= 0 loop if not Is_Equal (Left.Nodes (L_Node), Right.Nodes (R_Node)) then return False; end if; L_Node := Next (Left, L_Node); R_Node := Next (Right, R_Node); end loop; return True; end Generic_Equal; ----------------------- -- Generic_Iteration -- ----------------------- procedure Generic_Iteration (Tree : Tree_Type'Class) is procedure Iterate (P : Count_Type); ------------- -- Iterate -- ------------- procedure Iterate (P : Count_Type) is X : Count_Type := P; begin while X /= 0 loop Iterate (Left (Tree.Nodes (X))); Process (X); X := Right (Tree.Nodes (X)); end loop; end Iterate; -- Start of processing for Generic_Iteration begin Iterate (Tree.Root); end Generic_Iteration; ------------------ -- Generic_Read -- ------------------ procedure Generic_Read (Stream : not null access Root_Stream_Type'Class; Tree : in out Tree_Type'Class) is Len : Count_Type'Base; Node, Last_Node : Count_Type; N : Nodes_Type renames Tree.Nodes; begin Clear_Tree (Tree); Count_Type'Base'Read (Stream, Len); if Checks and then Len < 0 then raise Program_Error with "bad container length (corrupt stream)"; end if; if Len = 0 then return; end if; if Checks and then Len > Tree.Capacity then raise Constraint_Error with "length exceeds capacity"; end if; -- Use Unconditional_Insert_With_Hint here instead ??? Allocate (Tree, Node); pragma Assert (Node /= 0); Set_Color (N (Node), Black); Tree.Root := Node; Tree.First := Node; Tree.Last := Node; Tree.Length := 1; for J in Count_Type range 2 .. Len loop Last_Node := Node; pragma Assert (Last_Node = Tree.Last); Allocate (Tree, Node); pragma Assert (Node /= 0); Set_Color (N (Node), Red); Set_Right (N (Last_Node), Right => Node); Tree.Last := Node; Set_Parent (N (Node), Parent => Last_Node); Rebalance_For_Insert (Tree, Node); Tree.Length := Tree.Length + 1; end loop; end Generic_Read; ------------------------------- -- Generic_Reverse_Iteration -- ------------------------------- procedure Generic_Reverse_Iteration (Tree : Tree_Type'Class) is procedure Iterate (P : Count_Type); ------------- -- Iterate -- ------------- procedure Iterate (P : Count_Type) is X : Count_Type := P; begin while X /= 0 loop Iterate (Right (Tree.Nodes (X))); Process (X); X := Left (Tree.Nodes (X)); end loop; end Iterate; -- Start of processing for Generic_Reverse_Iteration begin Iterate (Tree.Root); end Generic_Reverse_Iteration; ------------------- -- Generic_Write -- ------------------- procedure Generic_Write (Stream : not null access Root_Stream_Type'Class; Tree : Tree_Type'Class) is procedure Process (Node : Count_Type); pragma Inline (Process); procedure Iterate is new Generic_Iteration (Process); ------------- -- Process -- ------------- procedure Process (Node : Count_Type) is begin Write_Node (Stream, Tree.Nodes (Node)); end Process; -- Start of processing for Generic_Write begin Count_Type'Base'Write (Stream, Tree.Length); Iterate (Tree); end Generic_Write; ----------------- -- Left_Rotate -- ----------------- procedure Left_Rotate (Tree : in out Tree_Type'Class; X : Count_Type) is -- CLR p. 266 N : Nodes_Type renames Tree.Nodes; Y : constant Count_Type := Right (N (X)); pragma Assert (Y /= 0); begin Set_Right (N (X), Left (N (Y))); if Left (N (Y)) /= 0 then Set_Parent (N (Left (N (Y))), X); end if; Set_Parent (N (Y), Parent (N (X))); if X = Tree.Root then Tree.Root := Y; elsif X = Left (N (Parent (N (X)))) then Set_Left (N (Parent (N (X))), Y); else pragma Assert (X = Right (N (Parent (N (X))))); Set_Right (N (Parent (N (X))), Y); end if; Set_Left (N (Y), X); Set_Parent (N (X), Y); end Left_Rotate; --------- -- Max -- --------- function Max (Tree : Tree_Type'Class; Node : Count_Type) return Count_Type is -- CLR p. 248 X : Count_Type := Node; Y : Count_Type; begin loop Y := Right (Tree.Nodes (X)); if Y = 0 then return X; end if; X := Y; end loop; end Max; --------- -- Min -- --------- function Min (Tree : Tree_Type'Class; Node : Count_Type) return Count_Type is -- CLR p. 248 X : Count_Type := Node; Y : Count_Type; begin loop Y := Left (Tree.Nodes (X)); if Y = 0 then return X; end if; X := Y; end loop; end Min; ---------- -- Next -- ---------- function Next (Tree : Tree_Type'Class; Node : Count_Type) return Count_Type is begin -- CLR p. 249 if Node = 0 then return 0; end if; if Right (Tree.Nodes (Node)) /= 0 then return Min (Tree, Right (Tree.Nodes (Node))); end if; declare X : Count_Type := Node; Y : Count_Type := Parent (Tree.Nodes (Node)); begin while Y /= 0 and then X = Right (Tree.Nodes (Y)) loop X := Y; Y := Parent (Tree.Nodes (Y)); end loop; return Y; end; end Next; -------------- -- Previous -- -------------- function Previous (Tree : Tree_Type'Class; Node : Count_Type) return Count_Type is begin if Node = 0 then return 0; end if; if Left (Tree.Nodes (Node)) /= 0 then return Max (Tree, Left (Tree.Nodes (Node))); end if; declare X : Count_Type := Node; Y : Count_Type := Parent (Tree.Nodes (Node)); begin while Y /= 0 and then X = Left (Tree.Nodes (Y)) loop X := Y; Y := Parent (Tree.Nodes (Y)); end loop; return Y; end; end Previous; -------------------------- -- Rebalance_For_Insert -- -------------------------- procedure Rebalance_For_Insert (Tree : in out Tree_Type'Class; Node : Count_Type) is -- CLR p. 268 N : Nodes_Type renames Tree.Nodes; X : Count_Type := Node; pragma Assert (X /= 0); pragma Assert (Color (N (X)) = Red); Y : Count_Type; begin while X /= Tree.Root and then Color (N (Parent (N (X)))) = Red loop if Parent (N (X)) = Left (N (Parent (N (Parent (N (X)))))) then Y := Right (N (Parent (N (Parent (N (X)))))); if Y /= 0 and then Color (N (Y)) = Red then Set_Color (N (Parent (N (X))), Black); Set_Color (N (Y), Black); Set_Color (N (Parent (N (Parent (N (X))))), Red); X := Parent (N (Parent (N (X)))); else if X = Right (N (Parent (N (X)))) then X := Parent (N (X)); Left_Rotate (Tree, X); end if; Set_Color (N (Parent (N (X))), Black); Set_Color (N (Parent (N (Parent (N (X))))), Red); Right_Rotate (Tree, Parent (N (Parent (N (X))))); end if; else pragma Assert (Parent (N (X)) = Right (N (Parent (N (Parent (N (X))))))); Y := Left (N (Parent (N (Parent (N (X)))))); if Y /= 0 and then Color (N (Y)) = Red then Set_Color (N (Parent (N (X))), Black); Set_Color (N (Y), Black); Set_Color (N (Parent (N (Parent (N (X))))), Red); X := Parent (N (Parent (N (X)))); else if X = Left (N (Parent (N (X)))) then X := Parent (N (X)); Right_Rotate (Tree, X); end if; Set_Color (N (Parent (N (X))), Black); Set_Color (N (Parent (N (Parent (N (X))))), Red); Left_Rotate (Tree, Parent (N (Parent (N (X))))); end if; end if; end loop; Set_Color (N (Tree.Root), Black); end Rebalance_For_Insert; ------------------ -- Right_Rotate -- ------------------ procedure Right_Rotate (Tree : in out Tree_Type'Class; Y : Count_Type) is N : Nodes_Type renames Tree.Nodes; X : constant Count_Type := Left (N (Y)); pragma Assert (X /= 0); begin Set_Left (N (Y), Right (N (X))); if Right (N (X)) /= 0 then Set_Parent (N (Right (N (X))), Y); end if; Set_Parent (N (X), Parent (N (Y))); if Y = Tree.Root then Tree.Root := X; elsif Y = Left (N (Parent (N (Y)))) then Set_Left (N (Parent (N (Y))), X); else pragma Assert (Y = Right (N (Parent (N (Y))))); Set_Right (N (Parent (N (Y))), X); end if; Set_Right (N (X), Y); Set_Parent (N (Y), X); end Right_Rotate; --------- -- Vet -- --------- function Vet (Tree : Tree_Type'Class; Index : Count_Type) return Boolean is Nodes : Nodes_Type renames Tree.Nodes; Node : Node_Type renames Nodes (Index); begin if Parent (Node) = Index or else Left (Node) = Index or else Right (Node) = Index then return False; end if; if Tree.Length = 0 or else Tree.Root = 0 or else Tree.First = 0 or else Tree.Last = 0 then return False; end if; if Parent (Nodes (Tree.Root)) /= 0 then return False; end if; if Left (Nodes (Tree.First)) /= 0 then return False; end if; if Right (Nodes (Tree.Last)) /= 0 then return False; end if; if Tree.Length = 1 then if Tree.First /= Tree.Last or else Tree.First /= Tree.Root then return False; end if; if Index /= Tree.First then return False; end if; if Parent (Node) /= 0 or else Left (Node) /= 0 or else Right (Node) /= 0 then return False; end if; return True; end if; if Tree.First = Tree.Last then return False; end if; if Tree.Length = 2 then if Tree.First /= Tree.Root and then Tree.Last /= Tree.Root then return False; end if; if Tree.First /= Index and then Tree.Last /= Index then return False; end if; end if; if Left (Node) /= 0 and then Parent (Nodes (Left (Node))) /= Index then return False; end if; if Right (Node) /= 0 and then Parent (Nodes (Right (Node))) /= Index then return False; end if; if Parent (Node) = 0 then if Tree.Root /= Index then return False; end if; elsif Left (Nodes (Parent (Node))) /= Index and then Right (Nodes (Parent (Node))) /= Index then return False; end if; return True; end Vet; end Ada.Containers.Red_Black_Trees.Generic_Bounded_Operations;
30.125887
79
0.476635
4a93557cb3c65676ed5a2abcf0d5c97e3a8da30e
5,359
ads
Ada
kv-avm-asm_tests.ads
davidkristola/vole
aa8e19d9deff2efe98fcd4dc0028c2895d624693
[ "Unlicense" ]
4
2015-02-02T12:11:41.000Z
2020-12-19T02:14:21.000Z
kv-avm-asm_tests.ads
davidkristola/vole
aa8e19d9deff2efe98fcd4dc0028c2895d624693
[ "Unlicense" ]
null
null
null
kv-avm-asm_tests.ads
davidkristola/vole
aa8e19d9deff2efe98fcd4dc0028c2895d624693
[ "Unlicense" ]
3
2017-02-22T10:44:02.000Z
2021-05-16T09:34:39.000Z
with AUnit; with AUnit.Simple_Test_Cases; with kv.avm.Assemblers; with kv.avm.Instances; with kv.avm.Machines; with kv.avm.Memories; with kv.avm.Processors; package kv.avm.Asm_Tests is type Test_A1 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A1) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A1); type Test_A2 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A2) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A2); type Test_A3 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A3) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A3); type Test_A4 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A4) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A4); type Test_A5 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A5) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A5); type Test_A6 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A6) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A6); type Test_A7 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A7) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A7); type Test_A8 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A8) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A8); type Test_A9 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A9) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A9); type Test_A10 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A10) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A10); type Superclass_Test_Case is abstract new AUnit.Simple_Test_Cases.Test_Case with record Builder : aliased kv.avm.Assemblers.Assembler_Type; --VM : aliased kv.avm.Machines.Machine_Type; -- This leads to uninitialized attribute of VM CPU : aliased kv.avm.Processors.Processor_Type; Instance_Factory : aliased kv.avm.Instances.Instance_Factory; Registers : aliased kv.avm.Memories.Register_Array_Type; end record; overriding procedure Set_Up (T : in out Superclass_Test_Case); overriding procedure Tear_Down (T : in out Superclass_Test_Case); not overriding procedure Load (T : in out Superclass_Test_Case; Volea : in String); not overriding procedure Prep_VM (T : in out Superclass_Test_Case; VM : access kv.avm.Machines.Machine_Type; Start_Actor : in String; Start_Message : in String); not overriding procedure Run_VM (T : in out Superclass_Test_Case; VM : access kv.avm.Machines.Machine_Type; Steps : in Positive); type Test_A11 is new Superclass_Test_Case with null record; overriding function Name (T : Test_A11) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A11); type Test_A12 is new Superclass_Test_Case with null record; overriding function Name (T : Test_A12) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A12); type Test_A13 is new Superclass_Test_Case with null record; overriding function Name (T : Test_A13) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A13); type Test_A14 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A14) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A14); type Test_A15 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A15) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A15); type Test_A16 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A16) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A16); type Test_A17 is new Superclass_Test_Case with null record; overriding function Name (T : Test_A17) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A17); type Test_A18 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A18) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A18); type Test_A19 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A19) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A19); type Test_A20 is new AUnit.Simple_Test_Cases.Test_Case with null record; overriding function Name (T : Test_A20) return AUnit.Message_String; overriding procedure Run_Test (T : in out Test_A20); end kv.avm.Asm_Tests;
35.256579
149
0.749207
d01b3e368658b2362fc44f6356308ea3c2201a6f
5,251
ads
Ada
awa/src/awa-users-servlets.ads
My-Colaborations/ada-awa
cc2dee291a14e4df0dbc9c10285bf284a7f1caa8
[ "Apache-2.0" ]
81
2015-01-18T23:02:30.000Z
2022-03-19T17:34:57.000Z
awa/src/awa-users-servlets.ads
My-Colaborations/ada-awa
cc2dee291a14e4df0dbc9c10285bf284a7f1caa8
[ "Apache-2.0" ]
20
2015-12-09T19:26:19.000Z
2022-03-23T14:32:43.000Z
awa/src/awa-users-servlets.ads
My-Colaborations/ada-awa
cc2dee291a14e4df0dbc9c10285bf284a7f1caa8
[ "Apache-2.0" ]
16
2015-06-29T02:44:06.000Z
2021-09-23T18:47:50.000Z
----------------------------------------------------------------------- -- awa-users-servlets -- OpenID verification servlet for user authentication -- Copyright (C) 2011 - 2020 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with ASF.Principals; with ASF.Security.Servlets; with ASF.Requests; with ASF.Responses; with ASF.Sessions; with Security.Auth; -- == OAuth Authentication Flow == -- The OAuth/OpenID authentication flow is implemented by using two servlets -- that participate in the authentication. A first servlet will start -- the OAuth/OpenID authentication by building the request that the user -- must use to authenticate through the OAuth/OpenID authorization server. -- This servlet is implemented by the `AWA.Users.Servlets.Request_Auth_Servlet` -- type. The servlet will respond to an HTTP `GET` request and it will -- redirect the user to the authorization server. -- -- ![OAuth Authentication Flow](images/OAuthAuthenticateFlow.png) -- -- The user will be authenticated by the OAuth/OpenID authorization server -- and when s/he grants the application to access his or her account, -- a redirection is made to the second servlet. The second servlet -- is implemented by `AWA.Users.Servlets.Verify_Auth_Servlet`. It is used -- to validate the authentication result by checking its validity with -- the OAuth/OpenID authorization endpoint. During this step, we can -- retrieve some minimal information that uniquely identifies the user -- such as a unique identifier that is specific to the OAuth/OpenID -- authorization server. It is also possible to retrieve the -- user's name and email address. -- -- These two servlets are provided by the `User_Module` and they are -- registered under the `openid-auth` name for the first step and -- under the `openid-verify` name for the second step. package AWA.Users.Servlets is -- ------------------------------ -- OpenID Request Servlet -- ------------------------------ -- The `Request_Auth_Servlet` servlet implements the first steps of an OpenID -- authentication. type Request_Auth_Servlet is new ASF.Security.Servlets.Request_Auth_Servlet with null record; -- Proceed to the OpenID authentication with an OpenID provider. -- Find the OpenID provider URL and starts the discovery, association phases -- during which a private key is obtained from the OpenID provider. -- After OpenID discovery and association, the user will be redirected to -- the OpenID provider. overriding procedure Do_Get (Server : in Request_Auth_Servlet; Request : in out ASF.Requests.Request'Class; Response : in out ASF.Responses.Response'Class); -- ------------------------------ -- OpenID Verification Servlet -- ------------------------------ -- The `Verify_Auth_Servlet` verifies the authentication result and -- extract authentication from the callback URL. We override the default -- implementation to provide our own user principal once the authentication -- succeeded. At the same time, if this is the first time we see the user, -- s/he will be registered by using the user service. type Verify_Auth_Servlet is new ASF.Security.Servlets.Verify_Auth_Servlet with private; -- Create a principal object that correspond to the authenticated user -- identified by the `Auth` information. The principal will be attached -- to the session and will be destroyed when the session is closed. overriding procedure Create_Principal (Server : in Verify_Auth_Servlet; Auth : in Security.Auth.Authentication; Result : out ASF.Principals.Principal_Access); -- Verify the authentication result that was returned by the OpenID provider. -- If the authentication succeeded and the signature was correct, sets a -- user principals on the session. overriding procedure Do_Get (Server : in Verify_Auth_Servlet; Request : in out ASF.Requests.Request'Class; Response : in out ASF.Responses.Response'Class); -- Get the redirection URL that must be used after the authentication succeeded. function Get_Redirect_URL (Server : in Verify_Auth_Servlet; Session : in ASF.Sessions.Session'Class; Request : in ASF.Requests.Request'Class) return String; private type Verify_Auth_Servlet is new ASF.Security.Servlets.Verify_Auth_Servlet with null record; end AWA.Users.Servlets;
49.537736
96
0.686726
c5c2f8a536b8f4229f11292403174a2280426533
2,114
ads
Ada
src/verhoeff.ads
damaki/Verhoeff
e68d8dbebea75ef0b505776ac6125a3cd2449c30
[ "MIT" ]
null
null
null
src/verhoeff.ads
damaki/Verhoeff
e68d8dbebea75ef0b505776ac6125a3cd2449c30
[ "MIT" ]
null
null
null
src/verhoeff.ads
damaki/Verhoeff
e68d8dbebea75ef0b505776ac6125a3cd2449c30
[ "MIT" ]
null
null
null
------------------------------------------------------------------------------- -- Copyright (c) 2016 Daniel King -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to -- deal in the Software without restriction, including without limitation the -- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or -- sell copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS -- IN THE SOFTWARE. ------------------------------------------------------------------------------- package Verhoeff with SPARK_Mode => On is subtype Digit_Character is Character range '0' .. '9'; function Check_Digit(Seq : in String) return Digit_Character with Pre => (for all I in Seq'Range => (Seq(I) in Digit_Character)); -- Compute the verhoeff check digit on a sequence. -- -- The Seq string must contain only digits ('0' .. '9'). -- The string cannot contain any non-digit characters. function Is_Valid(Seq : in String) return Boolean with Pre => (Seq'Length > 0 and (for all I in Seq'Range => (Seq(I) in Digit_Character))); -- Validate the check digit on a sequence -- -- The check digit must be the last digit in the sequence. -- -- The Seq string must contain only digits ('0' .. '9'). -- The string cannot contain any non-digit characters. end Verhoeff;
46.977778
79
0.661779
dc114f73e9f0e7bf255f0475ee737c2b9acce6cf
796
ads
Ada
gnu/src/gdb/gdb/testsuite/gdb.ada/fullname_bp/dn.ads
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
gnu/src/gdb/gdb/testsuite/gdb.ada/fullname_bp/dn.ads
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
gnu/src/gdb/gdb/testsuite/gdb.ada/fullname_bp/dn.ads
ghsecuritylab/ellcc-mirror
b03a4afac74d50cf0987554b8c0cd8209bcb92a2
[ "BSD-2-Clause" ]
null
null
null
-- Copyright 2011-2015 Free Software Foundation, Inc. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. with System; package Dn is procedure Do_Nothing (A : System.Address); end Dn;
39.8
73
0.737437
d0a58e3324b2b64fb96cdcb2173cceee83f53e5b
14,505
adb
Ada
src/ado-sql.adb
Letractively/ada-ado
f0863c6975ae1a2c5349daee1e98a04fe11ba11e
[ "Apache-2.0" ]
null
null
null
src/ado-sql.adb
Letractively/ada-ado
f0863c6975ae1a2c5349daee1e98a04fe11ba11e
[ "Apache-2.0" ]
null
null
null
src/ado-sql.adb
Letractively/ada-ado
f0863c6975ae1a2c5349daee1e98a04fe11ba11e
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- ADO SQL -- Basic SQL Generation -- Copyright (C) 2010, 2011, 2012 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- -- Utilities for creating SQL queries and statements. package body ADO.SQL is function Escape (Str : in Unbounded_String) return String is begin return Escape (To_String (Str)); end Escape; function Escape (Str : in String) return String is S : String (1 .. 2 + Str'Length * 2); J : Positive := S'First; begin if Str = "" then return "NULL"; end if; S (J) := '''; for K in Str'Range loop if Str (K) = ''' then J := J + 1; S (J) := '''; end if; J := J + 1; S (J) := Str (K); end loop; J := J + 1; S (J) := '''; return S (1 .. J); end Escape; -- -------------------- -- Buffer -- -------------------- -- -------------------- -- Clear the SQL buffer. -- -------------------- procedure Clear (Target : in out Buffer) is begin Target.Buf := To_Unbounded_String (""); end Clear; -- -------------------- -- Append an SQL extract into the buffer. -- -------------------- procedure Append (Target : in out Buffer; SQL : in String) is begin Append (Target.Buf, SQL); end Append; -- -------------------- -- Append a name in the buffer and escape that -- name if this is a reserved keyword. -- -------------------- procedure Append_Name (Target : in out Buffer; Name : in String) is use type ADO.Drivers.Dialects.Dialect_Access; Dialect : constant ADO.Drivers.Dialects.Dialect_Access := Target.Get_Dialect; begin if Dialect /= null and then Dialect.Is_Reserved (Name) then declare Quote : constant Character := Dialect.Get_Identifier_Quote; begin Append (Target.Buf, Quote); Append (Target.Buf, Name); Append (Target.Buf, Quote); end; else Append (Target.Buf, Name); end if; end Append_Name; -- -------------------- -- Append a string value in the buffer and -- escape any special character if necessary. -- -------------------- procedure Append_Value (Target : in out Buffer; Value : in String) is begin Append (Target.Buf, Value); end Append_Value; -- -------------------- -- Append a string value in the buffer and -- escape any special character if necessary. -- -------------------- procedure Append_Value (Target : in out Buffer; Value : in Unbounded_String) is begin Append (Target.Buf, Value); end Append_Value; -- -------------------- -- Append the integer value in the buffer. -- -------------------- procedure Append_Value (Target : in out Buffer; Value : in Long_Integer) is S : constant String := Long_Integer'Image (Value); begin Append (Target.Buf, S (S'First + 1 .. S'Last)); end Append_Value; -- -------------------- -- Append the integer value in the buffer. -- -------------------- procedure Append_Value (Target : in out Buffer; Value : in Integer) is S : constant String := Integer'Image (Value); begin Append (Target.Buf, S (S'First + 1 .. S'Last)); end Append_Value; -- -------------------- -- Append the identifier value in the buffer. -- -------------------- procedure Append_Value (Target : in out Buffer; Value : in Identifier) is S : constant String := Identifier'Image (Value); begin Append (Target.Buf, S (S'First + 1 .. S'Last)); end Append_Value; -- -------------------- -- Get the SQL string that was accumulated in the buffer. -- -------------------- function To_String (From : in Buffer) return String is begin return To_String (From.Buf); end To_String; -- -------------------- -- Clear the query object. -- -------------------- overriding procedure Clear (Target : in out Query) is begin ADO.Parameters.List (Target).Clear; Target.Join.Clear; Target.Filter.Clear; Target.SQL.Clear; end Clear; -- -------------------- -- Set the SQL dialect description object. -- -------------------- procedure Set_Dialect (Target : in out Query; D : in ADO.Drivers.Dialects.Dialect_Access) is begin ADO.Parameters.Abstract_List (Target).Set_Dialect (D); Set_Dialect (Target.SQL, D); Set_Dialect (Target.Filter, D); Set_Dialect (Target.Join, D); end Set_Dialect; procedure Set_Filter (Target : in out Query; Filter : in String) is begin Target.Filter.Buf := To_Unbounded_String (Filter); end Set_Filter; function Get_Filter (Source : in Query) return String is begin if Source.Filter.Buf = Null_Unbounded_String then return ""; else return To_String (Source.Filter.Buf); end if; end Get_Filter; function Has_Filter (Source : in Query) return Boolean is begin return Source.Filter.Buf /= Null_Unbounded_String and Length (Source.Filter.Buf) > 0; end Has_Filter; -- -------------------- -- Set the join condition. -- -------------------- procedure Set_Join (Target : in out Query; Join : in String) is begin Target.Join.Buf := To_Unbounded_String (Join); end Set_Join; -- -------------------- -- Returns true if there is a join condition -- -------------------- function Has_Join (Source : in Query) return Boolean is begin return Source.Join.Buf /= Null_Unbounded_String and Length (Source.Join.Buf) > 0; end Has_Join; -- -------------------- -- Get the join condition -- -------------------- function Get_Join (Source : in Query) return String is begin if Source.Join.Buf = Null_Unbounded_String then return ""; else return To_String (Source.Join.Buf); end if; end Get_Join; -- -------------------- -- Set the parameters from another parameter list. -- If the parameter list is a query object, also copy the filter part. -- -------------------- procedure Set_Parameters (Params : in out Query; From : in ADO.Parameters.Abstract_List'Class) is begin ADO.Parameters.List (Params).Set_Parameters (From); if From in Query'Class then declare L : constant Query'Class := Query'Class (From); begin Params.Filter := L.Filter; Params.Join := L.Join; end; end if; end Set_Parameters; -- -------------------- -- Expand the parameters into the query and return the expanded SQL query. -- -------------------- function Expand (Source : in Query) return String is begin return ADO.Parameters.Abstract_List (Source).Expand (To_String (Source.SQL.Buf)); end Expand; procedure Add_Field (Update : in out Update_Query'Class; Name : in String) is begin Update.Pos := Update.Pos + 1; if Update.Pos > 1 then Append (Target => Update.Set_Fields, SQL => ","); Append (Target => Update.Fields, SQL => ","); end if; Append_Name (Target => Update.Set_Fields, Name => Name); if Update.Is_Update_Stmt then Append (Target => Update.Set_Fields, SQL => " = ?"); end if; Append (Target => Update.Fields, SQL => "?"); end Add_Field; -- ------------------------------ -- Set the SQL dialect description object. -- ------------------------------ procedure Set_Dialect (Target : in out Update_Query; D : in ADO.Drivers.Dialects.Dialect_Access) is begin Target.Set_Fields.Set_Dialect (D); Target.Fields.Set_Dialect (D); Query (Target).Set_Dialect (D); end Set_Dialect; -- ------------------------------ -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to the <b>Value</b>. -- ------------------------------ procedure Save_Field (Update : in out Update_Query; Name : in String; Value : in Boolean) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Bind_Param (Position => Update.Pos, Value => Value); end Save_Field; -- -------------------- -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to the <b>Value</b>. -- -------------------- procedure Save_Field (Update : in out Update_Query; Name : in String; Value : in Integer) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Bind_Param (Position => Update.Pos, Value => Value); end Save_Field; -- -------------------- -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to the <b>Value</b>. -- -------------------- procedure Save_Field (Update : in out Update_Query; Name : in String; Value : in Long_Long_Integer) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Bind_Param (Position => Update.Pos, Value => Value); end Save_Field; -- -------------------- -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to the <b>Value</b>. -- -------------------- procedure Save_Field (Update : in out Update_Query; Name : in String; Value : in Identifier) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Add_Param (Value => Value); end Save_Field; -- -------------------- -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to the <b>Value</b>. -- -------------------- procedure Save_Field (Update : in out Update_Query; Name : in String; Value : in Entity_Type) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Add_Param (Value => Integer (Value)); end Save_Field; -- -------------------- -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to the <b>Value</b>. -- -------------------- procedure Save_Field (Update : in out Update_Query; Name : in String; Value : in Ada.Calendar.Time) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Add_Param (Value => Value); end Save_Field; -- -------------------- -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to the <b>Value</b>. -- -------------------- procedure Save_Field (Update : in out Update_Query; Name : in String; Value : in String) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Bind_Param (Position => Update.Pos, Value => Value); end Save_Field; -- -------------------- -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to the <b>Value</b>. -- -------------------- procedure Save_Field (Update : in out Update_Query; Name : in String; Value : in Unbounded_String) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Bind_Param (Position => Update.Pos, Value => Value); end Save_Field; -- ------------------------------ -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to the <b>Value</b>. -- ------------------------------ procedure Save_Field (Update : in out Update_Query; Name : in String; Value : in ADO.Blob_Ref) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Bind_Param (Position => Update.Pos, Value => Value); end Save_Field; -- ------------------------------ -- Prepare the update/insert query to save the table field -- identified by <b>Name</b> and set it to NULL. -- ------------------------------ procedure Save_Null_Field (Update : in out Update_Query; Name : in String) is begin Update.Add_Field (Name => Name); Update_Query'Class (Update).Bind_Null_Param (Position => Update.Pos); end Save_Null_Field; -- -------------------- -- Check if the update/insert query has some fields to update. -- -------------------- function Has_Save_Fields (Update : in Update_Query) return Boolean is begin return Update.Pos > 0; end Has_Save_Fields; procedure Set_Insert_Mode (Update : in out Update_Query) is begin Update.Is_Update_Stmt := False; end Set_Insert_Mode; procedure Append_Fields (Update : in out Update_Query; Mode : in Boolean := False) is begin if Mode then Append (Target => Update.SQL, SQL => To_String (Update.Fields.Buf)); else Append (Target => Update.SQL, SQL => To_String (Update.Set_Fields.Buf)); end if; end Append_Fields; end ADO.SQL;
34.29078
87
0.530093
c5a1d021faa827a98ea37ee50cd82045f5135442
2,714
ads
Ada
src/gen-artifacts-hibernate.ads
Letractively/ada-gen
d06d03821057f9177f2350e32dd09e467df08612
[ "Apache-2.0" ]
null
null
null
src/gen-artifacts-hibernate.ads
Letractively/ada-gen
d06d03821057f9177f2350e32dd09e467df08612
[ "Apache-2.0" ]
null
null
null
src/gen-artifacts-hibernate.ads
Letractively/ada-gen
d06d03821057f9177f2350e32dd09e467df08612
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- gen-artifacts-hibernate -- Hibernate artifact for Code Generator -- Copyright (C) 2011, 2012 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with DOM.Core; with Gen.Model.Packages; -- The <b>Gen.Artifacts</b> package represents the methods and process to prepare, -- control and realize the code generation. package Gen.Artifacts.Hibernate is -- ------------------------------ -- Model Definition -- ------------------------------ type Artifact is new Gen.Artifacts.Artifact with private; -- After the configuration file is read, processes the node whose root -- is passed in <b>Node</b> and initializes the <b>Model</b> with the information. overriding procedure Initialize (Handler : in out Artifact; Path : in String; Node : in DOM.Core.Node; Model : in out Gen.Model.Packages.Model_Definition'Class; Context : in out Generator'Class); -- Prepare the model after all the configuration files have been read and before -- actually invoking the generation. overriding procedure Prepare (Handler : in out Artifact; Model : in out Gen.Model.Packages.Model_Definition'Class; Context : in out Generator'Class); -- After the generation, perform a finalization step for the generation process. -- For each database SQL mapping, collect the schema files from the different modules -- of the project and generate an SQL script that can be used to create the database tables. overriding procedure Finish (Handler : in out Artifact; Model : in out Gen.Model.Packages.Model_Definition'Class; Project : in out Gen.Model.Projects.Project_Definition'Class; Context : in out Generator'Class); private type Artifact is new Gen.Artifacts.Artifact with null record; end Gen.Artifacts.Hibernate;
44.491803
96
0.627487
cbc149308e3a532c9ac6a6aad7cfd0515e0b9d33
8,284
ads
Ada
bb-runtimes/src/system/system-xi-x86.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/src/system/system-xi-x86.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
bb-runtimes/src/system/system-xi-x86.ads
JCGobbi/Nucleo-STM32F334R8
2a0b1b4b2664c92773703ac5e95dcb71979d051c
[ "BSD-3-Clause" ]
null
null
null
------------------------------------------------------------------------------ -- -- -- GNAT RUN-TIME COMPONENTS -- -- -- -- S Y S T E M -- -- -- -- S p e c -- -- (x86/32 Version) -- -- -- -- Copyright (C) 1992-2020, Free Software Foundation, Inc. -- -- -- -- This specification is derived from the Ada Reference Manual for use with -- -- GNAT. The copyright notice above, and the license provisions that follow -- -- apply solely to the contents of the part following the private keyword. -- -- -- -- GNAT is free software; you can redistribute it and/or modify it under -- -- terms of the GNU General Public License as published by the Free Soft- -- -- ware Foundation; either version 3, or (at your option) any later ver- -- -- sion. GNAT is distributed in the hope that it will be useful, but WITH- -- -- OUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY -- -- or FITNESS FOR A PARTICULAR PURPOSE. -- -- -- -- As a special exception under Section 7 of GPL version 3, you are granted -- -- additional permissions described in the GCC Runtime Library Exception, -- -- version 3.1, as published by the Free Software Foundation. -- -- -- -- You should have received a copy of the GNU General Public License and -- -- a copy of the GCC Runtime Library Exception along with this program; -- -- see the files COPYING3 and COPYING.RUNTIME respectively. If not, see -- -- <http://www.gnu.org/licenses/>. -- -- -- -- GNAT was originally developed by the GNAT team at New York University. -- -- Extensive contributions were provided by Ada Core Technologies Inc. -- -- -- ------------------------------------------------------------------------------ pragma Restrictions (No_Exception_Propagation); -- Only local exception handling is supported in this profile pragma Restrictions (No_Exception_Registration); -- Disable exception name registration. This capability is not used because -- it is only required by exception stream attributes which are not supported -- in this run time. pragma Restrictions (No_Implicit_Dynamic_Code); -- Pointers to nested subprograms are not allowed in this run time, in order -- to prevent the compiler from building "trampolines". pragma Restrictions (No_Finalization); -- Controlled types are not supported in this run time pragma Restrictions (No_Tasking); -- Tasking is not supported in this run time pragma Discard_Names; -- Disable explicitly the generation of names associated with entities in -- order to reduce the amount of storage used. These names are not used anyway -- (attributes such as 'Image and 'Value are not supported in this run time). package System is pragma Pure; -- Note that we take advantage of the implementation permission to make -- this unit Pure instead of Preelaborable; see RM 13.7.1(15). In Ada -- 2005, this is Pure in any case (AI-362). pragma No_Elaboration_Code_All; -- Allow the use of that restriction in units that WITH this unit type Name is (SYSTEM_NAME_GNAT); System_Name : constant Name := SYSTEM_NAME_GNAT; -- System-Dependent Named Numbers Min_Int : constant := -2 ** (Standard'Max_Integer_Size - 1); Max_Int : constant := 2 ** (Standard'Max_Integer_Size - 1) - 1; Max_Binary_Modulus : constant := 2 ** Standard'Max_Integer_Size; Max_Nonbinary_Modulus : constant := 2 ** Integer'Size - 1; Max_Base_Digits : constant := Long_Long_Float'Digits; Max_Digits : constant := Long_Long_Float'Digits; Max_Mantissa : constant := Standard'Max_Integer_Size - 1; Fine_Delta : constant := 2.0 ** (-Max_Mantissa); Tick : constant := 0.0; -- Storage-related Declarations type Address is private; pragma Preelaborable_Initialization (Address); Null_Address : constant Address; Storage_Unit : constant := 8; Word_Size : constant := 32; Memory_Size : constant := 2 ** 32; -- Address comparison function "<" (Left, Right : Address) return Boolean; function "<=" (Left, Right : Address) return Boolean; function ">" (Left, Right : Address) return Boolean; function ">=" (Left, Right : Address) return Boolean; function "=" (Left, Right : Address) return Boolean; pragma Import (Intrinsic, "<"); pragma Import (Intrinsic, "<="); pragma Import (Intrinsic, ">"); pragma Import (Intrinsic, ">="); pragma Import (Intrinsic, "="); -- Other System-Dependent Declarations type Bit_Order is (High_Order_First, Low_Order_First); Default_Bit_Order : constant Bit_Order := Low_Order_First; pragma Warnings (Off, Default_Bit_Order); -- kill constant condition warning -- Priority-related Declarations (RM D.1) Max_Priority : constant Positive := 30; Max_Interrupt_Priority : constant Positive := 31; subtype Any_Priority is Integer range 0 .. 31; subtype Priority is Any_Priority range 0 .. 30; subtype Interrupt_Priority is Any_Priority range 31 .. 31; Default_Priority : constant Priority := 15; private type Address is mod Memory_Size; Null_Address : constant Address := 0; -------------------------------------- -- System Implementation Parameters -- -------------------------------------- -- These parameters provide information about the target that is used -- by the compiler. They are in the private part of System, where they -- can be accessed using the special circuitry in the Targparm unit -- whose source should be consulted for more detailed descriptions -- of the individual switch values. Atomic_Sync_Default : constant Boolean := False; Backend_Divide_Checks : constant Boolean := False; Backend_Overflow_Checks : constant Boolean := True; Command_Line_Args : constant Boolean := False; Configurable_Run_Time : constant Boolean := True; Denorm : constant Boolean := True; Duration_32_Bits : constant Boolean := True; Exit_Status_Supported : constant Boolean := False; Fractional_Fixed_Ops : constant Boolean := False; Frontend_Layout : constant Boolean := False; Machine_Overflows : constant Boolean := False; Machine_Rounds : constant Boolean := True; Preallocated_Stacks : constant Boolean := False; Signed_Zeros : constant Boolean := True; Stack_Check_Default : constant Boolean := False; Stack_Check_Probes : constant Boolean := False; Stack_Check_Limits : constant Boolean := False; Support_Aggregates : constant Boolean := True; Support_Atomic_Primitives : constant Boolean := True; Support_Composite_Assign : constant Boolean := True; Support_Composite_Compare : constant Boolean := True; Support_Long_Shifts : constant Boolean := True; Always_Compatible_Rep : constant Boolean := True; Suppress_Standard_Library : constant Boolean := True; Use_Ada_Main_Program_Name : constant Boolean := False; Frontend_Exceptions : constant Boolean := False; ZCX_By_Default : constant Boolean := True; end System;
47.884393
79
0.579189
d02c0621230765b6fcdeb354ba10609c6d59101c
14,797
adb
Ada
Lab4/lab4Data.adb
gabemgem/LITEC
42679504cbd28425b3f33c09f50492bb60f827bb
[ "Unlicense" ]
null
null
null
Lab4/lab4Data.adb
gabemgem/LITEC
42679504cbd28425b3f33c09f50492bb60f827bb
[ "Unlicense" ]
null
null
null
Lab4/lab4Data.adb
gabemgem/LITEC
42679504cbd28425b3f33c09f50492bb60f827bb
[ "Unlicense" ]
null
null
null
M:lab4Data F:G$SYSCLK_Init$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$UART0_Init$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$Sys_Init$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$putchar$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$getchar$0$0({2}DF,SC:U),C,0,0,0,0,0 F:G$getchar_nw$0$0({2}DF,SC:U),C,0,0,0,0,0 F:G$lcd_print$0$0({2}DF,SV:S),Z,0,0,0,0,0 S:Llab4Data.lcd_print$fmt$1$80({3}DG,SC:U),B,1,-5 S:Llab4Data.lcd_print$len$1$81({1}SC:U),R,0,0,[r6] S:Llab4Data.lcd_print$i$1$81({1}SC:U),R,0,0,[] S:Llab4Data.lcd_print$ap$1$81({1}DD,SC:U),R,0,0,[] F:G$lcd_clear$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$read_keypad$0$0({2}DF,SC:U),C,0,0,0,0,0 F:G$kpd_input$0$0({2}DF,SI:U),Z,0,0,0,0,0 F:G$delay_time$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$i2c_start$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$i2c_write$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$i2c_write_and_stop$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$i2c_read$0$0({2}DF,SC:U),Z,0,0,0,0,0 F:G$i2c_read_and_stop$0$0({2}DF,SC:U),Z,0,0,0,0,0 F:G$i2c_write_data$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$i2c_read_data$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$Accel_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$Accel_Init_C$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$main$0$0({2}DF,SV:S),C,0,0,0,0,0 F:G$Port_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$XBR0_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$SMB_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$ADC_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$ADC_Convert$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$PCA_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$Interrupt_Init$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$PCA_ISR$0$0({2}DF,SV:S),Z,0,0,1,9,0 F:G$Read_Compass$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$ReadRanger$0$0({2}DF,SI:U),Z,0,0,0,0,0 F:G$Ranger$0$0({2}DF,SV:S),Z,0,0,0,0,0 F:G$Steering_Servo$0$0({2}DF,SV:S),Z,0,0,0,0,0 S:Llab4Data.getchar$c$1$10({1}SC:U),R,0,0,[] S:Llab4Data.getchar_nw$c$1$12({1}SC:U),R,0,0,[] S:G$Data2$0$0({3}DA3d,SC:U),E,0,0 S:Llab4Data.aligned_alloc$size$1$39({2}SI:U),E,0,0 S:Llab4Data.aligned_alloc$alignment$1$39({2}SI:U),E,0,0 S:Llab4Data.lcd_clear$NumBytes$1$85({1}SC:U),E,0,0 S:Llab4Data.lcd_clear$Cmd$1$85({2}DA2d,SC:U),E,0,0 S:Llab4Data.read_keypad$i$1$86({1}SC:U),R,0,0,[r7] S:Llab4Data.read_keypad$Data$1$86({2}DA2d,SC:U),E,0,0 S:Llab4Data.kpd_input$mode$1$88({1}SC:U),R,0,0,[r7] S:Llab4Data.kpd_input$sum$1$89({2}SI:U),R,0,0,[r5,r6] S:Llab4Data.kpd_input$key$1$89({1}SC:U),R,0,0,[r3] S:Llab4Data.kpd_input$i$1$89({1}SC:U),R,0,0,[] S:Llab4Data.i2c_write_data$start_reg$1$105({1}SC:U),E,0,0 S:Llab4Data.i2c_write_data$buffer$1$105({3}DG,SC:U),E,0,0 S:Llab4Data.i2c_write_data$num_bytes$1$105({1}SC:U),E,0,0 S:Llab4Data.i2c_write_data$addr$1$105({1}SC:U),R,0,0,[r7] S:Llab4Data.i2c_write_data$i$1$106({1}SC:U),R,0,0,[] S:Llab4Data.i2c_read_data$start_reg$1$107({1}SC:U),E,0,0 S:Llab4Data.i2c_read_data$buffer$1$107({3}DG,SC:U),E,0,0 S:Llab4Data.i2c_read_data$num_bytes$1$107({1}SC:U),E,0,0 S:Llab4Data.i2c_read_data$addr$1$107({1}SC:U),R,0,0,[r7] S:Llab4Data.i2c_read_data$j$1$108({1}SC:U),R,0,0,[] S:G$PCA_start$0$0({2}SI:U),E,0,0 S:G$ccount$0$0({1}SC:U),E,0,0 S:G$rcount$0$0({1}SC:U),E,0,0 S:G$heading$0$0({2}SI:U),E,0,0 S:G$desired$0$0({2}SI:U),E,0,0 S:G$rangervalue$0$0({2}SI:U),E,0,0 S:G$print_count$0$0({2}SI:U),E,0,0 S:G$input$0$0({1}SC:U),E,0,0 S:G$Data$0$0({2}DA2d,SC:U),E,0,0 S:G$adc$0$0({1}SC:U),E,0,0 S:G$loopcontrol$0$0({1}SC:U),E,0,0 S:G$PW_CENTER$0$0({2}SI:U),E,0,0 S:G$PW_RIGHT$0$0({2}SI:U),E,0,0 S:G$PW_LEFT$0$0({2}SI:U),E,0,0 S:G$SERVO_PW$0$0({2}SI:U),E,0,0 S:G$error$0$0({2}SI:S),E,0,0 S:G$k$0$0({4}SF:S),E,0,0 S:G$temp$0$0({2}SI:U),E,0,0 S:G$PW_MIN$0$0({2}SI:U),E,0,0 S:G$PW_MAX$0$0({2}SI:U),E,0,0 S:G$PW_NEUT$0$0({2}SI:U),E,0,0 S:G$MOTOR_PW$0$0({2}SI:U),E,0,0 S:G$obstacle$0$0({1}SC:U),E,0,0 S:G$stop$0$0({1}SC:U),E,0,0 S:Llab4Data.ReadRanger$range$1$152({2}SI:U),R,0,0,[] S:Llab4Data.ReadRanger$addr$1$152({1}SC:U),R,0,0,[] S:Llab4Data.lcd_print$text$1$81({80}DA80d,SC:U),F,0,0 S:G$P0$0$0({1}SC:U),I,0,0 S:G$SP$0$0({1}SC:U),I,0,0 S:G$DPL$0$0({1}SC:U),I,0,0 S:G$DPH$0$0({1}SC:U),I,0,0 S:G$P4$0$0({1}SC:U),I,0,0 S:G$P5$0$0({1}SC:U),I,0,0 S:G$P6$0$0({1}SC:U),I,0,0 S:G$PCON$0$0({1}SC:U),I,0,0 S:G$TCON$0$0({1}SC:U),I,0,0 S:G$TMOD$0$0({1}SC:U),I,0,0 S:G$TL0$0$0({1}SC:U),I,0,0 S:G$TL1$0$0({1}SC:U),I,0,0 S:G$TH0$0$0({1}SC:U),I,0,0 S:G$TH1$0$0({1}SC:U),I,0,0 S:G$CKCON$0$0({1}SC:U),I,0,0 S:G$PSCTL$0$0({1}SC:U),I,0,0 S:G$P1$0$0({1}SC:U),I,0,0 S:G$TMR3CN$0$0({1}SC:U),I,0,0 S:G$TMR3RLL$0$0({1}SC:U),I,0,0 S:G$TMR3RLH$0$0({1}SC:U),I,0,0 S:G$TMR3L$0$0({1}SC:U),I,0,0 S:G$TMR3H$0$0({1}SC:U),I,0,0 S:G$P7$0$0({1}SC:U),I,0,0 S:G$SCON$0$0({1}SC:U),I,0,0 S:G$SCON0$0$0({1}SC:U),I,0,0 S:G$SBUF$0$0({1}SC:U),I,0,0 S:G$SBUF0$0$0({1}SC:U),I,0,0 S:G$SPI0CFG$0$0({1}SC:U),I,0,0 S:G$SPI0DAT$0$0({1}SC:U),I,0,0 S:G$ADC1$0$0({1}SC:U),I,0,0 S:G$SPI0CKR$0$0({1}SC:U),I,0,0 S:G$CPT0CN$0$0({1}SC:U),I,0,0 S:G$CPT1CN$0$0({1}SC:U),I,0,0 S:G$P2$0$0({1}SC:U),I,0,0 S:G$EMI0TC$0$0({1}SC:U),I,0,0 S:G$EMI0CF$0$0({1}SC:U),I,0,0 S:G$PRT0CF$0$0({1}SC:U),I,0,0 S:G$P0MDOUT$0$0({1}SC:U),I,0,0 S:G$PRT1CF$0$0({1}SC:U),I,0,0 S:G$P1MDOUT$0$0({1}SC:U),I,0,0 S:G$PRT2CF$0$0({1}SC:U),I,0,0 S:G$P2MDOUT$0$0({1}SC:U),I,0,0 S:G$PRT3CF$0$0({1}SC:U),I,0,0 S:G$P3MDOUT$0$0({1}SC:U),I,0,0 S:G$IE$0$0({1}SC:U),I,0,0 S:G$SADDR0$0$0({1}SC:U),I,0,0 S:G$ADC1CN$0$0({1}SC:U),I,0,0 S:G$ADC1CF$0$0({1}SC:U),I,0,0 S:G$AMX1SL$0$0({1}SC:U),I,0,0 S:G$P3IF$0$0({1}SC:U),I,0,0 S:G$SADEN1$0$0({1}SC:U),I,0,0 S:G$EMI0CN$0$0({1}SC:U),I,0,0 S:G$_XPAGE$0$0({1}SC:U),I,0,0 S:G$P3$0$0({1}SC:U),I,0,0 S:G$OSCXCN$0$0({1}SC:U),I,0,0 S:G$OSCICN$0$0({1}SC:U),I,0,0 S:G$P74OUT$0$0({1}SC:U),I,0,0 S:G$FLSCL$0$0({1}SC:U),I,0,0 S:G$FLACL$0$0({1}SC:U),I,0,0 S:G$IP$0$0({1}SC:U),I,0,0 S:G$SADEN0$0$0({1}SC:U),I,0,0 S:G$AMX0CF$0$0({1}SC:U),I,0,0 S:G$AMX0SL$0$0({1}SC:U),I,0,0 S:G$ADC0CF$0$0({1}SC:U),I,0,0 S:G$P1MDIN$0$0({1}SC:U),I,0,0 S:G$ADC0L$0$0({1}SC:U),I,0,0 S:G$ADC0H$0$0({1}SC:U),I,0,0 S:G$SMB0CN$0$0({1}SC:U),I,0,0 S:G$SMB0STA$0$0({1}SC:U),I,0,0 S:G$SMB0DAT$0$0({1}SC:U),I,0,0 S:G$SMB0ADR$0$0({1}SC:U),I,0,0 S:G$ADC0GTL$0$0({1}SC:U),I,0,0 S:G$ADC0GTH$0$0({1}SC:U),I,0,0 S:G$ADC0LTL$0$0({1}SC:U),I,0,0 S:G$ADC0LTH$0$0({1}SC:U),I,0,0 S:G$T2CON$0$0({1}SC:U),I,0,0 S:G$T4CON$0$0({1}SC:U),I,0,0 S:G$RCAP2L$0$0({1}SC:U),I,0,0 S:G$RCAP2H$0$0({1}SC:U),I,0,0 S:G$TL2$0$0({1}SC:U),I,0,0 S:G$TH2$0$0({1}SC:U),I,0,0 S:G$SMB0CR$0$0({1}SC:U),I,0,0 S:G$PSW$0$0({1}SC:U),I,0,0 S:G$REF0CN$0$0({1}SC:U),I,0,0 S:G$DAC0L$0$0({1}SC:U),I,0,0 S:G$DAC0H$0$0({1}SC:U),I,0,0 S:G$DAC0CN$0$0({1}SC:U),I,0,0 S:G$DAC1L$0$0({1}SC:U),I,0,0 S:G$DAC1H$0$0({1}SC:U),I,0,0 S:G$DAC1CN$0$0({1}SC:U),I,0,0 S:G$PCA0CN$0$0({1}SC:U),I,0,0 S:G$PCA0MD$0$0({1}SC:U),I,0,0 S:G$PCA0CPM0$0$0({1}SC:U),I,0,0 S:G$PCA0CPM1$0$0({1}SC:U),I,0,0 S:G$PCA0CPM2$0$0({1}SC:U),I,0,0 S:G$PCA0CPM3$0$0({1}SC:U),I,0,0 S:G$PCA0CPM4$0$0({1}SC:U),I,0,0 S:G$ACC$0$0({1}SC:U),I,0,0 S:G$XBR0$0$0({1}SC:U),I,0,0 S:G$XBR1$0$0({1}SC:U),I,0,0 S:G$XBR2$0$0({1}SC:U),I,0,0 S:G$RCAP4L$0$0({1}SC:U),I,0,0 S:G$RCAP4H$0$0({1}SC:U),I,0,0 S:G$EIE1$0$0({1}SC:U),I,0,0 S:G$EIE2$0$0({1}SC:U),I,0,0 S:G$ADC0CN$0$0({1}SC:U),I,0,0 S:G$PCA0L$0$0({1}SC:U),I,0,0 S:G$PCA0CPL0$0$0({1}SC:U),I,0,0 S:G$PCA0CPL1$0$0({1}SC:U),I,0,0 S:G$PCA0CPL2$0$0({1}SC:U),I,0,0 S:G$PCA0CPL3$0$0({1}SC:U),I,0,0 S:G$PCA0CPL4$0$0({1}SC:U),I,0,0 S:G$RSTSRC$0$0({1}SC:U),I,0,0 S:G$B$0$0({1}SC:U),I,0,0 S:G$SCON1$0$0({1}SC:U),I,0,0 S:G$SBUF1$0$0({1}SC:U),I,0,0 S:G$SADDR1$0$0({1}SC:U),I,0,0 S:G$TL4$0$0({1}SC:U),I,0,0 S:G$TH4$0$0({1}SC:U),I,0,0 S:G$EIP1$0$0({1}SC:U),I,0,0 S:G$EIP2$0$0({1}SC:U),I,0,0 S:G$SPI0CN$0$0({1}SC:U),I,0,0 S:G$PCA0H$0$0({1}SC:U),I,0,0 S:G$PCA0CPH0$0$0({1}SC:U),I,0,0 S:G$PCA0CPH1$0$0({1}SC:U),I,0,0 S:G$PCA0CPH2$0$0({1}SC:U),I,0,0 S:G$PCA0CPH3$0$0({1}SC:U),I,0,0 S:G$PCA0CPH4$0$0({1}SC:U),I,0,0 S:G$WDTCN$0$0({1}SC:U),I,0,0 S:G$TMR0$0$0({2}SI:U),I,0,0 S:G$TMR1$0$0({2}SI:U),I,0,0 S:G$TMR2$0$0({2}SI:U),I,0,0 S:G$RCAP2$0$0({2}SI:U),I,0,0 S:G$TMR3$0$0({2}SI:U),I,0,0 S:G$TMR3RL$0$0({2}SI:U),I,0,0 S:G$TMR4$0$0({2}SI:U),I,0,0 S:G$RCAP4$0$0({2}SI:U),I,0,0 S:G$ADC0$0$0({2}SI:U),I,0,0 S:G$ADC0GT$0$0({2}SI:U),I,0,0 S:G$ADC0LT$0$0({2}SI:U),I,0,0 S:G$DAC0$0$0({2}SI:U),I,0,0 S:G$DAC1$0$0({2}SI:U),I,0,0 S:G$PCA0$0$0({2}SI:U),I,0,0 S:G$PCA0CP0$0$0({2}SI:U),I,0,0 S:G$PCA0CP1$0$0({2}SI:U),I,0,0 S:G$PCA0CP2$0$0({2}SI:U),I,0,0 S:G$PCA0CP3$0$0({2}SI:U),I,0,0 S:G$PCA0CP4$0$0({2}SI:U),I,0,0 S:G$P0_0$0$0({1}SX:U),J,0,0 S:G$P0_1$0$0({1}SX:U),J,0,0 S:G$P0_2$0$0({1}SX:U),J,0,0 S:G$P0_3$0$0({1}SX:U),J,0,0 S:G$P0_4$0$0({1}SX:U),J,0,0 S:G$P0_5$0$0({1}SX:U),J,0,0 S:G$P0_6$0$0({1}SX:U),J,0,0 S:G$P0_7$0$0({1}SX:U),J,0,0 S:G$IT0$0$0({1}SX:U),J,0,0 S:G$IE0$0$0({1}SX:U),J,0,0 S:G$IT1$0$0({1}SX:U),J,0,0 S:G$IE1$0$0({1}SX:U),J,0,0 S:G$TR0$0$0({1}SX:U),J,0,0 S:G$TF0$0$0({1}SX:U),J,0,0 S:G$TR1$0$0({1}SX:U),J,0,0 S:G$TF1$0$0({1}SX:U),J,0,0 S:G$P1_0$0$0({1}SX:U),J,0,0 S:G$P1_1$0$0({1}SX:U),J,0,0 S:G$P1_2$0$0({1}SX:U),J,0,0 S:G$P1_3$0$0({1}SX:U),J,0,0 S:G$P1_4$0$0({1}SX:U),J,0,0 S:G$P1_5$0$0({1}SX:U),J,0,0 S:G$P1_6$0$0({1}SX:U),J,0,0 S:G$P1_7$0$0({1}SX:U),J,0,0 S:G$RI$0$0({1}SX:U),J,0,0 S:G$RI0$0$0({1}SX:U),J,0,0 S:G$TI$0$0({1}SX:U),J,0,0 S:G$TI0$0$0({1}SX:U),J,0,0 S:G$RB8$0$0({1}SX:U),J,0,0 S:G$RB80$0$0({1}SX:U),J,0,0 S:G$TB8$0$0({1}SX:U),J,0,0 S:G$TB80$0$0({1}SX:U),J,0,0 S:G$REN$0$0({1}SX:U),J,0,0 S:G$REN0$0$0({1}SX:U),J,0,0 S:G$SM2$0$0({1}SX:U),J,0,0 S:G$SM20$0$0({1}SX:U),J,0,0 S:G$MCE0$0$0({1}SX:U),J,0,0 S:G$SM1$0$0({1}SX:U),J,0,0 S:G$SM10$0$0({1}SX:U),J,0,0 S:G$SM0$0$0({1}SX:U),J,0,0 S:G$SM00$0$0({1}SX:U),J,0,0 S:G$S0MODE$0$0({1}SX:U),J,0,0 S:G$P2_0$0$0({1}SX:U),J,0,0 S:G$P2_1$0$0({1}SX:U),J,0,0 S:G$P2_2$0$0({1}SX:U),J,0,0 S:G$P2_3$0$0({1}SX:U),J,0,0 S:G$P2_4$0$0({1}SX:U),J,0,0 S:G$P2_5$0$0({1}SX:U),J,0,0 S:G$P2_6$0$0({1}SX:U),J,0,0 S:G$P2_7$0$0({1}SX:U),J,0,0 S:G$EX0$0$0({1}SX:U),J,0,0 S:G$ET0$0$0({1}SX:U),J,0,0 S:G$EX1$0$0({1}SX:U),J,0,0 S:G$ET1$0$0({1}SX:U),J,0,0 S:G$ES0$0$0({1}SX:U),J,0,0 S:G$ES$0$0({1}SX:U),J,0,0 S:G$ET2$0$0({1}SX:U),J,0,0 S:G$EA$0$0({1}SX:U),J,0,0 S:G$P3_0$0$0({1}SX:U),J,0,0 S:G$P3_1$0$0({1}SX:U),J,0,0 S:G$P3_2$0$0({1}SX:U),J,0,0 S:G$P3_3$0$0({1}SX:U),J,0,0 S:G$P3_4$0$0({1}SX:U),J,0,0 S:G$P3_5$0$0({1}SX:U),J,0,0 S:G$P3_6$0$0({1}SX:U),J,0,0 S:G$P3_7$0$0({1}SX:U),J,0,0 S:G$PX0$0$0({1}SX:U),J,0,0 S:G$PT0$0$0({1}SX:U),J,0,0 S:G$PX1$0$0({1}SX:U),J,0,0 S:G$PT1$0$0({1}SX:U),J,0,0 S:G$PS0$0$0({1}SX:U),J,0,0 S:G$PS$0$0({1}SX:U),J,0,0 S:G$PT2$0$0({1}SX:U),J,0,0 S:G$SMBTOE$0$0({1}SX:U),J,0,0 S:G$SMBFTE$0$0({1}SX:U),J,0,0 S:G$AA$0$0({1}SX:U),J,0,0 S:G$SI$0$0({1}SX:U),J,0,0 S:G$STO$0$0({1}SX:U),J,0,0 S:G$STA$0$0({1}SX:U),J,0,0 S:G$ENSMB$0$0({1}SX:U),J,0,0 S:G$BUSY$0$0({1}SX:U),J,0,0 S:G$CPRL2$0$0({1}SX:U),J,0,0 S:G$CT2$0$0({1}SX:U),J,0,0 S:G$TR2$0$0({1}SX:U),J,0,0 S:G$EXEN2$0$0({1}SX:U),J,0,0 S:G$TCLK$0$0({1}SX:U),J,0,0 S:G$RCLK$0$0({1}SX:U),J,0,0 S:G$EXF2$0$0({1}SX:U),J,0,0 S:G$TF2$0$0({1}SX:U),J,0,0 S:G$P$0$0({1}SX:U),J,0,0 S:G$F1$0$0({1}SX:U),J,0,0 S:G$OV$0$0({1}SX:U),J,0,0 S:G$RS0$0$0({1}SX:U),J,0,0 S:G$RS1$0$0({1}SX:U),J,0,0 S:G$F0$0$0({1}SX:U),J,0,0 S:G$AC$0$0({1}SX:U),J,0,0 S:G$CY$0$0({1}SX:U),J,0,0 S:G$CCF0$0$0({1}SX:U),J,0,0 S:G$CCF1$0$0({1}SX:U),J,0,0 S:G$CCF2$0$0({1}SX:U),J,0,0 S:G$CCF3$0$0({1}SX:U),J,0,0 S:G$CCF4$0$0({1}SX:U),J,0,0 S:G$CR$0$0({1}SX:U),J,0,0 S:G$CF$0$0({1}SX:U),J,0,0 S:G$ADLJST$0$0({1}SX:U),J,0,0 S:G$AD0LJST$0$0({1}SX:U),J,0,0 S:G$ADWINT$0$0({1}SX:U),J,0,0 S:G$AD0WINT$0$0({1}SX:U),J,0,0 S:G$ADSTM0$0$0({1}SX:U),J,0,0 S:G$AD0CM0$0$0({1}SX:U),J,0,0 S:G$ADSTM1$0$0({1}SX:U),J,0,0 S:G$AD0CM1$0$0({1}SX:U),J,0,0 S:G$ADBUSY$0$0({1}SX:U),J,0,0 S:G$AD0BUSY$0$0({1}SX:U),J,0,0 S:G$ADCINT$0$0({1}SX:U),J,0,0 S:G$AD0INT$0$0({1}SX:U),J,0,0 S:G$ADCTM$0$0({1}SX:U),J,0,0 S:G$AD0TM$0$0({1}SX:U),J,0,0 S:G$ADCEN$0$0({1}SX:U),J,0,0 S:G$AD0EN$0$0({1}SX:U),J,0,0 S:G$SPIEN$0$0({1}SX:U),J,0,0 S:G$MSTEN$0$0({1}SX:U),J,0,0 S:G$SLVSEL$0$0({1}SX:U),J,0,0 S:G$TXBSY$0$0({1}SX:U),J,0,0 S:G$RXOVRN$0$0({1}SX:U),J,0,0 S:G$MODF$0$0({1}SX:U),J,0,0 S:G$WCOL$0$0({1}SX:U),J,0,0 S:G$SPIF$0$0({1}SX:U),J,0,0 S:G$BUS_BUSY$0$0({1}SX:U),J,0,0 S:G$BUS_EN$0$0({1}SX:U),J,0,0 S:G$BUS_START$0$0({1}SX:U),J,0,0 S:G$BUS_STOP$0$0({1}SX:U),J,0,0 S:G$BUS_INT$0$0({1}SX:U),J,0,0 S:G$BUS_AA$0$0({1}SX:U),J,0,0 S:G$BUS_FTE$0$0({1}SX:U),J,0,0 S:G$BUS_TOE$0$0({1}SX:U),J,0,0 S:G$BUS_SCL$0$0({1}SX:U),J,0,0 S:G$SS$0$0({1}SX:U),J,0,0 S:G$SYSCLK_Init$0$0({2}DF,SV:S),C,0,0 S:G$UART0_Init$0$0({2}DF,SV:S),C,0,0 S:G$Sys_Init$0$0({2}DF,SV:S),C,0,0 S:G$getchar_nw$0$0({2}DF,SC:U),C,0,0 S:G$_print_format$0$0({2}DF,SI:S),C,0,0 S:G$printf_small$0$0({2}DF,SV:S),C,0,0 S:G$printf$0$0({2}DF,SI:S),C,0,0 S:G$vprintf$0$0({2}DF,SI:S),C,0,0 S:G$sprintf$0$0({2}DF,SI:S),C,0,0 S:G$vsprintf$0$0({2}DF,SI:S),C,0,0 S:G$puts$0$0({2}DF,SI:S),C,0,0 S:G$getchar$0$0({2}DF,SC:U),C,0,0 S:G$putchar$0$0({2}DF,SV:S),C,0,0 S:G$printf_fast$0$0({2}DF,SV:S),C,0,0 S:G$printf_fast_f$0$0({2}DF,SV:S),C,0,0 S:G$printf_tiny$0$0({2}DF,SV:S),C,0,0 S:G$atof$0$0({2}DF,SF:S),C,0,0 S:G$atoi$0$0({2}DF,SI:S),C,0,0 S:G$atol$0$0({2}DF,SL:S),C,0,0 S:G$_uitoa$0$0({2}DF,SV:S),C,0,0 S:G$_itoa$0$0({2}DF,SV:S),C,0,0 S:G$_ultoa$0$0({2}DF,SV:S),C,0,0 S:G$_ltoa$0$0({2}DF,SV:S),C,0,0 S:G$rand$0$0({2}DF,SI:S),C,0,0 S:G$srand$0$0({2}DF,SV:S),C,0,0 S:G$calloc$0$0({2}DF,DX,SV:S),C,0,0 S:G$malloc$0$0({2}DF,DX,SV:S),C,0,0 S:G$realloc$0$0({2}DF,DX,SV:S),C,0,0 S:G$aligned_alloc$0$0({2}DF,DG,SV:S),C,0,0 S:G$free$0$0({2}DF,SV:S),C,0,0 S:G$abs$0$0({2}DF,SI:S),C,0,0 S:G$labs$0$0({2}DF,SL:S),C,0,0 S:G$mblen$0$0({2}DF,SI:S),C,0,0 S:G$mbtowc$0$0({2}DF,SI:S),C,0,0 S:G$wctomb$0$0({2}DF,SI:S),C,0,0 S:G$memcpy$0$0({2}DF,DG,SV:S),C,0,0 S:G$memmove$0$0({2}DF,DG,SV:S),C,0,0 S:G$strcpy$0$0({2}DF,DG,SC:U),C,0,0 S:G$strncpy$0$0({2}DF,DG,SC:U),C,0,0 S:G$strcat$0$0({2}DF,DG,SC:U),C,0,0 S:G$strncat$0$0({2}DF,DG,SC:U),C,0,0 S:G$memcmp$0$0({2}DF,SI:S),C,0,0 S:G$strcmp$0$0({2}DF,SI:S),C,0,0 S:G$strncmp$0$0({2}DF,SI:S),C,0,0 S:G$strxfrm$0$0({2}DF,SI:U),C,0,0 S:G$memchr$0$0({2}DF,DG,SV:S),C,0,0 S:G$strchr$0$0({2}DF,DG,SC:U),C,0,0 S:G$strcspn$0$0({2}DF,SI:U),C,0,0 S:G$strpbrk$0$0({2}DF,DG,SC:U),C,0,0 S:G$strrchr$0$0({2}DF,DG,SC:U),C,0,0 S:G$strspn$0$0({2}DF,SI:U),C,0,0 S:G$strstr$0$0({2}DF,DG,SC:U),C,0,0 S:G$strtok$0$0({2}DF,DG,SC:U),C,0,0 S:G$memset$0$0({2}DF,DG,SV:S),C,0,0 S:G$strlen$0$0({2}DF,SI:U),C,0,0 S:G$key_test$0$0({2}DF,SV:S),C,0,0 S:G$i2c_stop_and_read$0$0({2}DF,SC:U),C,0,0 S:G$read_keypad$0$0({2}DF,SC:U),C,0,0 S:G$main$0$0({2}DF,SV:S),C,0,0 S:Flab4Data$__str_0$0$0({22}DA22d,SC:S),D,0,0 S:Flab4Data$__str_1$0$0({16}DA16d,SC:S),D,0,0 S:Flab4Data$__str_2$0$0({3}DA3d,SC:S),D,0,0 S:Flab4Data$__str_3$0$0({20}DA20d,SC:S),D,0,0 S:Flab4Data$__str_4$0$0({51}DA51d,SC:S),D,0,0 S:Flab4Data$__str_5$0$0({62}DA62d,SC:S),D,0,0 S:Flab4Data$__str_6$0$0({46}DA46d,SC:S),D,0,0 S:Flab4Data$__str_7$0$0({55}DA55d,SC:S),D,0,0 S:Flab4Data$__str_8$0$0({41}DA41d,SC:S),D,0,0 S:Flab4Data$__str_9$0$0({24}DA24d,SC:S),D,0,0 S:Flab4Data$__str_10$0$0({20}DA20d,SC:S),D,0,0 S:Flab4Data$__str_11$0$0({29}DA29d,SC:S),D,0,0 S:Flab4Data$__str_12$0$0({42}DA42d,SC:S),D,0,0 S:Flab4Data$__str_13$0$0({24}DA24d,SC:S),D,0,0 S:Flab4Data$__str_14$0$0({62}DA62d,SC:S),D,0,0 S:Flab4Data$__str_15$0$0({42}DA42d,SC:S),D,0,0 S:Flab4Data$__str_16$0$0({52}DA52d,SC:S),D,0,0
32.809313
57
0.58424
c5ed63b4ef16428bd1716930561ad49b9d23f35c
5,409
ads
Ada
src/util-beans-factory.ads
Letractively/ada-util
e4c63b93635dc07c46e95f12ba02d18903b307b3
[ "Apache-2.0" ]
null
null
null
src/util-beans-factory.ads
Letractively/ada-util
e4c63b93635dc07c46e95f12ba02d18903b307b3
[ "Apache-2.0" ]
null
null
null
src/util-beans-factory.ads
Letractively/ada-util
e4c63b93635dc07c46e95f12ba02d18903b307b3
[ "Apache-2.0" ]
null
null
null
----------------------------------------------------------------------- -- Util.beans.factory -- Bean Registration and Factory -- Copyright (C) 2010 Stephane Carrez -- Written by Stephane Carrez (Stephane.Carrez@gmail.com) -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ----------------------------------------------------------------------- with Ada.Strings.Unbounded; with Util.Beans.Basic; with Util.Beans.Methods; private with Ada.Containers.Indefinite_Hashed_Maps; private with Ada.Strings.Unbounded.Hash; -- The <b>EL.Beans.Factory</b> package is a registry for the creation -- of request, session and application beans. package Util.Beans.Factory is -- The bean definition is a small descriptor used by the -- factory to create the bean instance when it is needed. -- It also defines the methods that can be specified and -- invoked as part of a <b>Method_Expression</b>. type Bean_Definition (Method_Count : Natural) is abstract tagged limited record Methods : Util.Beans.Methods.Method_Binding_Array (1 .. Method_Count); end record; type Bean_Definition_Access is access constant Bean_Definition'Class; -- Create a bean. function Create (Def : in Bean_Definition) return Util.Beans.Basic.Readonly_Bean_Access is abstract; -- Free the bean instance. procedure Destroy (Def : in Bean_Definition; Bean : in out Util.Beans.Basic.Readonly_Bean_Access) is abstract; -- Defines the scope of the bean instance. type Scope_Type is ( -- Application scope means the bean is shared by all sessions and requests APPLICATION_SCOPE, -- Session scope means the bean is created one for each session. SESSION_SCOPE, -- Request scope means the bean is created for each request REQUEST_SCOPE, ANY_SCOPE); -- ------------------------------ -- Binding -- ------------------------------ type Binding is interface; type Binding_Access is access all Binding'Class; procedure Create (Factory : in Binding; Name : in Ada.Strings.Unbounded.Unbounded_String; Result : out Util.Beans.Basic.Readonly_Bean_Access; Definition : out Bean_Definition_Access; Scope : out Scope_Type) is abstract; -- ------------------------------ -- Bean Factory -- ------------------------------ -- Factory for bean creation type Bean_Factory is limited private; -- Register under the given name a function to create the bean instance when -- it is accessed for a first time. The scope defines the scope of the bean. -- bean procedure Register (Factory : in out Bean_Factory; Name : in String; Definition : in Bean_Definition_Access; Scope : in Scope_Type := REQUEST_SCOPE); -- Register under the given name a function to create the bean instance when -- it is accessed for a first time. The scope defines the scope of the bean. -- bean procedure Register (Factory : in out Bean_Factory; Name : in String; Bind : in Binding_Access); -- Register all the definitions from a factory to a main factory. procedure Register (Factory : in out Bean_Factory; From : in Bean_Factory); -- Create a bean by using the create operation registered for the name procedure Create (Factory : in Bean_Factory; Name : in Ada.Strings.Unbounded.Unbounded_String; Result : out Util.Beans.Basic.Readonly_Bean_Access; Definition : out Bean_Definition_Access; Scope : out Scope_Type); type Simple_Binding is new Binding with private; procedure Create (Factory : in Simple_Binding; Name : in Ada.Strings.Unbounded.Unbounded_String; Result : out Util.Beans.Basic.Readonly_Bean_Access; Definition : out Bean_Definition_Access; Scope : out Scope_Type); private type Simple_Binding is new Binding with record Def : Bean_Definition_Access; Scope : Scope_Type; end record; type Simple_Binding_Access is access all Simple_Binding; use Ada.Strings.Unbounded; package Bean_Maps is new Ada.Containers.Indefinite_Hashed_Maps (Key_Type => Unbounded_String, Element_Type => Binding_Access, Hash => Ada.Strings.Unbounded.Hash, Equivalent_Keys => "="); type Bean_Factory is limited record Map : Bean_Maps.Map; end record; end Util.Beans.Factory;
39.772059
87
0.609355
dc0ba02d9048c7210932ab4eec467b00897073c6
44
ads
Ada
ada/examples/regression-callstmt/auxiliary.ads
carter-e-veldhuizen/RACK
8ae9b4ecd17d812966aed985d6bc51b1b7ca6e79
[ "BSD-3-Clause" ]
4
2021-07-02T08:58:05.000Z
2022-02-02T03:02:32.000Z
ada/examples/regression-callstmt/auxiliary.ads
carter-e-veldhuizen/RACK
8ae9b4ecd17d812966aed985d6bc51b1b7ca6e79
[ "BSD-3-Clause" ]
309
2020-11-02T19:46:14.000Z
2022-03-24T21:35:28.000Z
ada/examples/regression-callstmt/auxiliary.ads
carter-e-veldhuizen/RACK
8ae9b4ecd17d812966aed985d6bc51b1b7ca6e79
[ "BSD-3-Clause" ]
7
2020-11-30T22:22:06.000Z
2022-02-02T03:09:12.000Z
PACKAGE aux IS PROCEDURE start; END aux;
11
19
0.727273
d02e2c1fdd9e8e8d3fb0f6b1b0059208ef2d6600
3,419
ada
Ada
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c4/c48009f.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
7
2020-05-02T17:34:05.000Z
2021-10-17T10:15:18.000Z
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c4/c48009f.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
null
null
null
gcc-gcc-7_3_0-release/gcc/testsuite/ada/acats/tests/c4/c48009f.ada
best08618/asylo
5a520a9f5c461ede0f32acc284017b737a43898c
[ "Apache-2.0" ]
2
2020-07-27T00:22:36.000Z
2021-04-01T09:41:02.000Z
-- C48009F.ADA -- Grant of Unlimited Rights -- -- Under contracts F33600-87-D-0337, F33600-84-D-0280, MDA903-79-C-0687, -- F08630-91-C-0015, and DCA100-97-D-0025, the U.S. Government obtained -- unlimited rights in the software and documentation contained herein. -- Unlimited rights are defined in DFAR 252.227-7013(a)(19). By making -- this public release, the Government intends to confer upon all -- recipients unlimited rights equal to those held by the Government. -- These rights include rights to use, duplicate, release or disclose the -- released technical data and computer software in whole or in part, in -- any manner and for any purpose whatsoever, and to have or permit others -- to do so. -- -- DISCLAIMER -- -- ALL MATERIALS OR INFORMATION HEREIN RELEASED, MADE AVAILABLE OR -- DISCLOSED ARE AS IS. THE GOVERNMENT MAKES NO EXPRESS OR IMPLIED -- WARRANTY AS TO ANY MATTER WHATSOEVER, INCLUDING THE CONDITIONS OF THE -- SOFTWARE, DOCUMENTATION OR OTHER INFORMATION RELEASED, MADE AVAILABLE -- OR DISCLOSED, OR THE OWNERSHIP, MERCHANTABILITY, OR FITNESS FOR A -- PARTICULAR PURPOSE OF SAID MATERIAL. --* -- FOR ALLOCATORS OF THE FORM "NEW T'(X)", CHECK THAT CONSTRAINT_ERROR -- IS RAISED IF T IS A CONSTRAINED OR UNCONSTRAINED MULTI-DIMENSIONAL -- ARRAY TYPE AND ALL COMPONENTS OF X DO NOT HAVE THE SAME LENGTH OR -- BOUNDS. -- RM 01/08/80 -- NL 10/13/81 -- SPS 10/26/82 -- JBG 03/03/83 -- EG 07/05/84 WITH REPORT; PROCEDURE C48009F IS USE REPORT; BEGIN TEST("C48009F","FOR ALLOCATORS OF THE FORM 'NEW T'(X)', CHECK " & "THAT CONSTRAINT_ERROR IS RAISED WHEN " & "X IS AN ILL-FORMED MULTIDIMENSIONAL AGGREGATE"); DECLARE TYPE TG00 IS ARRAY( 4..2 ) OF INTEGER; TYPE TG10 IS ARRAY( 1..2 ) OF INTEGER; TYPE TG20 IS ARRAY( INTEGER RANGE <> ) OF INTEGER; TYPE TG0 IS ARRAY( 3..2 ) OF TG00; TYPE TG1 IS ARRAY( 1..2 ) OF TG10; TYPE TG2 IS ARRAY( INTEGER RANGE <> ) OF TG20(1..3); TYPE ATG0 IS ACCESS TG0; TYPE ATG1 IS ACCESS TG1; TYPE ATG2 IS ACCESS TG2; VG0 : ATG0; VG1 : ATG1; VG2 : ATG2; BEGIN BEGIN VG0 := NEW TG0 '( 5..4 => ( 3..1 => 2 ) ); FAILED ("NO EXCEPTION RAISED - CASE 0"); EXCEPTION WHEN CONSTRAINT_ERROR => NULL; WHEN OTHERS => FAILED( "WRONG EXCEPTION RAISED - CASE 0" ); END; BEGIN VG1 := NEW TG1 '( ( 1 , 2 ) , ( 3 , 4 , 5 ) ); FAILED ("NO EXCEPTION RAISED - CASE 1"); EXCEPTION WHEN CONSTRAINT_ERROR => NULL; WHEN OTHERS => FAILED( "WRONG EXCEPTION RAISED - CASE 1" ); END; BEGIN VG2 := NEW TG2'( 1 => ( 1..2 => 7) , 2 => ( 1..3 => 7)); FAILED ("NO EXCEPTION RAISED - CASE 2"); EXCEPTION WHEN CONSTRAINT_ERROR => NULL; WHEN OTHERS => FAILED( "WRONG EXCEPTION RAISED - CASE 2" ); END; END; RESULT; END C48009F;
34.19
79
0.546066
18676668788c962f9741e9fa8b980f9f4788dba6
11,609
ads
Ada
software/hal/hpl/STM32/svd/stm32f427x/stm32_svd-spi.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
12
2017-06-08T14:19:57.000Z
2022-03-09T02:48:59.000Z
software/hal/hpl/STM32/svd/stm32f427x/stm32_svd-spi.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
6
2017-06-08T13:13:50.000Z
2020-05-15T09:32:43.000Z
software/hal/hpl/STM32/svd/stm32f427x/stm32_svd-spi.ads
TUM-EI-RCS/StratoX
5fdd04e01a25efef6052376f43ce85b5bc973392
[ "BSD-3-Clause" ]
3
2017-06-30T14:05:06.000Z
2022-02-17T12:20:45.000Z
-- This spec has been automatically generated from STM32F427x.svd pragma Restrictions (No_Elaboration_Code); pragma Ada_2012; with System; with HAL; package STM32_SVD.SPI is pragma Preelaborate; --------------- -- Registers -- --------------- ------------------ -- CR1_Register -- ------------------ subtype CR1_BR_Field is HAL.UInt3; -- control register 1 type CR1_Register is record -- Clock phase CPHA : Boolean := False; -- Clock polarity CPOL : Boolean := False; -- Master selection MSTR : Boolean := False; -- Baud rate control BR : CR1_BR_Field := 16#0#; -- SPI enable SPE : Boolean := False; -- Frame format LSBFIRST : Boolean := False; -- Internal slave select SSI : Boolean := False; -- Software slave management SSM : Boolean := False; -- Receive only RXONLY : Boolean := False; -- Data frame format DFF : Boolean := False; -- CRC transfer next CRCNEXT : Boolean := False; -- Hardware CRC calculation enable CRCEN : Boolean := False; -- Output enable in bidirectional mode BIDIOE : Boolean := False; -- Bidirectional data mode enable BIDIMODE : Boolean := False; -- unspecified Reserved_16_31 : HAL.Short := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CR1_Register use record CPHA at 0 range 0 .. 0; CPOL at 0 range 1 .. 1; MSTR at 0 range 2 .. 2; BR at 0 range 3 .. 5; SPE at 0 range 6 .. 6; LSBFIRST at 0 range 7 .. 7; SSI at 0 range 8 .. 8; SSM at 0 range 9 .. 9; RXONLY at 0 range 10 .. 10; DFF at 0 range 11 .. 11; CRCNEXT at 0 range 12 .. 12; CRCEN at 0 range 13 .. 13; BIDIOE at 0 range 14 .. 14; BIDIMODE at 0 range 15 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; ------------------ -- CR2_Register -- ------------------ -- control register 2 type CR2_Register is record -- Rx buffer DMA enable RXDMAEN : Boolean := False; -- Tx buffer DMA enable TXDMAEN : Boolean := False; -- SS output enable SSOE : Boolean := False; -- unspecified Reserved_3_3 : HAL.Bit := 16#0#; -- Frame format FRF : Boolean := False; -- Error interrupt enable ERRIE : Boolean := False; -- RX buffer not empty interrupt enable RXNEIE : Boolean := False; -- Tx buffer empty interrupt enable TXEIE : Boolean := False; -- unspecified Reserved_8_31 : HAL.UInt24 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CR2_Register use record RXDMAEN at 0 range 0 .. 0; TXDMAEN at 0 range 1 .. 1; SSOE at 0 range 2 .. 2; Reserved_3_3 at 0 range 3 .. 3; FRF at 0 range 4 .. 4; ERRIE at 0 range 5 .. 5; RXNEIE at 0 range 6 .. 6; TXEIE at 0 range 7 .. 7; Reserved_8_31 at 0 range 8 .. 31; end record; ----------------- -- SR_Register -- ----------------- -- status register type SR_Register is record -- Read-only. Receive buffer not empty RXNE : Boolean := False; -- Read-only. Transmit buffer empty TXE : Boolean := True; -- Read-only. Channel side CHSIDE : Boolean := False; -- Read-only. Underrun flag UDR : Boolean := False; -- CRC error flag CRCERR : Boolean := False; -- Read-only. Mode fault MODF : Boolean := False; -- Read-only. Overrun flag OVR : Boolean := False; -- Read-only. Busy flag BSY : Boolean := False; -- Read-only. TI frame format error TIFRFE : Boolean := False; -- unspecified Reserved_9_31 : HAL.UInt23 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for SR_Register use record RXNE at 0 range 0 .. 0; TXE at 0 range 1 .. 1; CHSIDE at 0 range 2 .. 2; UDR at 0 range 3 .. 3; CRCERR at 0 range 4 .. 4; MODF at 0 range 5 .. 5; OVR at 0 range 6 .. 6; BSY at 0 range 7 .. 7; TIFRFE at 0 range 8 .. 8; Reserved_9_31 at 0 range 9 .. 31; end record; ----------------- -- DR_Register -- ----------------- subtype DR_DR_Field is HAL.Short; -- data register type DR_Register is record -- Data register DR : DR_DR_Field := 16#0#; -- unspecified Reserved_16_31 : HAL.Short := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for DR_Register use record DR at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; -------------------- -- CRCPR_Register -- -------------------- subtype CRCPR_CRCPOLY_Field is HAL.Short; -- CRC polynomial register type CRCPR_Register is record -- CRC polynomial register CRCPOLY : CRCPR_CRCPOLY_Field := 16#7#; -- unspecified Reserved_16_31 : HAL.Short := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for CRCPR_Register use record CRCPOLY at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; --------------------- -- RXCRCR_Register -- --------------------- subtype RXCRCR_RxCRC_Field is HAL.Short; -- RX CRC register type RXCRCR_Register is record -- Read-only. Rx CRC register RxCRC : RXCRCR_RxCRC_Field; -- unspecified Reserved_16_31 : HAL.Short; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for RXCRCR_Register use record RxCRC at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; --------------------- -- TXCRCR_Register -- --------------------- subtype TXCRCR_TxCRC_Field is HAL.Short; -- TX CRC register type TXCRCR_Register is record -- Read-only. Tx CRC register TxCRC : TXCRCR_TxCRC_Field; -- unspecified Reserved_16_31 : HAL.Short; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for TXCRCR_Register use record TxCRC at 0 range 0 .. 15; Reserved_16_31 at 0 range 16 .. 31; end record; ---------------------- -- I2SCFGR_Register -- ---------------------- subtype I2SCFGR_DATLEN_Field is HAL.UInt2; subtype I2SCFGR_I2SSTD_Field is HAL.UInt2; subtype I2SCFGR_I2SCFG_Field is HAL.UInt2; -- I2S configuration register type I2SCFGR_Register is record -- Channel length (number of bits per audio channel) CHLEN : Boolean := False; -- Data length to be transferred DATLEN : I2SCFGR_DATLEN_Field := 16#0#; -- Steady state clock polarity CKPOL : Boolean := False; -- I2S standard selection I2SSTD : I2SCFGR_I2SSTD_Field := 16#0#; -- unspecified Reserved_6_6 : HAL.Bit := 16#0#; -- PCM frame synchronization PCMSYNC : Boolean := False; -- I2S configuration mode I2SCFG : I2SCFGR_I2SCFG_Field := 16#0#; -- I2S Enable I2SE : Boolean := False; -- I2S mode selection I2SMOD : Boolean := False; -- unspecified Reserved_12_31 : HAL.UInt20 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for I2SCFGR_Register use record CHLEN at 0 range 0 .. 0; DATLEN at 0 range 1 .. 2; CKPOL at 0 range 3 .. 3; I2SSTD at 0 range 4 .. 5; Reserved_6_6 at 0 range 6 .. 6; PCMSYNC at 0 range 7 .. 7; I2SCFG at 0 range 8 .. 9; I2SE at 0 range 10 .. 10; I2SMOD at 0 range 11 .. 11; Reserved_12_31 at 0 range 12 .. 31; end record; -------------------- -- I2SPR_Register -- -------------------- subtype I2SPR_I2SDIV_Field is HAL.Byte; -- I2S prescaler register type I2SPR_Register is record -- I2S Linear prescaler I2SDIV : I2SPR_I2SDIV_Field := 16#A#; -- Odd factor for the prescaler ODD : Boolean := False; -- Master clock output enable MCKOE : Boolean := False; -- unspecified Reserved_10_31 : HAL.UInt22 := 16#0#; end record with Volatile_Full_Access, Size => 32, Bit_Order => System.Low_Order_First; for I2SPR_Register use record I2SDIV at 0 range 0 .. 7; ODD at 0 range 8 .. 8; MCKOE at 0 range 9 .. 9; Reserved_10_31 at 0 range 10 .. 31; end record; ----------------- -- Peripherals -- ----------------- -- Serial peripheral interface type SPI_Peripheral is record -- control register 1 CR1 : CR1_Register; -- control register 2 CR2 : CR2_Register; -- status register SR : SR_Register; -- data register DR : DR_Register; -- CRC polynomial register CRCPR : CRCPR_Register; -- RX CRC register RXCRCR : RXCRCR_Register; -- TX CRC register TXCRCR : TXCRCR_Register; -- I2S configuration register I2SCFGR : I2SCFGR_Register; -- I2S prescaler register I2SPR : I2SPR_Register; end record with Volatile; for SPI_Peripheral use record CR1 at 0 range 0 .. 31; CR2 at 4 range 0 .. 31; SR at 8 range 0 .. 31; DR at 12 range 0 .. 31; CRCPR at 16 range 0 .. 31; RXCRCR at 20 range 0 .. 31; TXCRCR at 24 range 0 .. 31; I2SCFGR at 28 range 0 .. 31; I2SPR at 32 range 0 .. 31; end record; -- Serial peripheral interface I2S2ext_Periph : aliased SPI_Peripheral with Import, Address => I2S2ext_Base; -- Serial peripheral interface SPI2_Periph : aliased SPI_Peripheral with Import, Address => SPI2_Base; -- Serial peripheral interface SPI3_Periph : aliased SPI_Peripheral with Import, Address => SPI3_Base; -- Serial peripheral interface I2S3ext_Periph : aliased SPI_Peripheral with Import, Address => I2S3ext_Base; -- Serial peripheral interface SPI1_Periph : aliased SPI_Peripheral with Import, Address => SPI1_Base; -- Serial peripheral interface SPI4_Periph : aliased SPI_Peripheral with Import, Address => SPI4_Base; -- Serial peripheral interface SPI5_Periph : aliased SPI_Peripheral with Import, Address => SPI5_Base; -- Serial peripheral interface SPI6_Periph : aliased SPI_Peripheral with Import, Address => SPI6_Base; end STM32_SVD.SPI;
29.843188
66
0.535963
18052bf370fc60c467342c619083b264faf130cc
5,080
ads
Ada
testsuite/soap/soapconf-testcases-test_t34.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
24
2016-11-29T06:59:41.000Z
2021-08-30T11:55:16.000Z
testsuite/soap/soapconf-testcases-test_t34.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
2
2019-01-16T05:15:20.000Z
2019-02-03T10:03:32.000Z
testsuite/soap/soapconf-testcases-test_t34.ads
svn2github/matreshka
9d222b3ad9da508855fb1f5adbe5e8a4fad4c530
[ "BSD-3-Clause" ]
4
2017-07-18T07:11:05.000Z
2020-06-21T03:02:25.000Z
------------------------------------------------------------------------------ -- -- -- Matreshka Project -- -- -- -- Web Framework -- -- -- -- Testsuite Component -- -- -- ------------------------------------------------------------------------------ -- -- -- Copyright © 2012, Vadim Godunko <vgodunko@gmail.com> -- -- All rights reserved. -- -- -- -- Redistribution and use in source and binary forms, with or without -- -- modification, are permitted provided that the following conditions -- -- are met: -- -- -- -- * Redistributions of source code must retain the above copyright -- -- notice, this list of conditions and the following disclaimer. -- -- -- -- * Redistributions in binary form must reproduce the above copyright -- -- notice, this list of conditions and the following disclaimer in the -- -- documentation and/or other materials provided with the distribution. -- -- -- -- * Neither the name of the Vadim Godunko, IE nor the names of its -- -- contributors may be used to endorse or promote products derived from -- -- this software without specific prior written permission. -- -- -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR -- -- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT -- -- HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, -- -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED -- -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF -- -- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- -- ------------------------------------------------------------------------------ -- $Revision$ $Date$ ------------------------------------------------------------------------------ -- Test:T34 -- -- Description: -- -- This test consists of Node A sending a msg with a header that has MU=1 in -- the SOAP 1.1 NS. Node C, ignores this header. -- -- Messages: -- -- Message sent from Node A -- -- <?xml version='1.0' ?> -- <env:Envelope xmlns:env="http://www.w3.org/2003/05/soap-envelope"> -- <env:Header> -- <test:Unknown xmlns:test="http://example.org/ts-tests" -- xmlns:env1="http://schemas.xmlsoap.org/soap/envelope/" -- env1:mustUnderstand="true"> -- foo -- </test:Unknown> -- </env:Header> -- <env:Body> -- </env:Body> -- </env:Envelope> -- -- Message sent from Node C -- -- <?xml version='1.0' ?> -- <env:Envelope xmlns:env="http://www.w3.org/2003/05/soap-envelope"> -- <env:Body> -- </env:Body> -- </env:Envelope> ------------------------------------------------------------------------------ package SOAPConf.Testcases.Test_T34 is Scenario : constant Testcase_Data := (League.Strings.To_Universal_String ("<?xml version='1.0'?>" & "<env:Envelope" & " xmlns:env='http://www.w3.org/2003/05/soap-envelope'>" & "<env:Header>" & "<test:Unknown" & " xmlns:test='http://example.org/ts-tests'" & " xmlns:env1='http://schemas.xmlsoap.org/soap/envelope/'" & " env1:mustUnderstand='true'>" & "foo" & "</test:Unknown>" & "</env:Header>" & "<env:Body>" & "</env:Body>" & "</env:Envelope>"), League.Strings.To_Universal_String ("<?xml version='1.0'?>" & "<env:Envelope" & " xmlns:env='http://www.w3.org/2003/05/soap-envelope'>" & "<env:Body/>" & "</env:Envelope>")); end SOAPConf.Testcases.Test_T34;
49.320388
78
0.433858
c5840fb2af2c28450b842b018105352993f5f3e5
3,847
ads
Ada
source/nodes/program-nodes-enumeration_literal_specifications.ads
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
null
null
null
source/nodes/program-nodes-enumeration_literal_specifications.ads
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
null
null
null
source/nodes/program-nodes-enumeration_literal_specifications.ads
reznikmm/gela
20134f1d154fb763812e73860c6f4b04f353df79
[ "MIT" ]
1
2019-10-16T09:05:27.000Z
2019-10-16T09:05:27.000Z
-- SPDX-FileCopyrightText: 2019 Max Reznik <reznikmm@gmail.com> -- -- SPDX-License-Identifier: MIT ------------------------------------------------------------- with Program.Elements.Defining_Names; with Program.Elements.Enumeration_Literal_Specifications; with Program.Element_Visitors; package Program.Nodes.Enumeration_Literal_Specifications is pragma Preelaborate; type Enumeration_Literal_Specification is new Program.Nodes.Node and Program.Elements.Enumeration_Literal_Specifications .Enumeration_Literal_Specification and Program.Elements.Enumeration_Literal_Specifications .Enumeration_Literal_Specification_Text with private; function Create (Name : not null Program.Elements.Defining_Names.Defining_Name_Access) return Enumeration_Literal_Specification; type Implicit_Enumeration_Literal_Specification is new Program.Nodes.Node and Program.Elements.Enumeration_Literal_Specifications .Enumeration_Literal_Specification with private; function Create (Name : not null Program.Elements.Defining_Names .Defining_Name_Access; Is_Part_Of_Implicit : Boolean := False; Is_Part_Of_Inherited : Boolean := False; Is_Part_Of_Instance : Boolean := False) return Implicit_Enumeration_Literal_Specification with Pre => Is_Part_Of_Implicit or Is_Part_Of_Inherited or Is_Part_Of_Instance; private type Base_Enumeration_Literal_Specification is abstract new Program.Nodes.Node and Program.Elements.Enumeration_Literal_Specifications .Enumeration_Literal_Specification with record Name : not null Program.Elements.Defining_Names.Defining_Name_Access; end record; procedure Initialize (Self : in out Base_Enumeration_Literal_Specification'Class); overriding procedure Visit (Self : not null access Base_Enumeration_Literal_Specification; Visitor : in out Program.Element_Visitors.Element_Visitor'Class); overriding function Name (Self : Base_Enumeration_Literal_Specification) return not null Program.Elements.Defining_Names.Defining_Name_Access; overriding function Is_Enumeration_Literal_Specification (Self : Base_Enumeration_Literal_Specification) return Boolean; overriding function Is_Declaration (Self : Base_Enumeration_Literal_Specification) return Boolean; type Enumeration_Literal_Specification is new Base_Enumeration_Literal_Specification and Program.Elements.Enumeration_Literal_Specifications .Enumeration_Literal_Specification_Text with null record; overriding function To_Enumeration_Literal_Specification_Text (Self : in out Enumeration_Literal_Specification) return Program.Elements.Enumeration_Literal_Specifications .Enumeration_Literal_Specification_Text_Access; type Implicit_Enumeration_Literal_Specification is new Base_Enumeration_Literal_Specification with record Is_Part_Of_Implicit : Boolean; Is_Part_Of_Inherited : Boolean; Is_Part_Of_Instance : Boolean; end record; overriding function To_Enumeration_Literal_Specification_Text (Self : in out Implicit_Enumeration_Literal_Specification) return Program.Elements.Enumeration_Literal_Specifications .Enumeration_Literal_Specification_Text_Access; overriding function Is_Part_Of_Implicit (Self : Implicit_Enumeration_Literal_Specification) return Boolean; overriding function Is_Part_Of_Inherited (Self : Implicit_Enumeration_Literal_Specification) return Boolean; overriding function Is_Part_Of_Instance (Self : Implicit_Enumeration_Literal_Specification) return Boolean; end Program.Nodes.Enumeration_Literal_Specifications;
35.953271
77
0.767871
cbc8df3c7bb2b7fe961e7679a42e80fc8e2656ba
36,946
adb
Ada
src/tools/check.adb
CIRCSE/whitakers-words
c840726dff2139c8b3aaa646c70cd87ab6e2b1d1
[ "FTL" ]
3
2019-02-22T13:24:42.000Z
2021-02-22T13:20:55.000Z
src/tools/check.adb
CIRCSE/whitakers-words
c840726dff2139c8b3aaa646c70cd87ab6e2b1d1
[ "FTL" ]
null
null
null
src/tools/check.adb
CIRCSE/whitakers-words
c840726dff2139c8b3aaa646c70cd87ab6e2b1d1
[ "FTL" ]
null
null
null
-- WORDS, a Latin dictionary, by Colonel William Whitaker (USAF, Retired) -- -- Copyright William A. Whitaker (1936–2010) -- -- This is a free program, which means it is proper to copy it and pass -- it on to your friends. Consider it a developmental item for which -- there is no charge. However, just for form, it is Copyrighted -- (c). Permission is hereby freely given for any and all use of program -- and data. You can sell it as your own, but at least tell me. -- -- This version is distributed without obligation, but the developer -- would appreciate comments and suggestions. -- -- All parts of the WORDS system, source code and data files, are made freely -- available to anyone who wishes to use them, for whatever purpose. with Text_IO; with Latin_Utils.Strings_Package; use Latin_Utils.Strings_Package; with Latin_Utils.Inflections_Package; use Latin_Utils.Inflections_Package; with Latin_Utils.Dictionary_Package; use Latin_Utils.Dictionary_Package; -- with Support_Utils.Line_Stuff; use Support_Utils.Line_Stuff; procedure Check is use Latin_Utils.Inflections_Package.Integer_IO; use Text_IO; use Dictionary_Entry_IO; use Part_Entry_IO; use Kind_Entry_IO; Input, Output : File_Type; De : Dictionary_Entry; S : String (1 .. 400) := (others => ' '); Blank_Line : constant String (1 .. 400) := (others => ' '); Ll, Last : Integer := 0; Line_Number : Integer := 0; Number : Integer := 0; function Is_Vowel (C : Character) return Boolean is begin if (Lower_Case (C) = 'a') or (Lower_Case (C) = 'e') or (Lower_Case (C) = 'i') or (Lower_Case (C) = 'o') or (Lower_Case (C) = 'u') then return True; else return False; end if; end Is_Vowel; function Has_Punctuation (S : String) return Boolean is J : Integer := 0; begin for I in reverse S'Range loop J := I; exit when S (I) /= ' '; end loop; for I in S'First .. J - 1 loop if Lower_Case (S (I)) not in 'a' .. 'z' then return True; end if; end loop; return False; end Has_Punctuation; function Bk (S : Stem_Type) return Boolean is begin if S = Null_Stem_Type then return True; else return False; end if; end Bk; function Len (St : Stem_Type) return Integer is L : Integer := 0; begin for I in St'Range loop exit when St (I) = ' '; L := L + 1; end loop; return L; end Len; procedure Verify_Stems is Sts : constant Stems_Type := De.Stems; Pt : constant Part_Entry := De.Part; --Mean : Meaning_Type := de.Mean; procedure Prob (Message : String) is begin Number := Number + 1; Put (Output, "LINE"); Put (Output, Line_Number); Put (Output, " " & Message & " "); Part_Entry_IO.Put (Output, Pt); New_Line (Output); Put_Line (Output, S (1 .. Last)); end Prob; begin -- Process parts if Pt.Pofs = N then -- Check that there are two and only two stems for a noun if (Bk (Sts (1)) or Bk (Sts (2)) or not Bk (Sts (3)) or not Bk (Sts (4))) and then not (Pt.N.Decl = (9, 9) or Pt.N.Decl = (9, 8)) then -- Undeclined Prob (" EXPECTED exactly 2 NOUN STEMS"); end if; -- Check that the stems are the same when expected if Pt.N.Decl = (1, 1) or Pt.N.Decl = (2, 1) or Pt.N.Decl = (2, 2) or Pt.N.Decl = (4, 1) or Pt.N.Decl = (5, 1) then if Sts (1) /= Sts (2) and then ((Sts (1) /= ZZZ_Stem) and (Sts (2) /= ZZZ_Stem)) then Prob (" EXPECTED IDENTICAL NOUN STEMS"); end if; end if; -- Check that the stems progress as expected if Pt.N.Decl = (1, 2) and then ((Sts (1) /= ZZZ_Stem) or (Sts (2) /= ZZZ_Stem)) then if Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) .. Len (Sts (1))) /= "r" and then Sts (2)(Len (Sts (2)) .. Len (Sts (2))) /= "r" then Prob (" EXPECTED r and r (1, 2) NOUN STEMS"); end if; end if; -- Check that N 2 4 has the 'i's if Pt.N.Decl = (2, 4) then if (Len (Sts (1)) >= 3) and then (((Sts (1) /= ZZZ_Stem) and (Sts (1)(Len (Sts (1)) .. Len (Sts (1))) /= "i")) or else ((Sts (1) /= ZZZ_Stem) and (Sts (2)(Len (Sts (2)) .. Len (Sts (2))) /= "i"))) then Prob (" EXPECTED i and i (2, 4) NOUN STEMS"); end if; end if; -- N 3 1 -- Check N 3 1 er is M/C if Pt.N.Decl = (3, 1) then if (Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "er") and then (Pt.N.Gender /= M and Pt.N.Gender /= C) then Prob (" EXPECTED -er M/C for N 3 1 NOUN STEMS"); end if; end if; -- Check er -> er if Pt.N.Decl = (3, 1) and Pt.N.Gender = M then if (Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "er") and then ((Sts (2)(Len (Sts (2)) - 1 .. Len (Sts (2))) /= "er") or (Sts (1)(1 .. Len (Sts (1)) - 2) /= Sts (2)(1 .. Len (Sts (2)) - 2))) then Prob (" EXPECTED er -> er N 3 1 NOUN STEMS"); end if; end if; -- Check N 3 1 or is M if Pt.N.Decl = (3, 1) then if (Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "or") and then Pt.N.Gender /= M then Prob (" EXPECTED -or M for N 3 1 NOUN STEMS"); end if; end if; -- Check or -> or if Pt.N.Decl = (3, 1) and Pt.N.Gender = M then if (Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "or") and then ((Sts (2)(Len (Sts (2)) - 1 .. Len (Sts (2))) /= "or") or (Sts (1)(1 .. Len (Sts (1)) - 2) /= Sts (2)(1 .. Len (Sts (2)) - 2))) then Prob (" EXPECTED or -> or and S1=S2 N 3 1 NOUN STEMS"); end if; end if; -- Check N 3 1 -o is M except -do, -go, -io if Pt.N.Decl = (3, 1) and then (Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "o") and then Pt.N.Gender /= M then if (Len (Sts (1)) >= 3) and then ((Sts (1)(Len (Sts (1)) - 2 .. Len (Sts (1))) /= "do") and (Sts (1)(Len (Sts (1)) - 2 .. Len (Sts (1))) /= "go") and (Sts (1)(Len (Sts (1)) - 2 .. Len (Sts (1))) /= "io")) and then Pt.N.Gender /= F then Prob (" EXPECTED N 3 1 -o M excpt -do, -go, -io F"); end if; end if; -- Check io -> ion if Pt.N.Decl = (3, 1) and Pt.N.Gender = F then if Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "io" and then Sts (2)(Len (Sts (2)) - 2 .. Len (Sts (2))) /= "ion" then Prob (" EXPECTED io -> ion NOUN STEMS"); elsif Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "io" and then Sts (2)(Len (Sts (2)) - 2 .. Len (Sts (2))) = "ion" then if Sts (1)(1 .. Len (Sts (1)) - 1) /= Sts (2)(1 .. Len (Sts (2)) - 2) then Prob (" SUSPECT io - ion NOUN STEMS misspelling"); end if; end if; end if; if Pt.N.Decl = (3, 1) and Pt.N.Gender = F and Len (Sts (1)) >= 3 then if Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "do" and then Sts (2)(Len (Sts (2)) - 2 .. Len (Sts (2))) /= "din" then Prob (" EXPECTED do -> din NOUN 3 1 STEMS"); end if; elsif Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "do" and then Sts (2)(Len (Sts (2)) - 2 .. Len (Sts (2))) = "din" then if Sts (1)(1 .. Len (Sts (1)) - 1) /= Sts (2)(1 .. Len (Sts (2)) - 2) then Prob (" SUSPECT do - din NOUN STEMS misspelling"); end if; end if; -- Check as -> at/ad if Pt.N.Decl = (3, 1) and Pt.N.Gender = F then if Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "as" and then (Sts (2)(Len (Sts (2)) - 1 .. Len (Sts (2))) /= "at" and Sts (2)(Len (Sts (2)) - 1 .. Len (Sts (2))) /= "ad") then Prob (" EXPECTED as -> at/ad NOUN STEMS"); end if; end if; -- Check -a, -at is N 3 2 N if Pt.N.Decl.Which = 3 then if (Len (Sts (1)) >= 3) and then (Sts (1)(Len (Sts (1)) .. Len (Sts (1))) = "a") and then ((Sts (2)(Len (Sts (2)) - 1 .. Len (Sts (2))) /= "at") or (Pt.N.Decl /= (3, 2))) then Prob (" EXPECTED a -> at NOUN STEMS to be N 3 2 N"); end if; end if; -- Check es/is -> I-stem if Pt.N.Decl.Which = 3 and (Pt.N.Gender = M or Pt.N.Gender = F or Pt.N.Gender = C) then if Len (Sts (1)) >= 3 and then (Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "es" or Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "is") and then Sts (1)(1 .. Len (Sts (1)) - 2) = Sts (2)(1 .. Len (Sts (2))) then if Pt.N.Decl.Var /= 3 and Pt.N.Decl.Var /= 9 then Prob (" EXPECTED es/is I-stem (3, 3)"); end if; end if; end if; -- Check is I-stem -es is F G&L 58 if Pt.N.Decl = (3, 3) then if (Len (Sts (1)) >= 3) and then (Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "es") then if Pt.N.Gender /= F then Prob (" EXPECTED -es to be F N 3 3"); end if; end if; end if; -- Check ns/rs -> I-stem if Pt.N.Decl.Which = 3 and (Pt.N.Gender = M or Pt.N.Gender = F or Pt.N.Gender = C) then if Len (Sts (1)) >= 3 and then (Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "ns" or Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "rs") then if Pt.N.Decl.Var /= 3 then Prob (" EXPECTED ns/rs M/F I-stem (3, 3)"); end if; end if; end if; -- Check al/e -> I-stem if Pt.N.Decl.Which = 3 and (Pt.N.Gender = N) then if Len (Sts (1)) >= 3 and then (Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "al" or Sts (1)(Len (Sts (1)) .. Len (Sts (1))) = "e") then if Pt.N.Decl.Var /= 4 then Prob (" EXPECTED al/e neuter I-stem (3, 4)"); end if; end if; end if; -- Check N 3 starts the same if Pt.N.Decl.Which = 3 then if Len (Sts (1)) >= 4 and then (Sts (1) /= ZZZ_Stem and Sts (2) /= ZZZ_Stem) and then (Sts (1)(Len (Sts (1)) .. Len (Sts (1)) - 1) /= Sts (2)(Len (Sts (1)) .. Len (Sts (1)) - 1)) then Prob (" EXPECTED 1st and 2nd stems similar for N 3 X"); end if; end if; -- Check N 3 GENDER if (Pt.N.Decl = (3, 1)) and (Pt.N.Gender = N) then Prob (" EXPECTED N 3 1 not to be N"); elsif (Pt.N.Decl = (3, 2)) and (Pt.N.Gender /= N) then Prob (" EXPECTED N 3 2 to be N"); elsif (Pt.N.Decl = (3, 3)) and (Pt.N.Gender = N) then Prob (" EXPECTED N 3 3 not to be N"); elsif (Pt.N.Decl = (3, 4)) and (Pt.N.Gender /= N) then Prob (" EXPECTED N 3 4 to be N"); end if; elsif Pt.Pofs = Pron then null; elsif Pt.Pofs = Adj then -- Can only check consistency if more than one stem, -- CO /= COMP | SUPER if (Pt.Adj.Co = Pos or Pt.Adj.Co = X) and (Pt.Adj.Decl /= (9, 9) and Pt.Adj.Decl /= (9, 8)) then -- Check that the stems are the same when expected if ( (Pt.Adj.Decl = (3, 2)) or ((Pt.Adj.Decl = (3, 3)) and then (Sts (1)(Len (Sts (1)) .. Len (Sts (1))) /= "r"))) and then ((Sts (1) /= ZZZ_Stem) and (Sts (2) /= ZZZ_Stem)) then if Sts (1) /= Sts (2) then Prob (" EXPECTED IDENTICAL ADJ STEMS"); end if; end if; -- Check that the stems progress as expected if Pt.Adj.Decl = (1, 2) then if Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) .. Len (Sts (1))) /= "r" and then Sts (2)(Len (Sts (2)) .. Len (Sts (2))) /= "r" then Prob (" EXPECTED r and r (1, 2) ADJ STEMS"); end if; end if; if Pt.Adj.Decl = (3, 1) then if Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "ns" and then Sts (2)(Len (Sts (2)) - 1 .. Len (Sts (2))) /= "nt" then Prob (" EXPECTED ns -> nt (3, 1) ADJ STEMS"); end if; end if; if Pt.Adj.Decl.Which = 3 then if Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "er" and then Pt.Adj.Decl /= (3, 3) then Prob (" EXPECTED ADJ 3 with -er to be (3, 3)"); end if; end if; if Pt.Adj.Decl = (3, 1) then if Len (Sts (1)) > Len (Sts (2)) then Prob (" EXPECTED ADJ (3, 1) 1st stem to be shorter"); end if; if Sts (1)(1 .. Len (Sts (1)) - 1) /= Sts (2)(1 .. Len (Sts (1)) - 1) then Prob ( " EXPECTED ADJ (3, 1) stems to agree in first letters"); end if; end if; end if; -- General ADJ things -- Check that ADJ 9 is POS if Pt.Adj.Decl.Which = 9 and Pt.Adj.Co /= Pos then Prob (" EXPECTED ADJ 9 to be POS"); end if; -- Check that ADJ 9 has 1 stem if (Pt.Adj.Decl.Which = 9) and (Sts (2) /= Null_Stem_Type) then Prob (" EXPECTED ADJ 9 have just 1 stem"); end if; -- Check that there are two and only two stems if POS if Pt.Adj.Co = Pos and Pt.Adj.Decl /= (9, 9) and Pt.Adj.Decl /= (9, 8) then if Sts (3) /= Null_Stem_Type or Sts (4) /= Null_Stem_Type then Prob (" EXPECTED exactly 2 POS ADJ STEMS"); end if; end if; -- Check that there are more than two stems if X if Pt.Adj.Co = X then if Sts (3) = Null_Stem_Type or Sts (4) = Null_Stem_Type then Prob (" EXPECTED 4 X ADJ STEMS"); end if; end if; -- Check that COMP ends in i, mostly if Pt.Adj.Co = X then if Sts (3) /= Null_Stem_Type and Sts (3) /= ZZZ_Stem then if Sts (3)(Len (Sts (3))) /= 'i' then Prob (" EXPECTED ADJ STEM 3 to end in 'i'"); elsif Sts (3)(1 .. Len (Sts (3)) - 1) /= Sts (2)(1 .. Len (Sts (2))) then Prob (" EXPECTED ADJ STEM 3 = STEM 2 & 'i'"); end if; end if; end if; -- Check that SUPER ends in issi, mostly if Pt.Adj.Co = X then if ((Len (Sts (3)) > 3) and (Len (Sts (4)) > 4)) and then ((Sts (3) /= Null_Stem_Type and Sts (3) /= ZZZ_Stem) and then (Sts (4) /= Null_Stem_Type and Sts (4) /= ZZZ_Stem)) then if Sts (3)(Len (Sts (3)) - 3 .. Len (Sts (3))) = "cili" then if Sts (4)(Len (Sts (4)) - 4 .. Len (Sts (4))) /= "cilli" then Prob (" EXPECTED 'cil' ADJ STEM 4 to end in 'cilli'"); end if; elsif Sts (3)(Len (Sts (3)) - 3 .. Len (Sts (3))) = "mili" then if Sts (4)(Len (Sts (4)) - 4 .. Len (Sts (4))) /= "milli" then Prob (" EXPECTED 'mil' ADJ STEM 4 to end in 'milli'"); end if; elsif Sts (3)(Len (Sts (3)) - 1 .. Len (Sts (3))) = "ri" then if Sts (4)(Len (Sts (4)) - 2 .. Len (Sts (4))) /= "rri" then Prob (" EXPECTED 'r' ADJ STEM 4 to end in 'rri'"); end if; elsif Sts (4)(Len (Sts (4)) - 3 .. Len (Sts (4))) /= "issi" then Prob (" EXPECTED ADJ STEM 4 to end in 'issi'"); elsif Sts (4)(1 .. Len (Sts (4)) - 3) /= Sts (3)(1 .. Len (Sts (3))) then Prob (" EXPECTED ADJ STEM 4 to be STEM 3 & 'ssi'"); elsif Sts (4)(1 .. Len (Sts (4)) - 4) /= Sts (2)(1 .. Len (Sts (2))) then Prob (" EXPECTED ADJ STEM 4 to be STEM 2 & 'issi'"); end if; end if; end if; -- Check that COMP and SUPER are (0, 0) if ((Pt.Adj.Co = Comp) or (Pt.Adj.Co = Super)) and then (Pt.Adj.Decl /= (0, 0)) then Prob (" EXPECTED ADJ COMP/SUPER to be (0, 0)"); end if; -- Check that COMP and SUPER have only one stem if ((Pt.Adj.Co = Comp) or (Pt.Adj.Co = Super)) and then (Sts (2) /= Null_Stem_Type) then Prob (" EXPECTED ADJ COMP/SUPER to have only one stem"); end if; elsif Pt.Pofs = Adv then -- Can only check consistency if more than one stem, -- CO /= COMP | SUPER if Pt.Adv.Co = Pos or Pt.Adv.Co = X then -- Check that there are two and only two stems if POS if Pt.Adv.Co = Pos then if Sts (2) /= Null_Stem_Type or Sts (3) /= Null_Stem_Type then Prob (" EXPECTED exactly 1 POS ADV STEM "); end if; end if; -- Check that there are more than two stems if X if Pt.Adv.Co = X then if Sts (2) = Null_Stem_Type or Sts (3) = Null_Stem_Type then Prob (" EXPECTED 3 X ADV STEMS"); end if; end if; end if; -- Check that COMP ends in ius, mostly if Pt.Adv.Co = X then if (Sts (2) /= Null_Stem_Type and Sts (2) /= ZZZ_Stem) and then (Sts (2)(Len (Sts (2)) - 2 .. Len (Sts (2))) /= "ius") then Prob (" EXPECTED ADV STEM 2 to end in 'ius'"); end if; end if; -- Check that SUPER ends in ime, mostly if Pt.Adv.Co = X then if (Sts (3) /= Null_Stem_Type and Sts (3) /= ZZZ_Stem) and then (Sts (3)(Len (Sts (3)) - 2 .. Len (Sts (3))) /= "ime") then Prob (" EXPECTED ADV STEM 3 to end in 'ime'"); end if; end if; -- Check that SUPER ends in issime, mostly if Pt.Adv.Co = X then if ((Len (Sts (2)) > 4) and (Len (Sts (3)) > 6)) and then ((Sts (2) /= Null_Stem_Type and Sts (2) /= ZZZ_Stem) and then (Sts (3) /= Null_Stem_Type and Sts (3) /= ZZZ_Stem)) then if Sts (2)(Len (Sts (2)) - 5 .. Len (Sts (2))) = "cilius" then if Sts (3)(Len (Sts (3)) - 6 .. Len (Sts (3))) /= "cillime" then Prob ( " EXPECTED 'cil' ADV STEM 3 to end in 'cillime'"); end if; elsif Sts (2)(Len (Sts (2)) - 5 .. Len (Sts (2))) = "milius" then if Sts (3)(Len (Sts (3)) - 6 .. Len (Sts (3))) /= "millime" then Prob ( " EXPECTED 'mil' ADV STEM 3 to end in 'millime'"); end if; elsif Sts (2)(Len (Sts (2)) - 3 .. Len (Sts (2))) = "rius" then if Sts (3)(Len (Sts (3)) - 4 .. Len (Sts (3))) /= "rrime" then Prob (" EXPECTED 'r' ADV STEM 3 to end in 'rrime'"); end if; elsif Sts (3)(Len (Sts (3)) - 5 .. Len (Sts (3))) /= "issime" then Prob (" EXPECTED ADV STEM 3 to end in 'issime'"); end if; end if; end if; elsif Pt.Pofs = V then -- Check that V 9 9 has only one stem if Pt.V.Con = (9, 9) then if Sts (2) /= Null_Stem_Type or Sts (3) /= Null_Stem_Type or Sts (4) /= Null_Stem_Type then Prob (" EXPECTED exactly 1 V (9, 9) STEM "); end if; else -- Check to see no first verb stem has lingering 'o' if Sts (1)(Len (Sts (1))) = 'o' then Prob (" EXPECTED VERB not to have -o 1st stem"); end if; -- Check to see no third verb stem has lingering 'i' if (Sts (3)(Len (Sts (3))) = 'i') and (Pt.V.Con /= (6, 1)) then Prob (" EXPECTED VERB not to have -i 3rd stem"); end if; -- Check that the stems are the same when expected if Pt.V.Con.Which < 5 and Sts (1)(1 .. 3) /= "zzz" then if Pt.V.Con /= (3, 1) and Pt.V.Con /= (3, 2) and Pt.V.Con /= (3, 4) then if Sts (1) /= Sts (2) then Prob (" EXPECTED IDENTICAL VERB 1 & 2 STEMS"); end if; elsif Pt.V.Con.Which = 2 and then (Sts (1)(Len (Sts (1))) = 'e') then Prob (" EXPECTED (2, X) not to have -e 1st stem"); elsif Pt.V.Con = (3, 1) and then Sts (1) /= Sts (2) and then (Sts (1)(1 .. Len (Sts (1))) /= Sts (2)(1 .. Len (Sts (2))) & 'i') then Prob (" EXPECTED (3, 1) i-stem VERB STEMS"); elsif Pt.V.Con = (3, 4) and then (Sts (1)(1 .. Len (Sts (1))) /= Sts (2)(1 .. Len (Sts (2))) & 'i') then Prob (" EXPECTED (3, 4) i-stem VERB STEMS"); elsif Pt.V.Con = (3, 2) then if ((Sts (1)(Len (Sts (1)) - 2 .. Len (Sts (1))) /= "fer") or (Sts (2)(Len (Sts (2)) - 3 .. Len (Sts (2))) /= "ferr")) or (Sts (1)(1 .. Len (Sts (1)) - 3) /= Sts (2)(1 .. Len (Sts (2)) - 4)) then Prob (" EXPECTED (3, 2) fer VERB STEMS"); end if; end if; end if; -- Check that the last 2 verb stems progress as expected if Pt.V.Con = (1, 1) and then (Sts (3) /= ZZZ_Stem and Sts (4) /= ZZZ_Stem) then if Len (Sts (3)) >= 3 and then (Sts (3)(Len (Sts (3)) - 1 .. Len (Sts (3))) = "av") then if Sts (4)(Len (Sts (4)) - 1 .. Len (Sts (4))) /= "at" or (Sts (3)(1 .. Len (Sts (3)) - 2) /= Sts (4)(1 .. Len (Sts (4)) - 2)) then Prob (" EXPECTED (1, 1) 3/4 av -> at VERB STEMS"); end if; elsif Len (Sts (3)) >= 4 and then (Sts (3)(Len (Sts (3)) - 2 .. Len (Sts (3))) = "ubu") then if Sts (4)(Len (Sts (4)) - 3 .. Len (Sts (4))) /= "ubit" or (Sts (3)(1 .. Len (Sts (3)) - 3) /= Sts (4)(1 .. Len (Sts (4)) - 4)) then Prob (" EXPECTED (1, 1) 3/4 ubu -> ubit VERB STEMS"); end if; elsif Len (Sts (3)) >= 4 and then (Sts (3)(Len (Sts (3)) - 2 .. Len (Sts (3))) = "icu") then if Sts (4)(Len (Sts (4)) - 3 .. Len (Sts (4))) /= "icit" or (Sts (3)(1 .. Len (Sts (3)) - 3) /= Sts (4)(1 .. Len (Sts (4)) - 4)) then Prob (" EXPECTED (1, 1) 3/4 icu -> icit VERB STEMS"); end if; elsif Len (Sts (3)) >= 4 and then (Sts (3)(Len (Sts (3)) - 2 .. Len (Sts (3))) = "onu") then if Sts (4)(Len (Sts (4)) - 3 .. Len (Sts (4))) /= "onit" or (Sts (3)(1 .. Len (Sts (3)) - 3) /= Sts (4)(1 .. Len (Sts (4)) - 4)) then Prob (" EXPECTED (1, 1) 3/4 onu -> onit VERB STEMS"); end if; elsif Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "st" then -- sto bad Prob (" V (1, 1) 'st' verb ???? VERB STEMS"); else Prob (" EXPECTED (1, 1) 3/4 regular VERB STEMS"); end if; end if; if Pt.V.Con = (3, 1) and then (Sts (1) /= ZZZ_Stem and then Sts (2) /= ZZZ_Stem and then Sts (3) /= ZZZ_Stem and then Sts (4) /= ZZZ_Stem) then if Len (Sts (1)) >= 4 and then (Sts (3)(Len (Sts (3)) - 1 .. Len (Sts (3))) = "ec") then if (Sts (1)(Len (Sts (1)) - 3 .. Len (Sts (1))) = "faci") and then ((Sts (2)(1 .. Len (Sts (2))) /= Sts (1)(1 .. Len (Sts (1)) - 4) & "fac") or (Sts (3)(1 .. Len (Sts (3))) /= Sts (1)(1 .. Len (Sts (1)) - 4) & "fec") or (Sts (4)(1 .. Len (Sts (4))) /= Sts (1)(1 .. Len (Sts (1)) - 4) & "fact")) then Prob ( " EXPECTED (3, 1) 3/4 feci, fec, fec, fact" & " VERB STEMS"); end if; if (Sts (1)(Len (Sts (1)) - 3 .. Len (Sts (1))) = "fici") and then ((Sts (2)(1 .. Len (Sts (2))) /= Sts (1)(1 .. Len (Sts (1)) - 4) & "fic") or (Sts (3)(1 .. Len (Sts (3))) /= Sts (1)(1 .. Len (Sts (1)) - 4) & "fec") or (Sts (4)(1 .. Len (Sts (4))) /= Sts (1)(1 .. Len (Sts (1)) - 4) & "fect")) then Prob (" EXPECTED (3, 1) 3/4 fici, fic, fec, fect" & " VERB STEMS"); end if; end if; elsif Pt.V.Con = (3, 1) and then Sts (4) /= ZZZ_Stem then if Len (Sts (3)) >= 3 and then (Sts (3)(Len (Sts (3)) .. Len (Sts (3))) = "x") then if Sts (3)(Len (Sts (3)) - 1 .. Len (Sts (3))) = "nx" then if not ((Sts (4)(Len (Sts (4)) - 2 .. Len (Sts (4))) = "nct" and Sts (3)(1 .. Len (Sts (3)) - 1) = Sts (4)(1 .. Len (Sts (4)) - 3)) or (Sts (4)(Len (Sts (4)) - 1 .. Len (Sts (4))) = "ct" and Sts (3)(1 .. Len (Sts (3)) - 1) = Sts (4)(1 .. Len (Sts (4)) - 3))) then Prob (" EXPECTED (3, 1) 3/4 nx -> (n)ct" & " VERB STEMS"); end if; elsif Sts (3)(Len (Sts (3)) - 2 .. Len (Sts (3))) = "fix" then if Sts (3)(1 .. Len (Sts (3))) /= Sts (4)(1 .. Len (Sts (4))) then Prob ( " EXPECTED (3, 1) 3/4 fix -> fix VERB STEMS"); end if; elsif Len (Sts (3)) >= 4 and then Sts (3)(Len (Sts (3)) - 3 .. Len (Sts (3))) = "flex" then if Sts (3)(1 .. Len (Sts (3))) /= Sts (4)(1 .. Len (Sts (4))) then Prob ( " EXPECTED (3, 1) 3/4 flex -> flex VERB STEMS"); end if; elsif Len (Sts (3)) >= 4 and then Sts (3)(Len (Sts (3)) - 3 .. Len (Sts (3))) = "flux" then if Sts (3)(1 .. Len (Sts (3))) /= Sts (4)(1 .. Len (Sts (4))) then Prob (" EXPECTED (3, 1) 3/4 flux -> flux" & " VERB STEMS"); end if; elsif Sts (4)(Len (Sts (4)) - 1 .. Len (Sts (4))) /= "ct" or (Sts (3)(1 .. Len (Sts (3)) - 1) /= Sts (4)(1 .. Len (Sts (4)) - 2)) then Prob (" EXPECTED (3, 1) 3/4 x -> ct VERB STEMS"); end if; end if; end if; -- Check DEP has no third stem if De.Part.V.Kind = Dep and then Sts (3)(1 .. 3) /= "zzz" then Prob (" EXPECTED 3 = zzz DEPON VERB STEMS"); end if; end if; -- V elsif Pt.Pofs = Num then null; else null; end if; -- Catch others if (Pt.Pofs = N) or (Pt.Pofs = Adj) then if Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) .. Len (Sts (1))) = "u" and then Is_Vowel (Sts (2)(Len (Sts (2)) - 1)) then Prob (" CHECK for terminal u or v "); end if; if Len (Sts (1)) >= 3 and then Sts (1)(Len (Sts (1)) .. Len (Sts (1))) = "v" and then not Is_Vowel (Sts (2)(Len (Sts (2)) - 1)) then Prob (" CHECK for terminal u or v "); end if; end if; if (Pt.Pofs = V) and then (Pt.V.Con = (2, 1)) then if (Len (Sts (1)) >= 3) and then (Sts (1)(Len (Sts (1)) - 1 .. Len (Sts (1))) = "pl") and then (Sts (3)(Len (Sts (3)) - 1 .. Len (Sts (3))) /= "ev") then Prob (" EXPECTED pleo -> plev V 2 1 "); end if; end if; exception when others => Put_Line ("VERIFY_STEMS exception !!!!!!!!!!!!!!!!!! " & Sts (1)); Put_Line (S (1 .. Last)); Put_Line (Output, "VERIFY_STEMS exception !!!!!!!!!!!!!!!!!! " & Sts (1)); Put_Line (Output, S (1 .. Last)); --CLOSE (OUTPUT); end Verify_Stems; begin Put_Line ("Takes a DICTLINE form named CHECK.IN, " & "analyzes for possible errors, and"); Put_Line ("produces a report CHECK.OUT - " & "Remember to process CHECK.OUT from end"); Create (Output, Out_File, "CHECK.OUT"); Open (Input, In_File, "CHECK.IN"); while not End_Of_File (Input) loop S := Blank_Line; Get_Line (Input, S, Last); --PUT_LINE (S (1 .. LAST)); Line_Number := Line_Number + 1; begin if S (19) /= ' ' or S (38) /= ' ' or S (57) /= ' ' or S (76) /= ' ' or S (102) /= ' ' then Number := Number + 1; Put (Output, "LINE"); Put (Output, Line_Number); Put_Line (Output, " BLANKS not in right place"); Put_Line (Output, S (1 .. Last)); end if; if S (112) = ' ' then Number := Number + 1; Put (Output, "LINE"); Put (Output, Line_Number); Put_Line (Output, " FLAGS may be offset"); Put_Line (Output, S (1 .. Last)); end if; if Last > 190 and then S (191) /= ' ' then Number := Number + 1; Put (Output, "LINE"); Put (Output, Line_Number); Put_Line (Output, " LINE is too long"); Put_Line (Output, S (1 .. Last)); end if; De.Stems (1) := S (1 .. Max_Stem_Size); De.Stems (2) := S (Max_Stem_Size + 2 .. 2*Max_Stem_Size + 1); De.Stems (3) := S (2*Max_Stem_Size + 3 .. 3*Max_Stem_Size + 2); De.Stems (4) := S (3*Max_Stem_Size + 4 .. 4*Max_Stem_Size + 3); for I in Stem_Key_Type range 1 .. 4 loop if Has_Punctuation (De.Stems (I)) then Put (Output, "LINE"); Put (Output, Line_Number); Put_Line (Output, " Offset or Punctuation in line "); Put_Line (Output, S (1 .. 4*Max_Stem_Size + 3)); end if; end loop; Get (S (4*Max_Stem_Size + 5 .. Last), De.Part, Ll); --GET (S (L+1 .. LAST), DE.PART.POFS, DE.PART.POFS.KIND, LL); De.Mean := S (111 .. 110 + Max_Meaning_Size); Verify_Stems; exception when others => Put (Output, "LINE"); Put (Output, Line_Number); Put_Line (Output, " Exception"); Put_Line (Output, S (1 .. Last)); Put (Output, De); New_Line (Output); end; end loop; New_Line (Output, 3); Put (Output, "Number of entries = "); Put (Output, Line_Number); New_Line (Output); Put (Output, "Number of errors = "); Put (Output, Number); New_Line (Output); Put (Output, "Ratio = 1 : "); Put (Output, Line_Number / Number); New_Line (Output); Close (Output); New_Line; Put ("Number of entries = "); Put (Line_Number); New_Line; Put ("Number of errors = "); Put (Number); New_Line; Put ("Ratio = 1 :"); Put (Line_Number / Number); New_Line; exception when Name_Error => Put_Line ("No CHECK.IN file to process"); Close (Output); when others => Put ("Exception on LINE"); Put (Line_Number); New_Line; Put_Line (S (1 .. Last)); Close (Output); end Check;
38.525547
80
0.386212
c5c9a3d500f022b3f62a0a90ab791f49fb1f3bda
4,873
adb
Ada
demo/vl53l1x_demo-hardware.adb
simonjwright/VL53L1X
f03fb8659c752f17977c3d5b0e384dcab57cbfae
[ "BSD-3-Clause" ]
null
null
null
demo/vl53l1x_demo-hardware.adb
simonjwright/VL53L1X
f03fb8659c752f17977c3d5b0e384dcab57cbfae
[ "BSD-3-Clause" ]
null
null
null
demo/vl53l1x_demo-hardware.adb
simonjwright/VL53L1X
f03fb8659c752f17977c3d5b0e384dcab57cbfae
[ "BSD-3-Clause" ]
null
null
null
with STM32.EXTI; with Ada.Interrupts.Names; with System; package body VL53L1X_Demo.Hardware is procedure Initialize_I2C_GPIO (Port : in out STM32.I2C.I2C_Port) is Id : constant STM32.Device.I2C_Port_Id := STM32.Device.As_Port_Id (Port); use all type STM32.Device.I2C_Port_Id; -- SCL, SDA respectively Points : constant STM32.GPIO.GPIO_Points (1 .. 2) := (case Id is when I2C_Id_1 => (STM32.Device.PB6, STM32.Device.PB7), when I2C_Id_2 => (STM32.Device.PB10, STM32.Device.PB11), when I2C_Id_3 => (STM32.Device.PA8, STM32.Device.PC9)); begin STM32.Device.Enable_Clock (Points); STM32.Device.Enable_Clock (Port); STM32.Device.Reset (Port); STM32.GPIO.Configure_IO (Points, (AF_Speed => STM32.GPIO.Speed_25MHz, Mode => STM32.GPIO.Mode_AF, AF => (case Id is when I2C_Id_1 => STM32.Device.GPIO_AF_I2C1_4, when I2C_Id_2 => STM32.Device.GPIO_AF_I2C2_4, when I2C_Id_3 => STM32.Device.GPIO_AF_I2C3_4), AF_Output_Type => STM32.GPIO.Open_Drain, Resistors => STM32.GPIO.Floating)); STM32.GPIO.Lock (Points); end Initialize_I2C_GPIO; procedure Configure_I2C (Port : in out STM32.I2C.I2C_Port) is begin if not STM32.I2C.Port_Enabled (Port) then STM32.I2C.Configure (This => Port, Conf => (Clock_Speed => 400_000, Mode => STM32.I2C.I2C_Mode, Duty_Cycle => STM32.I2C.DutyCycle_16_9, Addressing_Mode => STM32.I2C.Addressing_Mode_7bit, Own_Address => 0, others => <>)); end if; end Configure_I2C; procedure Configure_Pimoroni is begin STM32.Device.Enable_Clock (Pimoroni_INT); STM32.GPIO.Configure_IO (Pimoroni_INT, Config => (Resistors => STM32.GPIO.Floating, Mode => STM32.GPIO.Mode_In)); STM32.EXTI.Clear_External_Interrupt (STM32.GPIO.Interrupt_Line_Number (Pimoroni_INT)); STM32.GPIO.Configure_Trigger (Pimoroni_INT, Trigger => STM32.EXTI.Interrupt_Rising_Edge); end Configure_Pimoroni; procedure Configure_Polulu is begin STM32.Device.Enable_Clock (Polulu_GPIO1); STM32.GPIO.Configure_IO (Polulu_GPIO1, Config => (Resistors => STM32.GPIO.Floating, Mode => STM32.GPIO.Mode_In)); STM32.EXTI.Clear_External_Interrupt (STM32.GPIO.Interrupt_Line_Number (Polulu_GPIO1)); STM32.GPIO.Configure_Trigger (Polulu_GPIO1, Trigger => STM32.EXTI.Interrupt_Rising_Edge); STM32.Device.Enable_Clock (Polulu_XSHUT); STM32.GPIO.Configure_IO (Polulu_XSHUT, (Resistors => STM32.GPIO.Floating, Mode => STM32.GPIO.Mode_Out, Output_Type => STM32.GPIO.Push_Pull, Speed => STM32.GPIO.Speed_Low)); STM32.GPIO.Lock (Polulu_XSHUT); end Configure_Polulu; procedure Disable_Polulu is begin STM32.GPIO.Clear (Polulu_XSHUT); end Disable_Polulu; procedure Enable_Polulu is begin STM32.GPIO.Set (Polulu_XSHUT); end Enable_Polulu; protected Handler with Interrupt_Priority => System.Interrupt_Priority'Last is entry Wait_For_Data_Available (Available : out Data_Available); private Avail : Boolean := False; Available : Data_Available := (others => False); procedure Handle_Pimoroni_Interrupt with Attach_Handler => Ada.Interrupts.Names.EXTI1_Interrupt; procedure Handle_Polulu_Interrupt with Attach_Handler => Ada.Interrupts.Names.EXTI0_Interrupt; end Handler; procedure Wait_For_Data_Available (Available : out Data_Available) is begin Handler.Wait_For_Data_Available (Available); end Wait_For_Data_Available; protected body Handler is entry Wait_For_Data_Available (Available : out Data_Available) when Avail is begin Available := Handler.Available; Handler.Available := (others => False); Avail := False; end Wait_For_Data_Available; procedure Handle_Pimoroni_Interrupt is begin Available (On_Pimoroni) := True; Avail := True; STM32.EXTI.Clear_External_Interrupt (STM32.GPIO.Interrupt_Line_Number (Pimoroni_INT)); end Handle_Pimoroni_Interrupt; procedure Handle_Polulu_Interrupt is begin Available (On_Polulu) := True; Avail := True; STM32.EXTI.Clear_External_Interrupt (STM32.GPIO.Interrupt_Line_Number (Polulu_GPIO1)); end Handle_Polulu_Interrupt; end Handler; end VL53L1X_Demo.Hardware;
32.925676
69
0.632054
c5a8de5a6348c06b43aeb7ccb6b28733182c52a5
34,425
adb
Ada
oeml-sdk/ada/src/model/-models.adb
scorninpc/coinapi-sdk
e4ff6b79a26bb412ab9ac5f2d1e4a7cef560b1e7
[ "MIT" ]
null
null
null
oeml-sdk/ada/src/model/-models.adb
scorninpc/coinapi-sdk
e4ff6b79a26bb412ab9ac5f2d1e4a7cef560b1e7
[ "MIT" ]
null
null
null
oeml-sdk/ada/src/model/-models.adb
scorninpc/coinapi-sdk
e4ff6b79a26bb412ab9ac5f2d1e4a7cef560b1e7
[ "MIT" ]
null
null
null
-- OEML _ REST API -- This section will provide necessary information about the `CoinAPI OEML REST API` protocol. This API is also available in the Postman application: <a href=\"https://postman.coinapi.io/\" target=\"_blank\">https://postman.coinapi.io/</a> -- -- The version of the OpenAPI document: v1 -- Contact: support@coinapi.io -- -- NOTE: This package is auto generated by OpenAPI-Generator 5.0.0. -- https://openapi-generator.tech -- Do not edit the class manually. package body .Models is use Swagger.Streams; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Severity_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Severity_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Severity_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Severity_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : Severity_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Message_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("type", Value.P_Type); Serialize (Into, "severity", Value.Severity); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.Write_Entity ("message", Value.Message); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Message_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Message_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "type", Value.P_Type); Deserialize (Object, "severity", Value.Severity); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Swagger.Streams.Deserialize (Object, "message", Value.Message); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Message_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : Message_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ValidationError_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("type", Value.P_Type); Into.Write_Entity ("title", Value.Title); Serialize (Into, "status", Value.Status); Into.Write_Entity ("traceId", Value.Trace_Id); Into.Write_Entity ("errors", Value.Errors); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in ValidationError_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ValidationError_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "type", Value.P_Type); Swagger.Streams.Deserialize (Object, "title", Value.Title); Swagger.Streams.Deserialize (Object, "status", Value.Status); Swagger.Streams.Deserialize (Object, "traceId", Value.Trace_Id); Swagger.Streams.Deserialize (Object, "errors", Value.Errors); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out ValidationError_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : ValidationError_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdType_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdType_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdType_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdType_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrdType_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdStatus_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdStatus_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdStatus_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdStatus_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrdStatus_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderCancelAllRequest_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderCancelAllRequest_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderCancelAllRequest_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderCancelAllRequest_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderCancelAllRequest_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderCancelSingleRequest_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.Write_Entity ("exchange_order_id", Value.Exchange_Order_Id); Into.Write_Entity ("client_order_id", Value.Client_Order_Id); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderCancelSingleRequest_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderCancelSingleRequest_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Swagger.Streams.Deserialize (Object, "exchange_order_id", Value.Exchange_Order_Id); Swagger.Streams.Deserialize (Object, "client_order_id", Value.Client_Order_Id); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderCancelSingleRequest_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderCancelSingleRequest_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdSide_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrdSide_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdSide_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrdSide_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrdSide_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in TimeInForce_Type) is begin Into.Start_Entity (Name); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in TimeInForce_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out TimeInForce_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out TimeInForce_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : TimeInForce_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderNewSingleRequest_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.Write_Entity ("client_order_id", Value.Client_Order_Id); Into.Write_Entity ("symbol_id_exchange", Value.Symbol_Id_Exchange); Into.Write_Entity ("symbol_id_coinapi", Value.Symbol_Id_Coinapi); Serialize (Into, "amount_order", Value.Amount_Order); Serialize (Into, "price", Value.Price); Serialize (Into, "side", Value.Side); Serialize (Into, "order_type", Value.Order_Type); Serialize (Into, "time_in_force", Value.Time_In_Force); Serialize (Into, "expire_time", Value.Expire_Time); Serialize (Into, "exec_inst", Value.Exec_Inst); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderNewSingleRequest_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderNewSingleRequest_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Swagger.Streams.Deserialize (Object, "client_order_id", Value.Client_Order_Id); Swagger.Streams.Deserialize (Object, "symbol_id_exchange", Value.Symbol_Id_Exchange); Swagger.Streams.Deserialize (Object, "symbol_id_coinapi", Value.Symbol_Id_Coinapi); Swagger.Streams.Deserialize (Object, "amount_order", Value.Amount_Order); Swagger.Streams.Deserialize (Object, "price", Value.Price); Deserialize (Object, "side", Value.Side); Deserialize (Object, "order_type", Value.Order_Type); Deserialize (Object, "time_in_force", Value.Time_In_Force); Swagger.Streams.Deserialize (Object, "expire_time", Value.Expire_Time); Swagger.Streams.Deserialize (Object, "exec_inst", Value.Exec_Inst); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderNewSingleRequest_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderNewSingleRequest_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Fills_Type) is begin Into.Start_Entity (Name); Serialize (Into, "time", Value.Time); Serialize (Into, "price", Value.Price); Serialize (Into, "amount", Value.Amount); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Fills_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Fills_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "time", Value.Time); Swagger.Streams.Deserialize (Object, "price", Value.Price); Swagger.Streams.Deserialize (Object, "amount", Value.Amount); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Fills_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : Fills_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderExecutionReport_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Into.Write_Entity ("client_order_id", Value.Client_Order_Id); Into.Write_Entity ("symbol_id_exchange", Value.Symbol_Id_Exchange); Into.Write_Entity ("symbol_id_coinapi", Value.Symbol_Id_Coinapi); Serialize (Into, "amount_order", Value.Amount_Order); Serialize (Into, "price", Value.Price); Serialize (Into, "side", Value.Side); Serialize (Into, "order_type", Value.Order_Type); Serialize (Into, "time_in_force", Value.Time_In_Force); Serialize (Into, "expire_time", Value.Expire_Time); Serialize (Into, "exec_inst", Value.Exec_Inst); Into.Write_Entity ("client_order_id_format_exchange", Value.Client_Order_Id_Format_Exchange); Into.Write_Entity ("exchange_order_id", Value.Exchange_Order_Id); Serialize (Into, "amount_open", Value.Amount_Open); Serialize (Into, "amount_filled", Value.Amount_Filled); Serialize (Into, "avg_px", Value.Avg_Px); Serialize (Into, "status", Value.Status); Serialize (Into, "status_history", Value.Status_History); Into.Write_Entity ("error_message", Value.Error_Message); Serialize (Into, "fills", Value.Fills); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderExecutionReport_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderExecutionReport_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Swagger.Streams.Deserialize (Object, "client_order_id", Value.Client_Order_Id); Swagger.Streams.Deserialize (Object, "symbol_id_exchange", Value.Symbol_Id_Exchange); Swagger.Streams.Deserialize (Object, "symbol_id_coinapi", Value.Symbol_Id_Coinapi); Swagger.Streams.Deserialize (Object, "amount_order", Value.Amount_Order); Swagger.Streams.Deserialize (Object, "price", Value.Price); Deserialize (Object, "side", Value.Side); Deserialize (Object, "order_type", Value.Order_Type); Deserialize (Object, "time_in_force", Value.Time_In_Force); Swagger.Streams.Deserialize (Object, "expire_time", Value.Expire_Time); Swagger.Streams.Deserialize (Object, "exec_inst", Value.Exec_Inst); Swagger.Streams.Deserialize (Object, "client_order_id_format_exchange", Value.Client_Order_Id_Format_Exchange); Swagger.Streams.Deserialize (Object, "exchange_order_id", Value.Exchange_Order_Id); Swagger.Streams.Deserialize (Object, "amount_open", Value.Amount_Open); Swagger.Streams.Deserialize (Object, "amount_filled", Value.Amount_Filled); Swagger.Streams.Deserialize (Object, "avg_px", Value.Avg_Px); Deserialize (Object, "status", Value.Status); Swagger.Streams.Deserialize (Object, "status_history", Value.Status_History); Swagger.Streams.Deserialize (Object, "error_message", Value.Error_Message); Deserialize (Object, "fills", Value.Fills); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderExecutionReport_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderExecutionReport_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderExecutionReportAllOf_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("client_order_id_format_exchange", Value.Client_Order_Id_Format_Exchange); Into.Write_Entity ("exchange_order_id", Value.Exchange_Order_Id); Serialize (Into, "amount_open", Value.Amount_Open); Serialize (Into, "amount_filled", Value.Amount_Filled); Serialize (Into, "avg_px", Value.Avg_Px); Serialize (Into, "status", Value.Status); Serialize (Into, "status_history", Value.Status_History); Into.Write_Entity ("error_message", Value.Error_Message); Serialize (Into, "fills", Value.Fills); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in OrderExecutionReportAllOf_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderExecutionReportAllOf_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "client_order_id_format_exchange", Value.Client_Order_Id_Format_Exchange); Swagger.Streams.Deserialize (Object, "exchange_order_id", Value.Exchange_Order_Id); Swagger.Streams.Deserialize (Object, "amount_open", Value.Amount_Open); Swagger.Streams.Deserialize (Object, "amount_filled", Value.Amount_Filled); Swagger.Streams.Deserialize (Object, "avg_px", Value.Avg_Px); Deserialize (Object, "status", Value.Status); Swagger.Streams.Deserialize (Object, "status_history", Value.Status_History); Swagger.Streams.Deserialize (Object, "error_message", Value.Error_Message); Deserialize (Object, "fills", Value.Fills); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out OrderExecutionReportAllOf_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : OrderExecutionReportAllOf_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BalanceData_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("asset_id_exchange", Value.Asset_Id_Exchange); Into.Write_Entity ("asset_id_coinapi", Value.Asset_Id_Coinapi); Serialize (Into, "balance", Value.Balance); Serialize (Into, "available", Value.Available); Serialize (Into, "locked", Value.Locked); Into.Write_Entity ("last_updated_by", Value.Last_Updated_By); Serialize (Into, "rate_usd", Value.Rate_Usd); Serialize (Into, "traded", Value.Traded); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in BalanceData_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BalanceData_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "asset_id_exchange", Value.Asset_Id_Exchange); Swagger.Streams.Deserialize (Object, "asset_id_coinapi", Value.Asset_Id_Coinapi); Swagger.Streams.Deserialize (Object, "balance", Value.Balance); Swagger.Streams.Deserialize (Object, "available", Value.Available); Swagger.Streams.Deserialize (Object, "locked", Value.Locked); Swagger.Streams.Deserialize (Object, "last_updated_by", Value.Last_Updated_By); Swagger.Streams.Deserialize (Object, "rate_usd", Value.Rate_Usd); Swagger.Streams.Deserialize (Object, "traded", Value.Traded); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out BalanceData_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : BalanceData_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Balance_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Serialize (Into, "data", Value.Data); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Balance_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Balance_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Deserialize (Object, "data", Value.Data); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Balance_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : Balance_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Position_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("exchange_id", Value.Exchange_Id); Serialize (Into, "data", Value.Data); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in Position_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Position_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "exchange_id", Value.Exchange_Id); Deserialize (Object, "data", Value.Data); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out Position_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : Position_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PositionData_Type) is begin Into.Start_Entity (Name); Into.Write_Entity ("symbol_id_exchange", Value.Symbol_Id_Exchange); Into.Write_Entity ("symbol_id_coinapi", Value.Symbol_Id_Coinapi); Serialize (Into, "avg_entry_price", Value.Avg_Entry_Price); Serialize (Into, "quantity", Value.Quantity); Serialize (Into, "side", Value.Side); Serialize (Into, "unrealized_pnl", Value.Unrealized_Pnl); Serialize (Into, "leverage", Value.Leverage); Into.Write_Entity ("cross_margin", Value.Cross_Margin); Serialize (Into, "liquidation_price", Value.Liquidation_Price); Into.Write_Entity ("raw_data", Value.Raw_Data); Into.End_Entity (Name); end Serialize; procedure Serialize (Into : in out Swagger.Streams.Output_Stream'Class; Name : in String; Value : in PositionData_Type_Vectors.Vector) is begin Into.Start_Array (Name); for Item of Value loop Serialize (Into, "", Item); end loop; Into.End_Array (Name); end Serialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PositionData_Type) is Object : Swagger.Value_Type; begin Swagger.Streams.Deserialize (From, Name, Object); Swagger.Streams.Deserialize (Object, "symbol_id_exchange", Value.Symbol_Id_Exchange); Swagger.Streams.Deserialize (Object, "symbol_id_coinapi", Value.Symbol_Id_Coinapi); Swagger.Streams.Deserialize (Object, "avg_entry_price", Value.Avg_Entry_Price); Swagger.Streams.Deserialize (Object, "quantity", Value.Quantity); Deserialize (Object, "side", Value.Side); Swagger.Streams.Deserialize (Object, "unrealized_pnl", Value.Unrealized_Pnl); Swagger.Streams.Deserialize (Object, "leverage", Value.Leverage); Swagger.Streams.Deserialize (Object, "cross_margin", Value.Cross_Margin); Swagger.Streams.Deserialize (Object, "liquidation_price", Value.Liquidation_Price); Deserialize (Object, "raw_data", Value.Raw_Data); end Deserialize; procedure Deserialize (From : in Swagger.Value_Type; Name : in String; Value : out PositionData_Type_Vectors.Vector) is List : Swagger.Value_Array_Type; Item : PositionData_Type; begin Value.Clear; Swagger.Streams.Deserialize (From, Name, List); for Data of List loop Deserialize (Data, "", Item); Value.Append (Item); end loop; end Deserialize; end .Models;
37.33731
247
0.620683