ydeng9 commited on
Commit
2acee6e
1 Parent(s): d8569ae

Update config.json

Browse files
Files changed (1) hide show
  1. config.json +1 -1
config.json CHANGED
@@ -1,5 +1,5 @@
1
  {
2
- "_name_or_path": "/mnt/bn/ailab-yuningshen-psg/mlx/users/quanquan.gu/playground/finetune/data/zephyr-7b-dpo-full-50k/sig/checkpoint-1556",
3
  "architectures": [
4
  "MistralForCausalLM"
5
  ],
 
1
  {
2
+ "_name_or_path": "zephyr-7b-sft-full-SPIN-iter1",
3
  "architectures": [
4
  "MistralForCausalLM"
5
  ],