vorstcavry commited on
Commit
39c188b
1 Parent(s): db7b18f

Upload config.json

Browse files
Files changed (1) hide show
  1. config.json +11 -0
config.json ADDED
@@ -0,0 +1,11 @@
 
 
 
 
 
 
 
 
 
 
 
 
1
+ {
2
+ "sd_model_checkpoint": "anything-v4.5-pruned.ckpt",
3
+ "sd_vae": "anything-v4.0.vae.pt",
4
+ "quicksettings_list": [
5
+ "sd_model_checkpoint",
6
+ "sd_vae",
7
+ "ESRGAN_tile",
8
+ "code_former_weight",
9
+ "token_merging_ratio"
10
+ ]
11
+ }